diff --git a/cpld/CNT.v b/cpld/CNT.v index 984b403..60efccd 100644 --- a/cpld/CNT.v +++ b/cpld/CNT.v @@ -1,115 +1,78 @@ module CNT( /* C8M clock input */ - input C8M, - /* FSB clock and bus active signal */ - input FCLK, input LBACT, + input C8M, input E, /* Refresh request */ - output reg RefReq, output RefUrgent, - /* BERR output */ - output reg BERRTimeout, + output reg RefReq, output reg RefUrgent, /* Reset, switch, button */ - input [3:1] SW, input nRESin, output reg nRESout, input nIPL2, + input [3:1] SW, output reg nRESout, input nIPL2, /* Mac PDS bus master control outputs */ - output reg AoutOE, output nAoutOE, output nBR_IOB, + output reg AoutOE, output nAoutOE, output reg nBR_IOB, /* Configuration outputs */ - output reg WarpEnable, output reg FastROMEN, output C20MEN, output C25MEN); + output C20MEN, output C25MEN, output FastROMEN); - /* Timer counts from 0 to 1100000 (96) -- 97 states == 12.382 us */ - reg [6:0] Timer = 0; - wire TimerTC = Timer[6:5]==2'b11; - always @(posedge C8M) Timer <= TimerTC ? 0 : Timer+1; - - /* Refresh timer sequence - * | Timer | RefReq | RefUrgent | - * |----------------------------| - * | 0 | 0 | 0 | - * | 1 | 0 | 0 | - * | 2 | 0 | 0 | - * | 3 | 0 | 0 | - * | 4 | 0 | 0 | - * | 5 | 0 | 0 | - * | 6 | 0 | 0 | - * | 7 | 0 | 0 | - * | 8 | 0 | 0 | - * | 9 | 1 | 0 | - * | 10 | 1 | 0 | - * | 11 | 1 | 0 | - * | ... | 1 | 0 | - * | 62 | 1 | 0 | - * | 63 | 1 | 0 | - * | 64 | 1 | 1 | - * | 65 | 1 | 1 | - * | 66 | 1 | 1 | - * | ... | 1 | 1 | - * | 93 | 1 | 1 | - * | 94 | 1 | 1 | - * | 95 | 1 | 1 | - * | 96 | 1 | 1 | + /* Timer counts from 0 to 1001 (9) -- 10 states == 12.766 us + * Refresh timer sequence + * | Timer | RefReq | RefUrgent | + * |------------------------------| + * | 0 0000 | 0 | 0 | + * | 1 0001 | 0 | 0 | + * | 2 0010 | 0 | 0 | + * | 3 0011 | 1 | 0 | + * | 4 0100 | 1 | 0 | + * | 5 0101 | 1 | 0 | + * | 6 0110 | 1 | 0 | + * | 7 0111 | 1 | 1 | + * | 8 1000 | 1 | 1 | + * | 9 1001 | 1 | 1 | * back to timer==0 */ - assign RefUrgent = Timer[6]; - always @(posedge C8M) begin - if (Timer[3]) RefReq <= 1; - else if (TimerTC) RefReq <= 0; + reg [3:0] Timer = 0; + reg TimerTC; + always @(posedge E) begin + TimerTC <= Timer[3:0]==4'h8; + if (TimerTC) Timer <= 0; + else Timer <= Timer+1; + RefReq <= Timer[3:0]==4'h2 || + Timer[3:0]==4'h3 || Timer[3:0]==4'h4 || Timer[3:0]==4'h5 || + Timer[3:0]==4'h6 || Timer[3:0]==4'h7 || Timer[3:0]==4'h8; + RefUrgent <= Timer[3:0]==4'h6 || Timer[3:0]==4'h7 || Timer[3:0]==4'h8; end - - /* LBACTr - LBACT synchronized to C16M clock domain */ - reg LBACTr; - always @(posedge C8M) LBACTr <= LBACT; - - /* BERR generation in C8M clock domain */ - reg BERRArm = 0; - always @(posedge C8M) begin - if (LBACTr && TimerTC) begin - BERRArm <= 1; - if (BERRArm) BERRTimeout <= 1; - end else if (!LBACTr) begin - BERRArm <= 0; - BERRTimeout <= 0; + + /* Long timer counts from 0 to 8192 -- 8193 states == 104.588 ms */ + reg [13:0] LTimer; + wire LTimerTC = LTimer[13]; + always @(negedge C8M) begin + if (TimerTC) begin + if (LTimerTC) LTimer <= 0; + else LTimer <= LTimer+1; end end - - /* Long timer counts from 0 to 16384 -- 16385 states == 202.888 ms */ - reg [14:0] LTimer; // Long timer - wire LTimerTC = LTimer[14]; - always @(posedge C8M) begin - if (LTimerTC) LTimer <= 0; - else LTimer <= LTimer+1; - end /* IPL2 synchronizer */ - reg IPL2r; - always @(posedge C8M) IPL2r <= !nIPL2; + reg IPL2r; always @(negedge C8M) IPL2r <= !nIPL2; /* Startup sequence control */ reg [1:0] PORS = 0; - reg Disable = 0; - reg BR_IOB = 0; assign nBR_IOB = !BR_IOB; assign nAoutOE = !AoutOE; - always @(posedge C8M) begin + always @(negedge C8M) begin case (PORS) 0: begin AoutOE <= 0; // Tristate PDS address and control nRESout <= 0; // Hold reset low - Disable <= 0; + nBR_IOB <= 0; // Default to request bus if (LTimerTC) PORS <= 1; end 1: begin AoutOE <= 0; // Tristate PDS address and control nRESout <= 0; // Hold reset low - Disable <= Disable | IPL2r; - if (!IPL2r && LTimerTC) begin - BR_IOB <= !Disable; - PORS <= 2; - end + nBR_IOB <= nBR_IOB | IPL2r; // Disable bus request if NMI pressed + if (LTimerTC && !IPL2r) PORS <= 2; end 2: begin AoutOE <= 0; // Tristate PDS address and control nRESout <= 0; // Hold reset low if (LTimerTC) PORS <= 3; end 3: begin - AoutOE <= BR_IOB; - // Wait until LTimerTC to release reset - if (LTimerTC) nRESout <= 1; - else nRESout = 0; + AoutOE <= !nBR_IOB; + if (LTimerTC) nRESout <= 1; // Wait until LTimerTC to release reset PORS <= 3; end endcase diff --git a/cpld/FSB.v b/cpld/FSB.v index f2441dc..09c2614 100644 --- a/cpld/FSB.v +++ b/cpld/FSB.v @@ -1,12 +1,10 @@ module FSB( /* MC68HC000 interface */ - input FCLK, input nAS, output reg nDTACK, output nVPA, output nBERR, + input FCLK, input nAS, output reg nDTACK, output nVPA, /* AS cycle detection */ - output BACT, output LBACT, + output BACT, /* Ready inputs */ input Ready0, input Ready1, input Ready2, - /* BERR input from IOB slave port */ - input IOBS_BERR, /* Interrupt acknowledge select */ input IACS); @@ -14,18 +12,6 @@ module FSB( reg ASrf = 0; always @(negedge FCLK) begin ASrf <= ~nAS; end assign BACT = ~nAS || ASrf; // BACT - bus active - - /* LBACT - "Long BACT" */ - reg [1:0] BACTCnt = 0; - always @(posedge FCLK) begin - if (!BACT) begin - BACTCnt <= 0; - LBACT <= 0; - end else begin - BACTCnt <= BACTCnt+1; - if (BACTCnt==2'b11 && BACT) LBACT <= 1; - end - end /* Ready generation and bypass */ reg Ready0r, Ready1r, Ready2r; @@ -44,9 +30,6 @@ module FSB( end end - /* BERR generation */ - assign nBERR = ~(~nAS && IOBS_BERR); - /* DTACK/VPA control */ reg VPA; assign nVPA = ~(~nAS && VPA); diff --git a/cpld/IOBS.v b/cpld/IOBS.v index 0fdf80f..73ea325 100644 --- a/cpld/IOBS.v +++ b/cpld/IOBS.v @@ -4,7 +4,7 @@ module IOBS( /* AS cycle detection */ input BACT, /* Select and ready signals */ - input IOCS, input IOPWCS, output IOBS_Ready, output reg IOBS_BERR, + input IOCS, input IOPWCS, output IOBS_Ready, output reg nBERR_FSB, /* Read data OE control */ output nDinOE, /* IOB Master Controller Interface */ @@ -106,10 +106,10 @@ module IOBS( always @(posedge CLK) begin if (~BACT) begin IOReady <= 0; - BERR <= 0; + nBERR_FSB <= 1; end else if (Once && (PS==0 || PS==1) && ~IOACTr && IOPWReady) begin - IOReady <= ~IOBERR; - IOBS_BERR <= IOBERR; + IOReady <= !IOBERR; + nBERR_FSB <= !IOBERR; end end assign IOBS_Ready = ~IOCS || IOReady || (IOPWCS && IOPWReady); diff --git a/cpld/RAM.v b/cpld/RAM.v index 01a6471..3c522a9 100644 --- a/cpld/RAM.v +++ b/cpld/RAM.v @@ -4,13 +4,16 @@ module RAM( /* AS cycle detection */ input BACT, /* Select and ready signals */ - input RAMCS, input ROMCS, output Ready, + input RAMCS, input ROMCS, output RAM_Ready, /* Refresh Counter Interface */ input RefReqIn, input RefUrgentIn, /* DRAM and NOR flash interface */ output [11:0] RA, output nRAS, output reg nCAS, output nLWE, output nUWE, output nOE, output nROMCS, output nROMWE); + // Save BACT from last clock + reg BACTr; always @(posedge CLK) BACTr <= BACT; + /* RAM control state */ reg [2:0] RS = 0; reg RAMEN = 0; @@ -18,46 +21,42 @@ module RAM( reg RASEL = 0; // RASEL controls /CAS signal /* Refresh request synchronization */ - reg RefReqR; // Refresh synchronization - always @(posedge CLK) RefReqR <= RefReqIn; + reg RefReqSync; always @(posedge CLK) RefReqSync <= RefReqIn; + reg RegUrgentSync; always @(posedge CLK) RegUrgentSync <= RefUrgentIn; + + /* Refresh command generation */ reg RefReq, RefUrgent; // Refresh commands reg RefDone; // Refresh done "remember" always @(posedge CLK) begin - RefReq <= RefReqR && !RefDone; - RefUrgent <= RefReqR && RefUrgentIn && !RefDone; - if (!RefReqR) RefDone <= 0; + RefReq <= RefReqSync && !RefDone; + RefUrgent <= RegUrgentSync && !RefDone; + if (!RefReqSync) RefDone <= 0; else if (RS==2 || RS==3) RefDone <= 1; // RS2 || RS3 to save 1 input end - /* RAM enable - */ - /* Refresh init conditions */ - wire RAMRefFromRS0Next = RS==0 && ( + wire RefFromRS0Next = RS==0 && ( // Non-urgent refresh can start during first clock of non-RAM cycle ( BACT && ~BACTr && ~RAMCS && RefReq) || // Urgent refresh can start during bus idle (~BACT && RefUrgent) || // Urgent refresh can start during non-ram cycle ( BACT && ~RAMCS && RefUrgent)); - wire RAMRefFromRS0Pre = RS==0 && + wire RefFromRS0Pre = RS==0 && // Urgent refresh can start during long RAM cycle after RAM access done. BACT && RAMCS && !RAMEN && RefUrgent; - wire RAMRefFromRS0 = RAMRefFromRS0Next || RAMRefFromRS0Pre; + wire RefFromRS0 = RefFromRS0Next || RefFromRS0Pre; // Urgent refresh cannot start when BACT and RAMCS and RAMEN, // since /RAS has already been asserted. For this we wait for RS7. - wire RAMRefFromRS7 = RS==7 && RefUrgent; - - /* RAM access start condition */ - wire RAMStart = RS==0 && BACT && RAMCS && RAMEN; + wire RefFromRS7 = RS==7 && RefUrgent; /* RAM enable (/AS -> /RAS) */ always @(posedge CLK) begin if (RS==0) begin - if (RAMRefFromRS0) RAMEN <= 0; + if (RefFromRS0) RAMEN <= 0; else if (!BACT) RAMEN <= 1; end else if (RS==7) begin - if (RAMRefFromRS7) RAMEN <= 0; + if (RefFromRS7) RAMEN <= 0; else if (BACT) RAMEN <= 0; else if (!BACT) RAMEN <= 1; end @@ -87,9 +86,6 @@ module RAM( assign RA[01] = RASEL ? A[02] : A[11]; assign RA[00] = RASEL ? A[01] : A[10]; - // Save BACT from last clock - reg BACTr; - always @(posedge CLK) BACTr <= BACT; always @(posedge CLK) begin if (RS==0) begin // In RS0, RAM is idle and ready for new command. @@ -108,7 +104,7 @@ module RAM( RS <= 5; RAMReady <= 0; RASEL <= 1; - end else if (RAMRefFromRS0Pre) begin + end else if (RefFromRS0Pre) begin RS <= 1; RAMReady <= 0; RASEL <= 0; @@ -180,6 +176,6 @@ module RAM( end always @(negedge CLK) begin nCAS <= ~RASEL; end - assign Ready = ~RAMCS || RAMReady; + assign RAM_Ready = ~RAMCS || RAMReady; endmodule diff --git a/cpld/WarpSE-XC95144XL.ucf b/cpld/WarpSE-XC95144XL.ucf index d63b818..510a57a 100644 --- a/cpld/WarpSE-XC95144XL.ucf +++ b/cpld/WarpSE-XC95144XL.ucf @@ -1,9 +1,9 @@ #Created by Constraints Editor (xc95144xl-tq100-10) - 2022/02/07 -NET "CLK_FSB" TNM_NET = CLK_FSB; +NET "FCLK" TNM_NET = CLK_FSB; TIMESPEC TS_CLK_FSB = PERIOD "CLK_FSB" 25 MHz HIGH 50%; -NET "CLK2X_IOB" TNM_NET = CLK2X_IOB; +NET "C16M" TNM_NET = CLK2X_IOB; TIMESPEC TS_CLK2X_IOB = PERIOD "CLK2X_IOB" 15.6672 MHz HIGH 50%; -NET "CLK_IOB" TNM_NET = CLK_IOB; +NET "C8M" TNM_NET = CLK_IOB; TIMESPEC TS_CLK_IOB = PERIOD "CLK_IOB" 7.8336 MHz HIGH 50%; #PACE: Start of Constraints generated by PACE @@ -31,12 +31,12 @@ NET "A_FSB<6>" LOC = "P3" ; NET "A_FSB<7>" LOC = "P4" ; NET "A_FSB<8>" LOC = "P6" ; NET "A_FSB<9>" LOC = "P7" ; -NET "CLK20EN" LOC = "P59" ; -NET "CLK25EN" LOC = "P58" ; -NET "CLK2X_IOB" LOC = "P22" ; -NET "CLK_FSB" LOC = "P27" ; -NET "CLK_IOB" LOC = "P23" ; -NET "E_IOB" LOC = "P25" ; +NET "C20MEN" LOC = "P59" ; +NET "C25MEN" LOC = "P58" ; +NET "FCLK" LOC = "P27" ; +NET "C16M" LOC = "P22" ; +NET "C8M" LOC = "P23" ; +NET "E" LOC = "P25" ; NET "nADoutLE0" LOC = "P85" ; NET "nADoutLE1" LOC = "P82" ; NET "nAoutOE" LOC = "P87" ; @@ -80,9 +80,9 @@ NET "RA<6>" LOC = "P46" ; NET "RA<7>" LOC = "P52" ; NET "RA<8>" LOC = "P54" ; NET "RA<9>" LOC = "P56" ; -NET "SW<0>" LOC = "P61" ; -NET "SW<1>" LOC = "P60" ; -NET "SW<2>" LOC = "P67" ; +NET "SW<1>" LOC = "P61" ; +NET "SW<2>" LOC = "P60" ; +NET "SW<3>" LOC = "P67" ; #PACE: Start of PACE Area Constraints diff --git a/cpld/WarpSE.v b/cpld/WarpSE.v index b61fc87..472ac88 100644 --- a/cpld/WarpSE.v +++ b/cpld/WarpSE.v @@ -7,10 +7,10 @@ module WarpSE( output nDTACK_FSB, output nVPA_FSB, output nBERR_FSB, - input CLK_FSB, - input CLK2X_IOB, - input CLK_IOB, - input E_IOB, + input FCLK, + input C16M, + input C8M, + input E, input nDTACK_IOB, input nVPA_IOB, output nVMA_IOB, @@ -47,7 +47,6 @@ module WarpSE( /* AS cycle detection */ wire BACT; - wire LBACT; /* Refresh request/ack signals */ wire RefReq, RefUrgent; @@ -55,32 +54,33 @@ module WarpSE( /* Fast ROM enable setting */ wire FastROMEN; + /* FSB chip select signals */ wire IOCS, SCSICS, IOPWCS, IACS, ROMCS, RAMCS, SndRAMCSWR; CS cs( /* Setting input */ FastROMEN, /* MC68HC000 interface */ - A_FSB[23:08], CLK_FSB, nRESin, nWE_FSB, + A_FSB[23:08], FCLK, nRESin, nWE_FSB, /* AS cycle detection */ BACT, /* Device select outputs */ IOCS, SCSICS, IOPWCS, IACS, ROMCS, RAMCS, SndRAMCSWR); - wire Ready_RAM; + wire RAM_Ready; RAM ram( /* MC68HC000 interface */ - CLK_FSB, A_FSB[21:1], nWE_FSB, nAS_FSB, nLDS_FSB, nUDS_FSB, + FCLK, A_FSB[21:1], nWE_FSB, nAS_FSB, nLDS_FSB, nUDS_FSB, /* AS cycle detection */ BACT, /* Select and ready signals */ - RAMCS, ROMCS, Ready_RAM, + RAMCS, ROMCS, RAM_Ready, /* Refresh Counter Interface */ RefReq, RefUrgent, /* DRAM and NOR flash interface */ RA[11:0], nRAS, nCAS, nRAMLWE, nRAMUWE, nOE, nROMCS, nROMWE); - wire IOBS_Ready, IOBS_BERR; + wire IOBS_Ready; wire IOREQ, IOACT, IOBERR; wire ALE0S, ALE0M, ALE1; assign nADoutLE0 = ~(ALE0S || ALE0M); @@ -88,11 +88,11 @@ module WarpSE( wire IORW0, IOL0, IOU0; IOBS iobs( /* MC68HC000 interface */ - CLK_FSB, nWE_FSB, nAS_FSB, nLDS_FSB, nUDS_FSB, + FCLK, nWE_FSB, nAS_FSB, nLDS_FSB, nUDS_FSB, /* AS cycle detection, FSB BERR */ BACT, /* Select and ready signals */ - IOCS, IOPWCS, IOBS_Ready, IOBS_BERR, + IOCS, IOPWCS, IOBS_Ready, nBERR_FSB, /* Read data OE control */ nDinOE, /* IOB Master Controller Interface */ @@ -110,7 +110,7 @@ module WarpSE( assign nVMA_IOB = AoutOE ? 1'bZ : nVMA_IOBout; IOBM iobm( /* PDS interface */ - CLK2X_IOB, CLK_IOB, E_IOB, + C16M, C8M, E, nAS_IOBout, nLDS_IOBout, nUDS_IOBout, nVMA_IOBout, nAS_IOB, nBG_IOB, nDTACK_IOB, nVPA_IOB, nBERR_IOB, nRESin, /* PDS address and data latch control */ @@ -119,18 +119,14 @@ module WarpSE( IOACT, IOBERR, IOREQ, IOL0, IOU0, IORW0); - wire BERRTimeout; + CNT cnt( - /* C8M clock */ - C8M, - /* FSB bus active signals */ - BACT, LBACT, + /* C8M and E clocks */ + C8M, E, /* Refresh request */ RefReq, RefUrgent, - /* BERR and QoS speed limit output */ - BERRTimeout, /* Reset, switch, button */ - SW[3:1], nRESin, nRESout, nIPL2, + SW[3:1], nRESout, nIPL2, /* Mac PDS bus master control outputs */ nAoutOE, AoutOE, nBR_IOB, /* Configuration outputs */ @@ -138,13 +134,11 @@ module WarpSE( FSB fsb( /* MC68HC000 interface */ - CLK_FSB, nAS_FSB, nDTACK_FSB, nVPA_FSB, nBERR_FSB, - /* AS cycle detection */ - BACT, LBACT, - /* Ready and IA inputs */ - Ready_RAM, IOBS_Ready, (!SndRAMCSWR || QoSReady), - /* IOB slabe port BERR input */ - IOBS_BERR, + FCLK, nAS_FSB, nDTACK_FSB, nVPA_FSB, + /* FSB cycle detection */ + BACT, + /* Ready inputs */ + RAM_Ready, IOBS_Ready, 1, /* Interrupt acknowledge select */ IACS); diff --git a/cpld/XC95144XL/WarpSE.bld b/cpld/XC95144XL/WarpSE.bld index d9b29ae..09a190b 100644 --- a/cpld/XC95144XL/WarpSE.bld +++ b/cpld/XC95144XL/WarpSE.bld @@ -1,16 +1,18 @@ -Release 14.7 ngdbuild P.20131013 (nt) +Release 14.7 ngdbuild P.20131013 (nt64) Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. -Command Line: C:\Xilinx\14.7\ISE_DS\ISE\bin\nt\unwrapped\ngdbuild.exe -intstyle -ise -dd _ngo -uc Z:/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 -WarpSE.ngc WarpSE.ngd +Command Line: C:\Xilinx\14.7\ISE_DS\ISE\bin\nt64\unwrapped\ngdbuild.exe +-intstyle ise -dd _ngo -uc +C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p +xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd -Reading NGO file "Z:/Warp-SE/cpld/XC95144XL/WarpSE.ngc" ... +Reading NGO file +"C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.ngc" ... Gathering constraint information from source properties... Done. Annotating constraints to design from ucf file -"Z:/Warp-SE/cpld/WarpSE-XC95144XL.ucf" ... +"C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf" ... Resolving constraint associations... Checking Constraint Associations... Done... @@ -28,10 +30,10 @@ NGDBUILD Design Results Summary: Number of errors: 0 Number of warnings: 0 -Total memory usage is 117172 kilobytes +Total memory usage is 155236 kilobytes Writing NGD file "WarpSE.ngd" ... -Total REAL time to NGDBUILD completion: 17 sec -Total CPU time to NGDBUILD completion: 16 sec +Total REAL time to NGDBUILD completion: 3 sec +Total CPU time to NGDBUILD completion: 3 sec Writing NGDBUILD log file "WarpSE.bld"... diff --git a/cpld/XC95144XL/WarpSE.cmd_log b/cpld/XC95144XL/WarpSE.cmd_log index de0c7a6..1cd9427 100644 --- a/cpld/XC95144XL/WarpSE.cmd_log +++ b/cpld/XC95144XL/WarpSE.cmd_log @@ -33,3 +33,189 @@ xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/Wa xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt verilog -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 50 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +taengine -intstyle ise -f WarpSE -w --format html1 -l WarpSE_html/tim/timing_report.htm +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt verilog -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 50 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +taengine -intstyle ise -f WarpSE -w --format html1 -l WarpSE_html/tim/timing_report.htm +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt verilog -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 50 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt verilog -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 50 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt verilog -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 50 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt verilog -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 50 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt verilog -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 50 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt verilog -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 50 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt verilog -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 50 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt verilog -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 50 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt verilog -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 50 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt verilog -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 50 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt verilog -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 50 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt verilog -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 50 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt verilog -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 50 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt verilog -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 50 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt verilog -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 50 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt verilog -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 50 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt verilog -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 50 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt verilog -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 50 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt verilog -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 50 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt verilog -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 50 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt verilog -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 50 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt verilog -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 50 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt verilog -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 50 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt verilog -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 50 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt verilog -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 50 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE diff --git a/cpld/XC95144XL/WarpSE.gise b/cpld/XC95144XL/WarpSE.gise index 76f2cd9..02f5ed0 100644 --- a/cpld/XC95144XL/WarpSE.gise +++ b/cpld/XC95144XL/WarpSE.gise @@ -37,6 +37,7 @@ + @@ -78,16 +79,16 @@ - - + + + - - + @@ -95,36 +96,44 @@ - + - + - - - + - + - - + - + + + + + + + + + + + + diff --git a/cpld/XC95144XL/WarpSE.gyd b/cpld/XC95144XL/WarpSE.gyd index 1aad900..6a2529e 100644 --- a/cpld/XC95144XL/WarpSE.gyd +++ b/cpld/XC95144XL/WarpSE.gyd @@ -24,27 +24,24 @@ A_FSB<6> S:PIN3 A_FSB<7> S:PIN4 A_FSB<8> S:PIN6 A_FSB<9> S:PIN7 -SW<0> S:PIN61 -CLK2X_IOB S:PIN22 -CLK_FSB S:PIN27 -CLK_IOB S:PIN23 -E_IOB S:PIN25 -SW<1> S:PIN60 +C16M S:PIN22 +C8M S:PIN23 +E S:PIN25 +FCLK S:PIN27 nAS_FSB S:PIN32 nBERR_IOB S:PIN76 nBG_IOB S:PIN73 nDTACK_IOB S:PIN78 nIPL2 S:PIN92 nLDS_FSB S:PIN30 -nRES S:PIN91 nUDS_FSB S:PIN33 nVPA_IOB S:PIN77 nWE_FSB S:PIN29 RA<11> S:PIN63 RA<10> S:PIN55 -CLK20EN S:PIN59 -CLK25EN S:PIN58 -nBR_IOB S:PIN72 +nRES S:PIN91 +C25MEN S:PIN58 +C20MEN S:PIN59 RA<0> S:PIN53 RA<1> S:PIN50 RA<2> S:PIN43 @@ -55,18 +52,19 @@ RA<6> S:PIN46 RA<7> S:PIN52 RA<8> S:PIN54 RA<9> S:PIN56 +nAoutOE S:PIN87 +nBR_IOB S:PIN72 nDTACK_FSB S:PIN28 nAS_IOB S:PIN81 nDinLE S:PIN86 -nDoutOE S:PIN89 nLDS_IOB S:PIN79 nUDS_IOB S:PIN80 nVMA_IOB S:PIN74 +nBERR_FSB S:PIN70 nADoutLE0 S:PIN85 nADoutLE1 S:PIN82 -nAoutOE S:PIN87 -nBERR_FSB S:PIN70 nDinOE S:PIN90 +nDoutOE S:PIN89 nOE S:PIN37 nRAMLWE S:PIN65 nRAMUWE S:PIN66 @@ -80,43 +78,43 @@ nCAS S:PIN36 ;The remaining section of the .gyd file is for documentation purposes only. ;It shows where your internal equations were placed in the last successful fit. -PARTITION FB1_1 ram/RefRAS ram/BACTr iobm/RESrr iobm/RESrf - iobm/BERRrf fsb/ASrf cnt/RefCnt<1> RESr2 - RESr1 RESr0 IPL2r1 IPL2r0 - $OpTx$FX_DC$591 iobs/IOU1 iobs/IOL1 iobm/IOS_FSM_FFd1 - fsb/BERR1r cs/nOverlay1 -PARTITION FB2_7 iobs/IOACTr iobm/VPArr iobm/VPArf iobm/IOREQr - iobm/Er2 iobm/Er iobm/DTACKrr iobm/DTACKrf - iobm/BGr1 iobm/BGr0 iobm/BERRrr cnt/RefCnt<0> +PARTITION FB1_1 iobm/nASr iobm/VPArr iobm/VPArf iobm/RESrr + iobm/RESrf iobm/IOREQr iobm/Er2 iobm/Er + iobm/DTACKrr iobm/DTACKrf iobm/BERRrr iobm/BERRrf + cnt/IPL2r iobm/IOS_FSM_FFd1 iobm/BG iobs/IOU0 + iobs/IOL0 iobm/IOS_FSM_FFd3 +PARTITION FB2_7 ram/RegUrgentSync ram/RefUrgent ram/RefReqSync ram/RefReq + ram/RefRAS ram/BACTr iobs/IOACTr iobs/ALE0 + fsb/ASrf iobs/PS_FSM_FFd1 iobs/IOU1 iobs/IOL1 -PARTITION FB3_1 fsb/VPA EXP14_ EXP15_ fsb/Ready0r - EXP16_ EXP17_ EXP18_ EXP19_ - fsb/nDTACK EXP20_ EXP21_ fsb/BERR0r - cs/nOverlay0 EXP22_ fsb/Ready1r fsb/Ready2r - nROMWE_OBUF EXP23_ -PARTITION FB4_1 iobs/Clear1 nAoutOE_OBUF iobs/ALE0 cnt/RefCnt<7> - iobm/nDoutOE nDinOE_OBUF cnt/RefCnt<6> cnt/RefCnt<5> - cnt/RefCnt<4> cnt/RefCnt<3> nVPA_FSB_OBUF cnt/RefCnt<2> - iobs/PS_FSM_FFd1 cnt/RefDone iobs/IOU0 cnt/TimeoutA - iobs/IOReady ram/RS_FSM_FFd1 -PARTITION FB5_1 EXP24_ nROMCS_OBUF ram/RAMDIS2 iobs/IOL0 - ram/nCAS nOE_OBUF iobs/Once EXP25_ - RA_4_OBUF ram/Once RA_3_OBUF RA_5_OBUF - iobs/Load1 RA_2_OBUF RA_6_OBUF EXP26_ - EXP27_ ram/RASEL -PARTITION FB6_1 iobm/ETACK iobm/nVMA iobm/IOS_FSM_FFd3 iobm/ES<3> - iobm/ES<1> iobm/ES<0> iobm/ALE0 iobm/ES<4> +PARTITION FB3_1 EXP14_ fsb/VPA EXP15_ +PARTITION FB3_5 iobs/Clear1 fsb/Ready0r iobs/IOReady EXP16_ + fsb/nDTACK fsb/Ready1r EXP17_ iobs/IORW1 + EXP18_ EXP19_ iobs/IORW0 EXP20_ + nROMWE_OBUF EXP21_ +PARTITION FB4_1 cnt/nRESout cnt/AoutOE cnt/TimerTC cnt/Timer<0> + nDoutOE_OBUF nDinOE_OBUF cnt/PORS_FSM_FFd2 N0 + cnt/LTimer<0> cnt/Timer<3> nVPA_FSB_OBUF cnt/Timer<2> + cnt/Timer<1> cnt/RefUrgent cnt/RefReq cnt/LTimer<3> + cnt/LTimer<2> cnt/LTimer<1> +PARTITION FB5_1 ram/RASEL nROMCS_OBUF ram/RefDone cs/nOverlay1 + ram/nCAS nOE_OBUF ram/RS_FSM_FFd1 cs/nOverlay0 + RA_4_OBUF ram/RAMEN RA_3_OBUF RA_5_OBUF + ram/RS_FSM_FFd2 RA_2_OBUF RA_6_OBUF EXP22_ + ram/RAMReady EXP23_ +PARTITION FB6_1 iobm/ETACK iobm/nVMA iobm/DoutOE iobm/ALE0 + iobm/ES<3> iobm/ES<1> iobm/ES<0> iobm/ES<4> iobm/nLDS iobm/IOS_FSM_FFd2 iobm/nUDS iobm/nASout iobm/ES<2> nADoutLE1_OBUF nADoutLE0_OBUF iobm/IOACT iobm/nDinLE iobm/IOBERR -PARTITION FB7_1 EXP28_ RA_1_OBUF EXP29_ ram/RS_FSM_FFd3 - RA_7_OBUF RA_0_OBUF cnt/TimeoutBPre RA_8_OBUF - A_FSB_21_IBUF$BUF0 ram/RS_FSM_FFd2 RA_9_OBUF CLK25EN_OBUF - cnt/TimeoutB CLK20EN_OBUF$BUF0 ram/RAMReady EXP30_ - EXP31_ ram/RAMDIS1 -PARTITION FB8_1 EXP32_ A_FSB_19_IBUF$BUF0 EXP33_ iobs/PS_FSM_FFd2 - nRAS_OBUF nRAMLWE_OBUF RESDone nRAMUWE_OBUF - iobs/IOREQ EXP34_ EXP35_ nBERR_FSB_OBUF - EXP36_ iobs/IORW1 Park iobs/BERR - EXP37_ iobs/IORW0 +PARTITION FB7_1 cnt/LTimer<13> RA_1_OBUF cnt/LTimer<9> cnt/LTimer<8> + RA_7_OBUF RA_0_OBUF cnt/LTimer<7> RA_8_OBUF + A_FSB_21_IBUF$BUF0 cnt/LTimer<6> RA_9_OBUF N1 + cnt/LTimer<5> N1$BUF0 cnt/LTimer<4> cnt/LTimer<12> + cnt/LTimer<11> cnt/LTimer<10> +PARTITION FB8_1 cnt/PORS_FSM_FFd1 A_FSB_19_IBUF$BUF0 ram/RS_FSM_FFd3 +PARTITION FB8_5 nRAS_OBUF nRAMLWE_OBUF iobs/PS_FSM_FFd2 nRAMUWE_OBUF + EXP24_ iobs/Load1 EXP25_ iobs/nBERR_FSB + EXP26_ iobs/IOREQ cnt/nBR_IOB EXP27_ + iobs/Once EXP28_ diff --git a/cpld/XC95144XL/WarpSE.jed b/cpld/XC95144XL/WarpSE.jed new file mode 100644 index 0000000..4d5e8fe --- /dev/null +++ b/cpld/XC95144XL/WarpSE.jed @@ -0,0 +1,1714 @@ +Programmer Jedec Bit Map +Date Extracted: Mon Mar 20 23:54:20 2023 + +QF93312* +QP100* +QV0* +F0* +X0* +J0 0* +N VERSION P.20131013* +N DEVICE XC95144XL-10-TQ100* +N PPMAP 2 1* +N PPMAP 17 10* +N PPMAP 18 11* +N PPMAP 19 12* +N PPMAP 21 13* +N PPMAP 22 14* +N PPMAP 23 15* +N PPMAP 24 16* +N PPMAP 26 17* +N PPMAP 28 18* +N PPMAP 29 19* +N PPMAP 4 2* +N PPMAP 30 20* +N PPMAP 33 22* +N PPMAP 35 23* +N PPMAP 36 24* +N PPMAP 37 25* +N PPMAP 42 27* +N PPMAP 44 28* +N PPMAP 47 29* +N PPMAP 6 3* +N PPMAP 49 30* +N PPMAP 54 32* +N PPMAP 56 33* +N PPMAP 57 34* +N PPMAP 58 35* +N PPMAP 59 36* +N PPMAP 60 37* +N PPMAP 62 39* +N PPMAP 8 4* +N PPMAP 63 40* +N PPMAP 64 41* +N PPMAP 68 42* +N PPMAP 69 43* +N PPMAP 72 46* +N PPMAP 77 49* +N PPMAP 79 50* +N PPMAP 82 52* +N PPMAP 86 53* +N PPMAP 88 54* +N PPMAP 90 55* +N PPMAP 92 56* +N PPMAP 95 58* +N PPMAP 96 59* +N PPMAP 11 6* +N PPMAP 97 60* +N PPMAP 98 61* +N PPMAP 102 63* +N PPMAP 103 64* +N PPMAP 104 65* +N PPMAP 105 66* +N PPMAP 107 67* +N PPMAP 109 68* +N PPMAP 12 7* +N PPMAP 112 70* +N PPMAP 114 71* +N PPMAP 116 72* +N PPMAP 117 73* +N PPMAP 118 74* +N PPMAP 123 76* +N PPMAP 125 77* +N PPMAP 127 78* +N PPMAP 130 79* +N PPMAP 13 8* +N PPMAP 134 80* +N PPMAP 135 81* +N PPMAP 136 82* +N PPMAP 139 85* +N PPMAP 140 86* +N PPMAP 141 87* +N PPMAP 143 89* +N PPMAP 15 9* +N PPMAP 144 90* +N PPMAP 145 91* +N PPMAP 146 92* +N PPMAP 147 93* +N PPMAP 149 94* +N PPMAP 153 95* +N PPMAP 155 96* +N PPMAP 157 97* +N PPMAP 160 99* +L0000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0000064 00000000 00000000 00000000 00100100 00000000 00000000 00000000 00000000* +L0000128 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0000192 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0000256 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0000320 00000000 00000000 00000000 00000100 01011000 00000000 00000000 00000000* +L0000384 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0000448 00000000 00000000 00000000 00000000 00010000 00000000 00000000 00000000* +L0000512 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0000576 000000 000000 000000 000000 000000 000000 000000 000000* +L0000624 000000 000001 000000 000000 001010 000000 000000 000000* +L0000672 000000 000000 000000 000000 000000 000000 000000 000000* +L0000720 000000 000000 000100 000000 000000 000000 000000 000000* +L0000768 000000 000000 000000 000000 000000 000000 000000 000000* +L0000816 000000 000000 000000 000000 000000 000000 000000 000000* +L0000864 00000000 00000000 00000100 10100100 00000000 00000000 00000000 10000000* +L0000928 00000000 00000000 00000100 00000000 00000000 00010000 00000000 00000000* +L0000992 00000000 00000000 00010100 00000000 00000000 00000100 00000000 00000000* +L0001056 00000000 00000000 10110100 00000000 00000000 00000000 00000000 00000000* +L0001120 00000000 00000000 10100100 00000000 00000000 00000000 00000000 00000000* +L0001184 00000000 00000000 00000000 00000000 00000000 00000000 00010000 00000000* +L0001248 00000000 00000000 00101100 00000000 00011000 00000000 00000000 00000000* +L0001312 00000000 00000000 00001100 00000100 00001000 00000000 00000000 00000000* +L0001376 00000000 00000000 10101100 00000000 00110000 00000000 00000000 00000000* +L0001440 000000 000000 101010 000000 000000 000000 000000 000000* +L0001488 000000 000000 101001 001000 000000 000000 000000 000000* +L0001536 000000 000000 101001 000000 000000 000001 000000 000000* +L0001584 000000 000000 101001 000001 000000 000001 000000 000000* +L0001632 000010 000000 101001 000000 000000 000000 000000 000000* +L0001680 000000 000000 101001 000000 000000 000000 000000 000000* +L0001728 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0001792 00000010 00000000 00000000 00000000 00000000 00000000 00000000 10000000* +L0001856 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0001920 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0001984 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0002048 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0002112 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0002176 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0002240 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00110000* +L0002304 000000 000000 000000 000000 000000 000000 000000 000000* +L0002352 000000 000000 000000 000000 000000 000000 000000 000000* +L0002400 000000 000000 000000 000000 000000 000000 000000 000000* +L0002448 000000 000000 000000 000000 000000 000000 000000 000000* +L0002496 000000 000000 000000 000000 000000 000000 000000 000000* +L0002544 000000 000000 000000 000000 000000 000000 000000 000100* +L0002592 10000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* +L0002656 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* +L0002720 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* +L0002784 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* +L0002848 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* +L0002912 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* +L0002976 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* +L0003040 00000000 00000000 10000000 00000000 00000000 10000000 00000000 00000000* +L0003104 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* +L0003168 000000 000000 100000 000000 000000 000000 000000 000000* +L0003216 000000 000000 100001 000000 000000 000000 000000 000000* +L0003264 000000 000000 100000 000000 000000 000000 000000 000000* +L0003312 000000 000000 100000 000000 000000 000000 000000 000000* +L0003360 000000 000000 100000 000000 000000 000000 000000 000000* +L0003408 000000 000000 100000 000000 000000 000000 000000 000000* +L0003456 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* +L0003520 00000000 00000000 00000000 01001000 00000000 00000000 00000000 00000000* +L0003584 00000000 00000000 00000000 00011100 00000000 00000000 00000000 00000000* +L0003648 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* +L0003712 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0003776 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0003840 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* +L0003904 00000000 00000000 00000000 00000000 00000000 00000000 00100000 00000000* +L0003968 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0004032 000000 000000 000000 000000 000000 000000 000000 000000* +L0004080 000000 000000 000000 000000 000000 000000 000000 011000* +L0004128 000000 000000 000000 000000 000000 000000 000000 000000* +L0004176 000000 000000 000000 000100 000000 000000 000000 100000* +L0004224 000000 000000 000000 000000 000000 000000 000000 000000* +L0004272 000000 000000 000000 000000 000000 000000 000000 000000* +L0004320 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* +L0004384 00000000 00000000 00000000 00010000 00000000 00000000 00000000 10000000* +L0004448 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10001000* +L0004512 00000000 00000000 00100000 00000100 00000000 00000000 00000000 00001000* +L0004576 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00001000* +L0004640 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010000* +L0004704 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00110000* +L0004768 00000000 00000000 00000000 00000100 00000000 10000000 00000000 01110000* +L0004832 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00110000* +L0004896 000000 000000 000000 000000 000000 000000 000000 001100* +L0004944 000000 000000 000000 001000 100000 000000 001000 000001* +L0004992 000000 000000 000001 000100 000000 000000 001000 000001* +L0005040 000000 000000 000001 000001 000000 000000 000000 011011* +L0005088 000000 000000 000001 001001 000000 000000 000000 011011* +L0005136 000000 000000 000001 000000 000000 000000 000000 010111* +L0005184 00000010 00000000 00000100 00000000 00000000 00000000 00000000 00000000* +L0005248 00000010 00000000 10000100 01001000 00000000 00000000 00000000 00001000* +L0005312 00000010 00000000 10000100 00000000 00000000 00000000 00000000 00000000* +L0005376 00000011 00000000 10010100 00000000 00000000 00000000 00000000 00000000* +L0005440 00000010 00000000 10000000 00000000 00000000 00000000 00000000 00000100* +L0005504 00000001 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0005568 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0005632 00000010 00000000 00100000 00001000 00000000 00000000 00000000 01000000* +L0005696 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0005760 000000 000000 001100 000000 000000 000000 001000 000000* +L0005808 000000 000000 100000 000000 000000 000000 000000 000000* +L0005856 000000 000000 110000 100000 000000 000000 001000 011000* +L0005904 000000 000000 001000 000000 000000 000000 000000 000000* +L0005952 000000 000000 000000 000010 000000 000000 000000 000000* +L0006000 000000 000000 000000 000000 000000 000000 000000 100000* +L0006048 00000010 00000000 10000000 00000000 00000000 00000000 00000000 00000000* +L0006112 00000011 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0006176 00000000 00000000 00000000 00011000 00000000 00000000 00000000 10000000* +L0006240 00000001 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0006304 00000010 00000000 00001100 00000000 00000000 00010000 00100000 00000000* +L0006368 00000011 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0006432 00000000 00000000 00000100 00000000 00000000 00000100 00000000 01000000* +L0006496 00000000 00000000 10000100 00000000 00010000 00000000 00000000 00000000* +L0006560 00000000 00000000 00000100 00000000 00000000 00000000 00100000 00000000* +L0006624 000000 000000 000000 000000 000000 000001 000000 001100* +L0006672 000000 000000 011001 000000 000000 000000 000000 000000* +L0006720 000000 000000 001000 000000 000000 000010 000000 000000* +L0006768 000000 000000 100000 000100 000000 000000 000000 000000* +L0006816 000000 000000 101000 000000 000000 000000 000000 100000* +L0006864 000000 000000 101000 000000 000000 000000 000000 000010* +L0006912 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* +L0006976 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* +L0007040 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0007104 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* +L0007168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* +L0007232 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0007296 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01000000* +L0007360 00000000 00000000 00000000 00000000 00100000 00000000 00000000 00000000* +L0007424 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0007488 000000 000000 000000 000000 000000 000000 000000 000000* +L0007536 000000 000000 000000 000000 000000 000000 000000 011000* +L0007584 000000 000000 000000 000000 000000 000000 000000 011000* +L0007632 000000 000000 000000 000000 000000 000000 000000 000000* +L0007680 000000 000000 000000 000000 000000 000000 000000 000000* +L0007728 000000 000000 000000 000000 000000 000000 000000 100000* +L0007776 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0007840 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0007904 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* +L0007968 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0008032 00000000 00000000 00100000 00000000 00000000 00010000 00000000 00000000* +L0008096 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0008160 00000000 00000000 00000000 00000000 00000000 00000100 10000000 00000000* +L0008224 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01000000* +L0008288 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* +L0008352 000000 000000 000000 000000 000000 000001 000000 001101* +L0008400 000000 000000 000000 000000 000000 000000 000000 000000* +L0008448 000000 000000 000001 000000 000000 000010 000000 000000* +L0008496 000000 000000 000001 000000 000000 000000 000000 100000* +L0008544 000000 000000 000001 000000 000000 000000 000000 100000* +L0008592 000000 000000 000001 000000 000000 000000 000000 000010* +L0008640 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* +L0008704 00000000 00000000 10000000 00000000 00000000 01000100 00000000 00000000* +L0008768 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* +L0008832 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* +L0008896 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0008960 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0009024 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0009088 00000000 00000000 10100000 00000000 00000000 00000000 00000000 00010000* +L0009152 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0009216 000000 000000 000000 000000 000000 000000 000000 000000* +L0009264 000000 000000 010000 000000 000000 000000 000000 000000* +L0009312 000000 000000 010000 000000 000000 000000 000000 000000* +L0009360 000000 000000 000000 000000 000000 000000 000000 000000* +L0009408 000000 000000 000000 000000 000000 000000 000000 010001* +L0009456 000000 000000 000000 000000 000000 000000 000000 000000* +L0009504 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0009568 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0009632 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0009696 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0009760 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0009824 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0009888 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0009952 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0010016 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0010080 000000 000000 000000 000000 000000 000000 000000 000000* +L0010128 000000 000000 000000 000000 000000 000000 000000 000000* +L0010176 000000 000000 000000 000000 000000 000000 000000 000000* +L0010224 000000 000000 000000 000000 000000 000000 000000 000000* +L0010272 000000 000000 000000 000000 000000 000000 000000 000000* +L0010320 000000 000000 000000 000000 000000 000000 000000 000000* +L0010368 00000011 00000001 00000001 00000011 00000011 00000011 00000011 00000011* +L0010432 00000011 00000001 00010010 00000011 00100001 00000011 00000011 00000010* +L0010496 00000011 00000001 00110001 00000011 00010010 00100011 00000010 00000011* +L0010560 00000011 00000001 10010000 00000011 00010011 00000011 00000011 00000000* +L0010624 00000011 00000001 10000010 00000011 00100011 00000011 00000010 00000011* +L0010688 00000011 00000001 10000011 00000011 00000011 00000011 00000011 00000001* +L0010752 00000011 00000011 10100010 00000011 00000010 00000011 00000011 00000010* +L0010816 00000011 00000011 00000001 00000001 00000011 01100011 00000011 00000011* +L0010880 00000011 00000011 10100010 00000011 00000010 00000011 00000011 00000000* +L0010944 000000 000000 101000 000000 000000 000000 000000 000000* +L0010992 000000 000000 101001 000000 000000 000000 000000 000010* +L0011040 000000 000000 101000 000000 111000 000000 000000 000000* +L0011088 000000 000000 101000 000000 011000 000000 000000 000000* +L0011136 000000 000000 111000 000000 011000 000000 000000 000000* +L0011184 000000 000000 111000 000000 001000 000000 000000 000000* +L0011232 00000000 00000000 00100010 00000001 10101000 00100000 00100000 10000000* +L0011296 00000000 00000000 00000001 00000000 00001010 00000000 11000100 00000001* +L0011360 00000000 00000000 00000010 00000011 10101001 00001000 01000100 00000000* +L0011424 00000100 00000000 00100001 00000011 10100000 00000000 00000000 00000001* +L0011488 00000000 00000000 00000001 00000001 00000000 00000000 00000000 00000000* +L0011552 00000000 00100000 00000000 00000001 00000100 01100000 00000000 00000010* +L0011616 00000000 00000000 00000001 00000000 00000001 00000000 00000100 00000001* +L0011680 00000000 00000000 00000010 00000000 00000000 00000000 00000100 00000000* +L0011744 00000100 00000000 00000001 00000000 00000001 00000000 00000000 00000011* +L0011808 000000 000000 000000 000000 000000 000000 000000 000000* +L0011856 000000 000000 000000 000000 000000 000000 000000 000000* +L0011904 000000 000000 000001 000000 000000 000000 100001 000010* +L0011952 000000 000000 010000 000000 000000 010000 100001 000000* +L0012000 000000 000000 000001 000000 000000 000000 000000 000000* +L0012048 000000 000000 000000 000000 000000 000000 000000 000000* +L0012096 00010000 00000000 00000001 00000011 00000011 00100001 00000011 00000001* +L0012160 00000000 00000000 00000010 00000000 00000001 00000011 00000011 00000000* +L0012224 00000000 00000000 00001001 00000011 00000010 00001011 00000010 00000011* +L0012288 00000000 00000000 00000000 00000011 00000001 00000011 00000011 00000000* +L0012352 00000001 00000000 00000000 00000001 00000001 00000011 00000010 00000011* +L0012416 00000000 00000000 00000011 00000011 00100000 01100010 00000011 00000001* +L0012480 00000001 00000001 00000000 00000011 00100010 00000011 00000011 00000010* +L0012544 00000001 00000000 00000000 00000011 00000011 00000010 00000011 10000001* +L0012608 00000001 00000000 00000010 00000001 00000000 00000011 00000001 00000000* +L0012672 000000 000000 000000 000000 000000 000000 000000 000000* +L0012720 001000 000000 000000 000000 000000 000000 000000 000000* +L0012768 000000 000000 000000 000000 000000 000000 000000 100000* +L0012816 000000 000000 000000 000000 000000 010000 000000 000000* +L0012864 000000 000000 000000 000000 000000 000000 000000 000000* +L0012912 000000 000000 000000 000000 000000 000000 000000 000000* +L0012960 00000000 00000000 00000110 00000000 01000000 00000000 00000000 00000010* +L0013024 00000000 00000000 00000101 00000000 00000010 00100011 00000000 00000011* +L0013088 00000000 00000000 00000110 00000000 00000001 00000001 00000000 00000000* +L0013152 00000000 00000000 10110101 00000000 00000000 00000000 00000000 00000001* +L0013216 00000000 00000000 10100111 00000000 00000000 00000000 00000000 00000000* +L0013280 00000000 00000000 00000000 00000000 00000011 00000001 00000000 00000010* +L0013344 00000000 00000000 00000111 00000000 00000001 01100000 01000000 00000001* +L0013408 00000000 00000000 00000111 00000010 00000000 00000001 00000000 00000010* +L0013472 00000000 00000000 00100101 00000000 00000011 00000010 00000010 00000011* +L0013536 000000 000000 001000 000000 000000 000000 000000 000000* +L0013584 000000 000000 101001 000000 000000 000000 000000 000000* +L0013632 000000 000000 101001 000000 000000 000000 000000 000000* +L0013680 000000 000000 101001 000000 000000 000000 000000 000000* +L0013728 000000 000000 101001 000000 000000 000000 000000 000000* +L0013776 000000 000000 101001 000000 000000 000000 000000 000000* +L0013824 00000000 00000000 00000001 00000001 00000011 00000001 00000001 00000001* +L0013888 00000000 00000000 00000010 00000000 00000000 00000011 00000000 00000000* +L0013952 00000000 00000000 00000001 00000001 00000000 00000001 00000010 00000011* +L0014016 00000000 00000000 00000000 00000001 00000001 00000001 00000011 00000000* +L0014080 00000000 00000000 00000000 00000001 00000000 00000010 00000000 00000011* +L0014144 00000000 00000000 00000001 00010001 00000000 00000010 00000001 00100000* +L0014208 00000000 00000000 00000000 00000001 00000010 00000011 00000011 01000010* +L0014272 00000000 00000000 00000000 00000001 00000011 00000010 00000011 01000001* +L0014336 00000001 00000000 00000000 00000001 00000000 00000011 00000001 01000000* +L0014400 000000 000000 000000 000000 000000 000000 000000 000000* +L0014448 000000 000010 000000 010000 000000 000000 000000 000000* +L0014496 000000 000000 000000 010000 000000 000000 000000 000000* +L0014544 000000 000000 000000 000000 000000 000000 000000 000100* +L0014592 000000 000000 000000 000000 000000 000000 000000 000000* +L0014640 000000 000000 000000 000000 000000 000000 000000 000000* +L0014688 00000000 00000000 00000010 00000000 00000000 00000000 00000000 00000010* +L0014752 00000000 00000000 00000001 00000000 00000011 00000000 11111100 00000011* +L0014816 00000000 00000000 00100010 00000000 00000011 00000000 01111100 00000000* +L0014880 00000000 00000000 00000001 00000000 00000000 00010000 00000000 00010001* +L0014944 00000000 00000000 00000001 00000000 00000001 00000100 00000000 00100000* +L0015008 00000000 00000000 00100010 00000000 00000011 00000000 00000000 00000111* +L0015072 00000000 00000000 00100011 00000000 00000001 00000000 00000100 00000001* +L0015136 00000000 00000000 00100011 00000000 00000010 00000001 00000100 00000010* +L0015200 00000000 00000000 00100011 00000000 00000011 00000100 00000000 00000011* +L0015264 000000 000000 001000 000000 000000 000000 000000 100010* +L0015312 000000 000100 001000 000000 100000 000000 000000 000100* +L0015360 000000 000000 001000 000000 100000 000000 100011 000000* +L0015408 000000 000000 000000 000000 000000 000000 100011 000000* +L0015456 000000 000000 000000 000000 000000 000000 000000 000000* +L0015504 000000 000000 000000 000000 000000 000001 000000 000000* +L0015552 00000000 00000000 00000001 00000000 00000111 00000001 00000011 00000001* +L0015616 00000000 00000000 00010010 00000000 00000100 00000001 00000000 00000000* +L0015680 00000000 00000000 00110001 00000000 00010000 00000000 00000010 00000011* +L0015744 00000000 00000000 10010000 00000000 00001011 00000001 00000011 00000000* +L0015808 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000001* +L0015872 00000001 00000000 10000001 00000001 10000000 00000000 00000001 00000000* +L0015936 00000001 00000000 10100000 00001001 10000010 00000001 00000011 00000010* +L0016000 00000001 00000001 00000000 00000001 10000000 00000010 00000011 00000001* +L0016064 00000000 00000001 10100000 00000011 00000000 00000011 00000001 00000000* +L0016128 000000 000000 101000 000000 000000 000000 000000 000000* +L0016176 000000 000000 101001 000000 000001 000000 000000 000000* +L0016224 000001 000000 101000 000010 000010 000000 000000 100000* +L0016272 000001 000000 101000 000010 000010 000000 000000 000000* +L0016320 000000 000000 101000 000000 000000 000000 000000 000000* +L0016368 000000 000000 101000 000000 000000 000000 000000 000000* +L0016416 00000000 00000000 00000010 00000000 00000000 00000000 00000011 00000000* +L0016480 00000000 00000000 00000001 00010000 00000011 00000000 00000000 00000011* +L0016544 00000000 00000000 00000010 00000000 00000011 00000000 00000010 00000000* +L0016608 00000000 00000000 00000001 00000000 00000010 00010000 00000011 00000001* +L0016672 00000000 00000000 00100001 00000000 00000000 00000100 00000000 00000010* +L0016736 00000001 00000000 00000010 00000000 00000011 00000000 00100001 00100011* +L0016800 00001001 00000000 00000011 00000001 00000001 00000000 00100011 01000001* +L0016864 00000001 00000001 00000011 00001001 00000011 00000001 00100001 01000010* +L0016928 00000000 00000101 00000011 00000011 00000011 00000100 00100001 01000011* +L0016992 000000 000000 000000 000000 000000 000000 001000 000000* +L0017040 000001 000000 000000 000000 000000 000000 000000 000000* +L0017088 000000 000000 000000 100000 000000 000000 000000 000000* +L0017136 000000 000000 000001 000000 000000 000000 000000 000000* +L0017184 000000 000001 000000 000010 000000 000000 000000 000000* +L0017232 000000 000000 000001 000000 000000 000001 000000 000000* +L0017280 00000000 00000000 00000000 00000000 00000011 00000001 00000000 00000001* +L0017344 00000000 00000000 00000010 00000000 00000000 00000000 00000000 00000000* +L0017408 00000000 00000000 00000001 00000000 00000000 00000000 00000000 00000010* +L0017472 00000000 00000000 00000000 00000000 00000001 00000001 00000000 00000000* +L0017536 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000001* +L0017600 00000000 00000000 00000001 00000000 00000000 00000000 00000000 00000000* +L0017664 00000000 00000000 00000000 00000000 00000010 00000001 00000000 00000010* +L0017728 00000000 00000000 00000000 00000000 00000000 00000000 00000010 00000001* +L0017792 00000000 00000000 00000000 00000000 00000000 00000001 00000000 00000000* +L0017856 000000 000000 000000 000000 000000 000000 000000 000000* +L0017904 000000 000000 000000 000000 000000 000000 000000 000000* +L0017952 000000 000000 000000 000000 000000 000000 000000 000000* +L0018000 000000 000000 000000 000000 000000 000000 000000 000000* +L0018048 000000 000000 000000 000000 000000 000000 000000 000000* +L0018096 000000 000000 000000 000000 000000 000000 000000 000000* +L0018144 00000000 00000000 00000011 00000000 00000000 00000000 00000000 00000000* +L0018208 00000000 00000000 00000001 00000000 00000010 00000000 00000000 00000011* +L0018272 00000000 00000000 00000010 00000000 00000001 00010000 00000000 00000001* +L0018336 00000000 00000000 00100001 00000000 00000000 00000100 00000000 00000001* +L0018400 00000000 00000000 00100001 00000000 00000000 00000000 00000000 00000000* +L0018464 00000000 00000000 00000010 00000000 00000001 00000000 00000000 01000011* +L0018528 00000000 00000000 00000011 00000000 00000001 00000000 00000010 00000001* +L0018592 00000000 00000000 00000010 00000000 00000001 00000101 00000000 00000010* +L0018656 00000000 00000000 00000011 00000000 00010011 00000000 00000000 00000001* +L0018720 000000 000000 000000 000000 000000 000000 000000 000000* +L0018768 000000 000000 000000 000000 000000 000000 000000 000000* +L0018816 000000 000000 000001 000000 000000 000000 000000 000000* +L0018864 000000 000000 000001 000000 000000 000000 000000 000000* +L0018912 000000 000000 000001 000000 000000 000001 000000 000000* +L0018960 000000 000000 000001 000000 000000 000000 000000 000000* +L0019008 10000010 00000000 00000001 00000000 00000010 00000000 00000000 00000001* +L0019072 00000000 00000000 00000000 00000001 00000010 00000001 00000000 00000000* +L0019136 00000000 00000001 00000001 00000000 00000000 00000000 00000001 00000010* +L0019200 00000000 00000000 00000000 00000000 00000001 00000011 00000000 00000000* +L0019264 00000000 00000000 00000000 00000010 00000000 00000001 00000001 00000011* +L0019328 00000000 00000000 00010010 00000000 00000010 00000010 00000000 00000010* +L0019392 00000000 00000000 00000000 00000000 00000000 00000011 00000000 00000010* +L0019456 00000000 00000000 00000001 00001000 00000000 00000000 00000000 00000011* +L0019520 00000000 00000000 00000000 00000011 00000000 00000010 00000000 00000000* +L0019584 000000 000000 000000 000000 000000 000000 000000 000000* +L0019632 000000 000000 000010 000000 000000 000000 000000 000100* +L0019680 000000 000000 000000 100000 000000 000000 000000 000100* +L0019728 000000 000000 000000 000000 000000 000000 000000 000000* +L0019776 000000 000000 000000 000010 000000 000000 000000 000000* +L0019824 000000 000000 000100 000000 000000 000000 000000 000000* +L0019872 00000000 00000000 00000001 00000000 00000011 00000000 00000000 00000001* +L0019936 00000000 00000000 00000010 00000000 00000000 00000000 00000000 00000000* +L0020000 00000000 00000000 00000001 00010000 00000101 00010000 00000000 00000010* +L0020064 00000000 00000000 00000000 00000000 00010101 00000100 00000000 00000000* +L0020128 00000000 00000000 00000000 00000000 00001100 00000000 00000000 00000001* +L0020192 00000000 00000000 00000011 00000000 00000001 00000000 00000000 00000010* +L0020256 00000000 00000000 00000010 00001000 00000110 00000001 00000000 00000010* +L0020320 00000000 00000000 00000000 00000000 00000001 00000100 00000010 00000001* +L0020384 00000000 00000000 00000010 00000000 00000010 00000001 00000000 00000000* +L0020448 000000 000000 000000 000000 000000 000000 000000 000000* +L0020496 000000 000000 000000 000000 000000 000000 000000 000000* +L0020544 000000 000000 000010 000100 000001 000000 000000 000000* +L0020592 000000 000000 000000 000010 000001 000000 000000 000100* +L0020640 000000 000000 000100 000000 000001 000001 000000 000100* +L0020688 000000 000000 000000 000000 000001 000000 000000 000000* +L0020736 00000000 00000000 00000000 00000000 00000110 10001000 00000000 10000001* +L0020800 00000000 00000000 00000010 00000000 00000110 00101000 00000000 00000000* +L0020864 00000000 00000000 00000001 00001000 00000100 00100000 00000000 00000000* +L0020928 00000000 00000000 00000000 00000000 00001100 00000000 00000000 00000000* +L0020992 00000000 00000000 00000000 00000000 10111100 00001000 00000000 00000001* +L0021056 00000000 00000000 00000001 00000000 10000100 10000000 00000000 00000000* +L0021120 00000000 00000000 00000000 00000000 10000100 00000000 00000000 00000010* +L0021184 00000000 00000000 00000000 00001000 10000100 00000000 00000010 00000001* +L0021248 00000000 00000000 00000000 00000000 10000100 00100000 00000000 00000000* +L0021312 000000 000000 000000 000000 100001 000000 000000 000000* +L0021360 000000 000000 000000 000000 000101 000000 000000 000000* +L0021408 000000 000000 000000 100000 010111 000000 000000 000000* +L0021456 000001 000000 010000 000000 010111 000000 000000 000000* +L0021504 000000 000000 010000 000010 010111 000000 000000 000000* +L0021552 000000 000000 010000 000000 000111 000000 000000 000000* +L0021600 00000000 00000000 00000000 00000000 10111000 00000000 00001000 00000010* +L0021664 00000000 00000100 00000000 00001000 10000010 00000000 11110100 00000001* +L0021728 00000000 00000000 00000010 00010000 00000010 00000000 01110100 00000000* +L0021792 00000000 00000000 00000001 00000000 00000000 00001000 00000000 00000000* +L0021856 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0021920 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000001* +L0021984 00000000 00000000 00000001 00001000 00000000 01100000 00000100 00000000* +L0022048 00000000 00000000 00000001 00000000 00000000 11100000 00000100 00000010* +L0022112 00000000 00000000 00000000 00000000 00000000 00000000 00000010 00000001* +L0022176 000000 000000 000000 000000 000000 000000 000000 000000* +L0022224 000000 000000 000000 000000 000000 000000 000000 000000* +L0022272 000000 000000 000000 000010 100000 000000 100001 000010* +L0022320 000000 000000 000000 000100 000000 000000 100001 000000* +L0022368 000000 000000 000000 000000 000000 000000 000000 000000* +L0022416 000000 000000 000000 000000 000000 000000 000000 000000* +L0022464 00000011 00000001 00000001 00000011 00000011 00010011 00000011 00000011* +L0022528 00000011 00000001 00000010 00000011 00000011 00000011 00000011 00010110* +L0022592 00000011 00000001 00000001 00000011 00000011 00000011 00000011 00000111* +L0022656 00000011 00000001 00000000 00000011 00000011 00000011 00000011 00000000* +L0022720 00000011 00000001 00000010 00000011 00000011 00000011 00000011 00000011* +L0022784 00000011 00000001 01000011 00000011 00000011 00000011 00000011 00000011* +L0022848 00000011 00000011 00000010 00000011 00000010 00000011 00000011 00000010* +L0022912 00000011 00000011 00000001 00000011 00000011 00000011 00000011 00000011* +L0022976 00000011 00000011 00000010 00000011 00000010 00010011 00000011 00000000* +L0023040 000000 000000 000000 000000 000000 000000 000000 000000* +L0023088 000000 000000 001011 000000 000000 000001 000000 000000* +L0023136 000000 000000 001110 000000 000000 000000 000000 000000* +L0023184 000000 000000 100000 000000 000000 000000 000000 000000* +L0023232 000000 000000 101000 000000 000000 001000 000000 000000* +L0023280 000000 000000 101000 000000 000000 000000 000000 000000* +L0023328 00000000 00100000 00000100 00000000 00000000 01000100 00000000 00001000* +L0023392 00000000 00000000 00000100 00000000 00000000 00010000 00000000 00001000* +L0023456 00000000 00000000 00000100 00000000 00000000 00010100 00000000 00101000* +L0023520 00000000 00000000 00000100 00000000 00000000 00010100 00000000 00101000* +L0023584 00000000 00000000 00000100 00000000 00000000 00010100 00000000 00101000* +L0023648 00000000 00000000 00001000 00000000 00000000 00010100 00000000 00000000* +L0023712 00000000 00000000 00001100 00000000 00000000 00000100 00010000 00100000* +L0023776 00000000 00000000 00001100 00000000 00000000 00000100 00000000 00101000* +L0023840 00000000 00000000 00001100 00000000 00000000 00000100 00000000 00101000* +L0023904 000000 000000 000010 000000 000000 000001 000000 001010* +L0023952 010000 000000 000000 000000 010000 001100 000000 010000* +L0024000 000000 000000 000000 000000 000000 000011 000000 010100* +L0024048 000000 000000 010010 000000 000000 000001 000000 010110* +L0024096 000000 000000 010010 000000 000000 000001 000000 010110* +L0024144 000000 000000 010010 000000 000000 000001 000000 010110* +L0024192 00000000 00000000 00110000 00000000 00000000 00000000 00000000 00000000* +L0024256 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0024320 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0024384 00000000 00000000 00000000 00000000 01000000 00000000 00000000 00000000* +L0024448 00000000 00000000 00000000 00000000 10010000 00000000 00000000 00000000* +L0024512 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0024576 00000000 00000000 01010000 00000000 00000000 00000000 00000000 00000000* +L0024640 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* +L0024704 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* +L0024768 000000 000000 000000 000000 000000 000000 000000 000000* +L0024816 000000 000000 000000 000000 000100 000000 000000 000000* +L0024864 000000 000000 000000 000000 000000 000000 000000 000010* +L0024912 000000 000000 000000 000000 000000 000000 000000 000000* +L0024960 000000 000000 000000 000000 000000 001000 000000 000000* +L0025008 000000 000000 000000 000000 000000 000000 000000 000000* +L0025056 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* +L0025120 00000000 00000000 00000100 00000000 00000000 00000000 11111100 00000000* +L0025184 00000000 00000000 10000000 00000000 00001000 00000000 01111100 00000000* +L0025248 00000000 00000000 00000100 00000000 10100000 00000000 00000000 00000000* +L0025312 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0025376 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* +L0025440 00000000 00000000 10000100 00000000 00100000 00000000 00000100 00000000* +L0025504 00000000 00000000 00001000 00000000 00000000 00000000 00000100 00000000* +L0025568 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* +L0025632 000000 000000 100010 000000 100001 000000 000000 000000* +L0025680 000000 001000 100001 010000 000000 100000 000010 000010* +L0025728 000000 000000 100000 000000 010000 100000 100001 000000* +L0025776 000001 000000 000000 000000 001100 000000 100001 000000* +L0025824 000000 000000 000011 000000 010000 000000 000000 000000* +L0025872 000000 000000 110001 000000 001100 000000 000000 000000* +L0025920 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* +L0025984 00000000 00000000 00000100 00000011 00000011 00000000 00000011 00000010* +L0026048 00000000 00000000 00000100 00000000 00000001 00000000 00000001 00000001* +L0026112 00000100 00000000 00000100 00000000 00000000 00000000 00000000 00000000* +L0026176 00000000 00000000 00000100 00000010 00000011 00000001 00000011 00000010* +L0026240 00000000 00000000 01001000 00000010 00000011 00000001 00000010 00000011* +L0026304 00000000 00000000 00001100 00000000 00000000 00000000 00000000 00000000* +L0026368 00000000 00000000 00011101 00000000 00000000 00000001 00000010 00000010* +L0026432 00000100 00000000 00001110 00000000 00000010 00000000 00000010 00000000* +L0026496 000000 000000 000010 000000 000000 000000 000000 000000* +L0026544 000000 000000 000010 000000 000000 000000 000000 000000* +L0026592 000000 000000 000010 000000 000000 000000 000000 000000* +L0026640 000000 000000 000010 000000 000000 000000 000000 000000* +L0026688 000000 000000 000010 000000 000000 000000 000000 000000* +L0026736 000000 000000 000010 000000 000000 000000 000000 000000* +L0026784 00000000 00001000 00000000 00000000 00000000 00000000 00000000 00000000* +L0026848 00000000 00000000 00000000 00000000 00000000 00000000 11111100 00000000* +L0026912 00000000 00000000 00000000 00000000 00000000 00000000 01111100 00000000* +L0026976 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0027040 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0027104 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* +L0027168 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* +L0027232 00000000 00000000 00000000 00000000 00000000 00010000 00000100 00000000* +L0027296 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* +L0027360 000000 000000 000000 000000 000000 000000 000000 000000* +L0027408 000000 000000 000000 000000 000000 000000 000000 000000* +L0027456 000000 000000 000000 000000 000000 000000 100011 000000* +L0027504 000000 000000 000000 000000 000000 000000 100011 000000* +L0027552 000000 000000 000000 000000 000000 000000 000000 000000* +L0027600 000000 000000 000000 000000 000000 000000 000000 000000* +L0027648 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000100* +L0027712 00000000 00000000 10000000 00000001 00000011 00000000 00000011 10000110* +L0027776 00000000 00000000 10000000 00000000 00000001 00000000 00000001 10000100* +L0027840 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* +L0027904 00000000 00000000 00000000 00000010 00000001 00000000 00000011 00000110* +L0027968 00000000 00000000 00100000 00000010 00000011 00000001 00000010 00000010* +L0028032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* +L0028096 00000000 00000000 10100001 00000010 00000000 00000000 00000010 00000110* +L0028160 00000000 00000000 00000000 00000000 00000010 00000000 00000010 00000100* +L0028224 000000 000000 000000 000000 000000 000000 000000 000001* +L0028272 000000 000000 010000 000000 000000 000000 000000 000001* +L0028320 000000 000000 010000 000000 000000 000000 000000 000001* +L0028368 000000 000000 000000 000000 000000 000000 000000 000001* +L0028416 000000 000000 000000 000000 000000 000000 000000 000001* +L0028464 000000 000000 000000 000000 000000 000000 000000 000001* +L0028512 00000000 00000001 00000001 01011001 00000011 00000000 00000000 00010001* +L0028576 00000100 00000001 00000010 00000000 00000000 00000000 11111100 00000000* +L0028640 00000000 00000001 00000001 00000011 00000010 00000000 01111100 00100011* +L0028704 00000000 00000001 00000000 00000011 00000011 00000000 00000000 00000000* +L0028768 00000000 00000001 00000010 00000001 00000010 00000001 00000000 00000001* +L0028832 00000000 00000001 00000011 00001001 00010000 00000000 00000000 00000000* +L0028896 00000001 00000011 00000010 00000000 00000010 00000000 00000100 00000010* +L0028960 00000001 00000011 00000000 00000000 00000011 00000000 00000100 00001001* +L0029024 00000000 00000011 00000010 00000000 00000000 00000000 00000000 00000000* +L0029088 000000 000000 000000 000000 000000 000000 000000 000000* +L0029136 000000 000000 000000 100110 000000 001000 000000 000000* +L0029184 000000 000000 000000 000000 000000 000000 100011 000100* +L0029232 000000 000000 000000 000000 000000 001000 100011 000100* +L0029280 000000 000000 000000 000000 000000 001000 000000 000100* +L0029328 000000 000000 000000 000000 000000 000000 000000 000000* +L0029376 00000011 00000000 00000000 00000001 00000000 00000011 00000000 00000000* +L0029440 00000011 00000000 00000000 00000000 00000000 01000111 00000000 00000000* +L0029504 00000011 00000000 00000000 00000011 00000000 00000011 00000000 00000000* +L0029568 00000010 00000000 00000000 00000011 00000000 00000011 00000000 00000000* +L0029632 00000011 00000000 00000000 00000001 00000000 00000010 00000000 00000000* +L0029696 00000011 00000000 00000000 00000001 00000000 00000010 00000000 00000000* +L0029760 00000010 00000000 00000000 00000000 00000000 00000011 00000000 00000000* +L0029824 00000000 00000000 00000000 00000000 00000000 00010011 00000000 00000000* +L0029888 00000011 00000000 00000000 00000000 00000000 00000011 00000000 00000000* +L0029952 000000 000000 000000 000000 000000 000000 000000 000000* +L0030000 000000 000000 000000 000000 000000 000000 000000 000000* +L0030048 000000 000000 000000 000000 000000 000000 000000 000100* +L0030096 000000 000000 000000 000000 000000 001100 000000 000000* +L0030144 000000 000000 010000 000000 000000 000000 000000 000000* +L0030192 000000 000000 010000 000000 000000 000000 000000 000000* +L0030240 00000001 00000000 00000000 00000010 00000000 00010000 00000011 00000010* +L0030304 00000000 00000000 00000000 00000010 00000000 00010001 11111100 00000000* +L0030368 00000011 00000000 00000000 00000000 00000000 00010101 01111110 00000000* +L0030432 00000001 00000000 00000000 00000000 00000000 00010100 00000011 00000000* +L0030496 00000010 00000000 00000000 00000000 00000010 00010100 00000000 00000000* +L0030560 00000010 00000001 00000000 00000000 00000000 00000000 00000001 00000001* +L0030624 00000100 00000000 00000000 00000011 00000000 00000100 00000111 00000000* +L0030688 00000010 00000000 00000000 00000001 00000000 00000101 00000101 00000000* +L0030752 00000000 00000000 00000000 00000011 00000000 00010110 00000001 00000000* +L0030816 000000 000000 000000 000000 000000 000001 000000 000000* +L0030864 000000 000000 000000 000000 000000 000001 000000 000000* +L0030912 000000 000000 000000 000000 000000 100011 100011 000000* +L0030960 000000 000000 010000 000000 000000 000001 100011 000100* +L0031008 000000 000000 000000 000000 000000 001001 000000 000100* +L0031056 000000 000000 000000 000000 000000 000001 000000 000000* +L0031104 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0031168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0031232 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0031296 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0031360 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0031424 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0031488 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0031552 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0031616 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0031680 000000 000000 000000 000000 000000 000000 000000 000000* +L0031728 000000 000000 000000 000000 000000 000000 000000 000000* +L0031776 000000 000000 000000 000000 000000 000000 000000 000000* +L0031824 000000 000000 000000 000000 000000 000000 000000 000000* +L0031872 000000 000000 000000 000000 000000 000000 000000 000000* +L0031920 000000 000000 000000 000000 000000 000000 000000 000000* +L0031968 00000000 00000000 00000000 00000000 00000000 00000000 00000011 00000000* +L0032032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0032096 00000000 00000000 00000000 00000000 00000000 00000000 00000010 00000000* +L0032160 00000000 00000000 00000000 00000000 00000010 00000000 00000011 00000000* +L0032224 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0032288 00000001 00000000 00000000 00000000 00000000 00000000 00000001 00000000* +L0032352 00000001 00000000 00000000 00000001 00000000 00000000 00000011 00000000* +L0032416 00000001 00000001 00000000 00000001 00000000 00000000 00000001 00000000* +L0032480 00000000 00000001 00000000 00000011 00000000 00000000 00000001 00000000* +L0032544 000000 000000 000000 000000 000000 000000 000000 000000* +L0032592 000000 000000 000000 000000 000000 000000 000000 000000* +L0032640 000000 000000 000000 000000 000000 000000 000000 000000* +L0032688 000000 000000 000000 000000 000000 000000 000000 000000* +L0032736 000000 000000 000000 000000 000000 000000 000000 000000* +L0032784 000000 000000 000000 000000 000000 000000 000000 000000* +L0032832 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0032896 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0032960 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0033024 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0033088 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0033152 00000000 00000000 00000000 00000000 00100000 00000000 00000000 00000000* +L0033216 00000000 00000000 00000000 00000000 00100000 00000000 00000000 00000000* +L0033280 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0033344 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* +L0033408 000000 000000 000000 000000 000000 000000 000000 100000* +L0033456 000000 000000 000000 000000 000000 000000 000000 000000* +L0033504 000000 000000 000000 000000 000000 000000 000000 100000* +L0033552 000000 000000 000000 000000 100000 000000 000000 100000* +L0033600 000000 000000 000000 000000 100000 000000 000000 100000* +L0033648 000000 000000 000000 000000 000000 000000 000000 100000* +L0033696 00000000 00000000 00000000 00000001 00000000 00000001 00000111 00000010* +L0033760 00000000 00000000 00000000 00000000 00000000 00000010 10000000 00000000* +L0033824 00000000 00000000 00000001 00000001 00000000 00000000 00000010 00000011* +L0033888 00000000 00000000 00000000 00000000 00000000 00000000 00000011 00000000* +L0033952 00000000 00000000 00000000 00000000 00000000 00000010 00000000 00000000* +L0034016 00000000 00010000 00000001 00000000 00000000 00000000 00000001 00000001* +L0034080 00000000 00000000 00000010 00000001 00000000 00000010 00000011 10000000* +L0034144 00000000 00000000 00000000 00000001 00000010 00000010 00000001 00000000* +L0034208 00000000 00000000 00000000 00000010 00000000 00000001 00000001 00000000* +L0034272 000000 000000 000000 000000 000000 000000 000000 000000* +L0034320 000000 000000 000000 000000 000000 000000 000000 100000* +L0034368 000000 000000 000000 010000 000000 000000 000000 000000* +L0034416 000000 000000 000000 000000 000000 000000 000000 000000* +L0034464 000000 000000 000000 000000 000000 000000 000000 000000* +L0034512 000000 000000 000000 000000 000000 000000 000000 000000* +L0034560 00001000 00000000 00000000 00100000 00000000 00000000 00000000 10000000* +L0034624 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0034688 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0034752 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0034816 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0034880 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0034944 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0035008 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0035072 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0035136 000000 000000 000000 000000 000000 000000 000000 000000* +L0035184 000000 000000 000000 000000 000000 000000 000000 000010* +L0035232 000000 000000 000000 000000 000000 000000 000000 000010* +L0035280 000000 000000 000000 000000 000000 000000 000000 000000* +L0035328 000000 000000 000000 000000 000000 000000 000000 000000* +L0035376 000000 000000 000000 000000 000000 000000 000000 000000* +L0035424 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* +L0035488 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* +L0035552 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0035616 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0035680 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0035744 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* +L0035808 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0035872 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0035936 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0036000 000000 000000 000000 000000 000000 000000 000000 000000* +L0036048 000000 000000 000000 000000 000000 000000 000000 000000* +L0036096 000000 000000 000000 000000 000000 000000 000000 000000* +L0036144 000000 000000 000000 000000 000000 000000 000000 000000* +L0036192 000000 000000 000000 000000 000000 000000 000000 000000* +L0036240 000000 000000 000000 000000 000000 000000 000000 000000* +L0036288 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* +L0036352 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0036416 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* +L0036480 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0036544 00000000 00000000 00000000 00000000 00000000 00000001 00000000 00000000* +L0036608 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0036672 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0036736 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0036800 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0036864 000000 000000 000000 000000 000000 000000 000000 000000* +L0036912 000000 000000 000000 000000 000000 000000 000000 000001* +L0036960 000000 000000 000000 000000 000000 000000 000000 000000* +L0037008 000000 000000 000000 000000 000000 000000 000000 011000* +L0037056 000000 000000 000000 000000 000000 000000 000000 000000* +L0037104 000000 000000 000000 000000 000000 000000 000000 000000* +L0037152 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* +L0037216 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* +L0037280 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* +L0037344 00000000 00000000 10110100 00000000 00000000 00000000 00000000 00000000* +L0037408 00000000 00000000 10100100 00000000 00000000 00000000 00000000 00000000* +L0037472 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* +L0037536 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* +L0037600 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* +L0037664 00000000 00000000 00100100 00000000 00000000 00000000 00000000 00000000* +L0037728 000000 000000 001000 000000 000000 000000 000000 000000* +L0037776 000000 000000 101001 000000 000000 000000 000000 000000* +L0037824 000000 000000 101001 000000 000000 000000 000000 000000* +L0037872 000000 000000 101001 000000 000000 000000 000000 000000* +L0037920 000000 000000 101001 000000 000000 000000 000000 000000* +L0037968 000000 000000 101001 000000 000000 000000 000000 000000* +L0038016 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0038080 00000000 00000000 00000000 00000011 00000011 00000011 00000011 00000010* +L0038144 00000000 00000000 00000000 00000000 00000001 00000001 00000001 00000001* +L0038208 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0038272 00000000 00000000 00000000 00000010 00000011 00000001 00000011 00000010* +L0038336 00000000 00000000 00000000 00000010 00000011 00000001 00000010 00000011* +L0038400 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0038464 00000000 00000000 00000001 00000010 00000000 00000001 00000010 00000010* +L0038528 00000000 00000000 00000010 00000000 00000010 00000010 00000010 00000000* +L0038592 000000 000000 000000 000000 000000 000000 000000 000000* +L0038640 000000 000000 000000 000000 000000 000000 000000 000000* +L0038688 000000 000000 000000 000000 000000 000000 000000 000000* +L0038736 000000 000000 000000 000000 000000 000000 000000 000000* +L0038784 000000 000000 000000 000000 000000 000000 000000 000000* +L0038832 000000 000000 000000 000000 000000 000000 000000 000000* +L0038880 00000011 00000001 00000011 00000011 00000011 00000011 00000011 00000011* +L0038944 00000011 00000001 00000011 00000011 00000011 00000011 00000011 00000011* +L0039008 00000011 00000001 00000011 00000011 00000011 00000011 00000010 00000011* +L0039072 00000011 00000001 00100001 00000011 00000011 00000011 00000011 00000001* +L0039136 00000011 00000001 00100011 00000011 00000011 00000011 00000010 00000011* +L0039200 00000011 00000001 00000011 00000011 00001011 00000011 00000011 00000011* +L0039264 00000011 00000011 00000011 00000011 00000011 00000011 00000011 00000011* +L0039328 00000011 00000011 00000011 00000001 00000011 00000011 00000011 00000011* +L0039392 00000011 00000011 00000011 00000011 00000011 00000011 00000011 00000011* +L0039456 000000 000000 000000 000000 000000 000000 000000 000000* +L0039504 000000 000000 000000 000000 000000 000000 000000 000000* +L0039552 000000 000000 000001 000000 000000 000000 000000 000000* +L0039600 000000 000000 000001 000000 000000 000000 000000 000000* +L0039648 000000 000000 000001 000000 000000 000000 000000 000000* +L0039696 000000 000000 000001 000000 000000 000000 000000 000000* +L0039744 00000000 00000000 00000011 00000011 00000011 00000001 00000011 00000011* +L0039808 00000000 00000000 00000011 00000000 00000011 00000011 00000011 00000011* +L0039872 00000000 00000000 00000011 00000011 00000011 00000011 00000010 00000011* +L0039936 00000000 00000000 00000001 00000011 00000001 00000011 00000011 00000001* +L0040000 00000001 00000000 00000011 00000001 00100001 00000011 00100010 00000011* +L0040064 00000000 00000000 00000011 00000011 00100011 00000011 00000011 00000011* +L0040128 00000001 00000001 00000011 00000011 00100011 00000011 00000011 00000011* +L0040192 00000001 00000000 00000011 00000011 00000011 00000011 00000011 00000011* +L0040256 00000001 00000000 00000011 00000001 10000111 00000011 00000011 00000011* +L0040320 000000 000000 000000 000000 100001 000000 000000 000000* +L0040368 000000 000000 000000 000000 000000 000000 000000 000000* +L0040416 000000 000000 000000 000000 011100 000000 001000 000000* +L0040464 000000 000000 000000 000000 111100 000000 000000 000000* +L0040512 000000 000000 000000 000000 111110 000000 000000 000000* +L0040560 000000 000000 000000 000000 001110 000000 000000 000000* +L0040608 00000000 00000000 00000011 00000001 00000111 00000001 00000001 00000011* +L0040672 00000000 00000000 00000011 00000000 00000011 00000011 00000000 00000011* +L0040736 00000000 00000000 00000011 00000001 00000011 00000001 00000010 00000011* +L0040800 00000000 00000000 00000001 00000001 00000001 00000001 00000011 00000001* +L0040864 00000000 00000000 00000001 00000001 00000001 00000010 00000000 00000011* +L0040928 00000000 00000000 00000011 00000001 00000011 00000010 00100001 00000011* +L0040992 00000000 00000000 00000011 00000001 00000111 00000011 00000011 00000011* +L0041056 00000000 00000000 00000011 00000001 00000011 00000011 00000011 00000011* +L0041120 00000001 00000000 00000011 00000001 00000011 00000011 00000001 00000011* +L0041184 000000 000000 000000 000000 000000 000000 000000 000000* +L0041232 000000 000000 000000 000000 000001 000000 000000 000000* +L0041280 000000 000000 000000 000000 000001 000000 000000 000000* +L0041328 000000 000000 000000 000000 000000 000000 000000 000000* +L0041376 000000 000000 000000 000000 000000 000000 000000 000000* +L0041424 000000 000000 000000 000000 000000 000000 000000 000000* +L0041472 00000000 00000000 00000011 00000000 00000011 00000001 00000011 00000001* +L0041536 00000000 00000000 00000011 00000000 00000011 00000001 00000000 00000011* +L0041600 00000000 00000000 00000011 00000000 00000011 00000000 00000010 00000011* +L0041664 00000000 00000000 00000001 00000000 00000011 00000001 00000011 00000001* +L0041728 00000000 00000000 00000001 00000000 00100100 00000000 00000000 00000011* +L0041792 00000001 00000000 00000011 00000001 00000011 00000000 00000001 00000011* +L0041856 00000001 00000000 00000011 00000001 00000011 00000001 00000011 00000011* +L0041920 00000001 00000001 00000011 00000001 10000011 00000011 00000011 00000011* +L0041984 00000000 00000001 00000011 00000011 10000011 00000011 00000001 00000011* +L0042048 000000 000000 000000 000000 100000 000000 000000 000000* +L0042096 000000 000000 000000 000000 000000 000000 000000 000000* +L0042144 000000 000000 000000 000000 011100 000000 000000 000000* +L0042192 000000 000000 000000 000000 001110 000000 000000 000000* +L0042240 000000 000000 000000 000000 000010 000000 000000 000000* +L0042288 000000 000000 000000 000000 000001 000000 000000 000000* +L0042336 00000000 00000000 00000011 00000000 00000011 00000001 00010000 00000001* +L0042400 00000000 00000000 00000011 00000000 00000110 00000000 11100100 00000011* +L0042464 00000000 00000000 00000011 00000000 00000101 00000000 01100100 00000011* +L0042528 00000000 00000000 00000001 00000000 00000101 00000001 00000000 00000001* +L0042592 00000000 00000000 00000001 00000000 00000000 00000000 00000000 00000001* +L0042656 00000000 00000000 00000011 00000000 10100001 00000000 00000000 00000011* +L0042720 00000000 00000000 00000011 00000000 10100011 00000001 00000110 00000011* +L0042784 00000000 00000000 00000010 00000000 00000001 00000001 00000110 00000011* +L0042848 00000000 00000000 00000011 00000000 00000111 00000001 00000000 00000001* +L0042912 000000 000000 000000 000000 000001 000000 000000 000000* +L0042960 000000 000000 000000 000000 000000 000000 000000 000000* +L0043008 000000 000000 000000 010000 000010 000000 100001 000000* +L0043056 000000 000000 000000 000000 110001 000000 100001 000000* +L0043104 000000 000000 000000 000000 011101 000000 000000 000000* +L0043152 000000 000000 000000 000000 001110 000000 000000 000000* +L0043200 00000000 00000000 00000000 00000011 00000000 00000000 00000000 00000010* +L0043264 00000000 00000000 00000010 00000010 00000010 00000010 00000010 00000010* +L0043328 00000000 00000000 00000010 00000000 00000000 00000010 00000010 00000000* +L0043392 00000001 00000011 00000000 00000000 00000000 00000000 00000000 00000001* +L0043456 00000010 00000000 00000000 00000011 00000010 00000010 00000000 00000010* +L0043520 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0043584 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0043648 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0043712 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0043776 000000 000000 000000 000000 000000 000000 000000 000000* +L0043824 000000 000000 000000 000000 000000 000000 000000 000000* +L0043872 000000 000000 000000 000000 000000 000000 000000 000000* +L0043920 000000 000000 000000 000000 000000 000000 000000 000000* +L0043968 000000 000000 000000 000000 000000 000000 000000 000000* +L0044016 000000 000000 000000 000000 000000 000000 000000 000000* +L0044064 00000010 00000000 00000011 00000001 00000000 00000000 00000000 00000011* +L0044128 00000000 00000000 00000001 00000001 00000000 00000001 00000000 00000001* +L0044192 00000010 00000000 00000001 00000001 00000000 00000001 00000000 00000011* +L0044256 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0044320 00000010 00000000 00000010 00000000 00000000 00000011 00000000 00000000* +L0044384 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0044448 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0044512 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0044576 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0044640 000000 000000 000000 000000 000000 000000 000000 000000* +L0044688 000000 000000 000000 000000 000000 000000 000000 000000* +L0044736 000000 000000 000000 000000 000000 000000 000000 000000* +L0044784 000000 000000 000000 000000 000000 000000 000000 000000* +L0044832 000000 000000 000000 000000 000000 000000 000000 000000* +L0044880 000000 000000 000000 000000 000000 000000 000000 000000* +L0044928 00000000 00000000 00000000 00000010 00000000 10000000 00000001 00000000* +L0044992 00000000 00000000 00000000 00000000 00000010 00101000 00000011 00000010* +L0045056 00000000 00000000 00000010 00000010 00000000 00100000 00000010 00000010* +L0045120 00000001 00000000 00000000 00000000 00000000 00000000 00000000 00000001* +L0045184 00000000 00000000 00000000 00000010 00000010 00000010 00000001 00000000* +L0045248 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* +L0045312 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0045376 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0045440 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0045504 000000 000000 000000 000000 000000 000000 000000 000000* +L0045552 000000 000000 000000 000000 000000 000000 000000 000000* +L0045600 000000 000000 000000 000000 000000 010000 000000 000000* +L0045648 000000 000000 000000 000000 000000 000000 000000 000000* +L0045696 000000 000000 000000 000000 000000 000000 000000 000000* +L0045744 000000 000000 000000 000000 000000 000000 000000 000000* +L0045792 00000000 00000000 00000000 00000010 00000010 00000000 00000000 00000000* +L0045856 00000000 00000000 00000010 00000000 00000001 00000000 00000010 00000011* +L0045920 00000000 00000000 00000000 00000010 00000010 00000000 00000000 00000001* +L0045984 00000000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* +L0046048 00000000 00000000 00000010 00000010 00000001 00000010 00000010 00000010* +L0046112 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0046176 00000000 00000000 00000000 00000000 00000000 01100000 00000000 00000000* +L0046240 00000000 00000000 00000000 00000000 00000000 11100000 00000000 00000000* +L0046304 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* +L0046368 000000 000000 000000 000000 000000 000000 000000 000000* +L0046416 000000 000000 000000 000000 000000 010000 000000 000000* +L0046464 000000 000000 000000 000000 000000 000000 000000 000000* +L0046512 000000 000000 000000 000000 000000 000000 000000 000000* +L0046560 000000 000000 000000 000000 000000 000000 000000 000000* +L0046608 000000 000000 000000 000000 000000 000000 000000 000000* +L0046656 00000100 00000000 00000000 00000000 00000010 00000000 00000000 00000000* +L0046720 00000000 00000000 00000000 00000000 00000000 00000000 00000010 00000010* +L0046784 00000000 00000000 00000010 00000000 00000000 00000000 00000000 00000010* +L0046848 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0046912 00000000 00000000 00000000 00000000 00000000 00000010 00000000 00000000* +L0046976 00000000 00000100 00000000 00000000 00000000 00000000 00000000 00100000* +L0047040 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0047104 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0047168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0047232 000000 000000 000000 000000 000000 000000 000000 000000* +L0047280 000000 000000 000000 000000 000000 000000 000000 000000* +L0047328 000000 000000 000000 000000 000000 000000 000000 000000* +L0047376 000000 000000 000000 000000 000000 000000 000000 000000* +L0047424 000000 000000 000000 000000 000000 000000 000000 000000* +L0047472 000000 000000 000000 000000 000000 000000 000000 000000* +L0047520 00000000 00000000 00000010 00000000 00000000 00000000 00000000 00000010* +L0047584 00000000 00000000 00000000 00000000 00000000 00000001 00000000 00000000* +L0047648 00000000 00000000 00000000 00000000 00000000 00000001 00000000 00000010* +L0047712 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0047776 00000000 00000000 00000010 00000000 00000000 00000011 00000000 00000000* +L0047840 00000000 00000000 00000000 00010000 00000000 00000000 00000000 00000000* +L0047904 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0047968 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0048032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0048096 000000 000000 000000 000000 000000 000000 000000 000000* +L0048144 000000 000000 000000 000000 000000 000000 000000 000000* +L0048192 000000 000000 000000 000000 000000 000000 000000 000000* +L0048240 000000 000000 000000 000000 000000 000000 000000 000000* +L0048288 000000 000000 000000 000000 000000 000000 000000 000000* +L0048336 000000 000000 000000 000000 000000 000000 000000 000000* +L0048384 00000010 00000000 00000110 00000000 00000000 10000000 00000011 00000011* +L0048448 00000010 00000000 00000100 00000000 00000010 00100001 00000010 00000001* +L0048512 00000010 00000011 00000100 00000000 00000000 00100001 00000001 00000011* +L0048576 00000000 00000000 10010101 00000000 00000001 00000000 00000000 00000000* +L0048640 00000010 00000011 10000110 00000000 00000010 00001011 00000011 00000011* +L0048704 00000000 00000000 00010100 00000000 00000000 10000000 00000000 00100000* +L0048768 00000000 00000000 00001100 00000000 00000000 00000000 00000000 00000000* +L0048832 00000000 00000000 00001100 00000000 00000000 10000000 00000000 00000000* +L0048896 00000000 00000000 00100100 00000000 00000000 00100000 00000000 01000000* +L0048960 000000 000000 001000 000000 000000 000000 000000 000000* +L0049008 000000 000000 101001 000000 000000 000000 000000 000000* +L0049056 000000 000000 101000 000000 000000 000000 000000 000000* +L0049104 000000 000000 101010 000000 000000 000000 000000 000000* +L0049152 000000 000000 101010 000000 000000 000000 000000 000000* +L0049200 000000 000000 101000 000000 000000 000000 000000 000000* +L0049248 00000000 00000000 00000010 00000000 00000000 00000000 00000010 00000000* +L0049312 00000010 00000000 00000000 00000000 00000010 00000000 00000000 00000000* +L0049376 00000000 00000000 00000010 00000000 00000001 00000000 00000010 00000010* +L0049440 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0049504 00000001 00000000 00000000 00000000 00000011 00000010 00000000 00001010* +L0049568 00000000 00000000 00001000 00000000 00000000 01000000 00000000 00000000* +L0049632 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* +L0049696 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* +L0049760 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* +L0049824 000000 000000 000010 000000 000000 000000 000000 000000* +L0049872 000000 000000 000000 010000 000000 000000 000000 000000* +L0049920 000000 000000 000000 010000 000000 000000 000000 000000* +L0049968 000000 000000 000000 000000 000000 000000 000000 000000* +L0050016 000000 000000 000100 000000 000000 000000 000000 001000* +L0050064 000000 000000 000010 000000 000000 000000 000000 010001* +L0050112 00000000 00000000 00000011 00000001 00000001 00000000 00000010 00000000* +L0050176 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0050240 00000000 00000000 00000001 00000001 00000011 00000000 00000010 00000000* +L0050304 00000000 00000010 00000000 00000010 00000000 00000000 00000000 00000010* +L0050368 00000000 00000000 00000010 00000001 00000010 00000010 00000010 00000000* +L0050432 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0050496 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0050560 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0050624 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01000000* +L0050688 000000 000000 000000 000000 000000 000000 000000 000000* +L0050736 000000 000000 000000 000000 000000 000000 000000 000000* +L0050784 000000 000000 000000 000000 000000 000000 000000 000000* +L0050832 000000 000000 000000 000000 000000 000000 000000 000000* +L0050880 000000 000000 000000 000000 000000 000000 000000 000000* +L0050928 000000 000000 000000 000000 000000 000000 000000 000000* +L0050976 00000000 00000010 00000010 00000010 00000000 00000000 00000010 00000000* +L0051040 00000010 00000010 00000001 00000000 00000001 00000000 10000100 00000011* +L0051104 00000010 00000010 00000001 00000010 00000010 00000000 00000110 00000001* +L0051168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0051232 00000010 00000010 00000010 00000010 00000010 00000010 00000000 00000010* +L0051296 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* +L0051360 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0051424 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0051488 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0051552 000000 000000 000000 000000 000000 000000 000000 000000* +L0051600 000000 000000 000000 000000 000000 000000 000000 000000* +L0051648 000000 000000 000000 000000 000000 000000 000000 000000* +L0051696 000000 000000 000000 000000 000000 000000 000000 000000* +L0051744 000000 000000 000000 000000 000000 000000 000000 000000* +L0051792 000000 000000 000000 000000 000000 000000 000000 000000* +L0051840 00000000 00000000 00000000 00000001 00000011 00000000 00000000 00000000* +L0051904 00000000 00000000 00000000 00000000 00000011 00000000 00000000 00000000* +L0051968 00000000 00000001 00000010 00000001 00000001 00000000 00000000 00010011* +L0052032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100000* +L0052096 00000000 00000001 00000000 00000001 00100001 00000010 00000000 00000011* +L0052160 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0052224 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0052288 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* +L0052352 00000000 00000000 00000000 00000000 10000100 00000000 00000000 00001000* +L0052416 000000 000000 000000 000000 100001 000000 000000 000000* +L0052464 000000 000000 000000 000000 000000 000000 000000 000000* +L0052512 000000 000000 000000 000000 010000 000000 000000 000000* +L0052560 000000 000000 000000 000000 010000 000000 000000 000000* +L0052608 000000 000000 000000 000000 010010 000000 000000 000000* +L0052656 000000 000000 000000 000000 000010 000000 000000 000000* +L0052704 00000000 00000000 00000010 00000011 00010000 00000000 00000000 00000010* +L0052768 00000010 00000000 00000000 00000000 00010000 00000001 00000000 00000010* +L0052832 00000010 00000000 00000000 00000011 00010010 00000001 00000000 00000010* +L0052896 00000000 00000000 00000000 00000000 00010000 00000000 00000000 00000000* +L0052960 00000010 00000000 00000010 00000011 00000010 00000011 00000000 00000010* +L0053024 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0053088 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0053152 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0053216 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0053280 000000 000000 000000 000000 000000 000000 000000 000000* +L0053328 000000 000000 000000 000000 000000 000000 000000 000000* +L0053376 000000 000000 000000 000000 001000 000000 000000 000000* +L0053424 000000 000000 000000 000000 001000 000000 000000 000000* +L0053472 000000 000000 000000 000000 001000 000000 000000 000000* +L0053520 000000 000000 000000 000000 001000 000000 000000 000000* +L0053568 00000000 00000000 00000000 00000011 00000001 00000001 00000010 00000000* +L0053632 00000010 00000001 00000001 00000000 00000011 00000010 00000011 00000000* +L0053696 00000010 00000010 00000011 00000011 00000000 00000011 00000001 00000000* +L0053760 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0053824 00000000 00000011 00000010 00000011 00000010 00000011 00000010 00000010* +L0053888 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0053952 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0054016 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0054080 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0054144 000000 000000 000000 000000 000000 000000 000000 000000* +L0054192 000000 000000 000000 000000 000000 000000 000000 000000* +L0054240 000000 000000 000000 000000 000000 000000 000000 000000* +L0054288 000000 000000 000000 000000 000000 000000 000000 000000* +L0054336 000000 000000 000000 000000 000000 000000 000000 000000* +L0054384 000000 000000 000000 000000 000000 000000 000000 000000* +L0054432 00000010 00000010 00000000 00000000 00000011 00000001 00000011 00000000* +L0054496 00000010 00000000 00000010 00000000 00000011 00000001 00000001 00000010* +L0054560 00000010 00000010 00000010 00000000 00000010 00000001 00000010 00000010* +L0054624 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0054688 00000010 00000010 00000010 00000000 00000000 00000011 00000001 00000010* +L0054752 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0054816 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0054880 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0054944 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0055008 000000 000000 000000 000000 000000 000000 000000 000000* +L0055056 000000 000000 000000 000000 000000 000000 000000 000000* +L0055104 000000 000000 000000 000000 000000 000000 000000 000000* +L0055152 000000 000000 000000 000000 000000 000000 000000 000000* +L0055200 000000 000000 000000 000000 000000 000000 000000 000000* +L0055248 000000 000000 000000 000000 000000 000000 000000 000000* +L0055296 00000000 00000010 00000000 00000000 00000000 10001010 00000010 00000010* +L0055360 00000000 00000000 00000000 00000010 00000000 00100000 00000010 00000010* +L0055424 00000000 00000010 00000010 00000010 00000010 00100000 00000000 00000010* +L0055488 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0055552 00000010 00000010 00000010 00000000 00000010 00000010 00000010 00000010* +L0055616 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* +L0055680 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0055744 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0055808 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0055872 000000 000000 000000 000000 000000 000000 000000 000000* +L0055920 000000 000000 000000 000000 000000 000000 000000 000000* +L0055968 000000 000000 000000 000000 000000 010000 000000 000000* +L0056016 000000 000000 000000 000000 000000 000000 000000 000000* +L0056064 000000 000000 000000 000000 000000 000000 000000 000000* +L0056112 000000 000000 000000 000000 000000 000000 000000 000000* +L0056160 00000000 00000000 00000001 00000001 00000000 00100000 00000010 00000000* +L0056224 00000000 00000010 00000000 00000001 00000001 00000001 00000000 00000000* +L0056288 00000010 00000010 00000010 00000001 00000000 00000001 00000010 00000000* +L0056352 00000000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* +L0056416 00000010 00000010 00000011 00000001 00000000 00000011 00000010 00000000* +L0056480 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0056544 00000000 00000000 00000000 00000000 00000000 01100000 00000000 00000000* +L0056608 00000000 00000000 00000000 00000000 00000000 11100000 00000000 00000000* +L0056672 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* +L0056736 000000 000000 000000 000000 000000 000000 000000 000000* +L0056784 000000 000000 000000 000000 000000 010000 000000 000000* +L0056832 000000 000000 000000 000000 000000 000000 000000 000000* +L0056880 000000 000000 000000 000000 000000 000000 000000 000000* +L0056928 000000 000000 000000 000000 000000 000000 000000 000000* +L0056976 000000 000000 000000 000000 000000 000000 000000 000000* +L0057024 00000001 00000000 00000100 00000000 00000000 00000000 00000010 00000010* +L0057088 00000001 00000000 10000010 00000010 00001000 00000000 00000000 00000010* +L0057152 00000010 00000000 00000101 00000010 10100010 00000000 01111111 00000010* +L0057216 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* +L0057280 00000010 00000000 10000110 00000000 00100000 00000010 00000010 00000010* +L0057344 00000000 00000000 10000100 00000000 00100000 00000000 00000000 00000000* +L0057408 00000000 00000000 00001000 00000000 00000000 00000000 00000000 10000000* +L0057472 00000000 00000000 10000100 00000000 00000000 00000000 00000100 10000000* +L0057536 00000000 00000000 10001000 00000000 10000100 00000000 00000000 10000000* +L0057600 000000 000000 000000 000000 000000 000000 000000 100000* +L0057648 000000 000000 000000 000000 010000 000010 000000 000000* +L0057696 000000 000000 000001 000000 001100 000000 000000 100000* +L0057744 000000 000000 100011 000000 010000 000000 100011 100000* +L0057792 000000 000000 110000 000000 001100 000000 000000 100000* +L0057840 000000 000000 000010 000000 000000 000000 000000 100000* +L0057888 00000000 00010000 00110000 00000000 00000000 00000000 11111111 00000000* +L0057952 00000000 00000000 00100010 00000000 00000000 00000001 00000001 00000010* +L0058016 00000010 00000000 00000011 00000000 00000000 00000001 00000010 00000011* +L0058080 00000000 00000000 00000000 00000000 01000000 00000000 11111100 00000000* +L0058144 00000011 00000000 00000010 00000000 10010000 00000011 00000011 00000010* +L0058208 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* +L0058272 00000000 00000000 01010000 00000000 00000000 00000000 00000000 00000000* +L0058336 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* +L0058400 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* +L0058464 000000 000000 000000 000000 000000 000000 000000 000000* +L0058512 000000 000000 000000 000000 000100 000000 100011 100000* +L0058560 000000 000000 000000 000000 000000 000000 000000 000000* +L0058608 000000 000000 000000 000000 000000 000000 000000 000000* +L0058656 000000 000000 000000 000000 000000 000000 100011 000000* +L0058704 000000 000000 000000 000000 000000 000000 000000 000000* +L0058752 00000000 00000000 00000000 00000000 00000100 00000000 00000001 00000001* +L0058816 00000001 00000000 00000000 00000001 00000100 00000000 00000000 00000000* +L0058880 00000000 00000000 00000000 00000001 00010100 00000000 00000000 00000001* +L0058944 00000000 00000000 00000000 00000000 00011100 00000000 00000000 00000000* +L0059008 00000000 00000000 00000000 00000000 10011100 00000000 00000000 00000000* +L0059072 00000000 00000000 00000000 00000000 10000100 00000000 00000000 00000000* +L0059136 00001000 00000000 00000000 00000000 10000100 00000000 00000000 00000000* +L0059200 00000000 00000000 00000000 00000000 10000100 00000000 00000000 00000000* +L0059264 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0059328 000000 000000 000000 000000 000000 000000 000000 000000* +L0059376 000000 000000 000000 000000 000101 000000 000000 000000* +L0059424 000000 000000 000000 000000 000011 000000 000000 000000* +L0059472 000000 000000 000000 000000 000011 000000 000000 000000* +L0059520 000000 000000 000000 000000 000011 000000 000000 000000* +L0059568 000000 000000 000000 000000 000011 000000 000000 000000* +L0059616 00000000 00000000 00000001 00000000 00000000 00000000 00000010 00000000* +L0059680 00000001 00000010 00000000 00000010 00000010 00000001 00000010 00000010* +L0059744 00000000 00000000 00000001 00000010 00100010 00000000 00000000 00000000* +L0059808 00000000 00000000 00000000 00000000 00100000 00000000 00000000 00000000* +L0059872 00000000 00000010 00000000 00000000 00100000 00000000 00000010 00000010* +L0059936 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0060000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0060064 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0060128 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0060192 000000 000000 000000 000000 000000 000000 000000 000000* +L0060240 000000 000000 000000 000000 000000 000000 000000 000000* +L0060288 000001 000000 000000 000000 010000 000000 000000 000000* +L0060336 000000 000000 000000 000000 010000 000000 000000 000000* +L0060384 000000 000000 000000 000000 010000 000000 000000 000000* +L0060432 000000 000000 000000 000000 000000 000000 000000 000000* +L0060480 00000010 00000000 00000000 10000011 00000000 00000000 00000000 00000010* +L0060544 00000000 00000000 00000001 10000010 00000001 00000001 00000001 00000010* +L0060608 00000000 00000000 00000001 00000011 00000001 00000001 00000000 00000011* +L0060672 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0060736 00000000 00000000 00010000 00000011 00000000 00000001 00000001 00000011* +L0060800 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* +L0060864 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0060928 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0060992 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0061056 000000 000000 000000 000000 000000 000000 000000 000000* +L0061104 000000 000000 000000 000000 000000 000000 000000 000000* +L0061152 000000 000000 000000 000000 000000 000000 000000 000000* +L0061200 000000 000000 000000 000000 000000 000000 000000 000000* +L0061248 000000 000000 000000 000000 000000 000000 000000 000000* +L0061296 000000 000000 000000 000000 000000 000000 000000 000000* +L0061344 00000000 00000000 00000110 00000000 00000000 00000000 00000000 00000010* +L0061408 00000000 00000000 00000100 00100000 00000000 00000001 00000000 00000000* +L0061472 00000000 00000000 00000010 00000000 00000000 00000001 00000000 00000010* +L0061536 00000000 00000000 10110000 00000000 00000000 00000000 00000000 00000000* +L0061600 00000001 00000000 00100100 00000000 00000000 00000000 00000000 00000000* +L0061664 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0061728 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* +L0061792 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0061856 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0061920 000000 000000 000000 000000 000000 000000 000000 000000* +L0061968 000000 000000 101001 000000 001000 000000 000000 000000* +L0062016 000000 000000 000001 000000 000000 000000 000000 000000* +L0062064 000000 000000 100001 000000 000000 000000 000000 000000* +L0062112 000000 000000 001001 000000 000000 000000 000000 000000* +L0062160 000000 000000 000001 000000 000000 000000 000000 000000* +L0062208 00000000 00000000 10001000 00000000 00000000 00000000 00000000 00001001* +L0062272 00000001 00000000 10001000 00000000 00000000 00000001 00000000 10001000* +L0062336 00000001 00000000 10001010 00000000 00000010 00000001 00000001 10011001* +L0062400 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00101100* +L0062464 00000001 00000000 00011000 00000000 00000000 00000001 00000000 00001100* +L0062528 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00010000* +L0062592 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01110000* +L0062656 00000000 00000000 10100000 00000000 00000000 00000000 00000000 01110100* +L0062720 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00111000* +L0062784 000000 000000 000100 000000 000000 000000 000000 001100* +L0062832 000000 000000 010000 000000 000000 000000 000000 011001* +L0062880 000000 000000 010000 000000 000000 000000 000000 011001* +L0062928 000000 000000 000100 000000 000000 000000 000000 011011* +L0062976 000000 000000 000000 000000 000000 000000 000000 011011* +L0063024 000000 000000 000100 000000 000000 000000 000000 010111* +L0063072 00000000 00000000 00000001 00000001 00000000 00000000 00000001 00000100* +L0063136 00000000 00000001 00010000 00000000 00000010 00000000 00000011 00000001* +L0063200 00000000 00000000 00100001 00000001 00000010 00000001 00000010 00000000* +L0063264 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0063328 00000000 00000001 00100000 00000001 00000000 00000001 00000001 00000001* +L0063392 00000000 00000000 10001000 00000000 00000000 00000000 00000000 00000000* +L0063456 00000000 00000000 10000000 00000000 00010000 00000000 00000000 00000100* +L0063520 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0063584 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* +L0063648 000000 000000 000000 000000 000000 000000 000000 000000* +L0063696 000000 000000 000000 000000 000000 000000 000000 000000* +L0063744 000000 000000 000001 000000 000000 000000 000000 000000* +L0063792 000000 000000 000011 000000 000000 000000 000000 000000* +L0063840 000000 000000 000011 000000 000000 000000 000000 000000* +L0063888 000000 000000 000011 000000 000000 000000 000000 000000* +L0063936 00000001 00000001 00000001 00000000 00000100 00000001 00000011 00000001* +L0064000 00000000 00000001 00000001 00000011 00001110 00000000 00000011 00000001* +L0064064 00000000 00000001 00000001 00000011 10101110 00000000 00000000 00000001* +L0064128 00000000 00000000 00000000 00000000 10101100 00000000 00000000 00010000* +L0064192 00000000 00000001 00000001 00000001 10011100 00000001 00000011 00100001* +L0064256 00000000 00000000 00000000 00000000 10000100 00000000 00000000 00000100* +L0064320 00000000 00000000 00000000 00000000 10000100 00000000 00000000 00000000* +L0064384 00000000 00000000 00000000 00000000 10000100 00000000 00000000 00000000* +L0064448 00000000 00000000 00000000 00000000 10000100 00000000 00000000 00000000* +L0064512 000000 000000 000000 000000 100001 000000 000000 100010* +L0064560 000000 000100 000000 000000 000101 000000 000000 000100* +L0064608 000000 000000 000000 000000 000111 000000 000000 000000* +L0064656 000000 000000 000000 000000 000111 000000 000000 000000* +L0064704 000000 000000 000000 000000 000111 000000 000000 000000* +L0064752 000000 000000 000000 000000 000111 000000 000000 000000* +L0064800 00000000 00000000 00000001 00000001 00000000 00000000 00000000 00000000* +L0064864 00000001 00000000 00000001 00000100 10110001 00000000 00000000 00000000* +L0064928 00000001 00000001 00000001 00000101 00000000 00000000 00000001 00000001* +L0064992 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0065056 00000000 00000001 00000001 00000001 00000001 00000000 00000000 00000001* +L0065120 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0065184 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0065248 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* +L0065312 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0065376 000000 000000 000000 000000 000000 000000 000000 000000* +L0065424 000000 000000 000000 000000 000000 000000 000000 000000* +L0065472 000000 000000 000000 000000 000000 000000 000000 000000* +L0065520 000000 000000 000000 000000 000000 000000 000000 000000* +L0065568 000000 000000 000000 000000 000000 000000 000000 000100* +L0065616 000000 000000 000000 000000 000000 000000 000000 000000* +L0065664 00000000 00000000 00000001 00000000 00000001 00000000 00000000 00000000* +L0065728 00000000 00000000 00000000 00000000 00000000 00000011 00000000 00000000* +L0065792 00000000 00000000 00000001 00000000 00000001 00000011 00000001 00000000* +L0065856 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0065920 00000001 00000000 00000000 00000000 00000000 00000011 00000000 00000000* +L0065984 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0066048 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0066112 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0066176 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0066240 000000 000000 000000 000000 000000 000000 000000 000000* +L0066288 000000 000000 000000 001000 000000 000000 000000 000000* +L0066336 000000 000000 000000 000001 000000 000000 000000 000000* +L0066384 000000 000000 000000 000000 000000 000000 000000 000000* +L0066432 000000 000000 000000 000000 000000 000000 000000 000000* +L0066480 000000 000000 000000 000000 000000 000000 000000 000000* +L0066528 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0066592 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* +L0066656 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* +L0066720 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0066784 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0066848 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* +L0066912 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* +L0066976 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* +L0067040 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0067104 000000 000000 000000 000000 000000 000000 000000 000000* +L0067152 000000 000000 000000 000001 000000 000000 000000 000000* +L0067200 000000 000000 000000 000000 000000 000000 000000 000000* +L0067248 000000 000000 000000 000000 000000 000000 000000 000000* +L0067296 000000 000000 000000 000000 000000 000000 000000 000000* +L0067344 000000 000000 000000 000000 000000 000000 000000 000000* +L0067392 00000010 00010010 10000010 00000010 00000010 00000010 00000010 00000010* +L0067456 00000000 00000000 00000010 00000000 00000010 00000010 00000010 00000010* +L0067520 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0067584 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0067648 00000000 00000000 00000100 00000000 00000000 00000000 00100000 00000000* +L0067712 00000000 00100000 00100000 01000000 00000000 00000000 00000000 00000000* +L0067776 00000010 00000010 00000110 00000010 00000010 00000010 00000010 00000010* +L0067840 00000000 00000000 10000100 00000000 00000000 00000000 00000000 00000000* +L0067904 00000000 00000000 00010100 00000000 00000000 00000000 00000000 00000000* +L0067968 000000 000000 000100 000000 000000 000000 001000 000000* +L0068016 000000 000000 011001 000000 000000 000000 000000 000000* +L0068064 000000 000000 001000 000000 000000 000000 000000 000000* +L0068112 000000 000000 100000 000000 000000 000000 000000 000000* +L0068160 000000 000000 101000 000000 000000 000000 000000 000000* +L0068208 000000 000000 101000 000000 000000 000000 000000 000000* +L0068256 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* +L0068320 00000000 00000000 10000100 00000000 00000000 00000000 00000000 00000000* +L0068384 00000000 00000000 10010100 00000000 00000000 00000000 00000000 00000000* +L0068448 00000000 00000000 10110100 00000000 00000000 00000000 00000000 00000000* +L0068512 00000000 00000000 10101000 00000000 00000000 00000000 00000000 00000000* +L0068576 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0068640 00000000 00000000 00101000 00000000 00000000 10010000 00000000 00000000* +L0068704 00000000 00000000 00101000 00000000 00000000 00000000 00100000 00000000* +L0068768 00000000 00000000 10101000 00000000 00100000 00000000 00100000 00000000* +L0068832 000000 000000 101010 000000 000000 000000 000000 000000* +L0068880 000000 000000 100000 000000 000000 000000 000000 000000* +L0068928 000000 000000 110001 000000 000000 001100 001000 000000* +L0068976 000000 000000 001001 000000 000000 000000 000000 000000* +L0069024 000000 000000 000001 000000 000000 000000 000000 000000* +L0069072 000000 000000 000001 000000 000000 000000 000000 000000* +L0069120 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0069184 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0069248 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0069312 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0069376 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0069440 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0069504 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0069568 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0069632 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0069696 000000 000000 000000 000000 000000 000000 000000 000000* +L0069744 000000 000000 000000 000000 000000 000000 000000 000000* +L0069792 000000 000000 000000 000000 000000 000000 000000 000000* +L0069840 000000 000000 000000 000000 000000 000000 000000 000000* +L0069888 000000 000000 000000 000000 000000 000000 000000 000000* +L0069936 000000 000000 000000 000000 000000 000000 000000 000000* +L0069984 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0070048 00000000 00000000 00000000 00000000 00000000 00000000 10000100 00000000* +L0070112 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* +L0070176 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0070240 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0070304 00000000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* +L0070368 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* +L0070432 00000000 00000000 00000000 00000000 00001000 00000000 00000100 00000000* +L0070496 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0070560 000000 000000 000000 000000 000000 000000 000000 000000* +L0070608 000000 000000 000000 000000 000000 000000 000001 000000* +L0070656 000000 000000 000000 000000 000000 000000 000000 000000* +L0070704 000000 000000 000000 000000 000000 000000 000000 000000* +L0070752 000000 000000 000000 000000 000000 000000 000000 000000* +L0070800 000000 000000 000000 000000 000000 000000 000000 000000* +L0070848 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0070912 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0070976 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0071040 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0071104 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0071168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0071232 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0071296 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0071360 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0071424 000000 000000 000000 000000 000000 000000 000000 000000* +L0071472 000000 000000 000000 000000 000000 000000 000000 000000* +L0071520 000000 000000 000000 000000 000000 000000 000000 000000* +L0071568 000000 000000 000000 000000 000000 000000 000000 000000* +L0071616 000000 000000 000000 000000 000000 000000 000000 000000* +L0071664 000000 000000 000000 000000 000000 000000 000000 000000* +L0071712 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0071776 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0071840 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0071904 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0071968 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0072032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0072096 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0072160 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0072224 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0072288 000000 000000 000000 000000 000000 000000 000000 000000* +L0072336 000000 000000 000000 000000 000000 000000 000000 000000* +L0072384 000000 000000 000000 000000 000000 000000 000000 000000* +L0072432 000000 000000 000000 000000 000000 000000 000000 000000* +L0072480 000000 000000 000000 000000 000000 000000 000000 000000* +L0072528 000000 000000 000000 000000 000000 000000 000000 000000* +L0072576 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0072640 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* +L0072704 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* +L0072768 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0072832 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0072896 00000000 00000000 00001000 10000000 00000000 10000000 00000000 00000000* +L0072960 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0073024 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* +L0073088 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* +L0073152 000000 000000 000010 000000 000000 000000 000000 000000* +L0073200 000000 000000 000000 000000 000000 000000 000000 000000* +L0073248 000000 000000 000010 000000 000000 000000 000000 000000* +L0073296 000000 000000 000000 000000 000000 000000 000000 000000* +L0073344 000000 000000 000000 000000 000000 000000 000000 000000* +L0073392 000000 000000 000010 000000 000000 000000 000000 000000* +L0073440 00000000 00000000 00000100 00000000 00000000 10000000 00000000 00000000* +L0073504 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* +L0073568 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* +L0073632 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* +L0073696 00000000 00000000 00000100 00000000 00000000 00001000 00000000 00000000* +L0073760 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* +L0073824 00000000 00000000 00001100 00000000 00001000 00000000 00000000 00000000* +L0073888 00000000 00000000 00001100 00000000 00000000 00000000 00000000 00000000* +L0073952 00000000 00000000 00000100 00000000 00000000 00100000 00000000 00000000* +L0074016 000000 000000 000000 000000 000000 000000 000000 000000* +L0074064 000000 000000 000010 000000 000000 000000 000000 000000* +L0074112 000000 000000 000000 000000 000000 000000 000000 000000* +L0074160 000000 000000 000010 000000 000000 000000 000000 000000* +L0074208 000000 000000 000010 000000 000000 000000 000000 000000* +L0074256 000000 000000 000000 000000 000000 000000 000000 000000* +L0074304 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0074368 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0074432 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0074496 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0074560 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0074624 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0074688 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0074752 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0074816 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0074880 000000 000000 000000 000000 000000 000000 000000 000000* +L0074928 000010 000000 000000 000000 000000 000000 000000 000000* +L0074976 000000 000000 000000 000000 000000 000000 000000 000000* +L0075024 000000 000000 000000 000000 000000 000000 000000 000000* +L0075072 000000 000000 000000 000000 000000 000000 000000 000000* +L0075120 000000 000000 000000 000000 000000 000000 000000 000000* +L0075168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0075232 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0075296 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0075360 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0075424 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0075488 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0075552 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0075616 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0075680 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0075744 000000 000000 000000 000000 000000 000000 000000 000000* +L0075792 000000 000000 000000 000000 000000 000000 010000 000000* +L0075840 000000 000000 000001 000000 000000 000000 000000 000000* +L0075888 000000 000000 000001 000000 000000 000000 000000 000000* +L0075936 000000 000000 000001 000000 000000 000000 000000 000000* +L0075984 000000 000000 000001 000000 000000 000000 000000 000000* +L0076032 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* +L0076096 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* +L0076160 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0076224 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0076288 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* +L0076352 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* +L0076416 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0076480 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0076544 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00110000* +L0076608 000000 000000 000000 000000 000000 000000 000000 000000* +L0076656 000000 000000 000000 000000 000000 000000 000000 000000* +L0076704 000000 000000 000000 000000 000000 000000 000000 000000* +L0076752 000000 000000 000000 000000 000000 000000 000000 000000* +L0076800 000000 000000 000000 000000 000000 000000 000000 000000* +L0076848 000000 000000 000000 000000 000000 000000 000000 000100* +L0076896 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0076960 00000000 00000000 00000000 00000000 00000000 00000000 10000100 00000000* +L0077024 00000000 00000000 00000100 00000000 00000000 00000000 00000100 00000000* +L0077088 00000000 00000000 00100100 00000000 00000000 00000000 00000000 00000000* +L0077152 00000000 00000000 10100000 00000000 00000000 00000000 00000000 00000000* +L0077216 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0077280 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* +L0077344 00000000 00000000 00000100 00000000 00000000 10000000 00000100 00000000* +L0077408 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* +L0077472 000000 000000 001000 000000 000000 000000 000000 000000* +L0077520 000000 000000 000000 000000 000000 000000 100000 000000* +L0077568 000000 000000 101001 000000 000000 000000 000001 000000* +L0077616 000001 000000 001001 000000 000000 000000 000001 000000* +L0077664 000000 000000 100001 000000 000000 000000 000000 000000* +L0077712 000000 000000 101001 000000 000000 000000 000000 000000* +L0077760 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0077824 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0077888 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0077952 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0078016 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0078080 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00010000* +L0078144 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100000* +L0078208 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0078272 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0078336 000000 000000 000000 000000 000000 000000 000000 000000* +L0078384 100000 000000 000000 000000 000000 000000 000000 000000* +L0078432 000000 000000 000000 010000 000000 000000 000000 000001* +L0078480 000000 000000 000000 000000 000000 000000 000000 000010* +L0078528 000000 000000 000000 000000 000000 000000 000000 000000* +L0078576 000000 000000 000000 000000 000000 000000 000000 000000* +L0078624 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0078688 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0078752 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0078816 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0078880 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0078944 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0079008 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0079072 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0079136 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0079200 000000 000000 000000 000000 000000 000000 000000 000000* +L0079248 000000 000000 000000 000000 000000 000000 000000 000000* +L0079296 000000 000000 000000 000000 000000 000000 010000 000000* +L0079344 000000 000000 000000 000000 000000 000000 000000 000000* +L0079392 000000 000000 000000 000000 000000 000000 000000 000000* +L0079440 000000 000000 000000 000000 000000 000000 000000 000000* +L0079488 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0079552 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0079616 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0079680 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0079744 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0079808 00010000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0079872 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0079936 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0080000 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0080064 000000 000000 000000 000000 000000 000001 000000 000000* +L0080112 000100 000000 000000 000000 000000 000000 000000 000000* +L0080160 000000 000000 000000 000000 000000 000000 000000 000000* +L0080208 000000 000000 000000 000000 000000 000001 000000 000000* +L0080256 000000 000000 000000 000000 000000 000000 000000 000000* +L0080304 000000 000000 000000 000000 000000 000000 000000 000000* +L0080352 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0080416 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0080480 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0080544 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0080608 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0080672 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0080736 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0080800 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0080864 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0080928 000000 000000 000000 000000 000000 000000 000000 000000* +L0080976 000000 000000 000000 000000 000000 000000 000000 000000* +L0081024 000000 000000 000001 000000 000000 000001 000000 000000* +L0081072 000000 000000 000001 000000 000000 000000 000000 000000* +L0081120 000000 000000 000001 000000 000000 000001 000000 000000* +L0081168 000000 000000 000001 000000 000000 000001 000000 000000* +L0081216 00000000 00000000 00000000 00000000 00000000 01010100 00000000 00000000* +L0081280 00000000 00000000 00000000 00000000 00000100 01000100 00000000 00100000* +L0081344 00000000 00000000 00010000 00000000 00000100 00000000 00000000 00000000* +L0081408 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0081472 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0081536 00000000 00000000 00000000 00000000 10100000 00000000 10000000 00000000* +L0081600 00000000 00000000 00101000 00000000 00100000 00000000 01110000 00000000* +L0081664 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* +L0081728 00000000 00000000 10011000 00000000 00000000 00000000 00000000 00000000* +L0081792 000000 000000 100010 000000 000000 000000 000000 000000* +L0081840 000000 000000 000000 000000 000000 000101 010000 000000* +L0081888 000000 000000 000000 000001 000000 000000 000000 000100* +L0081936 000000 000000 000000 000000 100001 000100 000000 000100* +L0081984 000000 000000 000000 000000 100000 000000 000000 000100* +L0082032 000000 000000 000000 000000 000000 000000 000000 000000* +L0082080 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00100000* +L0082144 00000000 00000000 10001000 00000100 00000000 00010000 00000000 00000000* +L0082208 00000000 00000000 10001000 00000100 00000000 00010000 00000000 00000000* +L0082272 00000000 00000000 00101000 00000000 00000000 00010000 00000000 00000000* +L0082336 00000000 00000000 00111100 00000000 00000000 00010000 00100000 00000000* +L0082400 00000000 00000000 00000000 00000100 00000000 00010100 01010000 00000000* +L0082464 00000000 00000000 00000100 00000100 00000000 00000100 10000000 00001000* +L0082528 00000000 00000000 00100100 00000000 00000000 00010100 00000000 00000000* +L0082592 00000000 00000000 00000100 00000000 00000100 00000100 00000000 00000000* +L0082656 000000 000000 000000 000000 000001 000001 000000 000000* +L0082704 000000 000000 001001 000001 000000 101000 000000 000000* +L0082752 000000 000000 011001 000000 000000 000001 011000 000000* +L0082800 000000 000000 100001 000000 010000 001001 000000 000000* +L0082848 000000 000000 101001 000000 011100 000001 000000 000000* +L0082896 000000 000000 101101 000000 001110 000001 000000 000000* +L0082944 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0083008 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0083072 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0083136 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0083200 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0083264 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0083328 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0083392 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0083456 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0083520 000000 000000 000000 000000 000000 000000 000000 000000* +L0083568 000000 000000 000000 000000 000000 000000 000000 000000* +L0083616 000001 000000 000000 000000 000000 000000 000000 000000* +L0083664 000001 000000 000000 000000 000000 000000 000000 000000* +L0083712 000000 000000 000000 000000 000000 000000 000000 000000* +L0083760 000000 000000 000000 000000 000000 000000 000000 000000* +L0083808 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0083872 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* +L0083936 00000000 00000000 00000000 00000000 00000000 00010100 00000000 00000000* +L0084000 00000000 00000000 00000000 00000000 00000000 00010100 00000000 00000000* +L0084064 00000000 00000000 00000000 00000000 00000000 00010100 00000000 00000000* +L0084128 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0084192 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0084256 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0084320 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0084384 000000 000000 000000 000000 000000 000001 000000 000000* +L0084432 000001 000000 000000 000000 000000 000000 000000 000000* +L0084480 000000 000000 000000 000000 000000 000011 000000 000000* +L0084528 000000 000000 000000 000000 000000 000001 000000 000000* +L0084576 000000 000000 000000 000000 000000 000001 000000 000000* +L0084624 000000 000000 000000 000000 000000 000001 000000 000000* +L0084672 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0084736 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0084800 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0084864 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0084928 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0084992 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0085056 00000100 00000000 00000000 00000000 00000000 00001000 00000000 00010000* +L0085120 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100000* +L0085184 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0085248 000000 000000 000000 000000 000000 000000 000000 000000* +L0085296 000000 000000 000000 000000 000000 000000 000000 000000* +L0085344 000000 000000 000000 000000 000000 000000 000000 000000* +L0085392 000000 000000 000000 000000 000000 000000 000000 000001* +L0085440 000000 000000 000000 000000 000000 000000 000000 000010* +L0085488 000000 000000 000000 000000 000000 000000 000000 000000* +L0085536 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0085600 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0085664 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0085728 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0085792 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0085856 00000100 00000000 00000000 00000000 00000000 00000000 01000000 00000000* +L0085920 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0085984 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0086048 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0086112 000000 000000 000000 000000 000000 000000 000000 000000* +L0086160 000000 000000 000000 000000 000000 000000 000000 000000* +L0086208 000000 000000 000000 000000 000000 000000 000000 000000* +L0086256 000000 000000 000000 000000 000000 000000 000000 000000* +L0086304 000000 000000 000000 000000 000000 000000 000000 000000* +L0086352 000000 000000 000000 000000 000000 000000 000000 000000* +L0086400 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0086464 00000000 00000000 00001000 00000000 00000000 00000000 01111100 00000000* +L0086528 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0086592 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0086656 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0086720 00000000 00010000 00000000 00000000 00000000 00000000 00000000 00000000* +L0086784 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* +L0086848 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0086912 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* +L0086976 000000 000000 000000 000000 000000 000000 000000 000000* +L0087024 000000 000000 000000 000000 000000 000010 000000 100000* +L0087072 000000 000000 000000 000000 000000 000000 100011 000000* +L0087120 000000 000000 000000 000000 000000 000000 000000 100000* +L0087168 000000 000000 000000 000000 000000 000000 000000 100000* +L0087216 000000 000000 000000 000000 000000 000000 000000 100000* +L0087264 00000000 00000000 00000100 00000100 00000000 00000000 11111100 00000000* +L0087328 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* +L0087392 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* +L0087456 00000000 00000000 10110100 00000000 00000000 00000000 00000000 00000000* +L0087520 00000000 00000000 10100100 00000000 00000000 00000000 00000000 00000000* +L0087584 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* +L0087648 00000000 00000000 00000100 00000000 00000000 00000000 00000000 10000000* +L0087712 00000000 00000000 00000100 00000000 00000000 00000000 00000000 10000000* +L0087776 00000000 00000000 00100100 00000000 00000000 00000000 00000000 00000000* +L0087840 000000 000000 001000 000000 000000 000000 000000 000000* +L0087888 000000 000000 101001 000000 000000 000000 100011 000000* +L0087936 000000 000000 101001 000000 000000 000000 000000 000000* +L0087984 000000 000000 101001 000000 000000 000000 000000 000000* +L0088032 000000 000000 101001 000000 000000 000000 000000 000000* +L0088080 000000 000000 101001 000000 000000 000000 000000 000000* +L0088128 01000000 00000000 00000100 00000000 00000000 00000000 00000000 00001000* +L0088192 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00101000* +L0088256 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00101000* +L0088320 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00101000* +L0088384 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00111000* +L0088448 01000000 00000000 00001000 00000000 00000000 00000000 00000000 00001000* +L0088512 00000000 00000000 00001100 00000000 00000000 00001000 00000000 00100000* +L0088576 00000000 00000000 00011100 00000000 00000000 00000000 00000000 00101000* +L0088640 00000000 00000000 00001100 00000000 00000000 00000000 00000000 00101000* +L0088704 000000 000000 000010 000000 000000 000000 000000 001010* +L0088752 000000 000000 000010 000000 000000 000000 000000 010000* +L0088800 000000 000000 000010 000000 000000 000000 000000 010100* +L0088848 000000 000000 010010 000000 000000 000000 000000 010110* +L0088896 000000 000000 010010 000000 000000 000000 000000 010110* +L0088944 000000 000000 010010 000000 000000 000000 000000 010110* +L0088992 00000000 00000100 00000000 00000000 00000000 00000000 01000000 00100000* +L0089056 00000000 00000000 00000000 00000000 00000000 00000000 10000100 00000100* +L0089120 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* +L0089184 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0089248 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0089312 00000000 00001000 01000000 01000000 00000000 00000000 00000000 00000000* +L0089376 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000100* +L0089440 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* +L0089504 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* +L0089568 000000 000000 000000 000000 000000 000000 000000 000000* +L0089616 000000 000000 000000 000000 000000 000000 000000 000000* +L0089664 000000 000000 000000 000000 000000 000000 100001 000000* +L0089712 000000 000000 000000 000000 000000 000000 100001 000000* +L0089760 000000 000000 000000 000000 000000 000000 000000 000000* +L0089808 000000 000000 000000 000000 000000 000000 000000 000000* +L0089856 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* +L0089920 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* +L0089984 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00100000* +L0090048 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00100000* +L0090112 00000000 00000000 00000100 00000000 00000100 00000000 00000000 00110000* +L0090176 00000000 00001000 00001000 00000000 00000000 00000000 00000000 00000000* +L0090240 00000000 00000000 00001100 00100000 00000000 00000000 00000000 00100000* +L0090304 00000000 00000000 00001100 00000000 10000000 00000000 00000000 00100000* +L0090368 00000000 00000000 00001100 00000000 00000100 00000000 00000000 00100000* +L0090432 000000 000000 000010 000000 000001 000000 000000 001000* +L0090480 000000 000000 000000 000000 000000 000000 000000 010000* +L0090528 000000 000000 000000 000000 000000 000000 000000 010000* +L0090576 000000 000000 000010 000000 010010 000000 000000 010000* +L0090624 000000 000000 000010 000000 111100 000000 000000 010000* +L0090672 000000 000000 000010 000000 001111 000000 000000 010000* +L0090720 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100100* +L0090784 00000000 00000100 00000000 10000000 00000000 00000000 00000000 00100000* +L0090848 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* +L0090912 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* +L0090976 00000000 00000000 00000000 00000000 00100000 00000000 00000000 00000000* +L0091040 00100000 00000000 00000000 00000000 00000000 00000000 10000000 00001000* +L0091104 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00001000* +L0091168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0091232 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* +L0091296 000000 000000 000000 000000 100000 000000 000000 000001* +L0091344 000000 000000 000100 000000 000000 000000 000000 000000* +L0091392 000000 000000 000000 000000 011110 000000 000000 000000* +L0091440 000000 000000 010000 000000 001100 000000 000000 000000* +L0091488 000000 000000 010000 000000 000011 000000 000000 000000* +L0091536 000000 000000 010000 000000 000000 000000 000000 000000* +L0091584 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0091648 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0091712 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0091776 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* +L0091840 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0091904 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0091968 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0092032 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0092096 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0092160 000000 000000 000000 000000 000000 000001 000000 000000* +L0092208 000000 000000 000000 000000 000000 000000 000000 000000* +L0092256 000000 000000 000000 000000 000000 000000 000000 000000* +L0092304 000000 000000 000000 000000 000000 000001 000000 000000* +L0092352 000000 000000 000000 000000 000000 000000 000000 000000* +L0092400 000000 000000 000000 000000 000000 000000 000000 000000* +L0092448 00100000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* +L0092512 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* +L0092576 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* +L0092640 00000000 00000000 10110100 00000000 00000000 00000000 00000000 00000000* +L0092704 00000000 00000000 10100100 00000000 00000000 00000000 00100000 00000000* +L0092768 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0092832 00000000 00000000 00000100 00000000 00000000 00000100 00000000 00000000* +L0092896 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* +L0092960 00000000 00000000 00100100 00000000 00000000 00000000 00000000 00000000* +L0093024 000000 000000 001000 000000 000000 000000 000000 000000* +L0093072 000000 000000 101001 000000 000010 000001 000000 000000* +L0093120 000000 000000 101001 000000 000000 000001 001000 000000* +L0093168 000000 000000 101001 000000 000000 000000 000000 000000* +L0093216 000000 000000 101001 000000 000000 000001 000000 000000* +L0093264 000000 000000 101001 000000 000000 000001 000000 000000* +C64A1* +2BD4 diff --git a/cpld/XC95144XL/WarpSE.mfd b/cpld/XC95144XL/WarpSE.mfd index 655b48a..b68c198 100644 --- a/cpld/XC95144XL/WarpSE.mfd +++ b/cpld/XC95144XL/WarpSE.mfd @@ -4,33 +4,33 @@ MACROCELL | 5 | 1 | iobm/nVMA ATTRIBUTES | 4622082 | 0 OUTPUTMC | 2 | 5 | 1 | 5 | 0 INPUTS | 10 | nVMA_IOB | iobm/ES<0> | iobm/ES<1> | iobm/ES<2> | iobm/ES<3> | iobm/ES<4> | iobm/IOACT | iobm/VPArf | iobm/VPArr | nAoutOE -INPUTMC | 10 | 5 | 1 | 5 | 5 | 5 | 4 | 5 | 12 | 5 | 3 | 5 | 7 | 5 | 15 | 1 | 8 | 1 | 7 | 3 | 1 +INPUTMC | 10 | 5 | 1 | 5 | 6 | 5 | 5 | 5 | 12 | 5 | 4 | 5 | 7 | 5 | 15 | 0 | 2 | 0 | 1 | 3 | 1 EQ | 7 | nVMA_IOB.T = !nVMA_IOB & !iobm/ES<0> & !iobm/ES<1> & !iobm/ES<2> & !iobm/ES<3> & !iobm/ES<4> # nVMA_IOB & iobm/ES<0> & iobm/ES<1> & iobm/ES<2> & !iobm/ES<3> & !iobm/ES<4> & iobm/IOACT & iobm/VPArf & iobm/VPArr; - nVMA_IOB.CLK = CLK2X_IOB; // GCK - nVMA_IOB.OE = !nAoutOE; -GLOBALS | 1 | 2 | CLK2X_IOB + nVMA_IOB.CLK = C16M; // GCK + nVMA_IOB.OE = nAoutOE; +GLOBALS | 1 | 2 | C16M MACROCELL | 5 | 11 | iobm/nASout ATTRIBUTES | 8816386 | 0 -OUTPUTMC | 1 | 3 | 1 +OUTPUTMC | 1 | 0 | 0 INPUTS | 4 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd2 | iobm/IOS_FSM_FFd3 | nAoutOE -INPUTMC | 4 | 0 | 15 | 5 | 9 | 5 | 2 | 3 | 1 +INPUTMC | 4 | 0 | 13 | 5 | 9 | 0 | 17 | 3 | 1 EQ | 4 | nAS_IOB.D = !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd2 # iobm/IOS_FSM_FFd1 & !iobm/IOS_FSM_FFd2; - !nAS_IOB.CLK = CLK2X_IOB; // GCK - nAS_IOB.OE = !nAoutOE; -GLOBALS | 1 | 2 | CLK2X_IOB + !nAS_IOB.CLK = C16M; // GCK + nAS_IOB.OE = nAoutOE; +GLOBALS | 1 | 2 | C16M MACROCELL | 5 | 8 | iobm/nLDS ATTRIBUTES | 8685314 | 0 INPUTS | 6 | iobs/IOL0 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd2 | iobm/IOS_FSM_FFd1 | iobs/IORW0 | nAoutOE -INPUTMC | 6 | 4 | 3 | 5 | 2 | 5 | 9 | 0 | 15 | 7 | 17 | 3 | 1 +INPUTMC | 6 | 0 | 16 | 0 | 17 | 5 | 9 | 0 | 13 | 2 | 14 | 3 | 1 EQ | 8 | !nLDS_IOB.D = iobs/IOL0 & !iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd2 @@ -38,14 +38,14 @@ EQ | 8 | iobm/IOS_FSM_FFd2 # !iobs/IORW0 & iobs/IOL0 & iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd1; - !nLDS_IOB.CLK = CLK2X_IOB; // GCK - nLDS_IOB.OE = !nAoutOE; -GLOBALS | 1 | 2 | CLK2X_IOB + !nLDS_IOB.CLK = C16M; // GCK + nLDS_IOB.OE = nAoutOE; +GLOBALS | 1 | 2 | C16M MACROCELL | 5 | 10 | iobm/nUDS ATTRIBUTES | 8685314 | 0 INPUTS | 6 | iobs/IOU0 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd2 | iobm/IOS_FSM_FFd1 | iobs/IORW0 | nAoutOE -INPUTMC | 6 | 3 | 14 | 5 | 2 | 5 | 9 | 0 | 15 | 7 | 17 | 3 | 1 +INPUTMC | 6 | 0 | 15 | 0 | 17 | 5 | 9 | 0 | 13 | 2 | 14 | 3 | 1 EQ | 8 | !nUDS_IOB.D = iobs/IOU0 & !iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd2 @@ -53,845 +53,888 @@ EQ | 8 | iobm/IOS_FSM_FFd2 # !iobs/IORW0 & iobs/IOU0 & iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd1; - !nUDS_IOB.CLK = CLK2X_IOB; // GCK - nUDS_IOB.OE = !nAoutOE; -GLOBALS | 1 | 2 | CLK2X_IOB + !nUDS_IOB.CLK = C16M; // GCK + nUDS_IOB.OE = nAoutOE; +GLOBALS | 1 | 2 | C16M -MACROCELL | 3 | 13 | cnt/RefDone -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 20 | 3 | 13 | 4 | 2 | 3 | 17 | 4 | 17 | 6 | 9 | 6 | 2 | 6 | 17 | 6 | 14 | 3 | 0 | 3 | 16 | 4 | 0 | 4 | 1 | 4 | 15 | 4 | 16 | 6 | 0 | 6 | 1 | 6 | 4 | 6 | 13 | 6 | 15 | 6 | 16 -INPUTS | 10 | cnt/RefDone | ram/RefRAS | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<0> | cnt/RefCnt<7> | cnt/RefCnt<1> | cnt/RefCnt<3> | cnt/RefCnt<2> | cnt/RefCnt<4> -INPUTMC | 10 | 3 | 13 | 0 | 0 | 3 | 7 | 3 | 6 | 1 | 17 | 3 | 3 | 0 | 6 | 3 | 9 | 3 | 11 | 3 | 8 +MACROCELL | 3 | 8 | cnt/LTimer<0> +ATTRIBUTES | 4358976 | 0 +OUTPUTMC | 14 | 3 | 8 | 6 | 0 | 3 | 17 | 3 | 16 | 3 | 15 | 6 | 12 | 6 | 14 | 6 | 9 | 6 | 6 | 6 | 2 | 6 | 17 | 6 | 16 | 6 | 3 | 6 | 15 +INPUTS | 3 | cnt/LTimer<0> | cnt/LTimer<13> | cnt/TimerTC +INPUTMC | 3 | 3 | 8 | 6 | 0 | 3 | 2 +EQ | 3 | + !cnt/LTimer<0>.T = !cnt/LTimer<0> & cnt/LTimer<13> & cnt/TimerTC; + !cnt/LTimer<0>.CLK = C8M; // GCK + cnt/LTimer<0>.CE = cnt/TimerTC; +GLOBALS | 1 | 2 | C8M + +MACROCELL | 6 | 0 | cnt/LTimer<13> +ATTRIBUTES | 4358976 | 0 +OUTPUTMC | 17 | 3 | 8 | 6 | 0 | 3 | 17 | 3 | 16 | 3 | 15 | 6 | 12 | 6 | 14 | 6 | 9 | 6 | 6 | 6 | 2 | 6 | 17 | 6 | 16 | 6 | 3 | 6 | 15 | 7 | 0 | 3 | 6 | 3 | 0 +INPUTS | 15 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<5> | cnt/LTimer<4> | cnt/LTimer<6> | cnt/LTimer<7> | cnt/LTimer<9> | cnt/LTimer<10> | cnt/LTimer<11> | cnt/LTimer<8> | cnt/LTimer<12> | cnt/LTimer<13> | cnt/TimerTC +INPUTMC | 15 | 3 | 8 | 3 | 17 | 3 | 16 | 3 | 15 | 6 | 12 | 6 | 14 | 6 | 9 | 6 | 6 | 6 | 2 | 6 | 17 | 6 | 16 | 6 | 3 | 6 | 15 | 6 | 0 | 3 | 2 +EQ | 7 | + cnt/LTimer<13>.T = cnt/LTimer<13> & cnt/TimerTC + # cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2> & + cnt/LTimer<3> & cnt/LTimer<5> & cnt/LTimer<4> & cnt/LTimer<6> & + cnt/LTimer<7> & cnt/LTimer<9> & cnt/LTimer<10> & cnt/LTimer<11> & + cnt/LTimer<8> & cnt/LTimer<12>; + !cnt/LTimer<13>.CLK = C8M; // GCK + cnt/LTimer<13>.CE = cnt/TimerTC; +GLOBALS | 1 | 2 | C8M + +MACROCELL | 3 | 17 | cnt/LTimer<1> +ATTRIBUTES | 8553280 | 0 +OUTPUTMC | 13 | 6 | 0 | 3 | 17 | 3 | 16 | 3 | 15 | 6 | 12 | 6 | 14 | 6 | 9 | 6 | 6 | 6 | 2 | 6 | 17 | 6 | 16 | 6 | 3 | 6 | 15 +INPUTS | 4 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<13> | cnt/TimerTC +INPUTMC | 4 | 3 | 8 | 3 | 17 | 6 | 0 | 3 | 2 EQ | 5 | - !cnt/RefDone.D = !cnt/RefDone & !ram/RefRAS - # !cnt/RefCnt<5> & !cnt/RefCnt<6> & !cnt/RefCnt<0> & - !cnt/RefCnt<7> & !cnt/RefCnt<1> & !cnt/RefCnt<3> & !cnt/RefCnt<2> & - !cnt/RefCnt<4>; - cnt/RefDone.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB + !cnt/LTimer<1>.D = cnt/LTimer<0> & cnt/LTimer<1> + # !cnt/LTimer<0> & !cnt/LTimer<1> + # cnt/LTimer<13> & cnt/TimerTC; + !cnt/LTimer<1>.CLK = C8M; // GCK + cnt/LTimer<1>.CE = cnt/TimerTC; +GLOBALS | 1 | 2 | C8M -MACROCELL | 4 | 9 | ram/Once -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 12 | 4 | 9 | 4 | 2 | 3 | 17 | 4 | 16 | 6 | 3 | 6 | 15 | 6 | 13 | 3 | 0 | 3 | 16 | 4 | 1 | 4 | 15 | 6 | 0 -INPUTS | 10 | ram/Once | nAS_FSB | fsb/ASrf | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | A_FSB<21> -INPUTMC | 6 | 4 | 9 | 0 | 5 | 0 | 17 | 3 | 17 | 6 | 9 | 6 | 3 -INPUTP | 4 | 54 | 36 | 30 | 29 -EQ | 13 | - ram/Once.T = ram/Once & nAS_FSB & !fsb/ASrf - # !A_FSB<23> & !A_FSB<22> & !ram/Once & cs/nOverlay1 & - !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & - !ram/RS_FSM_FFd3 - # !A_FSB<23> & !A_FSB<22> & !ram/Once & cs/nOverlay1 & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & fsb/ASrf - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & !ram/Once & - !cs/nOverlay1 & !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & - !ram/RS_FSM_FFd3 - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & !ram/Once & - !cs/nOverlay1 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & - !ram/RS_FSM_FFd3 & fsb/ASrf; - ram/Once.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB +MACROCELL | 3 | 16 | cnt/LTimer<2> +ATTRIBUTES | 4358976 | 0 +OUTPUTMC | 12 | 6 | 0 | 3 | 16 | 3 | 15 | 6 | 12 | 6 | 14 | 6 | 9 | 6 | 6 | 6 | 2 | 6 | 17 | 6 | 16 | 6 | 3 | 6 | 15 +INPUTS | 5 | cnt/LTimer<0> | cnt/LTimer<13> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/TimerTC +INPUTMC | 5 | 3 | 8 | 6 | 0 | 3 | 17 | 3 | 16 | 3 | 2 +EQ | 5 | + cnt/LTimer<2>.T = cnt/LTimer<0> & !cnt/LTimer<13> & cnt/LTimer<1> + # cnt/LTimer<0> & cnt/LTimer<1> & !cnt/TimerTC + # cnt/LTimer<13> & cnt/LTimer<2> & cnt/TimerTC; + !cnt/LTimer<2>.CLK = C8M; // GCK + cnt/LTimer<2>.CE = cnt/TimerTC; +GLOBALS | 1 | 2 | C8M -MACROCELL | 4 | 6 | iobs/Once +MACROCELL | 3 | 15 | cnt/LTimer<3> +ATTRIBUTES | 4358976 | 0 +OUTPUTMC | 11 | 6 | 0 | 3 | 15 | 6 | 12 | 6 | 14 | 6 | 9 | 6 | 6 | 6 | 2 | 6 | 17 | 6 | 16 | 6 | 3 | 6 | 15 +INPUTS | 6 | cnt/LTimer<13> | cnt/LTimer<3> | cnt/TimerTC | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> +INPUTMC | 6 | 6 | 0 | 3 | 15 | 3 | 2 | 3 | 8 | 3 | 17 | 3 | 16 +EQ | 7 | + cnt/LTimer<3>.T = cnt/LTimer<13> & cnt/LTimer<3> & cnt/TimerTC + # cnt/LTimer<0> & !cnt/LTimer<13> & cnt/LTimer<1> & + cnt/LTimer<2> + # cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2> & + !cnt/TimerTC; + !cnt/LTimer<3>.CLK = C8M; // GCK + cnt/LTimer<3>.CE = cnt/TimerTC; +GLOBALS | 1 | 2 | C8M + +MACROCELL | 6 | 12 | cnt/LTimer<5> +ATTRIBUTES | 4358976 | 0 +OUTPUTMC | 9 | 6 | 0 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 2 | 6 | 17 | 6 | 16 | 6 | 3 | 6 | 15 +INPUTS | 8 | cnt/LTimer<13> | cnt/LTimer<5> | cnt/TimerTC | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> +INPUTMC | 8 | 6 | 0 | 6 | 12 | 3 | 2 | 3 | 8 | 3 | 17 | 3 | 16 | 3 | 15 | 6 | 14 +EQ | 7 | + cnt/LTimer<5>.T = cnt/LTimer<13> & cnt/LTimer<5> & cnt/TimerTC + # cnt/LTimer<0> & !cnt/LTimer<13> & cnt/LTimer<1> & + cnt/LTimer<2> & cnt/LTimer<3> & cnt/LTimer<4> + # cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2> & + cnt/LTimer<3> & cnt/LTimer<4> & !cnt/TimerTC; + !cnt/LTimer<5>.CLK = C8M; // GCK + cnt/LTimer<5>.CE = cnt/TimerTC; +GLOBALS | 1 | 2 | C8M + +MACROCELL | 4 | 3 | cs/nOverlay1 +ATTRIBUTES | 8553280 | 0 +OUTPUTMC | 35 | 7 | 15 | 2 | 12 | 2 | 5 | 2 | 9 | 2 | 1 | 2 | 10 | 2 | 8 | 2 | 17 | 4 | 6 | 4 | 11 | 7 | 5 | 7 | 2 | 4 | 8 | 7 | 8 | 7 | 12 | 4 | 15 | 6 | 7 | 7 | 4 | 2 | 16 | 2 | 0 | 2 | 2 | 2 | 7 | 2 | 15 | 4 | 1 | 4 | 2 | 4 | 5 | 4 | 14 | 4 | 16 | 4 | 17 | 6 | 6 | 6 | 8 | 7 | 0 | 7 | 7 | 7 | 10 | 7 | 14 +INPUTS | 3 | cs/nOverlay0 | nAS_FSB | fsb/ASrf +INPUTMC | 2 | 4 | 7 | 1 | 14 +INPUTP | 1 | 54 +EQ | 3 | + cs/nOverlay1.D = cs/nOverlay0; + cs/nOverlay1.CLK = FCLK; // GCK + cs/nOverlay1.CE = nAS_FSB & !fsb/ASrf; +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 7 | 16 | iobs/Once ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 11 | 4 | 6 | 7 | 15 | 7 | 1 | 7 | 13 | 3 | 16 | 7 | 3 | 4 | 12 | 7 | 8 | 4 | 5 | 4 | 7 | 4 | 8 -INPUTS | 10 | A_FSB<22> | iobs/Once | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nAS_FSB | fsb/ASrf | A_FSB<23> | nADoutLE1 | nOE_OBUF.EXP | EXP25_.EXP -INPUTMC | 7 | 4 | 6 | 7 | 3 | 3 | 12 | 0 | 5 | 5 | 13 | 4 | 5 | 4 | 7 -INPUTP | 3 | 30 | 54 | 36 -IMPORTS | 2 | 4 | 5 | 4 | 7 -EQ | 25 | +OUTPUTMC | 14 | 7 | 16 | 2 | 14 | 2 | 11 | 2 | 5 | 7 | 11 | 7 | 6 | 7 | 9 | 7 | 13 | 2 | 13 | 2 | 15 | 2 | 16 | 7 | 0 | 7 | 15 | 7 | 17 +INPUTS | 10 | A_FSB<22> | iobs/Once | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nAS_FSB | fsb/ASrf | A_FSB<23> | A_FSB<20> | EXP27_.EXP | EXP28_.EXP +INPUTMC | 6 | 7 | 16 | 7 | 6 | 1 | 15 | 1 | 14 | 7 | 15 | 7 | 17 +INPUTP | 4 | 30 | 54 | 36 | 28 +IMPORTS | 2 | 7 | 15 | 7 | 17 +EQ | 24 | !iobs/Once.D = nAS_FSB & !fsb/ASrf # A_FSB<23> & !iobs/Once & iobs/PS_FSM_FFd2 + # !A_FSB<23> & !A_FSB<20> & !iobs/Once # A_FSB<22> & !iobs/Once & iobs/PS_FSM_FFd2 # A_FSB<22> & !iobs/Once & iobs/PS_FSM_FFd1 - # !iobs/Once & iobs/PS_FSM_FFd2 & !nADoutLE1 -;Imported pterms FB5_6 +;Imported pterms FB8_16 # A_FSB<23> & !iobs/Once & iobs/PS_FSM_FFd1 + # !iobs/Once & iobs/PS_FSM_FFd2 & !nADoutLE1 # !iobs/Once & iobs/PS_FSM_FFd1 & !nADoutLE1 # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & !iobs/Once - # !A_FSB<23> & !A_FSB<22> & !iobs/Once & - !cs/nOverlay1 - # !A_FSB<23> & A_FSB<21> & !A_FSB<20> & !iobs/Once -;Imported pterms FB5_8 + # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 & + !iobs/Once +;Imported pterms FB8_18 # !A_FSB<23> & A_FSB<21> & !A_FSB<19> & !iobs/Once # !A_FSB<23> & A_FSB<21> & !A_FSB<18> & !iobs/Once # !A_FSB<23> & A_FSB<21> & !A_FSB<17> & !iobs/Once # !A_FSB<23> & A_FSB<21> & !A_FSB<16> & !iobs/Once # !A_FSB<23> & A_FSB<21> & nWE_FSB & !iobs/Once -;Imported pterms FB5_9 - # !A_FSB<23> & !A_FSB<20> & !iobs/Once & SW<1> +;Imported pterms FB8_1 # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> & !iobs/Once - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & !iobs/Once & - cs/nOverlay1; - iobs/Once.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 0 | 17 | cs/nOverlay1 -ATTRIBUTES | 8553280 | 0 -OUTPUTMC | 44 | 4 | 9 | 4 | 5 | 7 | 17 | 2 | 3 | 2 | 13 | 2 | 15 | 4 | 1 | 7 | 12 | 4 | 2 | 2 | 8 | 3 | 17 | 4 | 16 | 6 | 6 | 6 | 3 | 7 | 3 | 4 | 12 | 7 | 8 | 6 | 16 | 6 | 13 | 6 | 7 | 6 | 15 | 2 | 1 | 2 | 2 | 2 | 6 | 2 | 7 | 2 | 9 | 2 | 12 | 2 | 14 | 2 | 16 | 3 | 0 | 3 | 16 | 4 | 0 | 4 | 8 | 4 | 13 | 4 | 15 | 6 | 0 | 6 | 1 | 6 | 2 | 6 | 4 | 6 | 10 | 7 | 4 | 7 | 5 | 7 | 9 | 7 | 11 -INPUTS | 3 | cs/nOverlay0 | nAS_FSB | fsb/ASrf -INPUTMC | 2 | 2 | 12 | 0 | 5 -INPUTP | 1 | 54 -EQ | 3 | - cs/nOverlay1.D = cs/nOverlay0; - cs/nOverlay1.CLK = CLK_FSB; // GCK - cs/nOverlay1.CE = nAS_FSB & !fsb/ASrf; -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 7 | 15 | iobs/BERR -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 9 | 7 | 15 | 0 | 16 | 2 | 0 | 2 | 5 | 7 | 11 | 2 | 3 | 2 | 10 | 2 | 11 | 7 | 10 -INPUTS | 8 | iobs/BERR | nAS_FSB | fsb/ASrf | iobs/Once | iobs/PS_FSM_FFd2 | iobs/IOACTr | iobm/IOBERR | nADoutLE1 -INPUTMC | 7 | 7 | 15 | 0 | 5 | 4 | 6 | 7 | 3 | 1 | 6 | 5 | 17 | 5 | 13 -INPUTP | 1 | 54 -EQ | 8 | - iobs/BERR.T = iobs/BERR & nAS_FSB & !fsb/ASrf - # iobs/Once & iobs/BERR & !iobs/PS_FSM_FFd2 & - !iobs/IOACTr & !iobm/IOBERR & nADoutLE1 - # iobs/Once & !iobs/BERR & !nAS_FSB & - !iobs/PS_FSM_FFd2 & !iobs/IOACTr & iobm/IOBERR & nADoutLE1 - # iobs/Once & !iobs/BERR & !iobs/PS_FSM_FFd2 & - !iobs/IOACTr & iobm/IOBERR & fsb/ASrf & nADoutLE1; - iobs/BERR.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 7 | 17 | iobs/IORW0 -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 6 | 5 | 8 | 5 | 10 | 7 | 17 | 3 | 4 | 7 | 0 | 7 | 1 -INPUTS | 12 | A_FSB<14> | A_FSB<13> | A_FSB<23> | A_FSB<21> | nADoutLE1 | A_FSB<22> | cs/nOverlay1 | A_FSB<20> | nWE_FSB | iobs/IORW0 | EXP32_.EXP | EXP37_.EXP -INPUTMC | 5 | 5 | 13 | 0 | 17 | 7 | 17 | 7 | 0 | 7 | 16 -INPUTP | 7 | 19 | 18 | 36 | 29 | 30 | 28 | 47 -IMPORTS | 2 | 7 | 0 | 7 | 16 -EQ | 26 | - !iobs/IORW0.T = !nWE_FSB & !iobs/IORW0 & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 & - nADoutLE1 - # !A_FSB<23> & A_FSB<21> & !A_FSB<20> & nADoutLE1 - # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> & - nADoutLE1 # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - cs/nOverlay1 & nADoutLE1 -;Imported pterms FB8_1 - # iobs/IORW0 & iobs/IORW1 & !nADoutLE1 - # !iobs/IORW0 & !iobs/IORW1 & !nADoutLE1 - # nAS_FSB & !fsb/ASrf & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & nADoutLE1 - # !A_FSB<23> & A_FSB<21> & !iobs/IORW0 & nADoutLE1 -;Imported pterms FB8_2 - # iobs/PS_FSM_FFd2 - # iobs/PS_FSM_FFd1 - # iobs/Once & nADoutLE1 - # nWE_FSB & iobs/IORW0 & nADoutLE1 -;Imported pterms FB8_17 - # !A_FSB<23> & A_FSB<21> & !A_FSB<19> & nADoutLE1 - # !A_FSB<23> & A_FSB<21> & !A_FSB<18> & nADoutLE1 - # !A_FSB<23> & A_FSB<21> & !A_FSB<17> & nADoutLE1 - # !A_FSB<23> & A_FSB<21> & !A_FSB<16> & nADoutLE1 - # !A_FSB<23> & !A_FSB<20> & SW<1> & nADoutLE1; - iobs/IORW0.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB + cs/nOverlay1 & !iobs/Once; + iobs/Once.CLK = FCLK; // GCK +GLOBALS | 1 | 2 | FCLK -MACROCELL | 2 | 12 | cs/nOverlay0 +MACROCELL | 6 | 14 | cnt/LTimer<4> +ATTRIBUTES | 4358976 | 0 +OUTPUTMC | 10 | 6 | 0 | 6 | 12 | 6 | 14 | 6 | 9 | 6 | 6 | 6 | 2 | 6 | 17 | 6 | 16 | 6 | 3 | 6 | 15 +INPUTS | 7 | cnt/LTimer<13> | cnt/LTimer<4> | cnt/TimerTC | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> +INPUTMC | 7 | 6 | 0 | 6 | 14 | 3 | 2 | 3 | 8 | 3 | 17 | 3 | 16 | 3 | 15 +EQ | 7 | + cnt/LTimer<4>.T = cnt/LTimer<13> & cnt/LTimer<4> & cnt/TimerTC + # cnt/LTimer<0> & !cnt/LTimer<13> & cnt/LTimer<1> & + cnt/LTimer<2> & cnt/LTimer<3> + # cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2> & + cnt/LTimer<3> & !cnt/TimerTC; + !cnt/LTimer<4>.CLK = C8M; // GCK + cnt/LTimer<4>.CE = cnt/TimerTC; +GLOBALS | 1 | 2 | C8M + +MACROCELL | 6 | 9 | cnt/LTimer<6> +ATTRIBUTES | 4358976 | 0 +OUTPUTMC | 8 | 6 | 0 | 6 | 9 | 6 | 6 | 6 | 2 | 6 | 17 | 6 | 16 | 6 | 3 | 6 | 15 +INPUTS | 9 | cnt/LTimer<13> | cnt/LTimer<6> | cnt/TimerTC | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<5> | cnt/LTimer<4> +INPUTMC | 9 | 6 | 0 | 6 | 9 | 3 | 2 | 3 | 8 | 3 | 17 | 3 | 16 | 3 | 15 | 6 | 12 | 6 | 14 +EQ | 7 | + cnt/LTimer<6>.T = cnt/LTimer<13> & cnt/LTimer<6> & cnt/TimerTC + # cnt/LTimer<0> & !cnt/LTimer<13> & cnt/LTimer<1> & + cnt/LTimer<2> & cnt/LTimer<3> & cnt/LTimer<5> & cnt/LTimer<4> + # cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2> & + cnt/LTimer<3> & cnt/LTimer<5> & cnt/LTimer<4> & !cnt/TimerTC; + !cnt/LTimer<6>.CLK = C8M; // GCK + cnt/LTimer<6>.CE = cnt/TimerTC; +GLOBALS | 1 | 2 | C8M + +MACROCELL | 6 | 6 | cnt/LTimer<7> +ATTRIBUTES | 4358976 | 0 +OUTPUTMC | 8 | 6 | 0 | 6 | 6 | 6 | 2 | 6 | 17 | 6 | 16 | 6 | 3 | 6 | 15 | 6 | 7 +INPUTS | 15 | cnt/LTimer<13> | cnt/LTimer<7> | cnt/TimerTC | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<5> | cnt/LTimer<4> | cnt/LTimer<6> | A_FSB<9> | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | ram/RASEL +INPUTMC | 12 | 6 | 0 | 6 | 6 | 3 | 2 | 3 | 8 | 3 | 17 | 3 | 16 | 3 | 15 | 6 | 12 | 6 | 14 | 6 | 9 | 4 | 3 | 4 | 0 +INPUTP | 3 | 12 | 36 | 30 +EXPORTS | 1 | 6 | 7 +EQ | 11 | + cnt/LTimer<7>.T = cnt/LTimer<13> & cnt/LTimer<7> & cnt/TimerTC + # cnt/LTimer<0> & !cnt/LTimer<13> & cnt/LTimer<1> & + cnt/LTimer<2> & cnt/LTimer<3> & cnt/LTimer<5> & cnt/LTimer<4> & + cnt/LTimer<6> + # cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2> & + cnt/LTimer<3> & cnt/LTimer<5> & cnt/LTimer<4> & cnt/LTimer<6> & + !cnt/TimerTC; + !cnt/LTimer<7>.CLK = C8M; // GCK + cnt/LTimer<7>.CE = cnt/TimerTC; + cnt/LTimer<7>.EXP = A_FSB<9> & !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & + ram/RASEL +GLOBALS | 1 | 2 | C8M + +MACROCELL | 6 | 2 | cnt/LTimer<9> +ATTRIBUTES | 4358976 | 0 +OUTPUTMC | 5 | 6 | 0 | 6 | 2 | 6 | 17 | 6 | 16 | 6 | 15 +INPUTS | 12 | cnt/LTimer<13> | cnt/LTimer<9> | cnt/TimerTC | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<5> | cnt/LTimer<4> | cnt/LTimer<6> | cnt/LTimer<7> | cnt/LTimer<8> +INPUTMC | 12 | 6 | 0 | 6 | 2 | 3 | 2 | 3 | 8 | 3 | 17 | 3 | 16 | 3 | 15 | 6 | 12 | 6 | 14 | 6 | 9 | 6 | 6 | 6 | 3 +EQ | 9 | + cnt/LTimer<9>.T = cnt/LTimer<13> & cnt/LTimer<9> & cnt/TimerTC + # cnt/LTimer<0> & !cnt/LTimer<13> & cnt/LTimer<1> & + cnt/LTimer<2> & cnt/LTimer<3> & cnt/LTimer<5> & cnt/LTimer<4> & + cnt/LTimer<6> & cnt/LTimer<7> & cnt/LTimer<8> + # cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2> & + cnt/LTimer<3> & cnt/LTimer<5> & cnt/LTimer<4> & cnt/LTimer<6> & + cnt/LTimer<7> & cnt/LTimer<8> & !cnt/TimerTC; + !cnt/LTimer<9>.CLK = C8M; // GCK + cnt/LTimer<9>.CE = cnt/TimerTC; +GLOBALS | 1 | 2 | C8M + +MACROCELL | 6 | 17 | cnt/LTimer<10> +ATTRIBUTES | 4358976 | 0 +OUTPUTMC | 4 | 6 | 0 | 6 | 17 | 6 | 16 | 6 | 15 +INPUTS | 13 | cnt/LTimer<13> | cnt/LTimer<10> | cnt/TimerTC | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<5> | cnt/LTimer<4> | cnt/LTimer<6> | cnt/LTimer<7> | cnt/LTimer<9> | cnt/LTimer<8> +INPUTMC | 13 | 6 | 0 | 6 | 17 | 3 | 2 | 3 | 8 | 3 | 17 | 3 | 16 | 3 | 15 | 6 | 12 | 6 | 14 | 6 | 9 | 6 | 6 | 6 | 2 | 6 | 3 +EQ | 9 | + cnt/LTimer<10>.T = cnt/LTimer<13> & cnt/LTimer<10> & cnt/TimerTC + # cnt/LTimer<0> & !cnt/LTimer<13> & cnt/LTimer<1> & + cnt/LTimer<2> & cnt/LTimer<3> & cnt/LTimer<5> & cnt/LTimer<4> & + cnt/LTimer<6> & cnt/LTimer<7> & cnt/LTimer<9> & cnt/LTimer<8> + # cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2> & + cnt/LTimer<3> & cnt/LTimer<5> & cnt/LTimer<4> & cnt/LTimer<6> & + cnt/LTimer<7> & cnt/LTimer<9> & cnt/LTimer<8> & !cnt/TimerTC; + !cnt/LTimer<10>.CLK = C8M; // GCK + cnt/LTimer<10>.CE = cnt/TimerTC; +GLOBALS | 1 | 2 | C8M + +MACROCELL | 6 | 16 | cnt/LTimer<11> +ATTRIBUTES | 4358976 | 0 +OUTPUTMC | 3 | 6 | 0 | 6 | 16 | 6 | 15 +INPUTS | 14 | cnt/LTimer<13> | cnt/LTimer<11> | cnt/TimerTC | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<5> | cnt/LTimer<4> | cnt/LTimer<6> | cnt/LTimer<7> | cnt/LTimer<9> | cnt/LTimer<10> | cnt/LTimer<8> +INPUTMC | 14 | 6 | 0 | 6 | 16 | 3 | 2 | 3 | 8 | 3 | 17 | 3 | 16 | 3 | 15 | 6 | 12 | 6 | 14 | 6 | 9 | 6 | 6 | 6 | 2 | 6 | 17 | 6 | 3 +EQ | 11 | + cnt/LTimer<11>.T = cnt/LTimer<13> & cnt/LTimer<11> & cnt/TimerTC + # cnt/LTimer<0> & !cnt/LTimer<13> & cnt/LTimer<1> & + cnt/LTimer<2> & cnt/LTimer<3> & cnt/LTimer<5> & cnt/LTimer<4> & + cnt/LTimer<6> & cnt/LTimer<7> & cnt/LTimer<9> & cnt/LTimer<10> & + cnt/LTimer<8> + # cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2> & + cnt/LTimer<3> & cnt/LTimer<5> & cnt/LTimer<4> & cnt/LTimer<6> & + cnt/LTimer<7> & cnt/LTimer<9> & cnt/LTimer<10> & cnt/LTimer<8> & + !cnt/TimerTC; + !cnt/LTimer<11>.CLK = C8M; // GCK + cnt/LTimer<11>.CE = cnt/TimerTC; +GLOBALS | 1 | 2 | C8M + +MACROCELL | 6 | 3 | cnt/LTimer<8> +ATTRIBUTES | 4358976 | 0 +OUTPUTMC | 6 | 6 | 0 | 6 | 2 | 6 | 17 | 6 | 16 | 6 | 3 | 6 | 15 +INPUTS | 11 | cnt/LTimer<13> | cnt/LTimer<8> | cnt/TimerTC | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<5> | cnt/LTimer<4> | cnt/LTimer<6> | cnt/LTimer<7> +INPUTMC | 11 | 6 | 0 | 6 | 3 | 3 | 2 | 3 | 8 | 3 | 17 | 3 | 16 | 3 | 15 | 6 | 12 | 6 | 14 | 6 | 9 | 6 | 6 +EQ | 9 | + cnt/LTimer<8>.T = cnt/LTimer<13> & cnt/LTimer<8> & cnt/TimerTC + # cnt/LTimer<0> & !cnt/LTimer<13> & cnt/LTimer<1> & + cnt/LTimer<2> & cnt/LTimer<3> & cnt/LTimer<5> & cnt/LTimer<4> & + cnt/LTimer<6> & cnt/LTimer<7> + # cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2> & + cnt/LTimer<3> & cnt/LTimer<5> & cnt/LTimer<4> & cnt/LTimer<6> & + cnt/LTimer<7> & !cnt/TimerTC; + !cnt/LTimer<8>.CLK = C8M; // GCK + cnt/LTimer<8>.CE = cnt/TimerTC; +GLOBALS | 1 | 2 | C8M + +MACROCELL | 6 | 15 | cnt/LTimer<12> +ATTRIBUTES | 4358976 | 0 +OUTPUTMC | 2 | 6 | 0 | 6 | 15 +INPUTS | 15 | cnt/LTimer<13> | cnt/LTimer<12> | cnt/TimerTC | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<5> | cnt/LTimer<4> | cnt/LTimer<6> | cnt/LTimer<7> | cnt/LTimer<9> | cnt/LTimer<10> | cnt/LTimer<11> | cnt/LTimer<8> +INPUTMC | 15 | 6 | 0 | 6 | 15 | 3 | 2 | 3 | 8 | 3 | 17 | 3 | 16 | 3 | 15 | 6 | 12 | 6 | 14 | 6 | 9 | 6 | 6 | 6 | 2 | 6 | 17 | 6 | 16 | 6 | 3 +EQ | 11 | + cnt/LTimer<12>.T = cnt/LTimer<13> & cnt/LTimer<12> & cnt/TimerTC + # cnt/LTimer<0> & !cnt/LTimer<13> & cnt/LTimer<1> & + cnt/LTimer<2> & cnt/LTimer<3> & cnt/LTimer<5> & cnt/LTimer<4> & + cnt/LTimer<6> & cnt/LTimer<7> & cnt/LTimer<9> & cnt/LTimer<10> & + cnt/LTimer<11> & cnt/LTimer<8> + # cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2> & + cnt/LTimer<3> & cnt/LTimer<5> & cnt/LTimer<4> & cnt/LTimer<6> & + cnt/LTimer<7> & cnt/LTimer<9> & cnt/LTimer<10> & cnt/LTimer<11> & + cnt/LTimer<8> & !cnt/TimerTC; + !cnt/LTimer<12>.CLK = C8M; // GCK + cnt/LTimer<12>.CE = cnt/TimerTC; +GLOBALS | 1 | 2 | C8M + +MACROCELL | 2 | 14 | iobs/IORW0 +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 7 | 5 | 8 | 5 | 10 | 2 | 14 | 5 | 2 | 2 | 13 | 2 | 15 | 2 | 16 +INPUTS | 12 | iobs/IORW0 | iobs/IORW1 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nADoutLE1 | A_FSB<23> | nWE_FSB | iobs/Once | nAS_FSB | fsb/ASrf | EXP19_.EXP | EXP20_.EXP +INPUTMC | 9 | 2 | 14 | 2 | 11 | 7 | 6 | 1 | 15 | 5 | 13 | 7 | 16 | 1 | 14 | 2 | 13 | 2 | 15 +INPUTP | 3 | 36 | 47 | 54 +IMPORTS | 2 | 2 | 13 | 2 | 15 +EQ | 60 | + iobs/IORW0.T = iobs/IORW0 & !iobs/IORW1 & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & !nADoutLE1 + # !iobs/IORW0 & iobs/IORW1 & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & !nADoutLE1 + # A_FSB<23> & nWE_FSB & !iobs/Once & !iobs/IORW0 & + !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # A_FSB<23> & !nWE_FSB & !iobs/Once & iobs/IORW0 & + !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # A_FSB<23> & !nWE_FSB & !iobs/Once & iobs/IORW0 & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 +;Imported pterms FB3_14 + # A_FSB<23> & nWE_FSB & !iobs/Once & !iobs/IORW0 & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 + # A_FSB<22> & !A_FSB<21> & A_FSB<20> & nWE_FSB & + !iobs/Once & !iobs/IORW0 & !nAS_FSB & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & nADoutLE1 + # A_FSB<22> & !A_FSB<21> & A_FSB<20> & nWE_FSB & + !iobs/Once & !iobs/IORW0 & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & + fsb/ASrf & nADoutLE1 + # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !nWE_FSB & + !iobs/Once & iobs/IORW0 & !nAS_FSB & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & nADoutLE1 + # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !nWE_FSB & + !iobs/Once & iobs/IORW0 & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & + fsb/ASrf & nADoutLE1 +;Imported pterms FB3_16 + # A_FSB<14> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !cs/nOverlay1 & + !iobs/Once & iobs/IORW0 & !nAS_FSB & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & nADoutLE1 + # A_FSB<14> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !cs/nOverlay1 & + !iobs/Once & iobs/IORW0 & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & + fsb/ASrf & nADoutLE1 + # A_FSB<13> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !cs/nOverlay1 & + !iobs/Once & iobs/IORW0 & !nAS_FSB & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & nADoutLE1 + # A_FSB<13> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !cs/nOverlay1 & + !iobs/Once & iobs/IORW0 & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & + fsb/ASrf & nADoutLE1 + # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & + cs/nOverlay1 & !iobs/Once & iobs/IORW0 & !nAS_FSB & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 +;Imported pterms FB3_17 + # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & + cs/nOverlay1 & !iobs/Once & iobs/IORW0 & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 + # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & + cs/nOverlay1 & !iobs/Once & iobs/IORW0 & !nAS_FSB & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & + cs/nOverlay1 & !iobs/Once & iobs/IORW0 & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1; + iobs/IORW0.CLK = FCLK; // GCK +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 4 | 2 | ram/RefDone +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 4 | 4 | 2 | 1 | 7 | 1 | 9 | 4 | 1 +INPUTS | 9 | ram/RefDone | ram/RefReqSync | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd2 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | cs/nOverlay1 +INPUTMC | 5 | 4 | 2 | 1 | 8 | 4 | 6 | 4 | 12 | 4 | 3 +INPUTP | 4 | 36 | 30 | 29 | 28 +EXPORTS | 1 | 4 | 1 +EQ | 7 | + ram/RefDone.D = ram/RefDone & ram/RefReqSync + # !ram/RS_FSM_FFd1 & ram/RS_FSM_FFd2 & + ram/RefReqSync; + ram/RefDone.CLK = FCLK; // GCK + ram/RefDone.EXP = !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> + # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> & + !cs/nOverlay1 +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 4 | 7 | cs/nOverlay0 ATTRIBUTES | 4358928 | 0 -OUTPUTMC | 3 | 0 | 17 | 2 | 12 | 2 | 13 -INPUTS | 19 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | cs/nOverlay0 | nAS_FSB | fsb/ASrf | nRES | A_FSB<14> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | nWE_FSB | cs/nOverlay1 | fsb/Ready1r | iobs/IOReady | nADoutLE1 | A_FSB<13> -INPUTMC | 6 | 2 | 12 | 0 | 5 | 0 | 17 | 2 | 14 | 3 | 16 | 5 | 13 -INPUTP | 13 | 36 | 30 | 29 | 28 | 54 | 145 | 19 | 26 | 24 | 23 | 22 | 47 | 18 -EXPORTS | 1 | 2 | 13 -EQ | 12 | +OUTPUTMC | 3 | 4 | 3 | 4 | 7 | 4 | 8 +INPUTS | 10 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | cs/nOverlay0 | nAS_FSB | fsb/ASrf | nRES.PIN | ram/RASEL | A_FSB<5> +INPUTMC | 3 | 4 | 7 | 1 | 14 | 4 | 0 +INPUTP | 7 | 36 | 30 | 29 | 28 | 54 | 145 | 4 +EXPORTS | 1 | 4 | 8 +EQ | 7 | cs/nOverlay0.T = !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> & !cs/nOverlay0 & !nAS_FSB # !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> & !cs/nOverlay0 & fsb/ASrf; - cs/nOverlay0.CLK = CLK_FSB; // GCK - cs/nOverlay0.AR = !nRES; - cs/nOverlay0.EXP = A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & - cs/nOverlay1 & !fsb/Ready1r & !iobs/IOReady & !nADoutLE1 - # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & - cs/nOverlay1 & !fsb/Ready1r & !iobs/IOReady & !nADoutLE1 -GLOBALS | 1 | 2 | CLK_FSB + cs/nOverlay0.CLK = FCLK; // GCK + cs/nOverlay0.AR = !nRES.PIN; + cs/nOverlay0.EXP = ram/RASEL & A_FSB<5> +GLOBALS | 1 | 2 | FCLK -MACROCELL | 3 | 15 | cnt/TimeoutA -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 8 | 3 | 15 | 2 | 15 | 2 | 8 | 2 | 2 | 2 | 3 | 2 | 7 | 2 | 14 | 2 | 16 -INPUTS | 10 | cnt/TimeoutA | nAS_FSB | fsb/ASrf | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<0> | cnt/RefCnt<1> | cnt/RefCnt<3> | cnt/RefCnt<2> | cnt/RefCnt<4> -INPUTMC | 9 | 3 | 15 | 0 | 5 | 3 | 7 | 3 | 6 | 1 | 17 | 0 | 6 | 3 | 9 | 3 | 11 | 3 | 8 -INPUTP | 1 | 54 -EQ | 8 | - cnt/TimeoutA.T = cnt/TimeoutA & nAS_FSB & !fsb/ASrf - # !cnt/TimeoutA & !nAS_FSB & !cnt/RefCnt<5> & - !cnt/RefCnt<6> & !cnt/RefCnt<0> & !cnt/RefCnt<1> & !cnt/RefCnt<3> & - !cnt/RefCnt<2> & !cnt/RefCnt<4> - # !cnt/TimeoutA & !cnt/RefCnt<5> & !cnt/RefCnt<6> & - !cnt/RefCnt<0> & !cnt/RefCnt<1> & !cnt/RefCnt<3> & !cnt/RefCnt<2> & - !cnt/RefCnt<4> & fsb/ASrf; - cnt/TimeoutA.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 6 | 12 | cnt/TimeoutB -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 10 | 6 | 12 | 2 | 11 | 2 | 0 | 2 | 6 | 7 | 11 | 2 | 3 | 2 | 5 | 2 | 10 | 2 | 17 | 6 | 13 -INPUTS | 13 | cnt/TimeoutB | nAS_FSB | fsb/ASrf | cnt/TimeoutBPre | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<0> | cnt/RefCnt<7> | cnt/RefCnt<1> | cnt/RefCnt<3> | cnt/RefCnt<2> | cnt/RefCnt<4> | SW<0> -INPUTMC | 11 | 6 | 12 | 0 | 5 | 6 | 6 | 3 | 7 | 3 | 6 | 1 | 17 | 3 | 3 | 0 | 6 | 3 | 9 | 3 | 11 | 3 | 8 -INPUTP | 2 | 54 | 98 -EXPORTS | 1 | 6 | 13 -EQ | 9 | - cnt/TimeoutB.T = cnt/TimeoutB & nAS_FSB & !fsb/ASrf - # !cnt/TimeoutB & cnt/TimeoutBPre & !nAS_FSB & - !cnt/RefCnt<5> & !cnt/RefCnt<6> & !cnt/RefCnt<0> & !cnt/RefCnt<7> & - !cnt/RefCnt<1> & !cnt/RefCnt<3> & !cnt/RefCnt<2> & !cnt/RefCnt<4> - # !cnt/TimeoutB & cnt/TimeoutBPre & !cnt/RefCnt<5> & - !cnt/RefCnt<6> & !cnt/RefCnt<0> & !cnt/RefCnt<7> & !cnt/RefCnt<1> & - !cnt/RefCnt<3> & !cnt/RefCnt<2> & !cnt/RefCnt<4> & fsb/ASrf; - cnt/TimeoutB.CLK = CLK_FSB; // GCK - cnt/TimeoutB.EXP = SW<0> -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 6 | 6 | cnt/TimeoutBPre -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 3 | 6 | 12 | 6 | 6 | 6 | 7 -INPUTS | 17 | cnt/TimeoutBPre | nAS_FSB | fsb/ASrf | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<0> | cnt/RefCnt<7> | cnt/RefCnt<1> | cnt/RefCnt<3> | cnt/RefCnt<2> | cnt/RefCnt<4> | A_FSB<9> | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | ram/RASEL | A_FSB<21> -INPUTMC | 12 | 6 | 6 | 0 | 5 | 3 | 7 | 3 | 6 | 1 | 17 | 3 | 3 | 0 | 6 | 3 | 9 | 3 | 11 | 3 | 8 | 0 | 17 | 4 | 17 -INPUTP | 5 | 54 | 12 | 36 | 30 | 29 -EXPORTS | 1 | 6 | 7 -EQ | 12 | - cnt/TimeoutBPre.T = cnt/TimeoutBPre & nAS_FSB & !fsb/ASrf - # !cnt/TimeoutBPre & !nAS_FSB & !cnt/RefCnt<5> & - !cnt/RefCnt<6> & !cnt/RefCnt<0> & !cnt/RefCnt<7> & !cnt/RefCnt<1> & - !cnt/RefCnt<3> & !cnt/RefCnt<2> & !cnt/RefCnt<4> - # !cnt/TimeoutBPre & !cnt/RefCnt<5> & !cnt/RefCnt<6> & - !cnt/RefCnt<0> & !cnt/RefCnt<7> & !cnt/RefCnt<1> & !cnt/RefCnt<3> & - !cnt/RefCnt<2> & !cnt/RefCnt<4> & fsb/ASrf; - cnt/TimeoutBPre.CLK = CLK_FSB; // GCK - cnt/TimeoutBPre.EXP = A_FSB<9> & !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & - ram/RASEL - # A_FSB<9> & !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & ram/RASEL -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 2 | 11 | fsb/BERR0r +MACROCELL | 2 | 5 | fsb/Ready0r ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 7 | 2 | 11 | 2 | 0 | 2 | 5 | 7 | 11 | 2 | 3 | 2 | 10 | 7 | 10 -INPUTS | 24 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | fsb/BERR0r | cnt/TimeoutB | nAS_FSB | fsb/ASrf | A_FSB<9> | A_FSB<8> | A_FSB<15> | A_FSB<14> | A_FSB<13> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | iobs/BERR | fsb/BERR1r | fsb/Ready1r | nBR_IOB -INPUTMC | 7 | 2 | 11 | 6 | 12 | 0 | 5 | 7 | 15 | 0 | 16 | 2 | 14 | 7 | 14 -INPUTP | 17 | 36 | 30 | 29 | 28 | 54 | 12 | 11 | 21 | 19 | 18 | 17 | 15 | 13 | 26 | 24 | 23 | 22 -EXPORTS | 1 | 2 | 10 -EQ | 10 | - !fsb/BERR0r.D = !cnt/TimeoutB & !fsb/BERR0r - # nAS_FSB & !fsb/ASrf - # !A_FSB<23> & A_FSB<22> & !A_FSB<21> & A_FSB<20> & - !fsb/BERR0r; - fsb/BERR0r.CLK = CLK_FSB; // GCK - fsb/BERR0r.EXP = A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & - A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & - A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & !iobs/BERR & !cnt/TimeoutB & - !fsb/BERR0r & !fsb/BERR1r & fsb/Ready1r & nBR_IOB -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 0 | 16 | fsb/BERR1r -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 8 | 0 | 16 | 2 | 0 | 2 | 5 | 7 | 11 | 2 | 3 | 2 | 10 | 2 | 11 | 7 | 10 -INPUTS | 4 | iobs/BERR | fsb/BERR1r | nAS_FSB | fsb/ASrf -INPUTMC | 3 | 7 | 15 | 0 | 16 | 0 | 5 -INPUTP | 1 | 54 -EQ | 3 | - !fsb/BERR1r.D = !iobs/BERR & !fsb/BERR1r - # nAS_FSB & !fsb/ASrf; - fsb/BERR1r.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 2 | 3 | fsb/Ready0r -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 5 | 2 | 3 | 2 | 6 | 2 | 1 | 2 | 9 | 2 | 2 -INPUTS | 32 | A_FSB<23> | A_FSB<22> | A_FSB<21> | cs/nOverlay1 | fsb/Ready0r | ram/RAMReady | A_FSB<8> | A_FSB<15> | A_FSB<14> | A_FSB<13> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | nWE_FSB | cnt/TimeoutA | fsb/Ready2r | fsb/VPA | $OpTx$FX_DC$591 | A_FSB<9> | iobs/BERR | cnt/TimeoutB | fsb/BERR0r | fsb/BERR1r | fsb/Ready1r | nBR_IOB | iobs/IOReady | EXP16_.EXP -INPUTMC | 15 | 0 | 17 | 2 | 3 | 6 | 14 | 3 | 15 | 2 | 15 | 2 | 0 | 0 | 12 | 7 | 15 | 6 | 12 | 2 | 11 | 0 | 16 | 2 | 14 | 7 | 14 | 3 | 16 | 2 | 4 -INPUTP | 17 | 36 | 30 | 29 | 11 | 21 | 19 | 18 | 17 | 15 | 13 | 28 | 26 | 24 | 23 | 22 | 47 | 12 -EXPORTS | 1 | 2 | 2 +OUTPUTMC | 5 | 2 | 5 | 2 | 1 | 2 | 7 | 2 | 0 | 2 | 6 +INPUTS | 15 | A_FSB<23> | A_FSB<22> | A_FSB<21> | cs/nOverlay1 | fsb/Ready0r | ram/RAMReady | iobs/Once | iobs/IOReady | iobs/PS_FSM_FFd2 | iobs/IOACTr | iobm/IOBERR | nADoutLE1 | nAS_FSB | fsb/ASrf | iobs/Clear1.EXP +INPUTMC | 11 | 4 | 3 | 2 | 5 | 4 | 16 | 7 | 16 | 2 | 6 | 7 | 6 | 1 | 12 | 5 | 17 | 5 | 13 | 1 | 14 | 2 | 4 +INPUTP | 4 | 36 | 30 | 29 | 54 +EXPORTS | 1 | 2 | 6 IMPORTS | 1 | 2 | 4 -EQ | 24 | +EQ | 13 | !fsb/Ready0r.D = !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !fsb/Ready0r & !ram/RAMReady # !A_FSB<23> & A_FSB<22> & A_FSB<21> & !cs/nOverlay1 & !fsb/Ready0r & !ram/RAMReady ;Imported pterms FB3_5 # nAS_FSB & !fsb/ASrf; - fsb/Ready0r.CLK = CLK_FSB; // GCK - fsb/Ready0r.EXP = A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & - !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !nWE_FSB & !cs/nOverlay1 & !cnt/TimeoutA & - !fsb/Ready2r & fsb/VPA & !$OpTx$FX_DC$591 - # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & - A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & - A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & !iobs/BERR & !cnt/TimeoutB & - !fsb/BERR0r & !fsb/BERR1r & fsb/Ready1r & nBR_IOB & - !$OpTx$FX_DC$591 - # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & - A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & - A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & !iobs/BERR & !cnt/TimeoutB & - !fsb/BERR0r & !fsb/BERR1r & iobs/IOReady & nBR_IOB & - !$OpTx$FX_DC$591 -GLOBALS | 1 | 2 | CLK_FSB + fsb/Ready0r.CLK = FCLK; // GCK + fsb/Ready0r.EXP = iobs/Once & iobs/IOReady & !iobs/PS_FSM_FFd2 & + !iobs/IOACTr & iobm/IOBERR & nADoutLE1 + # iobs/Once & !iobs/IOReady & !nAS_FSB & + !iobs/PS_FSM_FFd2 & !iobs/IOACTr & !iobm/IOBERR & nADoutLE1 + # iobs/Once & !iobs/IOReady & !iobs/PS_FSM_FFd2 & + !iobs/IOACTr & !iobm/IOBERR & fsb/ASrf & nADoutLE1 +GLOBALS | 1 | 2 | FCLK -MACROCELL | 2 | 14 | fsb/Ready1r +MACROCELL | 2 | 9 | fsb/Ready1r ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 11 | 2 | 13 | 2 | 7 | 2 | 1 | 2 | 3 | 2 | 6 | 2 | 9 | 2 | 11 | 2 | 12 | 2 | 16 | 2 | 17 | 2 | 15 -INPUTS | 22 | nAS_FSB | fsb/ASrf | A_FSB<8> | A_FSB<15> | A_FSB<14> | A_FSB<13> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | nWE_FSB | cs/nOverlay1 | cnt/TimeoutA | fsb/Ready2r | EXP22_.EXP -INPUTMC | 5 | 0 | 5 | 0 | 17 | 3 | 15 | 2 | 15 | 2 | 13 -INPUTP | 17 | 54 | 11 | 21 | 19 | 18 | 17 | 15 | 13 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 47 -EXPORTS | 1 | 2 | 15 -IMPORTS | 1 | 2 | 13 -EQ | 37 | +OUTPUTMC | 9 | 2 | 9 | 2 | 1 | 2 | 8 | 2 | 0 | 2 | 2 | 2 | 6 | 2 | 7 | 2 | 17 | 2 | 10 +INPUTS | 17 | nAS_FSB | fsb/ASrf | A_FSB<23> | fsb/Ready1r | iobs/IOReady | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<14> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | nWE_FSB | cs/nOverlay1 | A_FSB<13> | fsb/nDTACK.EXP +INPUTMC | 5 | 1 | 14 | 2 | 9 | 2 | 6 | 4 | 3 | 2 | 8 +INPUTP | 12 | 54 | 36 | 30 | 29 | 28 | 19 | 26 | 24 | 23 | 22 | 47 | 18 +EXPORTS | 1 | 2 | 10 +IMPORTS | 1 | 2 | 8 +EQ | 19 | !fsb/Ready1r.D = nAS_FSB & !fsb/ASrf -;Imported pterms FB3_14 # A_FSB<23> & !fsb/Ready1r & !iobs/IOReady # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !fsb/Ready1r & !iobs/IOReady - # A_FSB<22> & !A_FSB<21> & !fsb/Ready1r & - !iobs/IOReady & !SW<1> # A_FSB<14> & A_FSB<22> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !cs/nOverlay1 & !fsb/Ready1r & !iobs/IOReady +;Imported pterms FB3_9 # A_FSB<13> & A_FSB<22> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !cs/nOverlay1 & !fsb/Ready1r & !iobs/IOReady -;Imported pterms FB3_13 # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & cs/nOverlay1 & !fsb/Ready1r & !iobs/IOReady & !nADoutLE1 # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & cs/nOverlay1 & !fsb/Ready1r & !iobs/IOReady & !nADoutLE1; - fsb/Ready1r.CLK = CLK_FSB; // GCK - fsb/Ready1r.EXP = nAS_FSB & !fsb/ASrf - # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & - A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !nWE_FSB & !cs/nOverlay1 & !cnt/TimeoutA & - !fsb/Ready2r - # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & - A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & !A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !nWE_FSB & cs/nOverlay1 & !cnt/TimeoutA & - !fsb/Ready2r - # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & - !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !nWE_FSB & cs/nOverlay1 & !cnt/TimeoutA & - !fsb/Ready2r -GLOBALS | 1 | 2 | CLK_FSB + fsb/Ready1r.CLK = FCLK; // GCK + fsb/Ready1r.EXP = !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> +GLOBALS | 1 | 2 | FCLK -MACROCELL | 2 | 15 | fsb/Ready2r +MACROCELL | 2 | 1 | fsb/VPA ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 7 | 2 | 15 | 2 | 8 | 2 | 2 | 2 | 3 | 2 | 7 | 2 | 14 | 2 | 16 -INPUTS | 21 | A_FSB<9> | A_FSB<15> | A_FSB<14> | A_FSB<13> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | nWE_FSB | cs/nOverlay1 | cnt/TimeoutA | fsb/Ready2r | A_FSB<8> | fsb/Ready1r.EXP -INPUTMC | 4 | 0 | 17 | 3 | 15 | 2 | 15 | 2 | 14 -INPUTP | 17 | 12 | 21 | 19 | 18 | 17 | 15 | 13 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 47 | 11 -IMPORTS | 1 | 2 | 14 -EQ | 43 | - !fsb/Ready2r.D = A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & - A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !nWE_FSB & !cs/nOverlay1 & !cnt/TimeoutA & - !fsb/Ready2r - # A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & - A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & !A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !nWE_FSB & cs/nOverlay1 & !cnt/TimeoutA & - !fsb/Ready2r - # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & - !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !nWE_FSB & !cs/nOverlay1 & !cnt/TimeoutA & - !fsb/Ready2r - # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & - !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !nWE_FSB & cs/nOverlay1 & !cnt/TimeoutA & - !fsb/Ready2r - # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & - !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !nWE_FSB & !cs/nOverlay1 & !cnt/TimeoutA & - !fsb/Ready2r -;Imported pterms FB3_15 - # nAS_FSB & !fsb/ASrf - # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & - A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !nWE_FSB & !cs/nOverlay1 & !cnt/TimeoutA & - !fsb/Ready2r - # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & - A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & !A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !nWE_FSB & cs/nOverlay1 & !cnt/TimeoutA & - !fsb/Ready2r - # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & - !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !nWE_FSB & cs/nOverlay1 & !cnt/TimeoutA & - !fsb/Ready2r; - fsb/Ready2r.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 2 | 0 | fsb/VPA -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 7 | 2 | 0 | 3 | 10 | 2 | 1 | 2 | 2 | 2 | 3 | 2 | 16 | 2 | 17 -INPUTS | 10 | fsb/VPA | nBR_IOB | $OpTx$FX_DC$591 | fsb/BERR0r | fsb/BERR1r | iobs/BERR | A_FSB<20> | cnt/TimeoutB | EXP14_.EXP | EXP23_.EXP -INPUTMC | 9 | 2 | 0 | 7 | 14 | 0 | 12 | 2 | 11 | 0 | 16 | 7 | 15 | 6 | 12 | 2 | 1 | 2 | 17 -INPUTP | 1 | 28 -IMPORTS | 2 | 2 | 1 | 2 | 17 -EQ | 95 | - fsb/VPA.D = iobs/BERR & fsb/VPA & !$OpTx$FX_DC$591 - # fsb/BERR0r & fsb/VPA & !$OpTx$FX_DC$591 - # fsb/BERR1r & fsb/VPA & !$OpTx$FX_DC$591 - # fsb/VPA & !nBR_IOB & !$OpTx$FX_DC$591 - # !A_FSB<20> & cnt/TimeoutB & fsb/VPA & - !$OpTx$FX_DC$591 -;Imported pterms FB3_2 +OUTPUTMC | 5 | 2 | 1 | 3 | 10 | 2 | 0 | 2 | 2 | 2 | 17 +INPUTS | 14 | A_FSB<23> | fsb/Ready1r | fsb/VPA | iobs/IOReady | nAS_FSB | fsb/ASrf | A_FSB<22> | A_FSB<21> | A_FSB<20> | cs/nOverlay1 | fsb/Ready0r | ram/RAMReady | EXP14_.EXP | EXP15_.EXP +INPUTMC | 9 | 2 | 9 | 2 | 1 | 2 | 6 | 1 | 14 | 4 | 3 | 2 | 5 | 4 | 16 | 2 | 0 | 2 | 2 +INPUTP | 5 | 36 | 54 | 30 | 29 | 28 +IMPORTS | 2 | 2 | 0 | 2 | 2 +EQ | 64 | + fsb/VPA.D = A_FSB<23> & !fsb/Ready1r & fsb/VPA & + !iobs/IOReady & !nAS_FSB + # A_FSB<23> & !fsb/Ready1r & fsb/VPA & + !iobs/IOReady & fsb/ASrf # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & - !fsb/Ready0r & fsb/VPA & !ram/RAMReady & !$OpTx$FX_DC$591 - # A_FSB<22> & !A_FSB<21> & !fsb/Ready1r & fsb/VPA & - !iobs/IOReady & !SW<1> & !$OpTx$FX_DC$591 + !fsb/Ready0r & fsb/VPA & !nAS_FSB & !ram/RAMReady + # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !fsb/Ready1r & + fsb/VPA & !iobs/IOReady & !nAS_FSB + # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !fsb/Ready1r & + fsb/VPA & !iobs/IOReady & fsb/ASrf +;Imported pterms FB3_1 + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & + !fsb/Ready0r & fsb/VPA & fsb/ASrf & !ram/RAMReady # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !fsb/Ready0r & fsb/VPA & !ram/RAMReady & - !$OpTx$FX_DC$591 + !cs/nOverlay1 & !fsb/Ready0r & fsb/VPA & !nAS_FSB & !ram/RAMReady + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !fsb/Ready0r & fsb/VPA & fsb/ASrf & !ram/RAMReady # A_FSB<14> & A_FSB<22> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !cs/nOverlay1 & - !fsb/Ready1r & fsb/VPA & !iobs/IOReady & !$OpTx$FX_DC$591 + !fsb/Ready1r & fsb/VPA & !iobs/IOReady & !nAS_FSB # A_FSB<13> & A_FSB<22> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !cs/nOverlay1 & - !fsb/Ready1r & fsb/VPA & !iobs/IOReady & !$OpTx$FX_DC$591 -;Imported pterms FB3_3 - # A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & - A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !nWE_FSB & !cs/nOverlay1 & !cnt/TimeoutA & - !fsb/Ready2r & fsb/VPA & !$OpTx$FX_DC$591 - # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & - !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !nWE_FSB & !cs/nOverlay1 & !cnt/TimeoutA & - !fsb/Ready2r & fsb/VPA & !$OpTx$FX_DC$591 - # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & - !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !nWE_FSB & cs/nOverlay1 & !cnt/TimeoutA & - !fsb/Ready2r & fsb/VPA & !$OpTx$FX_DC$591 - # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & - A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !nWE_FSB & !cs/nOverlay1 & !cnt/TimeoutA & - !fsb/Ready2r & fsb/VPA & !$OpTx$FX_DC$591 - # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & - !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !nWE_FSB & cs/nOverlay1 & !cnt/TimeoutA & - !fsb/Ready2r & fsb/VPA & !$OpTx$FX_DC$591 -;Imported pterms FB3_4 - # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & - !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !nWE_FSB & !cs/nOverlay1 & !cnt/TimeoutA & - !fsb/Ready2r & fsb/VPA & !$OpTx$FX_DC$591 - # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & - A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & - A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & !iobs/BERR & !cnt/TimeoutB & - !fsb/BERR0r & !fsb/BERR1r & fsb/Ready1r & nBR_IOB & - !$OpTx$FX_DC$591 - # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & - A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & - A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & !iobs/BERR & !cnt/TimeoutB & - !fsb/BERR0r & !fsb/BERR1r & iobs/IOReady & nBR_IOB & - !$OpTx$FX_DC$591 + !fsb/Ready1r & fsb/VPA & !iobs/IOReady & !nAS_FSB ;Imported pterms FB3_18 - # A_FSB<23> & cnt/TimeoutB & fsb/VPA & - !$OpTx$FX_DC$591 - # !A_FSB<22> & cnt/TimeoutB & fsb/VPA & - !$OpTx$FX_DC$591 - # A_FSB<21> & cnt/TimeoutB & fsb/VPA & - !$OpTx$FX_DC$591 - # A_FSB<23> & !fsb/Ready1r & fsb/VPA & - !iobs/IOReady & !$OpTx$FX_DC$591 - # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !fsb/Ready1r & - fsb/VPA & !iobs/IOReady & !$OpTx$FX_DC$591 -;Imported pterms FB3_17 # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & - cs/nOverlay1 & !fsb/Ready1r & fsb/VPA & !iobs/IOReady & - !nADoutLE1 & !$OpTx$FX_DC$591 + cs/nOverlay1 & !fsb/Ready1r & fsb/VPA & !iobs/IOReady & fsb/ASrf & + !nADoutLE1 + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & fsb/Ready1r & !nAS_FSB + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & fsb/Ready1r & fsb/ASrf + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & iobs/IOReady & !nAS_FSB + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & iobs/IOReady & fsb/ASrf +;Imported pterms FB3_3 + # A_FSB<14> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !cs/nOverlay1 & + !fsb/Ready1r & fsb/VPA & !iobs/IOReady & fsb/ASrf + # A_FSB<13> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !cs/nOverlay1 & + !fsb/Ready1r & fsb/VPA & !iobs/IOReady & fsb/ASrf + # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & + cs/nOverlay1 & !fsb/Ready1r & fsb/VPA & !iobs/IOReady & !nAS_FSB & + !nADoutLE1 # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & - cs/nOverlay1 & !fsb/Ready1r & fsb/VPA & !iobs/IOReady & - !nADoutLE1 & !$OpTx$FX_DC$591 - # A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & - A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & !A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !nWE_FSB & cs/nOverlay1 & !cnt/TimeoutA & - !fsb/Ready2r & fsb/VPA & !$OpTx$FX_DC$591 - # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & - A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & !A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !nWE_FSB & cs/nOverlay1 & !cnt/TimeoutA & - !fsb/Ready2r & fsb/VPA & !$OpTx$FX_DC$591; - fsb/VPA.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB + cs/nOverlay1 & !fsb/Ready1r & fsb/VPA & !iobs/IOReady & !nAS_FSB & + !nADoutLE1 + # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & + cs/nOverlay1 & !fsb/Ready1r & fsb/VPA & !iobs/IOReady & fsb/ASrf & + !nADoutLE1; + fsb/VPA.CLK = FCLK; // GCK +GLOBALS | 1 | 2 | FCLK -MACROCELL | 4 | 3 | iobs/IOL0 +MACROCELL | 0 | 14 | iobm/BG +ATTRIBUTES | 8553280 | 0 +OUTPUTMC | 1 | 0 | 17 +INPUTS | 2 | nBG_IOB | iobm/nASr +INPUTMC | 1 | 0 | 0 +INPUTP | 1 | 117 +EQ | 3 | + iobm/BG.D = !nBG_IOB; + iobm/BG.CLK = C16M; // GCK + iobm/BG.CE = iobm/nASr; +GLOBALS | 1 | 2 | C16M + +MACROCELL | 0 | 16 | iobs/IOL0 ATTRIBUTES | 8553280 | 0 OUTPUTMC | 1 | 5 | 8 INPUTS | 5 | iobs/IOL1 | nADoutLE1 | nLDS_FSB | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 -INPUTMC | 4 | 0 | 14 | 5 | 13 | 7 | 3 | 3 | 12 +INPUTMC | 4 | 1 | 17 | 5 | 13 | 7 | 6 | 1 | 15 INPUTP | 1 | 49 EQ | 4 | iobs/IOL0.D = !nLDS_FSB & nADoutLE1 # iobs/IOL1 & !nADoutLE1; - iobs/IOL0.CLK = CLK_FSB; // GCK + iobs/IOL0.CLK = FCLK; // GCK iobs/IOL0.CE = iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1; -GLOBALS | 1 | 2 | CLK_FSB +GLOBALS | 1 | 2 | FCLK -MACROCELL | 0 | 14 | iobs/IOL1 +MACROCELL | 1 | 17 | iobs/IOL1 ATTRIBUTES | 8553280 | 0 -OUTPUTMC | 1 | 4 | 3 +OUTPUTMC | 1 | 0 | 16 INPUTS | 2 | nLDS_FSB | iobs/Load1 -INPUTMC | 1 | 4 | 12 +INPUTMC | 1 | 7 | 9 INPUTP | 1 | 49 EQ | 3 | iobs/IOL1.D = !nLDS_FSB; - iobs/IOL1.CLK = CLK_FSB; // GCK + iobs/IOL1.CLK = FCLK; // GCK iobs/IOL1.CE = iobs/Load1; -GLOBALS | 1 | 2 | CLK_FSB +GLOBALS | 1 | 2 | FCLK -MACROCELL | 7 | 13 | iobs/IORW1 +MACROCELL | 2 | 11 | iobs/IORW1 ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 2 | 7 | 0 | 7 | 13 -INPUTS | 8 | A_FSB<23> | A_FSB<21> | iobs/IORW1 | nADoutLE1 | iobs/Once | nWE_FSB | EXP36_.EXP | Park.EXP -INPUTMC | 5 | 7 | 13 | 5 | 13 | 4 | 6 | 7 | 12 | 7 | 14 -INPUTP | 3 | 36 | 29 | 47 -IMPORTS | 2 | 7 | 12 | 7 | 14 -EQ | 22 | +OUTPUTMC | 3 | 2 | 14 | 2 | 11 | 2 | 10 +INPUTS | 9 | A_FSB<23> | A_FSB<21> | iobs/IORW1 | nADoutLE1 | iobs/Once | A_FSB<20> | nWE_FSB | EXP17_.EXP | EXP18_.EXP +INPUTMC | 5 | 2 | 11 | 5 | 13 | 7 | 16 | 2 | 10 | 2 | 12 +INPUTP | 4 | 36 | 29 | 28 | 47 +IMPORTS | 2 | 2 | 10 | 2 | 12 +EQ | 21 | !iobs/IORW1.T = iobs/Once # !nADoutLE1 + # !A_FSB<23> & !A_FSB<20> # nWE_FSB & iobs/IORW1 - # !nWE_FSB & !iobs/IORW1 # !A_FSB<23> & A_FSB<21> & !iobs/IORW1 -;Imported pterms FB8_13 +;Imported pterms FB3_11 + # !nWE_FSB & !iobs/IORW1 # nAS_FSB & !fsb/ASrf # !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 - # !A_FSB<23> & A_FSB<21> & !A_FSB<20> -;Imported pterms FB8_12 - # !A_FSB<23> & !A_FSB<20> & SW<1> +;Imported pterms FB3_10 # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - cs/nOverlay1 -;Imported pterms FB8_15 +;Imported pterms FB3_13 # !A_FSB<23> & A_FSB<21> & !A_FSB<19> # !A_FSB<23> & A_FSB<21> & !A_FSB<18> # !A_FSB<23> & A_FSB<21> & !A_FSB<17> - # !A_FSB<23> & A_FSB<21> & !A_FSB<16>; - iobs/IORW1.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB + # !A_FSB<23> & A_FSB<21> & !A_FSB<16> + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + cs/nOverlay1; + iobs/IORW1.CLK = FCLK; // GCK +GLOBALS | 1 | 2 | FCLK -MACROCELL | 3 | 16 | iobs/IOReady +MACROCELL | 2 | 6 | iobs/IOReady ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 12 | 2 | 13 | 2 | 7 | 3 | 16 | 2 | 1 | 2 | 3 | 2 | 5 | 2 | 6 | 2 | 9 | 2 | 12 | 2 | 16 | 2 | 17 | 3 | 17 -INPUTS | 18 | iobs/IOReady | nAS_FSB | fsb/ASrf | iobs/Once | iobs/PS_FSM_FFd2 | iobs/IOACTr | iobm/IOBERR | nADoutLE1 | A_FSB<23> | A_FSB<22> | cnt/RefDone | ram/Once | cs/nOverlay1 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd2 | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> -INPUTMC | 15 | 3 | 16 | 0 | 5 | 4 | 6 | 7 | 3 | 1 | 6 | 5 | 17 | 5 | 13 | 3 | 13 | 4 | 9 | 0 | 17 | 3 | 17 | 6 | 9 | 3 | 7 | 3 | 6 | 3 | 3 -INPUTP | 3 | 54 | 36 | 30 -EXPORTS | 1 | 3 | 17 -EQ | 11 | +OUTPUTMC | 9 | 2 | 9 | 2 | 1 | 2 | 6 | 2 | 8 | 2 | 0 | 2 | 2 | 2 | 5 | 2 | 7 | 2 | 17 +INPUTS | 22 | iobs/IOReady | nAS_FSB | fsb/ASrf | A_FSB<23> | fsb/Ready1r | nDTACK_FSB | A_FSB<9> | A_FSB<8> | A_FSB<15> | A_FSB<14> | A_FSB<13> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | fsb/Ready0r.EXP +INPUTMC | 5 | 2 | 6 | 1 | 14 | 2 | 9 | 2 | 8 | 2 | 5 +INPUTP | 17 | 54 | 36 | 12 | 11 | 21 | 19 | 18 | 17 | 15 | 13 | 30 | 29 | 28 | 26 | 24 | 23 | 22 +EXPORTS | 1 | 2 | 7 +IMPORTS | 1 | 2 | 5 +EQ | 20 | iobs/IOReady.T = iobs/IOReady & nAS_FSB & !fsb/ASrf +;Imported pterms FB3_6 # iobs/Once & iobs/IOReady & !iobs/PS_FSM_FFd2 & !iobs/IOACTr & iobm/IOBERR & nADoutLE1 # iobs/Once & !iobs/IOReady & !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/IOACTr & !iobm/IOBERR & nADoutLE1 # iobs/Once & !iobs/IOReady & !iobs/PS_FSM_FFd2 & !iobs/IOACTr & !iobm/IOBERR & fsb/ASrf & nADoutLE1; - iobs/IOReady.CLK = CLK_FSB; // GCK - iobs/IOReady.EXP = !A_FSB<23> & !A_FSB<22> & !cnt/RefDone & ram/Once & - cs/nOverlay1 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & - cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & fsb/ASrf -GLOBALS | 1 | 2 | CLK_FSB + iobs/IOReady.CLK = FCLK; // GCK + iobs/IOReady.EXP = nAS_FSB & !fsb/ASrf + # A_FSB<23> & !fsb/Ready1r & !iobs/IOReady & + nDTACK_FSB + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & fsb/Ready1r + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & iobs/IOReady +GLOBALS | 1 | 2 | FCLK -MACROCELL | 3 | 14 | iobs/IOU0 +MACROCELL | 0 | 15 | iobs/IOU0 ATTRIBUTES | 8553280 | 0 OUTPUTMC | 1 | 5 | 10 INPUTS | 5 | iobs/IOU1 | nADoutLE1 | nUDS_FSB | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 -INPUTMC | 4 | 0 | 13 | 5 | 13 | 7 | 3 | 3 | 12 +INPUTMC | 4 | 1 | 16 | 5 | 13 | 7 | 6 | 1 | 15 INPUTP | 1 | 56 EQ | 4 | iobs/IOU0.D = !nUDS_FSB & nADoutLE1 # iobs/IOU1 & !nADoutLE1; - iobs/IOU0.CLK = CLK_FSB; // GCK + iobs/IOU0.CLK = FCLK; // GCK iobs/IOU0.CE = iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1; -GLOBALS | 1 | 2 | CLK_FSB +GLOBALS | 1 | 2 | FCLK -MACROCELL | 0 | 13 | iobs/IOU1 +MACROCELL | 1 | 16 | iobs/IOU1 ATTRIBUTES | 8553280 | 0 -OUTPUTMC | 1 | 3 | 14 +OUTPUTMC | 1 | 0 | 15 INPUTS | 2 | nUDS_FSB | iobs/Load1 -INPUTMC | 1 | 4 | 12 +INPUTMC | 1 | 7 | 9 INPUTP | 1 | 56 EQ | 3 | iobs/IOU1.D = !nUDS_FSB; - iobs/IOU1.CLK = CLK_FSB; // GCK + iobs/IOU1.CLK = FCLK; // GCK iobs/IOU1.CE = iobs/Load1; -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 4 | 2 | ram/RAMDIS2 -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 4 | 4 | 2 | 4 | 1 | 7 | 5 | 7 | 6 -INPUTS | 15 | ram/RAMDIS2 | nAS_FSB | fsb/ASrf | cnt/RefDone | ram/Once | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | nROMCS_OBUF.EXP -INPUTMC | 12 | 4 | 2 | 0 | 5 | 3 | 13 | 4 | 9 | 3 | 17 | 6 | 9 | 6 | 3 | 3 | 7 | 3 | 6 | 3 | 3 | 0 | 17 | 4 | 1 -INPUTP | 3 | 54 | 36 | 30 -IMPORTS | 1 | 4 | 1 -EQ | 23 | - ram/RAMDIS2.T = ram/RAMDIS2 & nAS_FSB & !fsb/ASrf - # !cnt/RefDone & ram/Once & !ram/RAMDIS2 & !nAS_FSB & - ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & - cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> - # !cnt/RefDone & ram/Once & !ram/RAMDIS2 & - ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & - cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & fsb/ASrf - # !A_FSB<23> & !A_FSB<22> & !cnt/RefDone & ram/Once & - cs/nOverlay1 & !ram/RAMDIS2 & !nAS_FSB & !ram/RS_FSM_FFd2 & - !ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> - # !A_FSB<23> & !A_FSB<22> & !cnt/RefDone & ram/Once & - cs/nOverlay1 & !ram/RAMDIS2 & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & - cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & fsb/ASrf -;Imported pterms FB5_2 - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & !cnt/RefDone & - ram/Once & !cs/nOverlay1 & !ram/RAMDIS2 & !nAS_FSB & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & - cnt/RefCnt<7> - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & !cnt/RefDone & - ram/Once & !cs/nOverlay1 & !ram/RAMDIS2 & !ram/RS_FSM_FFd2 & - !ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & - fsb/ASrf; - ram/RAMDIS2.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB +GLOBALS | 1 | 2 | FCLK MACROCELL | 2 | 8 | fsb/nDTACK ATTRIBUTES | 8815362 | 0 -OUTPUTMC | 5 | 2 | 8 | 2 | 6 | 2 | 7 | 2 | 9 | 2 | 10 -INPUTS | 23 | A_FSB<9> | A_FSB<15> | A_FSB<14> | A_FSB<13> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | nWE_FSB | cs/nOverlay1 | cnt/TimeoutA | fsb/Ready2r | nDTACK_FSB | A_FSB<8> | EXP19_.EXP | EXP20_.EXP -INPUTMC | 6 | 0 | 17 | 3 | 15 | 2 | 15 | 2 | 8 | 2 | 7 | 2 | 9 -INPUTP | 17 | 12 | 21 | 19 | 18 | 17 | 15 | 13 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 47 | 11 -IMPORTS | 2 | 2 | 7 | 2 | 9 -EQ | 90 | - nDTACK_FSB.D = A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & - A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !nWE_FSB & !cs/nOverlay1 & !cnt/TimeoutA & - !fsb/Ready2r & nDTACK_FSB - # A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & - A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & !A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !nWE_FSB & cs/nOverlay1 & !cnt/TimeoutA & - !fsb/Ready2r & nDTACK_FSB - # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & - !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !nWE_FSB & !cs/nOverlay1 & !cnt/TimeoutA & - !fsb/Ready2r & nDTACK_FSB - # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & - !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !nWE_FSB & cs/nOverlay1 & !cnt/TimeoutA & - !fsb/Ready2r & nDTACK_FSB - # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & - !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !nWE_FSB & cs/nOverlay1 & !cnt/TimeoutA & - !fsb/Ready2r & nDTACK_FSB -;Imported pterms FB3_8 - # A_FSB<14> & A_FSB<22> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !cs/nOverlay1 & - !fsb/Ready1r & !iobs/IOReady & nDTACK_FSB - # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & +OUTPUTMC | 4 | 2 | 8 | 2 | 6 | 2 | 7 | 2 | 9 +INPUTS | 16 | A_FSB<13> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | nWE_FSB | cs/nOverlay1 | fsb/Ready1r | iobs/IOReady | nDTACK_FSB | nADoutLE1 | A_FSB<14> | EXP16_.EXP +INPUTMC | 6 | 4 | 3 | 2 | 9 | 2 | 6 | 2 | 8 | 5 | 13 | 2 | 7 +INPUTP | 10 | 18 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 47 | 19 +EXPORTS | 1 | 2 | 9 +IMPORTS | 1 | 2 | 7 +EQ | 43 | + nDTACK_FSB.D = A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & cs/nOverlay1 & !fsb/Ready1r & !iobs/IOReady & nDTACK_FSB & !nADoutLE1 - # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & - A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !nWE_FSB & !cs/nOverlay1 & !cnt/TimeoutA & - !fsb/Ready2r & nDTACK_FSB - # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & - A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & !A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !nWE_FSB & cs/nOverlay1 & !cnt/TimeoutA & - !fsb/Ready2r & nDTACK_FSB - # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & - !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !nWE_FSB & !cs/nOverlay1 & !cnt/TimeoutA & - !fsb/Ready2r & nDTACK_FSB -;Imported pterms FB3_7 - # A_FSB<23> & cnt/TimeoutB & nDTACK_FSB - # !A_FSB<22> & cnt/TimeoutB & nDTACK_FSB - # !A_FSB<20> & cnt/TimeoutB & nDTACK_FSB - # A_FSB<23> & !fsb/Ready1r & !iobs/IOReady & - nDTACK_FSB - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & - !fsb/Ready0r & nDTACK_FSB & !ram/RAMReady -;Imported pterms FB3_6 - # nAS_FSB & !fsb/ASrf - # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & - A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & - A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & !iobs/BERR & !cnt/TimeoutB & - !fsb/BERR0r & !fsb/BERR1r & iobs/IOReady & nBR_IOB -;Imported pterms FB3_10 - # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !fsb/Ready1r & - !iobs/IOReady & nDTACK_FSB - # A_FSB<22> & !A_FSB<21> & !fsb/Ready1r & - !iobs/IOReady & nDTACK_FSB & !SW<1> - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !fsb/Ready0r & nDTACK_FSB & !ram/RAMReady - # A_FSB<13> & A_FSB<22> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !cs/nOverlay1 & - !fsb/Ready1r & !iobs/IOReady & nDTACK_FSB # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & cs/nOverlay1 & !fsb/Ready1r & !iobs/IOReady & nDTACK_FSB & !nADoutLE1 -;Imported pterms FB3_11 - # iobs/BERR & nDTACK_FSB - # fsb/BERR0r & nDTACK_FSB - # fsb/BERR1r & nDTACK_FSB - # nDTACK_FSB & !nBR_IOB - # A_FSB<21> & cnt/TimeoutB & nDTACK_FSB -;Imported pterms FB3_12 +;Imported pterms FB3_8 + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & + !fsb/Ready0r & nDTACK_FSB & !ram/RAMReady + # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !fsb/Ready1r & + !iobs/IOReady & nDTACK_FSB + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !fsb/Ready0r & nDTACK_FSB & !ram/RAMReady + # A_FSB<14> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !cs/nOverlay1 & + !fsb/Ready1r & !iobs/IOReady & nDTACK_FSB + # A_FSB<13> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !cs/nOverlay1 & + !fsb/Ready1r & !iobs/IOReady & nDTACK_FSB +;Imported pterms FB3_7 + # nAS_FSB & !fsb/ASrf + # A_FSB<23> & !fsb/Ready1r & !iobs/IOReady & + nDTACK_FSB # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & !iobs/BERR & !cnt/TimeoutB & - !fsb/BERR0r & !fsb/BERR1r & fsb/Ready1r & nBR_IOB; - nDTACK_FSB.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB + A_FSB<17> & A_FSB<16> & fsb/Ready1r + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & iobs/IOReady; + nDTACK_FSB.CLK = FCLK; // GCK + fsb/nDTACK.EXP = A_FSB<13> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !cs/nOverlay1 & + !fsb/Ready1r & !iobs/IOReady + # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & + cs/nOverlay1 & !fsb/Ready1r & !iobs/IOReady & !nADoutLE1 + # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & + cs/nOverlay1 & !fsb/Ready1r & !iobs/IOReady & !nADoutLE1 +GLOBALS | 1 | 2 | FCLK -MACROCELL | 3 | 17 | ram/RS_FSM_FFd1 -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 21 | 4 | 9 | 4 | 2 | 3 | 17 | 4 | 17 | 6 | 9 | 6 | 3 | 0 | 0 | 6 | 17 | 6 | 14 | 3 | 0 | 3 | 16 | 4 | 0 | 4 | 15 | 4 | 16 | 6 | 0 | 6 | 1 | 6 | 2 | 6 | 4 | 6 | 13 | 6 | 15 | 6 | 16 -INPUTS | 15 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | cnt/RefDone | nAS_FSB | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | fsb/ASrf | A_FSB<23> | A_FSB<22> | ram/Once | cs/nOverlay1 | iobs/Clear1.EXP | iobs/IOReady.EXP -INPUTMC | 12 | 3 | 17 | 6 | 9 | 6 | 3 | 3 | 13 | 3 | 7 | 3 | 6 | 3 | 3 | 0 | 5 | 4 | 9 | 0 | 17 | 3 | 0 | 3 | 16 -INPUTP | 3 | 54 | 36 | 30 -IMPORTS | 2 | 3 | 0 | 3 | 16 -EQ | 21 | - ram/RS_FSM_FFd1.D = ram/RS_FSM_FFd1 & ram/RS_FSM_FFd2 - # !ram/RS_FSM_FFd1 & ram/RS_FSM_FFd3 - # !cnt/RefDone & !nAS_FSB & ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> - # !cnt/RefDone & ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & - cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & fsb/ASrf - # !A_FSB<23> & !A_FSB<22> & !cnt/RefDone & ram/Once & - cs/nOverlay1 & !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & - cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> -;Imported pterms FB4_1 - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & !cnt/RefDone & - ram/Once & !cs/nOverlay1 & !nAS_FSB & !ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd2 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & !cnt/RefDone & - ram/Once & !cs/nOverlay1 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & - cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & fsb/ASrf -;Imported pterms FB4_17 - # !A_FSB<23> & !A_FSB<22> & !cnt/RefDone & ram/Once & - cs/nOverlay1 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & - cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & fsb/ASrf; - ram/RS_FSM_FFd1.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB +MACROCELL | 7 | 11 | iobs/nBERR_FSB +ATTRIBUTES | 4621058 | 0 +OUTPUTMC | 2 | 7 | 11 | 7 | 12 +INPUTS | 12 | nAS_FSB | nBERR_FSB | fsb/ASrf | iobs/Once | iobs/PS_FSM_FFd2 | iobs/IOACTr | iobm/IOBERR | nADoutLE1 | A_FSB<14> | A_FSB<13> | A_FSB<23> | A_FSB<21> +INPUTMC | 7 | 7 | 11 | 1 | 14 | 7 | 16 | 7 | 6 | 1 | 12 | 5 | 17 | 5 | 13 +INPUTP | 5 | 54 | 19 | 18 | 36 | 29 +EXPORTS | 1 | 7 | 12 +EQ | 10 | + nBERR_FSB.T = nAS_FSB & !nBERR_FSB & !fsb/ASrf + # iobs/Once & !nBERR_FSB & !iobs/PS_FSM_FFd2 & + !iobs/IOACTr & !iobm/IOBERR & nADoutLE1 + # iobs/Once & !nAS_FSB & nBERR_FSB & + !iobs/PS_FSM_FFd2 & !iobs/IOACTr & iobm/IOBERR & nADoutLE1 + # iobs/Once & nBERR_FSB & !iobs/PS_FSM_FFd2 & + !iobs/IOACTr & iobm/IOBERR & fsb/ASrf & nADoutLE1; + nBERR_FSB.CLK = FCLK; // GCK + iobs/nBERR_FSB.EXP = !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> & + !iobs/PS_FSM_FFd2 & nADoutLE1 +GLOBALS | 1 | 2 | FCLK -MACROCELL | 4 | 17 | ram/RASEL -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 10 | 6 | 5 | 6 | 1 | 6 | 6 | 4 | 10 | 4 | 8 | 4 | 4 | 4 | 14 | 6 | 4 | 6 | 7 | 6 | 11 -INPUTS | 13 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | ram/RS_FSM_FFd1 | A_FSB<23> | cnt/RefDone | nAS_FSB | ram/BACTr | fsb/ASrf | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | EXP24_.EXP | EXP27_.EXP -INPUTMC | 11 | 6 | 9 | 6 | 3 | 3 | 17 | 3 | 13 | 0 | 1 | 0 | 5 | 3 | 7 | 3 | 6 | 3 | 3 | 4 | 0 | 4 | 16 -INPUTP | 2 | 36 | 54 -IMPORTS | 2 | 4 | 0 | 4 | 16 -EQ | 44 | - ram/RASEL.D = !ram/RS_FSM_FFd2 & ram/RS_FSM_FFd3 - # !ram/RS_FSM_FFd1 & ram/RS_FSM_FFd2 & - !ram/RS_FSM_FFd3 - # A_FSB<23> & !cnt/RefDone & !nAS_FSB & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & !ram/BACTr - # A_FSB<23> & !cnt/RefDone & !ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd2 & !ram/BACTr & fsb/ASrf - # !cnt/RefDone & nAS_FSB & !ram/RS_FSM_FFd2 & - cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & !fsb/ASrf -;Imported pterms FB5_1 - # A_FSB<22> & !cnt/RefDone & cs/nOverlay1 & - !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & !ram/BACTr - # A_FSB<22> & !cnt/RefDone & cs/nOverlay1 & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & !ram/BACTr & fsb/ASrf - # !A_FSB<22> & !cnt/RefDone & !cs/nOverlay1 & - !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & !ram/BACTr - # !A_FSB<22> & !cnt/RefDone & !cs/nOverlay1 & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & !ram/BACTr & fsb/ASrf - # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & cnt/RefCnt<5> & cnt/RefCnt<6> & - cnt/RefCnt<7> -;Imported pterms FB5_17 - # A_FSB<23> & !cnt/RefDone & !ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd2 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> - # !A_FSB<23> & !A_FSB<22> & !ram/Once & cs/nOverlay1 & - !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 - # !A_FSB<23> & !A_FSB<22> & !ram/Once & cs/nOverlay1 & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & fsb/ASrf - # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & !nAS_FSB & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & !ram/BACTr - # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & !ram/BACTr & fsb/ASrf -;Imported pterms FB5_16 - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & !ram/Once & - !cs/nOverlay1 & !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & !ram/Once & - !cs/nOverlay1 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & fsb/ASrf - # A_FSB<22> & !cnt/RefDone & cs/nOverlay1 & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & cnt/RefCnt<5> & cnt/RefCnt<6> & - cnt/RefCnt<7> - # !A_FSB<22> & !cnt/RefDone & !cs/nOverlay1 & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & cnt/RefCnt<5> & cnt/RefCnt<6> & - cnt/RefCnt<7>; - ram/RASEL.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB +MACROCELL | 3 | 1 | cnt/AoutOE +ATTRIBUTES | 8815362 | 0 +OUTPUTMC | 6 | 5 | 1 | 5 | 11 | 5 | 8 | 5 | 10 | 0 | 0 | 3 | 4 +INPUTS | 3 | nBR_IOB | cnt/PORS_FSM_FFd1 | cnt/PORS_FSM_FFd2 +INPUTMC | 3 | 7 | 14 | 7 | 0 | 3 | 6 +EQ | 2 | + nAoutOE.D = !nBR_IOB & cnt/PORS_FSM_FFd1 & !cnt/PORS_FSM_FFd2; + !nAoutOE.CLK = C8M; // GCK +GLOBALS | 1 | 2 | C8M -MACROCELL | 6 | 9 | ram/RS_FSM_FFd2 +MACROCELL | 7 | 14 | cnt/nBR_IOB +ATTRIBUTES | 4621058 | 0 +OUTPUTMC | 3 | 3 | 1 | 7 | 14 | 7 | 13 +INPUTS | 12 | nBR_IOB | cnt/PORS_FSM_FFd1 | cnt/PORS_FSM_FFd2 | cnt/IPL2r | A_FSB<23> | A_FSB<21> | A_FSB<18> | iobs/PS_FSM_FFd2 | nADoutLE1 | A_FSB<17> | A_FSB<22> | cs/nOverlay1 +INPUTMC | 7 | 7 | 14 | 7 | 0 | 3 | 6 | 0 | 12 | 7 | 6 | 5 | 13 | 4 | 3 +INPUTP | 5 | 36 | 29 | 24 | 23 | 30 +EXPORTS | 1 | 7 | 13 +EQ | 10 | + nBR_IOB.T = nBR_IOB & !cnt/PORS_FSM_FFd1 & !cnt/PORS_FSM_FFd2 + # !nBR_IOB & !cnt/PORS_FSM_FFd1 & cnt/PORS_FSM_FFd2 & + cnt/IPL2r; + !nBR_IOB.CLK = C8M; // GCK + cnt/nBR_IOB.EXP = !A_FSB<23> & A_FSB<21> & !A_FSB<18> & + !iobs/PS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & A_FSB<21> & !A_FSB<17> & + !iobs/PS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + cs/nOverlay1 & !iobs/PS_FSM_FFd2 & nADoutLE1 +GLOBALS | 1 | 2 | C8M + +MACROCELL | 4 | 0 | ram/RASEL ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 18 | 4 | 9 | 4 | 2 | 3 | 17 | 4 | 17 | 6 | 9 | 6 | 3 | 0 | 0 | 6 | 17 | 6 | 14 | 3 | 0 | 3 | 16 | 4 | 0 | 4 | 1 | 4 | 15 | 4 | 16 | 6 | 2 | 6 | 8 | 6 | 10 -INPUTS | 10 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd2 | nAS_FSB | ram/RS_FSM_FFd3 | fsb/ASrf | cnt/RefDone | cnt/RefCnt<7> | ram/BACTr | A_FSB_21_IBUF$BUF0.EXP | RA_9_OBUF.EXP -INPUTMC | 9 | 3 | 17 | 6 | 9 | 6 | 3 | 0 | 5 | 3 | 13 | 3 | 3 | 0 | 1 | 6 | 8 | 6 | 10 +OUTPUTMC | 13 | 6 | 5 | 6 | 1 | 4 | 13 | 4 | 10 | 4 | 8 | 4 | 7 | 4 | 14 | 6 | 4 | 6 | 7 | 6 | 10 | 4 | 4 | 6 | 6 | 6 | 8 +INPUTS | 8 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | nAS_FSB | fsb/ASrf | ram/RefUrgent | nROMCS_OBUF.EXP | EXP23_.EXP +INPUTMC | 7 | 4 | 6 | 4 | 12 | 7 | 2 | 1 | 14 | 1 | 7 | 4 | 1 | 4 | 17 INPUTP | 1 | 54 -IMPORTS | 2 | 6 | 8 | 6 | 10 -EQ | 27 | - !ram/RS_FSM_FFd2.D = ram/RS_FSM_FFd1 & ram/RS_FSM_FFd2 - # cnt/RefDone & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 +IMPORTS | 2 | 4 | 1 | 4 | 17 +EQ | 36 | + !ram/RASEL.D = ram/RS_FSM_FFd1 & ram/RS_FSM_FFd2 + # ram/RS_FSM_FFd2 & ram/RS_FSM_FFd3 # !nAS_FSB & ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 # ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & fsb/ASrf - # !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & - !cnt/RefCnt<7> & ram/BACTr -;Imported pterms FB7_9 - # !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & - !cnt/RefCnt<5> & ram/BACTr - # !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & - !cnt/RefCnt<6> & ram/BACTr - # nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & - !cnt/RefCnt<5> & !fsb/ASrf - # nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & - !cnt/RefCnt<7> & !fsb/ASrf -;Imported pterms FB7_11 - # nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & - !cnt/RefCnt<6> & !fsb/ASrf + # nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RefUrgent & + !ram/RS_FSM_FFd3 & !fsb/ASrf +;Imported pterms FB5_2 + # A_FSB<22> & !A_FSB<21> & !ram/RS_FSM_FFd2 & + !ram/RefUrgent & !ram/RS_FSM_FFd3 & !ram/RefReq + # A_FSB<22> & cs/nOverlay1 & !ram/RS_FSM_FFd2 & + !ram/RefUrgent & !ram/RS_FSM_FFd3 & !ram/RefReq + # !A_FSB<22> & !cs/nOverlay1 & !ram/RS_FSM_FFd2 & + !ram/RefUrgent & !ram/RS_FSM_FFd3 & !ram/RefReq + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !nAS_FSB & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & !ram/RAMEN + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & !ram/RAMEN & fsb/ASrf +;Imported pterms FB5_18 + # A_FSB<23> & !ram/RS_FSM_FFd2 & !ram/RefUrgent & + !ram/RS_FSM_FFd3 & ram/BACTr + # A_FSB<23> & !ram/RS_FSM_FFd2 & !ram/RefUrgent & + !ram/RS_FSM_FFd3 & !ram/RefReq + # A_FSB<22> & !A_FSB<21> & !ram/RS_FSM_FFd2 & + !ram/RefUrgent & !ram/RS_FSM_FFd3 & ram/BACTr + # A_FSB<22> & cs/nOverlay1 & !ram/RS_FSM_FFd2 & + !ram/RefUrgent & !ram/RS_FSM_FFd3 & ram/BACTr + # !A_FSB<22> & !cs/nOverlay1 & !ram/RS_FSM_FFd2 & + !ram/RefUrgent & !ram/RS_FSM_FFd3 & ram/BACTr +;Imported pterms FB5_17 + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & + !ram/RAMEN + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & !ram/RAMEN & + fsb/ASrf; + ram/RASEL.CLK = FCLK; // GCK +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 3 | 2 | cnt/TimerTC +ATTRIBUTES | 8520480 | 0 +OUTPUTMC | 18 | 3 | 8 | 6 | 0 | 3 | 17 | 3 | 16 | 3 | 15 | 6 | 12 | 6 | 14 | 6 | 9 | 6 | 6 | 6 | 2 | 6 | 17 | 6 | 16 | 6 | 3 | 6 | 15 | 3 | 12 | 3 | 11 | 3 | 3 | 3 | 9 +INPUTS | 5 | cnt/Timer<1> | cnt/Timer<2> | cnt/Timer<0> | cnt/Timer<3> | E +INPUTMC | 4 | 3 | 12 | 3 | 11 | 3 | 3 | 3 | 9 +INPUTP | 1 | 37 +EQ | 3 | + cnt/TimerTC.D = !cnt/Timer<1> & !cnt/Timer<2> & !cnt/Timer<0> & + cnt/Timer<3>; + cnt/TimerTC.CLK = E; + +MACROCELL | 0 | 17 | iobm/IOS_FSM_FFd3 +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 12 | 5 | 11 | 5 | 8 | 5 | 10 | 0 | 17 | 0 | 13 | 5 | 9 | 5 | 17 | 5 | 15 | 5 | 3 | 5 | 2 | 5 | 14 | 5 | 16 +INPUTS | 6 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd2 | iobm/IOS_FSM_FFd3 | C8M | iobm/BG | iobm/IOREQr +INPUTMC | 5 | 0 | 13 | 5 | 9 | 0 | 17 | 0 | 14 | 0 | 5 +INPUTP | 1 | 35 +EQ | 6 | + iobm/IOS_FSM_FFd3.D = iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2 + # iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd1 & + !iobm/IOS_FSM_FFd2 + # !C8M & iobm/BG & !iobm/IOS_FSM_FFd1 & + !iobm/IOS_FSM_FFd2 & iobm/IOREQr; + iobm/IOS_FSM_FFd3.CLK = C16M; // GCK +GLOBALS | 1 | 2 | C16M + +MACROCELL | 4 | 6 | ram/RS_FSM_FFd1 +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 11 | 4 | 2 | 4 | 0 | 4 | 6 | 4 | 12 | 7 | 2 | 4 | 9 | 4 | 16 | 1 | 10 | 4 | 5 | 4 | 8 | 7 | 1 +INPUTS | 11 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | nAS_FSB | ram/RefUrgent | fsb/ASrf | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | ram/RAMEN | nOE_OBUF.EXP +INPUTMC | 8 | 4 | 6 | 4 | 12 | 7 | 2 | 1 | 7 | 1 | 14 | 4 | 3 | 4 | 9 | 4 | 5 +INPUTP | 3 | 54 | 36 | 30 +IMPORTS | 1 | 4 | 5 +EQ | 19 | + ram/RS_FSM_FFd1.D = ram/RS_FSM_FFd1 & ram/RS_FSM_FFd2 + # !ram/RS_FSM_FFd1 & ram/RS_FSM_FFd3 + # !nAS_FSB & ram/RS_FSM_FFd1 & ram/RefUrgent & + !ram/RS_FSM_FFd3 + # ram/RS_FSM_FFd1 & ram/RefUrgent & + !ram/RS_FSM_FFd3 & fsb/ASrf + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !nAS_FSB & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & ram/RefUrgent & !ram/RAMEN +;Imported pterms FB5_6 + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & ram/RefUrgent & !ram/RAMEN & + fsb/ASrf + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & + ram/RefUrgent & !ram/RAMEN + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & + ram/RefUrgent & !ram/RAMEN & fsb/ASrf; + ram/RS_FSM_FFd1.CLK = FCLK; // GCK +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 0 | 13 | iobm/IOS_FSM_FFd1 +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 11 | 5 | 11 | 5 | 8 | 5 | 10 | 0 | 17 | 0 | 13 | 5 | 9 | 5 | 17 | 5 | 15 | 5 | 3 | 5 | 16 | 5 | 14 +INPUTS | 3 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd2 | iobm/IOS_FSM_FFd1 +INPUTMC | 3 | 0 | 17 | 5 | 9 | 0 | 13 +EQ | 3 | + iobm/IOS_FSM_FFd1.D = iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd1 + # !iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd2; + iobm/IOS_FSM_FFd1.CLK = C16M; // GCK +GLOBALS | 1 | 2 | C16M + +MACROCELL | 5 | 9 | iobm/IOS_FSM_FFd2 +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 11 | 5 | 11 | 5 | 8 | 5 | 10 | 0 | 17 | 0 | 13 | 5 | 9 | 5 | 17 | 5 | 15 | 5 | 3 | 5 | 2 | 5 | 16 +INPUTS | 11 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd2 | C8M | iobm/ETACK | iobm/BERRrf | iobm/BERRrr | iobm/DTACKrf | iobm/DTACKrr | iobm/RESrf | iobm/RESrr +INPUTMC | 10 | 0 | 17 | 0 | 13 | 5 | 9 | 5 | 0 | 0 | 11 | 0 | 10 | 0 | 9 | 0 | 8 | 0 | 4 | 0 | 3 +INPUTP | 1 | 35 +EQ | 11 | + iobm/IOS_FSM_FFd2.T = iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd1 & + !iobm/IOS_FSM_FFd2 + # C8M & iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd1 & + iobm/IOS_FSM_FFd2 & iobm/ETACK + # C8M & iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd1 & + iobm/IOS_FSM_FFd2 & iobm/BERRrf & iobm/BERRrr + # C8M & iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd1 & + iobm/IOS_FSM_FFd2 & iobm/DTACKrf & iobm/DTACKrr + # C8M & iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd1 & + iobm/IOS_FSM_FFd2 & iobm/RESrf & iobm/RESrr; + iobm/IOS_FSM_FFd2.CLK = C16M; // GCK +GLOBALS | 1 | 2 | C16M + +MACROCELL | 4 | 12 | ram/RS_FSM_FFd2 +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 15 | 4 | 2 | 4 | 0 | 4 | 6 | 4 | 12 | 7 | 2 | 4 | 9 | 4 | 16 | 1 | 10 | 4 | 1 | 4 | 5 | 4 | 11 | 4 | 14 | 4 | 15 | 4 | 17 | 7 | 1 +INPUTS | 9 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd2 | nAS_FSB | ram/RS_FSM_FFd3 | fsb/ASrf | ram/RefUrgent | ram/RefReq | ram/BACTr | RA_5_OBUF.EXP +INPUTMC | 8 | 4 | 6 | 4 | 12 | 7 | 2 | 1 | 14 | 1 | 7 | 1 | 9 | 1 | 11 | 4 | 11 +INPUTP | 1 | 54 +IMPORTS | 1 | 4 | 11 +EQ | 19 | + !ram/RS_FSM_FFd2.D = ram/RS_FSM_FFd1 & ram/RS_FSM_FFd2 + # !nAS_FSB & ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 + # ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & fsb/ASrf + # !ram/RS_FSM_FFd2 & !ram/RefUrgent & + !ram/RS_FSM_FFd3 & ram/BACTr + # !ram/RS_FSM_FFd2 & !ram/RefUrgent & + !ram/RS_FSM_FFd3 & !ram/RefReq +;Imported pterms FB5_12 + # nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RefUrgent & + !ram/RS_FSM_FFd3 & !fsb/ASrf # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & @@ -900,248 +943,230 @@ EQ | 27 | !cs/nOverlay1 & !nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 # !A_FSB<23> & A_FSB<22> & A_FSB<21> & !cs/nOverlay1 & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & fsb/ASrf; - ram/RS_FSM_FFd2.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB + ram/RS_FSM_FFd2.CLK = FCLK; // GCK +GLOBALS | 1 | 2 | FCLK -MACROCELL | 5 | 2 | iobm/IOS_FSM_FFd3 +MACROCELL | 7 | 6 | iobs/PS_FSM_FFd2 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 13 | 5 | 11 | 5 | 8 | 5 | 10 | 5 | 2 | 0 | 15 | 5 | 9 | 5 | 17 | 5 | 15 | 5 | 6 | 3 | 4 | 5 | 0 | 5 | 14 | 5 | 16 -INPUTS | 6 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd2 | iobm/IOS_FSM_FFd3 | CLK_IOB | iobm/IOREQr | nAoutOE -INPUTMC | 5 | 0 | 15 | 5 | 9 | 5 | 2 | 1 | 9 | 3 | 1 -INPUTP | 1 | 35 -EQ | 6 | - iobm/IOS_FSM_FFd3.D = iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2 - # iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd1 & - !iobm/IOS_FSM_FFd2 - # !CLK_IOB & !iobm/IOS_FSM_FFd1 & !iobm/IOS_FSM_FFd2 & - iobm/IOREQr & !nAoutOE; - iobm/IOS_FSM_FFd3.CLK = CLK2X_IOB; // GCK -GLOBALS | 1 | 2 | CLK2X_IOB - -MACROCELL | 0 | 15 | iobm/IOS_FSM_FFd1 -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 12 | 5 | 11 | 5 | 8 | 5 | 10 | 5 | 2 | 0 | 15 | 5 | 9 | 5 | 17 | 5 | 15 | 5 | 6 | 5 | 16 | 5 | 0 | 5 | 14 -INPUTS | 3 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd2 | iobm/IOS_FSM_FFd1 -INPUTMC | 3 | 5 | 2 | 5 | 9 | 0 | 15 -EQ | 3 | - iobm/IOS_FSM_FFd1.D = iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd1 - # !iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd2; - iobm/IOS_FSM_FFd1.CLK = CLK2X_IOB; // GCK -GLOBALS | 1 | 2 | CLK2X_IOB - -MACROCELL | 5 | 9 | iobm/IOS_FSM_FFd2 -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 12 | 5 | 11 | 5 | 8 | 5 | 10 | 5 | 2 | 0 | 15 | 5 | 9 | 5 | 17 | 5 | 15 | 5 | 6 | 5 | 16 | 3 | 4 | 5 | 0 -INPUTS | 11 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd2 | CLK_IOB | iobm/ETACK | iobm/BERRrf | iobm/BERRrr | iobm/DTACKrf | iobm/DTACKrr | iobm/RESrf | iobm/RESrr -INPUTMC | 10 | 5 | 2 | 0 | 15 | 5 | 9 | 5 | 0 | 0 | 4 | 1 | 16 | 1 | 13 | 1 | 12 | 0 | 3 | 0 | 2 -INPUTP | 1 | 35 -EQ | 11 | - iobm/IOS_FSM_FFd2.T = iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd1 & - !iobm/IOS_FSM_FFd2 - # CLK_IOB & iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd1 & - iobm/IOS_FSM_FFd2 & iobm/ETACK - # CLK_IOB & iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd1 & - iobm/IOS_FSM_FFd2 & iobm/BERRrf & iobm/BERRrr - # CLK_IOB & iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd1 & - iobm/IOS_FSM_FFd2 & iobm/DTACKrf & iobm/DTACKrr - # CLK_IOB & iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd1 & - iobm/IOS_FSM_FFd2 & iobm/RESrf & iobm/RESrr; - iobm/IOS_FSM_FFd2.CLK = CLK2X_IOB; // GCK -GLOBALS | 1 | 2 | CLK2X_IOB - -MACROCELL | 6 | 3 | ram/RS_FSM_FFd3 -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 16 | 4 | 9 | 4 | 2 | 3 | 17 | 4 | 17 | 6 | 9 | 6 | 3 | 6 | 17 | 6 | 14 | 4 | 1 | 6 | 0 | 6 | 1 | 6 | 2 | 6 | 4 | 6 | 8 | 6 | 10 | 6 | 16 -INPUTS | 12 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd2 | A_FSB<23> | A_FSB<22> | ram/Once | cs/nOverlay1 | nAS_FSB | ram/RS_FSM_FFd3 | fsb/ASrf | A_FSB<21> | EXP29_.EXP | RA_7_OBUF.EXP -INPUTMC | 8 | 3 | 17 | 6 | 9 | 4 | 9 | 0 | 17 | 6 | 3 | 0 | 5 | 6 | 2 | 6 | 4 -INPUTP | 4 | 36 | 30 | 54 | 29 -IMPORTS | 2 | 6 | 2 | 6 | 4 -EQ | 30 | - ram/RS_FSM_FFd3.D = !ram/RS_FSM_FFd1 & ram/RS_FSM_FFd2 - # !A_FSB<23> & !A_FSB<22> & !ram/Once & cs/nOverlay1 & - !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 - # !A_FSB<23> & !A_FSB<22> & !ram/Once & cs/nOverlay1 & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & fsb/ASrf - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & !ram/Once & - !cs/nOverlay1 & !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & !ram/Once & - !cs/nOverlay1 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & fsb/ASrf -;Imported pterms FB7_3 - # !cnt/RefDone & !nAS_FSB & ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & - cnt/RefCnt<7> - # !cnt/RefDone & ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & - !ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & - fsb/ASrf - # !A_FSB<23> & !A_FSB<22> & !cnt/RefDone & - cs/nOverlay1 & !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & - cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> - # !A_FSB<23> & !A_FSB<22> & !cnt/RefDone & - cs/nOverlay1 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & - cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & fsb/ASrf - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & !cnt/RefDone & - !cs/nOverlay1 & !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & - cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> -;Imported pterms FB7_5 - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & !cnt/RefDone & - !cs/nOverlay1 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & - cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & fsb/ASrf; - ram/RS_FSM_FFd3.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 7 | 3 | iobs/PS_FSM_FFd2 -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 17 | 4 | 6 | 7 | 15 | 7 | 9 | 4 | 3 | 7 | 12 | 3 | 16 | 3 | 14 | 7 | 3 | 3 | 12 | 4 | 12 | 3 | 2 | 3 | 0 | 7 | 8 | 7 | 1 | 7 | 2 | 7 | 4 | 7 | 7 -INPUTS | 12 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | iobs/IOACTr | iobs/Once | nADoutLE1 | nAS_FSB | fsb/ASrf | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | EXP33_.EXP | nRAS_OBUF.EXP -INPUTMC | 9 | 7 | 3 | 3 | 12 | 1 | 6 | 4 | 6 | 5 | 13 | 0 | 5 | 0 | 17 | 7 | 2 | 7 | 4 -INPUTP | 3 | 54 | 36 | 30 -IMPORTS | 2 | 7 | 2 | 7 | 4 -EQ | 33 | +OUTPUTMC | 21 | 7 | 16 | 2 | 14 | 0 | 16 | 2 | 10 | 2 | 5 | 0 | 15 | 7 | 11 | 7 | 6 | 1 | 15 | 7 | 9 | 1 | 13 | 2 | 4 | 7 | 13 | 2 | 13 | 2 | 15 | 2 | 16 | 7 | 5 | 7 | 7 | 7 | 12 | 7 | 14 | 7 | 15 +INPUTS | 11 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | iobs/IOACTr | iobs/Once | nADoutLE1 | A_FSB<23> | A_FSB<20> | nAS_FSB | fsb/ASrf | nRAMLWE_OBUF.EXP | nRAMUWE_OBUF.EXP +INPUTMC | 8 | 7 | 6 | 1 | 15 | 1 | 12 | 7 | 16 | 5 | 13 | 1 | 14 | 7 | 5 | 7 | 7 +INPUTP | 3 | 36 | 28 | 54 +IMPORTS | 2 | 7 | 5 | 7 | 7 +EQ | 31 | !iobs/PS_FSM_FFd2.D = iobs/PS_FSM_FFd2 & iobs/PS_FSM_FFd1 & iobs/IOACTr # !iobs/PS_FSM_FFd2 & iobs/PS_FSM_FFd1 & !iobs/IOACTr # iobs/Once & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # !A_FSB<23> & !A_FSB<20> & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & nADoutLE1 # nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & !fsb/ASrf & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 -;Imported pterms FB8_3 +;Imported pterms FB8_6 # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 - # !A_FSB<23> & A_FSB<21> & !A_FSB<20> & + # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 # !A_FSB<23> & A_FSB<21> & !A_FSB<19> & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 - # !A_FSB<23> & A_FSB<21> & !A_FSB<17> & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 # !A_FSB<23> & A_FSB<21> & !A_FSB<16> & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 -;Imported pterms FB8_5 - # !A_FSB<23> & A_FSB<21> & !A_FSB<18> & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 # !A_FSB<23> & A_FSB<21> & nWE_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 - # !A_FSB<23> & !A_FSB<20> & !iobs/PS_FSM_FFd2 & - !iobs/PS_FSM_FFd1 & SW<1> & nADoutLE1 +;Imported pterms FB8_8 + # !A_FSB<23> & A_FSB<21> & !A_FSB<18> & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # !A_FSB<23> & A_FSB<21> & !A_FSB<17> & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 # !A_FSB<23> & A_FSB<22> & A_FSB<21> & cs/nOverlay1 & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1; - iobs/PS_FSM_FFd2.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB + iobs/PS_FSM_FFd2.CLK = FCLK; // GCK +GLOBALS | 1 | 2 | FCLK -MACROCELL | 3 | 7 | cnt/RefCnt<5> -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 22 | 3 | 13 | 3 | 15 | 6 | 12 | 6 | 6 | 4 | 2 | 3 | 17 | 4 | 17 | 6 | 8 | 6 | 2 | 3 | 6 | 3 | 3 | 6 | 17 | 6 | 14 | 3 | 0 | 3 | 16 | 4 | 0 | 4 | 1 | 4 | 15 | 4 | 16 | 6 | 0 | 6 | 1 | 6 | 4 -INPUTS | 5 | cnt/RefCnt<0> | cnt/RefCnt<1> | cnt/RefCnt<3> | cnt/RefCnt<2> | cnt/RefCnt<4> -INPUTMC | 5 | 1 | 17 | 0 | 6 | 3 | 9 | 3 | 11 | 3 | 8 -EQ | 3 | - cnt/RefCnt<5>.T = cnt/RefCnt<0> & cnt/RefCnt<1> & cnt/RefCnt<3> & - cnt/RefCnt<2> & cnt/RefCnt<4>; - cnt/RefCnt<5>.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB +MACROCELL | 1 | 7 | ram/RefUrgent +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 13 | 4 | 0 | 4 | 6 | 4 | 12 | 7 | 2 | 4 | 9 | 4 | 16 | 4 | 1 | 4 | 5 | 4 | 11 | 4 | 14 | 4 | 15 | 4 | 17 | 7 | 1 +INPUTS | 2 | ram/RefDone | ram/RegUrgentSync +INPUTMC | 2 | 4 | 2 | 1 | 6 +EQ | 2 | + ram/RefUrgent.D = !ram/RefDone & ram/RegUrgentSync; + ram/RefUrgent.CLK = FCLK; // GCK +GLOBALS | 1 | 2 | FCLK -MACROCELL | 3 | 6 | cnt/RefCnt<6> +MACROCELL | 7 | 2 | ram/RS_FSM_FFd3 ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 22 | 3 | 13 | 3 | 15 | 6 | 12 | 6 | 6 | 4 | 2 | 3 | 17 | 4 | 17 | 6 | 8 | 6 | 2 | 3 | 3 | 6 | 17 | 6 | 14 | 3 | 0 | 3 | 16 | 4 | 0 | 4 | 1 | 4 | 15 | 4 | 16 | 6 | 0 | 6 | 1 | 6 | 4 | 6 | 10 -INPUTS | 6 | cnt/RefCnt<5> | cnt/RefCnt<0> | cnt/RefCnt<1> | cnt/RefCnt<3> | cnt/RefCnt<2> | cnt/RefCnt<4> -INPUTMC | 6 | 3 | 7 | 1 | 17 | 0 | 6 | 3 | 9 | 3 | 11 | 3 | 8 -EQ | 3 | - cnt/RefCnt<6>.T = cnt/RefCnt<5> & cnt/RefCnt<0> & cnt/RefCnt<1> & - cnt/RefCnt<3> & cnt/RefCnt<2> & cnt/RefCnt<4>; - cnt/RefCnt<6>.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB +OUTPUTMC | 12 | 4 | 0 | 4 | 6 | 4 | 12 | 7 | 2 | 4 | 9 | 4 | 16 | 4 | 1 | 4 | 11 | 4 | 14 | 4 | 15 | 4 | 17 | 7 | 1 +INPUTS | 9 | A_FSB<22> | cs/nOverlay1 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | A_FSB<21> | ram/RefUrgent | ram/RAMEN | A_FSB_19_IBUF$BUF0.EXP +INPUTMC | 7 | 4 | 3 | 4 | 6 | 4 | 12 | 7 | 2 | 1 | 7 | 4 | 9 | 7 | 1 +INPUTP | 2 | 30 | 29 +IMPORTS | 1 | 7 | 1 +EQ | 20 | + !ram/RS_FSM_FFd3.T = !ram/RS_FSM_FFd1 & ram/RS_FSM_FFd2 & + ram/RS_FSM_FFd3 + # !ram/RS_FSM_FFd2 & !ram/RefUrgent & + !ram/RS_FSM_FFd3 & !ram/RAMEN + # A_FSB<22> & !A_FSB<21> & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 + # A_FSB<22> & cs/nOverlay1 & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 + # !A_FSB<22> & !cs/nOverlay1 & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 +;Imported pterms FB8_2 + # ram/RS_FSM_FFd1 & ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd3 + # ram/RS_FSM_FFd1 & !ram/RefUrgent & + !ram/RS_FSM_FFd3 + # A_FSB<23> & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd3 + # nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & + !fsb/ASrf; + ram/RS_FSM_FFd3.CLK = FCLK; // GCK +GLOBALS | 1 | 2 | FCLK -MACROCELL | 5 | 5 | iobm/ES<0> +MACROCELL | 5 | 6 | iobm/ES<0> ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 7 | 5 | 1 | 5 | 5 | 5 | 4 | 5 | 12 | 5 | 3 | 5 | 7 | 5 | 0 +OUTPUTMC | 7 | 5 | 1 | 5 | 6 | 5 | 5 | 5 | 12 | 5 | 4 | 5 | 7 | 5 | 0 INPUTS | 7 | iobm/ES<0> | iobm/Er | iobm/Er2 | iobm/ES<1> | iobm/ES<2> | iobm/ES<3> | iobm/ES<4> -INPUTMC | 7 | 5 | 5 | 1 | 11 | 1 | 10 | 5 | 4 | 5 | 12 | 5 | 3 | 5 | 7 +INPUTMC | 7 | 5 | 6 | 0 | 7 | 0 | 6 | 5 | 5 | 5 | 12 | 5 | 4 | 5 | 7 EQ | 6 | !iobm/ES<0>.T = iobm/ES<0> & !iobm/Er & iobm/Er2 # !iobm/ES<0> & !iobm/ES<1> & !iobm/ES<2> & !iobm/ES<3> & !iobm/ES<4> & iobm/Er # !iobm/ES<0> & !iobm/ES<1> & !iobm/ES<2> & !iobm/ES<3> & !iobm/ES<4> & !iobm/Er2; - iobm/ES<0>.CLK = CLK2X_IOB; // GCK -GLOBALS | 1 | 2 | CLK2X_IOB + iobm/ES<0>.CLK = C16M; // GCK +GLOBALS | 1 | 2 | C16M -MACROCELL | 3 | 12 | iobs/PS_FSM_FFd1 +MACROCELL | 1 | 15 | iobs/PS_FSM_FFd1 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 14 | 4 | 6 | 7 | 4 | 4 | 3 | 7 | 12 | 3 | 14 | 7 | 3 | 3 | 12 | 4 | 12 | 3 | 2 | 3 | 0 | 7 | 8 | 4 | 5 | 7 | 1 | 7 | 2 +OUTPUTMC | 17 | 7 | 16 | 2 | 14 | 0 | 16 | 2 | 10 | 0 | 15 | 7 | 6 | 1 | 15 | 7 | 9 | 1 | 13 | 2 | 4 | 7 | 13 | 2 | 13 | 2 | 15 | 2 | 16 | 7 | 5 | 7 | 7 | 7 | 15 INPUTS | 3 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | iobs/IOACTr -INPUTMC | 3 | 7 | 3 | 3 | 12 | 1 | 6 +INPUTMC | 3 | 7 | 6 | 1 | 15 | 1 | 12 EQ | 3 | iobs/PS_FSM_FFd1.D = iobs/PS_FSM_FFd2 # iobs/PS_FSM_FFd1 & iobs/IOACTr; - iobs/PS_FSM_FFd1.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB + iobs/PS_FSM_FFd1.CLK = FCLK; // GCK +GLOBALS | 1 | 2 | FCLK -MACROCELL | 5 | 4 | iobm/ES<1> +MACROCELL | 4 | 9 | ram/RAMEN ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 7 | 5 | 1 | 5 | 5 | 5 | 4 | 5 | 12 | 5 | 3 | 5 | 7 | 5 | 0 +OUTPUTMC | 10 | 7 | 7 | 4 | 6 | 7 | 2 | 4 | 9 | 4 | 14 | 7 | 4 | 4 | 16 | 4 | 1 | 4 | 5 | 4 | 8 +INPUTS | 10 | ram/RS_FSM_FFd2 | ram/RAMEN | ram/RS_FSM_FFd3 | ram/RS_FSM_FFd1 | ram/RefUrgent | ram/BACTr | ram/RefReq | nAS_FSB | fsb/ASrf | RA_4_OBUF.EXP +INPUTMC | 9 | 4 | 12 | 4 | 9 | 7 | 2 | 4 | 6 | 1 | 7 | 1 | 11 | 1 | 9 | 1 | 14 | 4 | 8 +INPUTP | 1 | 54 +IMPORTS | 1 | 4 | 8 +EQ | 18 | + ram/RAMEN.D = ram/RS_FSM_FFd2 & ram/RAMEN + # ram/RS_FSM_FFd3 & ram/RAMEN + # !ram/RS_FSM_FFd1 & !ram/RefUrgent & ram/RAMEN & + ram/BACTr + # !ram/RS_FSM_FFd1 & !ram/RefUrgent & ram/RAMEN & + !ram/RefReq + # nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RefUrgent & + !ram/RS_FSM_FFd3 & !fsb/ASrf +;Imported pterms FB5_9 + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !nAS_FSB & + !ram/RS_FSM_FFd1 & ram/RAMEN + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & + !ram/RS_FSM_FFd1 & ram/RAMEN & fsb/ASrf + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !nAS_FSB & !ram/RS_FSM_FFd1 & ram/RAMEN + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !ram/RS_FSM_FFd1 & ram/RAMEN & fsb/ASrf; + ram/RAMEN.CLK = FCLK; // GCK +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 3 | 12 | cnt/Timer<1> +ATTRIBUTES | 8520480 | 0 +OUTPUTMC | 6 | 3 | 2 | 3 | 12 | 3 | 11 | 3 | 9 | 3 | 14 | 3 | 13 +INPUTS | 4 | cnt/TimerTC | cnt/Timer<1> | cnt/Timer<0> | E +INPUTMC | 3 | 3 | 2 | 3 | 12 | 3 | 3 +INPUTP | 1 | 37 +EQ | 3 | + cnt/Timer<1>.D = !cnt/TimerTC & cnt/Timer<1> & !cnt/Timer<0> + # !cnt/TimerTC & !cnt/Timer<1> & cnt/Timer<0>; + cnt/Timer<1>.CLK = E; + +MACROCELL | 3 | 11 | cnt/Timer<2> +ATTRIBUTES | 4326176 | 0 +OUTPUTMC | 5 | 3 | 2 | 3 | 11 | 3 | 9 | 3 | 14 | 3 | 13 +INPUTS | 5 | cnt/TimerTC | cnt/Timer<1> | cnt/Timer<0> | cnt/Timer<2> | E +INPUTMC | 4 | 3 | 2 | 3 | 12 | 3 | 3 | 3 | 11 +INPUTP | 1 | 37 +EQ | 3 | + cnt/Timer<2>.T = cnt/TimerTC & cnt/Timer<2> + # !cnt/TimerTC & cnt/Timer<1> & cnt/Timer<0>; + cnt/Timer<2>.CLK = E; + +MACROCELL | 5 | 5 | iobm/ES<1> +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 7 | 5 | 1 | 5 | 6 | 5 | 5 | 5 | 12 | 5 | 4 | 5 | 7 | 5 | 0 INPUTS | 4 | iobm/ES<0> | iobm/ES<1> | iobm/Er | iobm/Er2 -INPUTMC | 4 | 5 | 5 | 5 | 4 | 1 | 11 | 1 | 10 +INPUTMC | 4 | 5 | 6 | 5 | 5 | 0 | 7 | 0 | 6 EQ | 4 | !iobm/ES<1>.D = iobm/ES<0> & iobm/ES<1> # !iobm/ES<0> & !iobm/ES<1> # !iobm/Er & iobm/Er2; - iobm/ES<1>.CLK = CLK2X_IOB; // GCK -GLOBALS | 1 | 2 | CLK2X_IOB + iobm/ES<1>.CLK = C16M; // GCK +GLOBALS | 1 | 2 | C16M -MACROCELL | 1 | 17 | cnt/RefCnt<0> -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 11 | 3 | 13 | 3 | 15 | 6 | 12 | 6 | 6 | 3 | 7 | 3 | 6 | 3 | 3 | 0 | 6 | 3 | 9 | 3 | 11 | 3 | 8 -INPUTS | 0 +MACROCELL | 3 | 3 | cnt/Timer<0> +ATTRIBUTES | 8520480 | 0 +OUTPUTMC | 7 | 3 | 2 | 3 | 12 | 3 | 11 | 3 | 3 | 3 | 9 | 3 | 14 | 3 | 13 +INPUTS | 3 | cnt/TimerTC | cnt/Timer<0> | E +INPUTMC | 2 | 3 | 2 | 3 | 3 +INPUTP | 1 | 37 EQ | 2 | - cnt/RefCnt<0>.T = Vcc; - cnt/RefCnt<0>.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB + cnt/Timer<0>.D = !cnt/TimerTC & !cnt/Timer<0>; + cnt/Timer<0>.CLK = E; -MACROCELL | 3 | 3 | cnt/RefCnt<7> -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 20 | 3 | 13 | 6 | 12 | 6 | 6 | 4 | 2 | 3 | 17 | 4 | 17 | 6 | 9 | 6 | 2 | 6 | 17 | 6 | 14 | 3 | 0 | 3 | 16 | 4 | 0 | 4 | 1 | 4 | 15 | 4 | 16 | 6 | 0 | 6 | 1 | 6 | 4 | 6 | 8 -INPUTS | 7 | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<0> | cnt/RefCnt<1> | cnt/RefCnt<3> | cnt/RefCnt<2> | cnt/RefCnt<4> -INPUTMC | 7 | 3 | 7 | 3 | 6 | 1 | 17 | 0 | 6 | 3 | 9 | 3 | 11 | 3 | 8 -EQ | 3 | - cnt/RefCnt<7>.T = cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<0> & - cnt/RefCnt<1> & cnt/RefCnt<3> & cnt/RefCnt<2> & cnt/RefCnt<4>; - cnt/RefCnt<7>.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB +MACROCELL | 3 | 9 | cnt/Timer<3> +ATTRIBUTES | 4326176 | 0 +OUTPUTMC | 4 | 3 | 2 | 3 | 9 | 3 | 14 | 3 | 13 +INPUTS | 6 | cnt/TimerTC | cnt/Timer<1> | cnt/Timer<2> | cnt/Timer<0> | cnt/Timer<3> | E +INPUTMC | 5 | 3 | 2 | 3 | 12 | 3 | 11 | 3 | 3 | 3 | 9 +INPUTP | 1 | 37 +EQ | 4 | + cnt/Timer<3>.T = cnt/TimerTC & cnt/Timer<3> + # !cnt/TimerTC & cnt/Timer<1> & cnt/Timer<2> & + cnt/Timer<0>; + cnt/Timer<3>.CLK = E; MACROCELL | 5 | 12 | iobm/ES<2> ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 6 | 5 | 1 | 5 | 5 | 5 | 12 | 5 | 3 | 5 | 7 | 5 | 0 +OUTPUTMC | 6 | 5 | 1 | 5 | 6 | 5 | 12 | 5 | 4 | 5 | 7 | 5 | 0 INPUTS | 7 | iobm/ES<0> | iobm/ES<2> | iobm/ES<1> | iobm/Er | iobm/Er2 | iobm/ES<3> | iobm/ES<4> -INPUTMC | 7 | 5 | 5 | 5 | 12 | 5 | 4 | 1 | 11 | 1 | 10 | 5 | 3 | 5 | 7 +INPUTMC | 7 | 5 | 6 | 5 | 12 | 5 | 5 | 0 | 7 | 0 | 6 | 5 | 4 | 5 | 7 EQ | 6 | !iobm/ES<2>.D = !iobm/ES<0> & !iobm/ES<2> # !iobm/ES<1> & !iobm/ES<2> # !iobm/Er & iobm/Er2 # iobm/ES<0> & iobm/ES<1> & iobm/ES<2> # !iobm/ES<2> & !iobm/ES<3> & iobm/ES<4>; - iobm/ES<2>.CLK = CLK2X_IOB; // GCK -GLOBALS | 1 | 2 | CLK2X_IOB + iobm/ES<2>.CLK = C16M; // GCK +GLOBALS | 1 | 2 | C16M -MACROCELL | 5 | 3 | iobm/ES<3> +MACROCELL | 5 | 4 | iobm/ES<3> ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 6 | 5 | 1 | 5 | 5 | 5 | 12 | 5 | 3 | 5 | 7 | 5 | 0 +OUTPUTMC | 6 | 5 | 1 | 5 | 6 | 5 | 12 | 5 | 4 | 5 | 7 | 5 | 0 INPUTS | 6 | iobm/ES<3> | iobm/Er | iobm/Er2 | iobm/ES<0> | iobm/ES<1> | iobm/ES<2> -INPUTMC | 6 | 5 | 3 | 1 | 11 | 1 | 10 | 5 | 5 | 5 | 4 | 5 | 12 +INPUTMC | 6 | 5 | 4 | 0 | 7 | 0 | 6 | 5 | 6 | 5 | 5 | 5 | 12 EQ | 4 | iobm/ES<3>.T = iobm/ES<3> & !iobm/Er & iobm/Er2 # iobm/ES<0> & iobm/ES<1> & iobm/ES<2> & iobm/Er # iobm/ES<0> & iobm/ES<1> & iobm/ES<2> & !iobm/Er2; - iobm/ES<3>.CLK = CLK2X_IOB; // GCK -GLOBALS | 1 | 2 | CLK2X_IOB + iobm/ES<3>.CLK = C16M; // GCK +GLOBALS | 1 | 2 | C16M MACROCELL | 5 | 7 | iobm/ES<4> ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 5 | 5 | 1 | 5 | 5 | 5 | 12 | 5 | 7 | 5 | 0 +OUTPUTMC | 5 | 5 | 1 | 5 | 6 | 5 | 12 | 5 | 7 | 5 | 0 INPUTS | 7 | iobm/ES<4> | iobm/Er | iobm/Er2 | iobm/ES<0> | iobm/ES<1> | iobm/ES<2> | iobm/ES<3> -INPUTMC | 7 | 5 | 7 | 1 | 11 | 1 | 10 | 5 | 5 | 5 | 4 | 5 | 12 | 5 | 3 +INPUTMC | 7 | 5 | 7 | 0 | 7 | 0 | 6 | 5 | 6 | 5 | 5 | 5 | 12 | 5 | 4 EQ | 8 | iobm/ES<4>.T = iobm/ES<4> & !iobm/Er & iobm/Er2 # iobm/ES<0> & iobm/ES<1> & iobm/ES<2> & @@ -1150,576 +1175,508 @@ EQ | 8 | iobm/ES<3> & !iobm/Er2 # iobm/ES<0> & iobm/ES<1> & !iobm/ES<2> & !iobm/ES<3> & iobm/ES<4>; - iobm/ES<4>.CLK = CLK2X_IOB; // GCK -GLOBALS | 1 | 2 | CLK2X_IOB + iobm/ES<4>.CLK = C16M; // GCK +GLOBALS | 1 | 2 | C16M -MACROCELL | 0 | 6 | cnt/RefCnt<1> +MACROCELL | 7 | 0 | cnt/PORS_FSM_FFd1 ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 10 | 3 | 13 | 3 | 15 | 6 | 12 | 6 | 6 | 3 | 7 | 3 | 6 | 3 | 3 | 3 | 9 | 3 | 11 | 3 | 8 -INPUTS | 1 | cnt/RefCnt<0> -INPUTMC | 1 | 1 | 17 -EQ | 2 | - cnt/RefCnt<1>.T = cnt/RefCnt<0>; - cnt/RefCnt<1>.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB +OUTPUTMC | 6 | 3 | 1 | 7 | 14 | 7 | 0 | 3 | 6 | 3 | 0 | 7 | 17 +INPUTS | 11 | cnt/LTimer<13> | cnt/PORS_FSM_FFd1 | cnt/PORS_FSM_FFd2 | cnt/IPL2r | A_FSB<14> | A_FSB<13> | A_FSB<23> | A_FSB<21> | iobs/Once | A_FSB<22> | cs/nOverlay1 +INPUTMC | 6 | 6 | 0 | 7 | 0 | 3 | 6 | 0 | 12 | 7 | 16 | 4 | 3 +INPUTP | 5 | 19 | 18 | 36 | 29 | 30 +EXPORTS | 1 | 7 | 17 +EQ | 7 | + cnt/PORS_FSM_FFd1.T = cnt/LTimer<13> & !cnt/PORS_FSM_FFd1 & + cnt/PORS_FSM_FFd2 & !cnt/IPL2r; + !cnt/PORS_FSM_FFd1.CLK = C8M; // GCK + cnt/PORS_FSM_FFd1.EXP = !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> & + !iobs/Once + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + cs/nOverlay1 & !iobs/Once +GLOBALS | 1 | 2 | C8M -MACROCELL | 3 | 9 | cnt/RefCnt<3> -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 8 | 3 | 13 | 3 | 15 | 6 | 12 | 6 | 6 | 3 | 7 | 3 | 6 | 3 | 3 | 3 | 8 -INPUTS | 3 | cnt/RefCnt<0> | cnt/RefCnt<1> | cnt/RefCnt<2> -INPUTMC | 3 | 1 | 17 | 0 | 6 | 3 | 11 -EQ | 2 | - cnt/RefCnt<3>.T = cnt/RefCnt<0> & cnt/RefCnt<1> & cnt/RefCnt<2>; - cnt/RefCnt<3>.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 1 | 6 | iobs/IOACTr +MACROCELL | 1 | 12 | iobs/IOACTr ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 5 | 7 | 15 | 3 | 16 | 7 | 3 | 3 | 12 | 7 | 8 +OUTPUTMC | 5 | 2 | 5 | 7 | 11 | 7 | 6 | 1 | 15 | 7 | 13 INPUTS | 1 | iobm/IOACT INPUTMC | 1 | 5 | 15 EQ | 2 | iobs/IOACTr.D = iobm/IOACT; - iobs/IOACTr.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB + iobs/IOACTr.CLK = FCLK; // GCK +GLOBALS | 1 | 2 | FCLK -MACROCELL | 3 | 11 | cnt/RefCnt<2> -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 9 | 3 | 13 | 3 | 15 | 6 | 12 | 6 | 6 | 3 | 7 | 3 | 6 | 3 | 3 | 3 | 9 | 3 | 8 -INPUTS | 2 | cnt/RefCnt<0> | cnt/RefCnt<1> -INPUTMC | 2 | 1 | 17 | 0 | 6 -EQ | 2 | - cnt/RefCnt<2>.T = cnt/RefCnt<0> & cnt/RefCnt<1>; - cnt/RefCnt<2>.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 3 | 8 | cnt/RefCnt<4> -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 7 | 3 | 13 | 3 | 15 | 6 | 12 | 6 | 6 | 3 | 7 | 3 | 6 | 3 | 3 -INPUTS | 4 | cnt/RefCnt<0> | cnt/RefCnt<1> | cnt/RefCnt<3> | cnt/RefCnt<2> -INPUTMC | 4 | 1 | 17 | 0 | 6 | 3 | 9 | 3 | 11 -EQ | 3 | - cnt/RefCnt<4>.T = cnt/RefCnt<0> & cnt/RefCnt<1> & cnt/RefCnt<3> & - cnt/RefCnt<2>; - cnt/RefCnt<4>.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 4 | 12 | iobs/Load1 +MACROCELL | 3 | 6 | cnt/PORS_FSM_FFd2 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 3 | 0 | 14 | 0 | 13 | 5 | 13 -INPUTS | 11 | nADoutLE1 | iobs/Once | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nAS_FSB | fsb/ASrf | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | RA_5_OBUF.EXP | RA_2_OBUF.EXP -INPUTMC | 8 | 5 | 13 | 4 | 6 | 7 | 3 | 3 | 12 | 0 | 5 | 0 | 17 | 4 | 11 | 4 | 13 -INPUTP | 3 | 54 | 36 | 30 -IMPORTS | 2 | 4 | 11 | 4 | 13 -EQ | 19 | +OUTPUTMC | 5 | 3 | 1 | 7 | 14 | 7 | 0 | 3 | 6 | 3 | 0 +INPUTS | 3 | cnt/LTimer<13> | cnt/PORS_FSM_FFd2 | cnt/PORS_FSM_FFd1 +INPUTMC | 3 | 6 | 0 | 3 | 6 | 7 | 0 +EQ | 3 | + cnt/PORS_FSM_FFd2.D = cnt/LTimer<13> & !cnt/PORS_FSM_FFd1 + # !cnt/LTimer<13> & cnt/PORS_FSM_FFd2; + !cnt/PORS_FSM_FFd2.CLK = C8M; // GCK +GLOBALS | 1 | 2 | C8M + +MACROCELL | 7 | 9 | iobs/Load1 +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 3 | 1 | 17 | 1 | 16 | 5 | 13 +INPUTS | 10 | nADoutLE1 | iobs/Once | A_FSB<23> | A_FSB<20> | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nAS_FSB | fsb/ASrf | EXP24_.EXP | EXP25_.EXP +INPUTMC | 7 | 5 | 13 | 7 | 16 | 7 | 6 | 1 | 15 | 1 | 14 | 7 | 8 | 7 | 10 +INPUTP | 3 | 36 | 28 | 54 +IMPORTS | 2 | 7 | 8 | 7 | 10 +EQ | 18 | !iobs/Load1.D = iobs/Once # !nADoutLE1 + # !A_FSB<23> & !A_FSB<20> # nAS_FSB & !fsb/ASrf # !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 - # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 -;Imported pterms FB5_12 +;Imported pterms FB8_9 # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> - # !A_FSB<23> & A_FSB<21> & !A_FSB<20> + # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 # !A_FSB<23> & A_FSB<21> & !A_FSB<19> + # !A_FSB<23> & A_FSB<21> & nWE_FSB +;Imported pterms FB8_11 + # !A_FSB<23> & A_FSB<21> & !A_FSB<18> # !A_FSB<23> & A_FSB<21> & !A_FSB<17> # !A_FSB<23> & A_FSB<21> & !A_FSB<16> -;Imported pterms FB5_14 - # !A_FSB<23> & A_FSB<21> & !A_FSB<18> - # !A_FSB<23> & A_FSB<21> & nWE_FSB - # !A_FSB<23> & !A_FSB<20> & SW<1> # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> # !A_FSB<23> & A_FSB<22> & A_FSB<21> & cs/nOverlay1; - iobs/Load1.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB + iobs/Load1.CLK = FCLK; // GCK +GLOBALS | 1 | 2 | FCLK -MACROCELL | 0 | 1 | ram/BACTr +MACROCELL | 1 | 11 | ram/BACTr ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 11 | 4 | 17 | 6 | 9 | 6 | 17 | 6 | 14 | 4 | 0 | 4 | 16 | 6 | 0 | 6 | 8 | 6 | 13 | 6 | 15 | 6 | 16 +OUTPUTMC | 5 | 4 | 16 | 4 | 12 | 4 | 9 | 4 | 15 | 4 | 17 INPUTS | 2 | nAS_FSB | fsb/ASrf -INPUTMC | 1 | 0 | 5 +INPUTMC | 1 | 1 | 14 INPUTP | 1 | 54 EQ | 2 | !ram/BACTr.D = nAS_FSB & !fsb/ASrf; - ram/BACTr.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB + ram/BACTr.CLK = FCLK; // GCK +GLOBALS | 1 | 2 | FCLK -MACROCELL | 0 | 9 | RESr0 +MACROCELL | 1 | 9 | ram/RefReq ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 3 | 0 | 8 | 7 | 6 | 7 | 14 -INPUTS | 1 | nRES -INPUTP | 1 | 145 +OUTPUTMC | 6 | 4 | 15 | 4 | 12 | 4 | 9 | 4 | 1 | 4 | 14 | 4 | 17 +INPUTS | 2 | ram/RefDone | ram/RefReqSync +INPUTMC | 2 | 4 | 2 | 1 | 8 EQ | 2 | - RESr0.D = !nRES; - RESr0.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 0 | 8 | RESr1 -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 3 | 0 | 7 | 7 | 6 | 7 | 14 -INPUTS | 1 | RESr0 -INPUTMC | 1 | 0 | 9 -EQ | 2 | - RESr1.D = RESr0; - RESr1.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB + ram/RefReq.D = !ram/RefDone & ram/RefReqSync; + ram/RefReq.CLK = FCLK; // GCK +GLOBALS | 1 | 2 | FCLK MACROCELL | 5 | 17 | iobm/IOBERR ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 4 | 7 | 15 | 3 | 16 | 5 | 17 | 5 | 0 -INPUTS | 12 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd2 | iobm/IOBERR | CLK_IOB | nBERR_IOB | iobm/ETACK | iobm/BERRrf | iobm/BERRrr | iobm/DTACKrf | iobm/DTACKrr | iobm/ETACK.EXP -INPUTMC | 10 | 5 | 2 | 0 | 15 | 5 | 9 | 5 | 17 | 5 | 0 | 0 | 4 | 1 | 16 | 1 | 13 | 1 | 12 | 5 | 0 +OUTPUTMC | 4 | 2 | 5 | 7 | 11 | 5 | 17 | 5 | 16 +INPUTS | 12 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd2 | iobm/IOBERR | C8M | nBERR_IOB | iobm/ETACK | iobm/BERRrf | iobm/BERRrr | iobm/DTACKrf | iobm/DTACKrr | iobm/nDinLE.EXP +INPUTMC | 10 | 0 | 17 | 0 | 13 | 5 | 9 | 5 | 17 | 5 | 0 | 0 | 11 | 0 | 10 | 0 | 9 | 0 | 8 | 5 | 16 INPUTP | 2 | 35 | 123 -IMPORTS | 1 | 5 | 0 +IMPORTS | 1 | 5 | 16 EQ | 26 | iobm/IOBERR.T = iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd1 & !iobm/IOS_FSM_FFd2 & iobm/IOBERR - # CLK_IOB & nBERR_IOB & iobm/IOS_FSM_FFd3 & + # C8M & nBERR_IOB & iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2 & iobm/IOBERR & iobm/ETACK - # CLK_IOB & !nBERR_IOB & iobm/IOS_FSM_FFd3 & + # C8M & !nBERR_IOB & iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2 & !iobm/IOBERR & iobm/ETACK - # CLK_IOB & nBERR_IOB & iobm/IOS_FSM_FFd3 & + # C8M & nBERR_IOB & iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2 & iobm/IOBERR & iobm/BERRrf & iobm/BERRrr - # CLK_IOB & nBERR_IOB & iobm/IOS_FSM_FFd3 & + # C8M & nBERR_IOB & iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2 & iobm/IOBERR & iobm/DTACKrf & iobm/DTACKrr -;Imported pterms FB6_1 - # CLK_IOB & nBERR_IOB & iobm/IOS_FSM_FFd3 & +;Imported pterms FB6_17 + # C8M & nBERR_IOB & iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2 & iobm/IOBERR & iobm/RESrf & iobm/RESrr - # CLK_IOB & !nBERR_IOB & iobm/IOS_FSM_FFd3 & + # C8M & !nBERR_IOB & iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2 & !iobm/IOBERR & iobm/BERRrf & iobm/BERRrr - # CLK_IOB & !nBERR_IOB & iobm/IOS_FSM_FFd3 & + # C8M & !nBERR_IOB & iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2 & !iobm/IOBERR & iobm/DTACKrf & iobm/DTACKrr - # CLK_IOB & !nBERR_IOB & iobm/IOS_FSM_FFd3 & + # C8M & !nBERR_IOB & iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2 & !iobm/IOBERR & iobm/RESrf & iobm/RESrr; - iobm/IOBERR.CLK = CLK2X_IOB; // GCK -GLOBALS | 1 | 2 | CLK2X_IOB + iobm/IOBERR.CLK = C16M; // GCK +GLOBALS | 1 | 2 | C16M -MACROCELL | 0 | 11 | IPL2r0 +MACROCELL | 0 | 5 | iobm/IOREQr ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 2 | 0 | 10 | 7 | 14 +OUTPUTMC | 3 | 0 | 17 | 5 | 15 | 5 | 3 +INPUTS | 1 | iobs/IOREQ +INPUTMC | 1 | 7 | 13 +EQ | 2 | + iobm/IOREQr.D = iobs/IOREQ; + !iobm/IOREQr.CLK = C16M; // GCK +GLOBALS | 1 | 2 | C16M + +MACROCELL | 1 | 8 | ram/RefReqSync +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 2 | 4 | 2 | 1 | 9 +INPUTS | 1 | cnt/RefReq +INPUTMC | 1 | 3 | 14 +EQ | 2 | + ram/RefReqSync.D = cnt/RefReq; + ram/RefReqSync.CLK = FCLK; // GCK +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 0 | 12 | cnt/IPL2r +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 2 | 7 | 14 | 7 | 0 INPUTS | 1 | nIPL2 INPUTP | 1 | 146 EQ | 2 | - IPL2r0.D = !nIPL2; - IPL2r0.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB + cnt/IPL2r.D = !nIPL2; + !cnt/IPL2r.CLK = C8M; // GCK +GLOBALS | 1 | 2 | C8M -MACROCELL | 0 | 7 | RESr2 +MACROCELL | 3 | 0 | cnt/nRESout ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 2 | 7 | 6 | 7 | 14 -INPUTS | 1 | RESr1 -INPUTMC | 1 | 0 | 8 -EQ | 2 | - RESr2.D = RESr1; - RESr2.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 1 | 15 | iobm/BGr0 -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 2 | 1 | 14 | 3 | 1 -INPUTS | 1 | nBG_IOB -INPUTP | 1 | 117 -EQ | 2 | - iobm/BGr0.D = !nBG_IOB; - iobm/BGr0.CLK = CLK2X_IOB; // GCK -GLOBALS | 1 | 2 | CLK2X_IOB +OUTPUTMC | 2 | 3 | 0 | 3 | 7 +INPUTS | 4 | cnt/LTimer<13> | cnt/PORS_FSM_FFd1 | cnt/PORS_FSM_FFd2 | cnt/nRESout +INPUTMC | 4 | 6 | 0 | 7 | 0 | 3 | 6 | 3 | 0 +EQ | 5 | + cnt/nRESout.D = cnt/LTimer<13> & cnt/PORS_FSM_FFd1 & + !cnt/PORS_FSM_FFd2 + # cnt/PORS_FSM_FFd1 & !cnt/PORS_FSM_FFd2 & + cnt/nRESout; + !cnt/nRESout.CLK = C8M; // GCK +GLOBALS | 1 | 2 | C8M MACROCELL | 5 | 0 | iobm/ETACK ATTRIBUTES | 8553216 | 0 OUTPUTMC | 3 | 5 | 9 | 5 | 17 | 5 | 15 -INPUTS | 18 | nVMA_IOB | iobm/ES<0> | iobm/ES<1> | iobm/ES<2> | iobm/ES<3> | iobm/ES<4> | CLK_IOB | nBERR_IOB | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd2 | iobm/IOBERR | iobm/RESrf | iobm/RESrr | iobm/BERRrf | iobm/BERRrr | iobm/DTACKrf | iobm/DTACKrr -INPUTMC | 16 | 5 | 1 | 5 | 5 | 5 | 4 | 5 | 12 | 5 | 3 | 5 | 7 | 5 | 2 | 0 | 15 | 5 | 9 | 5 | 17 | 0 | 3 | 0 | 2 | 0 | 4 | 1 | 16 | 1 | 13 | 1 | 12 -INPUTP | 2 | 35 | 123 -EXPORTS | 1 | 5 | 17 -EQ | 15 | +INPUTS | 6 | nVMA_IOB | iobm/ES<0> | iobm/ES<1> | iobm/ES<2> | iobm/ES<3> | iobm/ES<4> +INPUTMC | 6 | 5 | 1 | 5 | 6 | 5 | 5 | 5 | 12 | 5 | 4 | 5 | 7 +EQ | 3 | iobm/ETACK.D = !nVMA_IOB & !iobm/ES<0> & !iobm/ES<1> & !iobm/ES<2> & !iobm/ES<3> & iobm/ES<4>; - iobm/ETACK.CLK = CLK2X_IOB; // GCK - iobm/ETACK.EXP = CLK_IOB & nBERR_IOB & iobm/IOS_FSM_FFd3 & - iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2 & iobm/IOBERR & iobm/RESrf & - iobm/RESrr - # CLK_IOB & !nBERR_IOB & iobm/IOS_FSM_FFd3 & - iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2 & !iobm/IOBERR & iobm/BERRrf & - iobm/BERRrr - # CLK_IOB & !nBERR_IOB & iobm/IOS_FSM_FFd3 & - iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2 & !iobm/IOBERR & iobm/DTACKrf & - iobm/DTACKrr - # CLK_IOB & !nBERR_IOB & iobm/IOS_FSM_FFd3 & - iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2 & !iobm/IOBERR & iobm/RESrf & - iobm/RESrr -GLOBALS | 1 | 2 | CLK2X_IOB + iobm/ETACK.CLK = C16M; // GCK +GLOBALS | 1 | 2 | C16M -MACROCELL | 1 | 11 | iobm/Er +MACROCELL | 0 | 7 | iobm/Er ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 6 | 5 | 5 | 5 | 4 | 5 | 12 | 5 | 3 | 5 | 7 | 1 | 10 -INPUTS | 1 | E_IOB +OUTPUTMC | 6 | 5 | 6 | 5 | 5 | 5 | 12 | 5 | 4 | 5 | 7 | 0 | 6 +INPUTS | 1 | E INPUTP | 1 | 37 EQ | 2 | - iobm/Er.D = E_IOB; - !iobm/Er.CLK = CLK_IOB; // GCK -GLOBALS | 1 | 2 | CLK_IOB + iobm/Er.D = E; + !iobm/Er.CLK = C8M; // GCK +GLOBALS | 1 | 2 | C8M MACROCELL | 5 | 15 | iobm/IOACT ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 2 | 5 | 1 | 1 | 6 -INPUTS | 11 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd2 | iobm/IOS_FSM_FFd3 | iobm/IOREQr | nAoutOE | CLK_IOB | iobm/ETACK | iobm/BERRrf | iobm/BERRrr | nADoutLE0_OBUF.EXP | iobm/nDinLE.EXP -INPUTMC | 10 | 0 | 15 | 5 | 9 | 5 | 2 | 1 | 9 | 3 | 1 | 5 | 0 | 0 | 4 | 1 | 16 | 5 | 14 | 5 | 16 +OUTPUTMC | 2 | 5 | 1 | 1 | 12 +INPUTS | 11 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd2 | iobm/IOS_FSM_FFd3 | iobm/IOREQr | C8M | iobm/ETACK | iobm/BERRrf | iobm/BERRrr | iobm/DTACKrf | iobm/DTACKrr | nADoutLE0_OBUF.EXP +INPUTMC | 10 | 0 | 13 | 5 | 9 | 0 | 17 | 0 | 5 | 5 | 0 | 0 | 11 | 0 | 10 | 0 | 9 | 0 | 8 | 5 | 14 INPUTP | 1 | 35 -IMPORTS | 2 | 5 | 14 | 5 | 16 -EQ | 15 | +IMPORTS | 1 | 5 | 14 +EQ | 13 | !iobm/IOACT.D = iobm/IOS_FSM_FFd1 & !iobm/IOS_FSM_FFd2 # !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd2 & !iobm/IOREQr - # !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd2 & nAoutOE - # CLK_IOB & iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd1 & + # C8M & iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd1 & iobm/ETACK - # CLK_IOB & iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd1 & + # C8M & iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd1 & iobm/BERRrf & iobm/BERRrr -;Imported pterms FB6_15 - # CLK_IOB & iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd1 & + # C8M & iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd1 & iobm/DTACKrf & iobm/DTACKrr -;Imported pterms FB6_17 - # CLK_IOB & iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd1 & +;Imported pterms FB6_15 + # C8M & iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd1 & iobm/RESrf & iobm/RESrr; - iobm/IOACT.CLK = CLK2X_IOB; // GCK -GLOBALS | 1 | 2 | CLK2X_IOB + iobm/IOACT.CLK = C16M; // GCK +GLOBALS | 1 | 2 | C16M -MACROCELL | 1 | 9 | iobm/IOREQr -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 3 | 5 | 2 | 5 | 15 | 5 | 6 -INPUTS | 1 | iobs/IOREQ -INPUTMC | 1 | 7 | 8 -EQ | 2 | - iobm/IOREQr.D = iobs/IOREQ; - !iobm/IOREQr.CLK = CLK2X_IOB; // GCK -GLOBALS | 1 | 2 | CLK2X_IOB - -MACROCELL | 0 | 0 | ram/RefRAS -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 2 | 3 | 13 | 7 | 5 -INPUTS | 2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd2 -INPUTMC | 2 | 3 | 17 | 6 | 9 -EQ | 2 | - ram/RefRAS.D = !ram/RS_FSM_FFd1 & ram/RS_FSM_FFd2; - ram/RefRAS.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 7 | 6 | RESDone -ATTRIBUTES | 8553280 | 0 -OUTPUTMC | 2 | 7 | 14 | 7 | 7 -INPUTS | 8 | RESr0 | RESr1 | RESr2 | nWE_FSB | nUDS_FSB | ram/RAMDIS2 | nAS_FSB | ram/RAMDIS1 -INPUTMC | 5 | 0 | 9 | 0 | 8 | 0 | 7 | 4 | 2 | 6 | 17 -INPUTP | 3 | 47 | 56 | 54 -EXPORTS | 1 | 7 | 7 +MACROCELL | 3 | 14 | cnt/RefReq +ATTRIBUTES | 8520480 | 0 +OUTPUTMC | 1 | 1 | 8 +INPUTS | 5 | cnt/Timer<1> | cnt/Timer<3> | cnt/Timer<2> | cnt/Timer<0> | E +INPUTMC | 4 | 3 | 12 | 3 | 9 | 3 | 11 | 3 | 3 +INPUTP | 1 | 37 EQ | 5 | - RESDone.D = Vcc; - RESDone.CLK = CLK_FSB; // GCK - RESDone.CE = !RESr0 & !RESr1 & RESr2; - RESDone.EXP = !nWE_FSB & !nUDS_FSB & !ram/RAMDIS2 & !nAS_FSB & - !ram/RAMDIS1 -GLOBALS | 1 | 2 | CLK_FSB + cnt/RefReq.D = cnt/Timer<1> & !cnt/Timer<3> + # cnt/Timer<2> & !cnt/Timer<3> + # !cnt/Timer<1> & !cnt/Timer<2> & !cnt/Timer<0> & + cnt/Timer<3>; + cnt/RefReq.CLK = E; -MACROCELL | 0 | 10 | IPL2r1 -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 1 | 7 | 14 -INPUTS | 1 | IPL2r0 -INPUTMC | 1 | 0 | 11 -EQ | 2 | - IPL2r1.D = IPL2r0; - IPL2r1.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB +MACROCELL | 3 | 13 | cnt/RefUrgent +ATTRIBUTES | 8520480 | 0 +OUTPUTMC | 1 | 1 | 6 +INPUTS | 5 | cnt/Timer<1> | cnt/Timer<2> | cnt/Timer<3> | cnt/Timer<0> | E +INPUTMC | 4 | 3 | 12 | 3 | 11 | 3 | 9 | 3 | 3 +INPUTP | 1 | 37 +EQ | 4 | + cnt/RefUrgent.D = cnt/Timer<1> & cnt/Timer<2> & !cnt/Timer<3> + # !cnt/Timer<1> & !cnt/Timer<2> & !cnt/Timer<0> & + cnt/Timer<3>; + cnt/RefUrgent.CLK = E; -MACROCELL | 0 | 5 | fsb/ASrf +MACROCELL | 1 | 14 | fsb/ASrf ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 41 | 4 | 9 | 4 | 6 | 0 | 17 | 7 | 15 | 7 | 0 | 2 | 12 | 3 | 15 | 6 | 12 | 6 | 6 | 2 | 11 | 0 | 16 | 0 | 12 | 2 | 14 | 2 | 4 | 7 | 12 | 3 | 16 | 4 | 2 | 2 | 5 | 3 | 17 | 4 | 17 | 6 | 9 | 6 | 3 | 7 | 3 | 4 | 12 | 0 | 1 | 7 | 8 | 6 | 17 | 6 | 14 | 3 | 0 | 4 | 0 | 4 | 1 | 4 | 15 | 4 | 16 | 6 | 0 | 6 | 2 | 6 | 4 | 6 | 8 | 6 | 10 | 6 | 13 | 6 | 15 | 6 | 16 +OUTPUTMC | 31 | 4 | 3 | 7 | 16 | 2 | 14 | 4 | 7 | 2 | 4 | 2 | 9 | 2 | 1 | 2 | 10 | 2 | 6 | 2 | 5 | 7 | 11 | 4 | 0 | 4 | 6 | 4 | 12 | 7 | 6 | 7 | 1 | 4 | 9 | 7 | 9 | 1 | 11 | 7 | 13 | 4 | 16 | 2 | 0 | 2 | 2 | 2 | 13 | 2 | 15 | 2 | 16 | 2 | 17 | 4 | 1 | 4 | 5 | 4 | 8 | 4 | 11 INPUTS | 1 | nAS_FSB INPUTP | 1 | 54 EQ | 2 | fsb/ASrf.D = !nAS_FSB; - !fsb/ASrf.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB + !fsb/ASrf.CLK = FCLK; // GCK +GLOBALS | 1 | 2 | FCLK -MACROCELL | 5 | 6 | iobm/ALE0 +MACROCELL | 5 | 3 | iobm/ALE0 ATTRIBUTES | 8553216 | 0 OUTPUTMC | 1 | 5 | 14 -INPUTS | 5 | iobm/IOS_FSM_FFd2 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd1 | iobm/IOREQr | nAoutOE -INPUTMC | 5 | 5 | 9 | 5 | 2 | 0 | 15 | 1 | 9 | 3 | 1 +INPUTS | 4 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd2 | iobm/IOS_FSM_FFd3 | iobm/IOREQr +INPUTMC | 4 | 0 | 13 | 5 | 9 | 0 | 17 | 0 | 5 EQ | 4 | - iobm/ALE0.D = iobm/IOS_FSM_FFd2 - # iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd1 - # !iobm/IOS_FSM_FFd1 & iobm/IOREQr & !nAoutOE; - iobm/ALE0.CLK = CLK2X_IOB; // GCK -GLOBALS | 1 | 2 | CLK2X_IOB + !iobm/ALE0.D = iobm/IOS_FSM_FFd1 & !iobm/IOS_FSM_FFd2 + # !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd2 & + !iobm/IOREQr; + iobm/ALE0.CLK = C16M; // GCK +GLOBALS | 1 | 2 | C16M -MACROCELL | 0 | 4 | iobm/BERRrf +MACROCELL | 0 | 11 | iobm/BERRrf ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 4 | 5 | 9 | 5 | 17 | 5 | 15 | 5 | 0 +OUTPUTMC | 4 | 5 | 9 | 5 | 17 | 5 | 15 | 5 | 16 INPUTS | 1 | nBERR_IOB INPUTP | 1 | 123 EQ | 2 | iobm/BERRrf.D = !nBERR_IOB; - !iobm/BERRrf.CLK = CLK2X_IOB; // GCK -GLOBALS | 1 | 2 | CLK2X_IOB + !iobm/BERRrf.CLK = C16M; // GCK +GLOBALS | 1 | 2 | C16M -MACROCELL | 1 | 16 | iobm/BERRrr +MACROCELL | 0 | 10 | iobm/BERRrr ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 4 | 5 | 9 | 5 | 17 | 5 | 15 | 5 | 0 +OUTPUTMC | 4 | 5 | 9 | 5 | 17 | 5 | 15 | 5 | 16 INPUTS | 1 | nBERR_IOB INPUTP | 1 | 123 EQ | 2 | iobm/BERRrr.D = !nBERR_IOB; - iobm/BERRrr.CLK = CLK2X_IOB; // GCK -GLOBALS | 1 | 2 | CLK2X_IOB + iobm/BERRrr.CLK = C16M; // GCK +GLOBALS | 1 | 2 | C16M -MACROCELL | 1 | 14 | iobm/BGr1 +MACROCELL | 0 | 9 | iobm/DTACKrf ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 1 | 3 | 1 -INPUTS | 1 | iobm/BGr0 -INPUTMC | 1 | 1 | 15 -EQ | 2 | - iobm/BGr1.D = iobm/BGr0; - iobm/BGr1.CLK = CLK2X_IOB; // GCK -GLOBALS | 1 | 2 | CLK2X_IOB - -MACROCELL | 1 | 13 | iobm/DTACKrf -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 4 | 5 | 9 | 5 | 17 | 5 | 14 | 5 | 0 +OUTPUTMC | 4 | 5 | 9 | 5 | 17 | 5 | 15 | 5 | 16 INPUTS | 1 | nDTACK_IOB INPUTP | 1 | 127 EQ | 2 | iobm/DTACKrf.D = !nDTACK_IOB; - !iobm/DTACKrf.CLK = CLK2X_IOB; // GCK -GLOBALS | 1 | 2 | CLK2X_IOB + !iobm/DTACKrf.CLK = C16M; // GCK +GLOBALS | 1 | 2 | C16M -MACROCELL | 1 | 12 | iobm/DTACKrr +MACROCELL | 0 | 8 | iobm/DTACKrr ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 4 | 5 | 9 | 5 | 17 | 5 | 14 | 5 | 0 +OUTPUTMC | 4 | 5 | 9 | 5 | 17 | 5 | 15 | 5 | 16 INPUTS | 1 | nDTACK_IOB INPUTP | 1 | 127 EQ | 2 | iobm/DTACKrr.D = !nDTACK_IOB; - iobm/DTACKrr.CLK = CLK2X_IOB; // GCK -GLOBALS | 1 | 2 | CLK2X_IOB + iobm/DTACKrr.CLK = C16M; // GCK +GLOBALS | 1 | 2 | C16M -MACROCELL | 1 | 10 | iobm/Er2 +MACROCELL | 5 | 2 | iobm/DoutOE ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 5 | 5 | 5 | 5 | 4 | 5 | 12 | 5 | 3 | 5 | 7 +OUTPUTMC | 1 | 3 | 4 +INPUTS | 3 | iobs/IORW0 | iobm/IOS_FSM_FFd2 | iobm/IOS_FSM_FFd3 +INPUTMC | 3 | 2 | 14 | 5 | 9 | 0 | 17 +EQ | 3 | + iobm/DoutOE.D = iobs/IORW0 & iobm/IOS_FSM_FFd3 + # iobs/IORW0 & iobm/IOS_FSM_FFd2; + iobm/DoutOE.CLK = C16M; // GCK +GLOBALS | 1 | 2 | C16M + +MACROCELL | 0 | 6 | iobm/Er2 +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 5 | 5 | 6 | 5 | 5 | 5 | 12 | 5 | 4 | 5 | 7 INPUTS | 1 | iobm/Er -INPUTMC | 1 | 1 | 11 +INPUTMC | 1 | 0 | 7 EQ | 2 | iobm/Er2.D = iobm/Er; - iobm/Er2.CLK = CLK2X_IOB; // GCK -GLOBALS | 1 | 2 | CLK2X_IOB + iobm/Er2.CLK = C16M; // GCK +GLOBALS | 1 | 2 | C16M -MACROCELL | 0 | 3 | iobm/RESrf +MACROCELL | 0 | 4 | iobm/RESrf ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 3 | 5 | 9 | 5 | 16 | 5 | 0 -INPUTS | 1 | nRES +OUTPUTMC | 3 | 5 | 9 | 5 | 16 | 5 | 14 +INPUTS | 1 | nRES.PIN INPUTP | 1 | 145 EQ | 2 | - iobm/RESrf.D = !nRES; - !iobm/RESrf.CLK = CLK2X_IOB; // GCK -GLOBALS | 1 | 2 | CLK2X_IOB + iobm/RESrf.D = !nRES.PIN; + !iobm/RESrf.CLK = C16M; // GCK +GLOBALS | 1 | 2 | C16M -MACROCELL | 0 | 2 | iobm/RESrr +MACROCELL | 0 | 3 | iobm/RESrr ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 3 | 5 | 9 | 5 | 16 | 5 | 0 -INPUTS | 1 | nRES +OUTPUTMC | 3 | 5 | 9 | 5 | 16 | 5 | 14 +INPUTS | 1 | nRES.PIN INPUTP | 1 | 145 EQ | 2 | - iobm/RESrr.D = !nRES; - iobm/RESrr.CLK = CLK2X_IOB; // GCK -GLOBALS | 1 | 2 | CLK2X_IOB + iobm/RESrr.D = !nRES.PIN; + iobm/RESrr.CLK = C16M; // GCK +GLOBALS | 1 | 2 | C16M -MACROCELL | 1 | 8 | iobm/VPArf +MACROCELL | 0 | 2 | iobm/VPArf ATTRIBUTES | 8553216 | 0 OUTPUTMC | 1 | 5 | 1 INPUTS | 1 | nVPA_IOB INPUTP | 1 | 125 EQ | 2 | iobm/VPArf.D = !nVPA_IOB; - !iobm/VPArf.CLK = CLK2X_IOB; // GCK -GLOBALS | 1 | 2 | CLK2X_IOB + !iobm/VPArf.CLK = C16M; // GCK +GLOBALS | 1 | 2 | C16M -MACROCELL | 1 | 7 | iobm/VPArr +MACROCELL | 0 | 1 | iobm/VPArr ATTRIBUTES | 8553216 | 0 OUTPUTMC | 1 | 5 | 1 INPUTS | 1 | nVPA_IOB INPUTP | 1 | 125 EQ | 2 | iobm/VPArr.D = !nVPA_IOB; - iobm/VPArr.CLK = CLK2X_IOB; // GCK -GLOBALS | 1 | 2 | CLK2X_IOB + iobm/VPArr.CLK = C16M; // GCK +GLOBALS | 1 | 2 | C16M -MACROCELL | 3 | 2 | iobs/ALE0 +MACROCELL | 0 | 0 | iobm/nASr +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 1 | 0 | 14 +INPUTS | 2 | nAS_IOB | nAoutOE +INPUTMC | 2 | 5 | 11 | 3 | 1 +EQ | 2 | + !iobm/nASr.D = !nAS_IOB & nAoutOE; + iobm/nASr.CLK = C16M; // GCK +GLOBALS | 1 | 2 | C16M + +MACROCELL | 1 | 13 | iobs/ALE0 ATTRIBUTES | 8553216 | 0 OUTPUTMC | 1 | 5 | 14 INPUTS | 2 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 -INPUTMC | 2 | 7 | 3 | 3 | 12 +INPUTMC | 2 | 7 | 6 | 1 | 15 EQ | 2 | iobs/ALE0.D = iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1; - iobs/ALE0.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB + iobs/ALE0.CLK = FCLK; // GCK +GLOBALS | 1 | 2 | FCLK -MACROCELL | 3 | 0 | iobs/Clear1 +MACROCELL | 2 | 4 | iobs/Clear1 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 2 | 5 | 13 | 3 | 17 -INPUTS | 16 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nADoutLE1 | A_FSB<23> | A_FSB<22> | A_FSB<21> | cnt/RefDone | ram/Once | cs/nOverlay1 | nAS_FSB | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd2 | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | fsb/ASrf -INPUTMC | 12 | 7 | 3 | 3 | 12 | 5 | 13 | 3 | 13 | 4 | 9 | 0 | 17 | 3 | 17 | 6 | 9 | 3 | 7 | 3 | 6 | 3 | 3 | 0 | 5 -INPUTP | 4 | 36 | 30 | 29 | 54 -EXPORTS | 1 | 3 | 17 -EQ | 8 | +OUTPUTMC | 2 | 5 | 13 | 2 | 5 +INPUTS | 5 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nADoutLE1 | nAS_FSB | fsb/ASrf +INPUTMC | 4 | 7 | 6 | 1 | 15 | 5 | 13 | 1 | 14 +INPUTP | 1 | 54 +EXPORTS | 1 | 2 | 5 +EQ | 3 | iobs/Clear1.D = iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & !nADoutLE1; - iobs/Clear1.CLK = CLK_FSB; // GCK - iobs/Clear1.EXP = !A_FSB<23> & A_FSB<22> & A_FSB<21> & !cnt/RefDone & - ram/Once & !cs/nOverlay1 & !nAS_FSB & !ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd2 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & !cnt/RefDone & - ram/Once & !cs/nOverlay1 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & - cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & fsb/ASrf -GLOBALS | 1 | 2 | CLK_FSB + iobs/Clear1.CLK = FCLK; // GCK + iobs/Clear1.EXP = nAS_FSB & !fsb/ASrf +GLOBALS | 1 | 2 | FCLK -MACROCELL | 7 | 8 | iobs/IOREQ +MACROCELL | 7 | 13 | iobs/IOREQ ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 1 | 1 | 9 -INPUTS | 12 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | iobs/IOACTr | iobs/Once | nADoutLE1 | nAS_FSB | fsb/ASrf | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | nRAMUWE_OBUF.EXP | EXP34_.EXP -INPUTMC | 9 | 7 | 3 | 3 | 12 | 1 | 6 | 4 | 6 | 5 | 13 | 0 | 5 | 0 | 17 | 7 | 7 | 7 | 9 -INPUTP | 3 | 54 | 36 | 30 -IMPORTS | 2 | 7 | 7 | 7 | 9 -EQ | 30 | +OUTPUTMC | 1 | 0 | 5 +INPUTS | 11 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | iobs/IOACTr | iobs/Once | nADoutLE1 | A_FSB<23> | A_FSB<20> | nAS_FSB | fsb/ASrf | EXP26_.EXP | cnt/nBR_IOB.EXP +INPUTMC | 8 | 7 | 6 | 1 | 15 | 1 | 12 | 7 | 16 | 5 | 13 | 1 | 14 | 7 | 12 | 7 | 14 +INPUTP | 3 | 36 | 28 | 54 +IMPORTS | 2 | 7 | 12 | 7 | 14 +EQ | 29 | !iobs/IOREQ.D = !iobs/PS_FSM_FFd2 & iobs/PS_FSM_FFd1 # iobs/PS_FSM_FFd1 & iobs/IOACTr # iobs/Once & !iobs/PS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & !A_FSB<20> & !iobs/PS_FSM_FFd2 & + nADoutLE1 # nAS_FSB & !iobs/PS_FSM_FFd2 & !fsb/ASrf & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 & - !iobs/PS_FSM_FFd2 & nADoutLE1 -;Imported pterms FB8_8 +;Imported pterms FB8_13 # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & !iobs/PS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & A_FSB<21> & !A_FSB<20> & + # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 & !iobs/PS_FSM_FFd2 & nADoutLE1 # !A_FSB<23> & A_FSB<21> & !A_FSB<19> & !iobs/PS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & A_FSB<21> & !A_FSB<17> & - !iobs/PS_FSM_FFd2 & nADoutLE1 # !A_FSB<23> & A_FSB<21> & !A_FSB<16> & !iobs/PS_FSM_FFd2 & nADoutLE1 -;Imported pterms FB8_10 - # !A_FSB<23> & A_FSB<21> & !A_FSB<18> & - !iobs/PS_FSM_FFd2 & nADoutLE1 # !A_FSB<23> & A_FSB<21> & nWE_FSB & !iobs/PS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & !A_FSB<20> & !iobs/PS_FSM_FFd2 & - SW<1> & nADoutLE1 +;Imported pterms FB8_12 # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> & !iobs/PS_FSM_FFd2 & nADoutLE1 +;Imported pterms FB8_15 + # !A_FSB<23> & A_FSB<21> & !A_FSB<18> & + !iobs/PS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & A_FSB<21> & !A_FSB<17> & + !iobs/PS_FSM_FFd2 & nADoutLE1 # !A_FSB<23> & A_FSB<22> & A_FSB<21> & cs/nOverlay1 & !iobs/PS_FSM_FFd2 & nADoutLE1; - iobs/IOREQ.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB + iobs/IOREQ.CLK = FCLK; // GCK +GLOBALS | 1 | 2 | FCLK -MACROCELL | 6 | 17 | ram/RAMDIS1 +MACROCELL | 4 | 16 | ram/RAMReady ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 2 | 7 | 6 | 7 | 5 -INPUTS | 13 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | ram/RS_FSM_FFd2 | A_FSB<23> | cnt/RefDone | nAS_FSB | ram/BACTr | fsb/ASrf | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | EXP28_.EXP | EXP31_.EXP -INPUTMC | 11 | 3 | 17 | 6 | 3 | 6 | 9 | 3 | 13 | 0 | 1 | 0 | 5 | 3 | 7 | 3 | 6 | 3 | 3 | 6 | 0 | 6 | 16 -INPUTP | 2 | 36 | 54 -IMPORTS | 2 | 6 | 0 | 6 | 16 -EQ | 40 | - ram/RAMDIS1.D = ram/RS_FSM_FFd1 & ram/RS_FSM_FFd3 - # !ram/RS_FSM_FFd1 & ram/RS_FSM_FFd2 - # A_FSB<23> & !cnt/RefDone & !nAS_FSB & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & !ram/BACTr - # A_FSB<23> & !cnt/RefDone & !ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd3 & !ram/BACTr & fsb/ASrf - # !cnt/RefDone & ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & - cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> -;Imported pterms FB7_1 - # A_FSB<23> & !cnt/RefDone & !ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> - # !A_FSB<22> & !cnt/RefDone & !cs/nOverlay1 & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & !ram/BACTr & fsb/ASrf - # !cnt/RefDone & ram/Once & !ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> - # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & - cnt/RefCnt<7> - # !cnt/RefDone & nAS_FSB & !ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & - !fsb/ASrf -;Imported pterms FB7_2 - # A_FSB<22> & !cnt/RefDone & cs/nOverlay1 & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & - cnt/RefCnt<7> - # !A_FSB<22> & !cnt/RefDone & !cs/nOverlay1 & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & - cnt/RefCnt<7> -;Imported pterms FB7_17 - # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & !nAS_FSB & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & !ram/BACTr - # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & !ram/BACTr & fsb/ASrf - # A_FSB<22> & !cnt/RefDone & cs/nOverlay1 & - !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & !ram/BACTr - # A_FSB<22> & !cnt/RefDone & cs/nOverlay1 & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & !ram/BACTr & fsb/ASrf - # !A_FSB<22> & !cnt/RefDone & !cs/nOverlay1 & - !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & !ram/BACTr; - ram/RAMDIS1.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB +OUTPUTMC | 5 | 2 | 5 | 2 | 1 | 2 | 7 | 2 | 0 | 4 | 17 +INPUTS | 13 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd2 | ram/RefUrgent | ram/RS_FSM_FFd3 | nAS_FSB | fsb/ASrf | A_FSB<23> | ram/BACTr | A_FSB<22> | A_FSB<21> | cs/nOverlay1 | ram/RAMEN | EXP22_.EXP +INPUTMC | 9 | 4 | 6 | 4 | 12 | 1 | 7 | 7 | 2 | 1 | 14 | 1 | 11 | 4 | 3 | 4 | 9 | 4 | 15 +INPUTP | 4 | 54 | 36 | 30 | 29 +EXPORTS | 1 | 4 | 17 +IMPORTS | 1 | 4 | 15 +EQ | 34 | + ram/RAMReady.D = ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & + !ram/RefUrgent & !ram/RS_FSM_FFd3 + # A_FSB<23> & !ram/RS_FSM_FFd2 & !ram/RefUrgent & + !ram/RS_FSM_FFd3 & ram/BACTr + # nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RefUrgent & + !ram/RS_FSM_FFd3 & !fsb/ASrf +;Imported pterms FB5_16 + # A_FSB<23> & !ram/RS_FSM_FFd2 & !ram/RefUrgent & + !ram/RS_FSM_FFd3 & !ram/RefReq + # A_FSB<22> & !A_FSB<21> & !ram/RS_FSM_FFd2 & + !ram/RefUrgent & !ram/RS_FSM_FFd3 & ram/BACTr + # A_FSB<22> & !A_FSB<21> & !ram/RS_FSM_FFd2 & + !ram/RefUrgent & !ram/RS_FSM_FFd3 & !ram/RefReq + # A_FSB<22> & cs/nOverlay1 & !ram/RS_FSM_FFd2 & + !ram/RefUrgent & !ram/RS_FSM_FFd3 & ram/BACTr + # !A_FSB<22> & !cs/nOverlay1 & !ram/RS_FSM_FFd2 & + !ram/RefUrgent & !ram/RS_FSM_FFd3 & ram/BACTr +;Imported pterms FB5_15 + # A_FSB<22> & cs/nOverlay1 & !ram/RS_FSM_FFd2 & + !ram/RefUrgent & !ram/RS_FSM_FFd3 & !ram/RefReq + # !A_FSB<22> & !cs/nOverlay1 & !ram/RS_FSM_FFd2 & + !ram/RefUrgent & !ram/RS_FSM_FFd3 & !ram/RefReq + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & + !ram/RS_FSM_FFd2 & !ram/RefUrgent & !ram/RS_FSM_FFd3 & !ram/RAMEN + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !ram/RS_FSM_FFd2 & !ram/RefUrgent & + !ram/RS_FSM_FFd3 & !ram/RAMEN; + ram/RAMReady.CLK = FCLK; // GCK + ram/RAMReady.EXP = !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & + !ram/RAMEN + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & !ram/RAMEN & + fsb/ASrf +GLOBALS | 1 | 2 | FCLK -MACROCELL | 6 | 14 | ram/RAMReady +MACROCELL | 1 | 10 | ram/RefRAS ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 4 | 2 | 3 | 2 | 6 | 2 | 1 | 2 | 9 -INPUTS | 13 | ram/RS_FSM_FFd3 | ram/RS_FSM_FFd2 | cnt/RefDone | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | A_FSB<23> | nAS_FSB | ram/RS_FSM_FFd1 | ram/BACTr | fsb/ASrf | CLK20EN_OBUF$BUF0.EXP | EXP30_.EXP -INPUTMC | 11 | 6 | 3 | 6 | 9 | 3 | 13 | 3 | 7 | 3 | 6 | 3 | 3 | 3 | 17 | 0 | 1 | 0 | 5 | 6 | 13 | 6 | 15 -INPUTP | 2 | 36 | 54 -IMPORTS | 2 | 6 | 13 | 6 | 15 -EQ | 31 | - !ram/RAMReady.D = ram/RS_FSM_FFd2 - # ram/RS_FSM_FFd3 - # !cnt/RefDone & cnt/RefCnt<5> & cnt/RefCnt<6> & - cnt/RefCnt<7> - # A_FSB<23> & !cnt/RefDone & !nAS_FSB & - !ram/RS_FSM_FFd1 & !ram/BACTr - # A_FSB<23> & !cnt/RefDone & !ram/RS_FSM_FFd1 & - !ram/BACTr & fsb/ASrf -;Imported pterms FB7_14 - # !A_FSB<23> & !A_FSB<22> & !ram/Once & cs/nOverlay1 & - !nAS_FSB & !ram/RS_FSM_FFd1 - # !A_FSB<23> & !A_FSB<22> & !ram/Once & cs/nOverlay1 & - !ram/RS_FSM_FFd1 & fsb/ASrf - # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & !nAS_FSB & - !ram/RS_FSM_FFd1 & !ram/BACTr - # A_FSB<22> & !cnt/RefDone & cs/nOverlay1 & - !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/BACTr - # !A_FSB<22> & !cnt/RefDone & !cs/nOverlay1 & - !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/BACTr -;Imported pterms FB7_16 - # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & - !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf - # A_FSB<22> & !cnt/RefDone & cs/nOverlay1 & - !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf - # !A_FSB<22> & !cnt/RefDone & !cs/nOverlay1 & - !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & !ram/Once & - !cs/nOverlay1 & !nAS_FSB & !ram/RS_FSM_FFd1 - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & !ram/Once & - !cs/nOverlay1 & !ram/RS_FSM_FFd1 & fsb/ASrf; - ram/RAMReady.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB +OUTPUTMC | 1 | 7 | 4 +INPUTS | 2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd2 +INPUTMC | 2 | 4 | 6 | 4 | 12 +EQ | 2 | + ram/RefRAS.D = !ram/RS_FSM_FFd1 & ram/RS_FSM_FFd2; + ram/RefRAS.CLK = FCLK; // GCK +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 1 | 6 | ram/RegUrgentSync +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 1 | 1 | 7 +INPUTS | 1 | cnt/RefUrgent +INPUTMC | 1 | 3 | 13 +EQ | 2 | + ram/RegUrgentSync.D = cnt/RefUrgent; + ram/RegUrgentSync.CLK = FCLK; // GCK +GLOBALS | 1 | 2 | FCLK MACROCELL | 6 | 5 | RA_0_OBUF ATTRIBUTES | 264962 | 0 INPUTS | 3 | A_FSB<10> | ram/RASEL | A_FSB<1> -INPUTMC | 1 | 4 | 17 +INPUTMC | 1 | 4 | 0 INPUTP | 2 | 13 | 149 EQ | 2 | RA<0> = A_FSB<10> & !ram/RASEL @@ -1727,45 +1684,30 @@ EQ | 2 | MACROCELL | 6 | 1 | RA_1_OBUF ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 6 | 0 -INPUTS | 11 | A_FSB<11> | ram/RASEL | A_FSB<2> | A_FSB<22> | cnt/RefDone | cs/nOverlay1 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> -INPUTMC | 8 | 4 | 17 | 3 | 13 | 0 | 17 | 3 | 17 | 6 | 3 | 3 | 7 | 3 | 6 | 3 | 3 -INPUTP | 3 | 15 | 153 | 30 -EXPORTS | 1 | 6 | 0 -EQ | 8 | +INPUTS | 3 | A_FSB<11> | ram/RASEL | A_FSB<2> +INPUTMC | 1 | 4 | 0 +INPUTP | 2 | 15 | 153 +EQ | 2 | RA<1> = A_FSB<11> & !ram/RASEL # ram/RASEL & A_FSB<2>; - RA_1_OBUF.EXP = A_FSB<22> & !cnt/RefDone & cs/nOverlay1 & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & - cnt/RefCnt<7> - # !A_FSB<22> & !cnt/RefDone & !cs/nOverlay1 & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & - cnt/RefCnt<7> MACROCELL | 4 | 13 | RA_2_OBUF ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 4 | 12 -INPUTS | 11 | A_FSB<23> | A_FSB<21> | A_FSB<18> | nWE_FSB | A_FSB<20> | SW<1> | A_FSB<14> | A_FSB<13> | A_FSB<22> | cs/nOverlay1 | RA_6_OBUF.EXP -INPUTMC | 2 | 0 | 17 | 4 | 14 -INPUTP | 9 | 36 | 29 | 24 | 47 | 28 | 97 | 19 | 18 | 30 -EXPORTS | 1 | 4 | 12 -IMPORTS | 1 | 4 | 14 -EQ | 9 | - RA<2> = ;Imported pterms FB5_15 - A_FSB<12> & !ram/RASEL +OUTPUTMC | 1 | 4 | 14 +INPUTS | 4 | A_FSB<12> | ram/RASEL | A_FSB<3> | A_FSB<7> +INPUTMC | 1 | 4 | 0 +INPUTP | 3 | 17 | 155 | 8 +EXPORTS | 1 | 4 | 14 +EQ | 3 | + RA<2> = A_FSB<12> & !ram/RASEL # ram/RASEL & A_FSB<3>; - RA_2_OBUF.EXP = !A_FSB<23> & A_FSB<21> & !A_FSB<18> - # !A_FSB<23> & A_FSB<21> & nWE_FSB - # !A_FSB<23> & !A_FSB<20> & SW<1> - # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - cs/nOverlay1 + RA_2_OBUF.EXP = ram/RASEL & A_FSB<7> MACROCELL | 4 | 10 | RA_3_OBUF ATTRIBUTES | 264962 | 0 OUTPUTMC | 1 | 4 | 11 INPUTS | 5 | A_FSB<13> | ram/RASEL | A_FSB<4> | A_FSB<15> | A_FSB<6> -INPUTMC | 1 | 4 | 17 +INPUTMC | 1 | 4 | 0 INPUTP | 4 | 18 | 157 | 21 | 6 EXPORTS | 1 | 4 | 11 EQ | 4 | @@ -1776,97 +1718,39 @@ EQ | 4 | MACROCELL | 4 | 8 | RA_4_OBUF ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 4 | 7 -INPUTS | 11 | A_FSB<14> | ram/RASEL | A_FSB<5> | A_FSB<23> | A_FSB<20> | iobs/Once | SW<1> | A_FSB<13> | A_FSB<21> | A_FSB<22> | cs/nOverlay1 -INPUTMC | 3 | 4 | 17 | 4 | 6 | 0 | 17 -INPUTP | 8 | 19 | 4 | 36 | 28 | 97 | 18 | 29 | 30 -EXPORTS | 1 | 4 | 7 -EQ | 7 | +OUTPUTMC | 1 | 4 | 9 +INPUTS | 11 | A_FSB<14> | ram/RASEL | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | nAS_FSB | ram/RS_FSM_FFd1 | ram/RAMEN | fsb/ASrf | A_FSB<21> | cs/nOverlay0.EXP +INPUTMC | 6 | 4 | 0 | 4 | 3 | 4 | 6 | 4 | 9 | 1 | 14 | 4 | 7 +INPUTP | 5 | 19 | 36 | 30 | 54 | 29 +EXPORTS | 1 | 4 | 9 +IMPORTS | 1 | 4 | 7 +EQ | 11 | RA<4> = A_FSB<14> & !ram/RASEL +;Imported pterms FB5_8 # ram/RASEL & A_FSB<5>; - RA_4_OBUF.EXP = !A_FSB<23> & !A_FSB<20> & !iobs/Once & SW<1> - # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> & - !iobs/Once - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & !iobs/Once & - cs/nOverlay1 + RA_4_OBUF.EXP = !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !nAS_FSB & + !ram/RS_FSM_FFd1 & ram/RAMEN + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & + !ram/RS_FSM_FFd1 & ram/RAMEN & fsb/ASrf + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !nAS_FSB & !ram/RS_FSM_FFd1 & ram/RAMEN + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !ram/RS_FSM_FFd1 & ram/RAMEN & fsb/ASrf MACROCELL | 4 | 11 | RA_5_OBUF ATTRIBUTES | 264962 | 0 OUTPUTMC | 1 | 4 | 12 -INPUTS | 8 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<17> | A_FSB<16> | RA_3_OBUF.EXP -INPUTMC | 1 | 4 | 10 -INPUTP | 7 | 36 | 30 | 29 | 28 | 26 | 23 | 22 +INPUTS | 10 | nAS_FSB | ram/RS_FSM_FFd2 | ram/RefUrgent | ram/RS_FSM_FFd3 | fsb/ASrf | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | A_FSB<21> | RA_3_OBUF.EXP +INPUTMC | 6 | 4 | 12 | 1 | 7 | 7 | 2 | 1 | 14 | 4 | 3 | 4 | 10 +INPUTP | 4 | 54 | 36 | 30 | 29 EXPORTS | 1 | 4 | 12 IMPORTS | 1 | 4 | 10 -EQ | 8 | +EQ | 13 | RA<5> = ;Imported pterms FB5_11 A_FSB<15> & !ram/RASEL # ram/RASEL & A_FSB<6>; - RA_5_OBUF.EXP = !A_FSB<23> & !A_FSB<22> & !A_FSB<21> - # !A_FSB<23> & A_FSB<21> & !A_FSB<20> - # !A_FSB<23> & A_FSB<21> & !A_FSB<19> - # !A_FSB<23> & A_FSB<21> & !A_FSB<17> - # !A_FSB<23> & A_FSB<21> & !A_FSB<16> - -MACROCELL | 4 | 14 | RA_6_OBUF -ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 4 | 13 -INPUTS | 5 | A_FSB<16> | ram/RASEL | A_FSB<7> | A_FSB<12> | A_FSB<3> -INPUTMC | 1 | 4 | 17 -INPUTP | 4 | 22 | 8 | 17 | 155 -EXPORTS | 1 | 4 | 13 -EQ | 4 | - RA<6> = A_FSB<16> & !ram/RASEL - # ram/RASEL & A_FSB<7>; - RA_6_OBUF.EXP = A_FSB<12> & !ram/RASEL - # ram/RASEL & A_FSB<3> - -MACROCELL | 6 | 4 | RA_7_OBUF -ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 6 | 3 -INPUTS | 14 | A_FSB<17> | ram/RASEL | A_FSB<8> | A_FSB<23> | A_FSB<22> | A_FSB<21> | cnt/RefDone | cs/nOverlay1 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | fsb/ASrf -INPUTMC | 9 | 4 | 17 | 3 | 13 | 0 | 17 | 3 | 17 | 6 | 3 | 3 | 7 | 3 | 6 | 3 | 3 | 0 | 5 -INPUTP | 5 | 23 | 11 | 36 | 30 | 29 -EXPORTS | 1 | 6 | 3 -EQ | 5 | - RA<7> = A_FSB<8> & ram/RASEL - # A_FSB<17> & !ram/RASEL; - RA_7_OBUF.EXP = !A_FSB<23> & A_FSB<22> & A_FSB<21> & !cnt/RefDone & - !cs/nOverlay1 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & - cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & fsb/ASrf - -MACROCELL | 6 | 7 | RA_8_OBUF -ATTRIBUTES | 264962 | 0 -INPUTS | 7 | A_FSB<23> | A_FSB<18> | ram/RASEL | A_FSB<22> | A_FSB<21> | cs/nOverlay1 | cnt/TimeoutBPre.EXP -INPUTMC | 3 | 4 | 17 | 0 | 17 | 6 | 6 -INPUTP | 4 | 36 | 24 | 30 | 29 -IMPORTS | 1 | 6 | 6 -EQ | 10 | - RA<8> = A_FSB<23> & A_FSB<18> - # A_FSB<18> & !ram/RASEL - # A_FSB<22> & !A_FSB<21> & A_FSB<18> - # A_FSB<22> & A_FSB<18> & cs/nOverlay1 - # !A_FSB<22> & A_FSB<18> & !cs/nOverlay1 -;Imported pterms FB7_7 - # A_FSB<9> & !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & - ram/RASEL - # A_FSB<9> & !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & ram/RASEL; - -MACROCELL | 6 | 10 | RA_9_OBUF -ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 6 | 9 -INPUTS | 10 | nAS_FSB | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | cnt/RefCnt<6> | fsb/ASrf | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | A_FSB<21> | CLK25EN_OBUF.EXP -INPUTMC | 6 | 6 | 9 | 6 | 3 | 3 | 6 | 0 | 5 | 0 | 17 | 6 | 11 -INPUTP | 4 | 54 | 36 | 30 | 29 -EXPORTS | 1 | 6 | 9 -IMPORTS | 1 | 6 | 11 -EQ | 13 | - RA<9> = ;Imported pterms FB7_12 - A_FSB<20> & ram/RASEL - # A_FSB<19> & !ram/RASEL; - RA_9_OBUF.EXP = nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & - !cnt/RefCnt<6> & !fsb/ASrf + RA_5_OBUF.EXP = nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RefUrgent & + !ram/RS_FSM_FFd3 & !fsb/ASrf # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & @@ -1876,1012 +1760,733 @@ EQ | 13 | # !A_FSB<23> & A_FSB<22> & A_FSB<21> & !cs/nOverlay1 & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & fsb/ASrf -MACROCELL | 7 | 11 | nBERR_FSB_OBUF +MACROCELL | 4 | 14 | RA_6_OBUF ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 7 | 12 -INPUTS | 14 | nAS_FSB | iobs/BERR | cnt/TimeoutB | fsb/BERR0r | fsb/BERR1r | A_FSB<23> | A_FSB<20> | SW<1> | A_FSB<14> | A_FSB<13> | A_FSB<21> | A_FSB<22> | cs/nOverlay1 | EXP35_.EXP -INPUTMC | 6 | 7 | 15 | 6 | 12 | 2 | 11 | 0 | 16 | 0 | 17 | 7 | 10 -INPUTP | 8 | 54 | 36 | 28 | 97 | 19 | 18 | 29 | 30 -EXPORTS | 1 | 7 | 12 -IMPORTS | 1 | 7 | 10 -EQ | 10 | - nBERR_FSB = nAS_FSB - # !iobs/BERR & !cnt/TimeoutB & !fsb/BERR0r & - !fsb/BERR1r -;Imported pterms FB8_11 - # !A_FSB<23> & A_FSB<22> & !A_FSB<21> & A_FSB<20> & - !iobs/BERR & !fsb/BERR0r & !fsb/BERR1r; - nBERR_FSB_OBUF.EXP = !A_FSB<23> & !A_FSB<20> & SW<1> - # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> +OUTPUTMC | 1 | 4 | 15 +INPUTS | 12 | A_FSB<16> | ram/RASEL | A_FSB<22> | cs/nOverlay1 | ram/RS_FSM_FFd2 | ram/RefUrgent | ram/RS_FSM_FFd3 | ram/RefReq | A_FSB<23> | ram/RAMEN | A_FSB<21> | RA_2_OBUF.EXP +INPUTMC | 8 | 4 | 0 | 4 | 3 | 4 | 12 | 1 | 7 | 7 | 2 | 1 | 9 | 4 | 9 | 4 | 13 +INPUTP | 4 | 22 | 30 | 36 | 29 +EXPORTS | 1 | 4 | 15 +IMPORTS | 1 | 4 | 13 +EQ | 12 | + RA<6> = A_FSB<16> & !ram/RASEL +;Imported pterms FB5_14 + # ram/RASEL & A_FSB<7>; + RA_6_OBUF.EXP = A_FSB<22> & cs/nOverlay1 & !ram/RS_FSM_FFd2 & + !ram/RefUrgent & !ram/RS_FSM_FFd3 & !ram/RefReq + # !A_FSB<22> & !cs/nOverlay1 & !ram/RS_FSM_FFd2 & + !ram/RefUrgent & !ram/RS_FSM_FFd3 & !ram/RefReq + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & + !ram/RS_FSM_FFd2 & !ram/RefUrgent & !ram/RS_FSM_FFd3 & !ram/RAMEN # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - cs/nOverlay1 + !cs/nOverlay1 & !ram/RS_FSM_FFd2 & !ram/RefUrgent & + !ram/RS_FSM_FFd3 & !ram/RAMEN + +MACROCELL | 6 | 4 | RA_7_OBUF +ATTRIBUTES | 264962 | 0 +INPUTS | 3 | A_FSB<17> | ram/RASEL | A_FSB<8> +INPUTMC | 1 | 4 | 0 +INPUTP | 2 | 23 | 11 +EQ | 2 | + RA<7> = A_FSB<8> & ram/RASEL + # A_FSB<17> & !ram/RASEL; + +MACROCELL | 6 | 7 | RA_8_OBUF +ATTRIBUTES | 264962 | 0 +INPUTS | 8 | A_FSB<23> | A_FSB<18> | ram/RASEL | A_FSB<22> | A_FSB<21> | cs/nOverlay1 | cnt/LTimer<7>.EXP | A_FSB_21_IBUF$BUF0.EXP +INPUTMC | 4 | 4 | 0 | 4 | 3 | 6 | 6 | 6 | 8 +INPUTP | 4 | 36 | 24 | 30 | 29 +IMPORTS | 2 | 6 | 6 | 6 | 8 +EQ | 11 | + RA<8> = A_FSB<23> & A_FSB<18> + # A_FSB<18> & !ram/RASEL + # A_FSB<22> & !A_FSB<21> & A_FSB<18> + # A_FSB<22> & A_FSB<18> & cs/nOverlay1 + # !A_FSB<22> & A_FSB<18> & !cs/nOverlay1 +;Imported pterms FB7_7 + # A_FSB<9> & !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & + ram/RASEL +;Imported pterms FB7_9 + # A_FSB<9> & !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & ram/RASEL; + +MACROCELL | 6 | 10 | RA_9_OBUF +ATTRIBUTES | 264962 | 0 +INPUTS | 3 | A_FSB<19> | ram/RASEL | A_FSB<20> +INPUTMC | 1 | 4 | 0 +INPUTP | 2 | 26 | 28 +EQ | 2 | + RA<9> = A_FSB<20> & ram/RASEL + # A_FSB<19> & !ram/RASEL; + +MACROCELL | 3 | 4 | nDoutOE_OBUF +ATTRIBUTES | 264962 | 0 +INPUTS | 2 | nAoutOE | iobm/DoutOE +INPUTMC | 2 | 3 | 1 | 5 | 2 +EQ | 1 | + !nDoutOE = !nAoutOE & iobm/DoutOE; MACROCELL | 4 | 5 | nOE_OBUF ATTRIBUTES | 264962 | 0 OUTPUTMC | 1 | 4 | 6 -INPUTS | 9 | A_FSB<23> | iobs/Once | iobs/PS_FSM_FFd1 | nADoutLE1 | A_FSB<22> | A_FSB<21> | cs/nOverlay1 | A_FSB<20> | ram/nCAS.EXP -INPUTMC | 5 | 4 | 6 | 3 | 12 | 5 | 13 | 0 | 17 | 4 | 4 -INPUTP | 4 | 36 | 30 | 29 | 28 +INPUTS | 11 | nWE_FSB | nAS_FSB | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd2 | ram/RefUrgent | ram/RAMEN | fsb/ASrf | A_FSB<21> +INPUTMC | 6 | 4 | 3 | 4 | 6 | 4 | 12 | 1 | 7 | 4 | 9 | 1 | 14 +INPUTP | 5 | 47 | 54 | 36 | 30 | 29 EXPORTS | 1 | 4 | 6 -IMPORTS | 1 | 4 | 4 -EQ | 8 | - !nOE = ;Imported pterms FB5_5 - nWE_FSB & !nAS_FSB; - nOE_OBUF.EXP = A_FSB<23> & !iobs/Once & iobs/PS_FSM_FFd1 - # !iobs/Once & iobs/PS_FSM_FFd1 & !nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & !iobs/Once - # !A_FSB<23> & !A_FSB<22> & !iobs/Once & - !cs/nOverlay1 - # !A_FSB<23> & A_FSB<21> & !A_FSB<20> & !iobs/Once +EQ | 10 | + !nOE = nWE_FSB & !nAS_FSB; + nOE_OBUF.EXP = !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & ram/RefUrgent & !ram/RAMEN & + fsb/ASrf + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & + ram/RefUrgent & !ram/RAMEN + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & + ram/RefUrgent & !ram/RAMEN & fsb/ASrf MACROCELL | 2 | 16 | nROMWE_OBUF ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 2 | 17 -INPUTS | 26 | nWE_FSB | nAS_FSB | A_FSB<14> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | fsb/Ready1r | fsb/VPA | iobs/IOReady | nADoutLE1 | $OpTx$FX_DC$591 | A_FSB<13> | A_FSB<9> | A_FSB<15> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<23> | cnt/TimeoutA | fsb/Ready2r | A_FSB<8> -INPUTMC | 8 | 0 | 17 | 2 | 14 | 2 | 0 | 3 | 16 | 5 | 13 | 0 | 12 | 3 | 15 | 2 | 15 -INPUTP | 18 | 47 | 54 | 19 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 18 | 12 | 21 | 17 | 15 | 13 | 36 | 11 -EXPORTS | 1 | 2 | 17 -EQ | 19 | +OUTPUTMC | 1 | 2 | 15 +INPUTS | 18 | nWE_FSB | nAS_FSB | A_FSB<14> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | iobs/Once | iobs/IORW0 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | fsb/ASrf | nADoutLE1 | A_FSB<13> +INPUTMC | 7 | 4 | 3 | 7 | 16 | 2 | 14 | 7 | 6 | 1 | 15 | 1 | 14 | 5 | 13 +INPUTP | 11 | 47 | 54 | 19 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 18 +EXPORTS | 1 | 2 | 15 +EQ | 13 | !nROMWE = !nWE_FSB & !nAS_FSB; nROMWE_OBUF.EXP = A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & - cs/nOverlay1 & !fsb/Ready1r & fsb/VPA & !iobs/IOReady & - !nADoutLE1 & !$OpTx$FX_DC$591 + cs/nOverlay1 & !iobs/Once & iobs/IORW0 & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & - cs/nOverlay1 & !fsb/Ready1r & fsb/VPA & !iobs/IOReady & - !nADoutLE1 & !$OpTx$FX_DC$591 - # A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & - A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & !A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !nWE_FSB & cs/nOverlay1 & !cnt/TimeoutA & - !fsb/Ready2r & fsb/VPA & !$OpTx$FX_DC$591 - # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & - A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & !A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !nWE_FSB & cs/nOverlay1 & !cnt/TimeoutA & - !fsb/Ready2r & fsb/VPA & !$OpTx$FX_DC$591 + cs/nOverlay1 & !iobs/Once & iobs/IORW0 & !nAS_FSB & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & + cs/nOverlay1 & !iobs/Once & iobs/IORW0 & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 MACROCELL | 3 | 10 | nVPA_FSB_OBUF ATTRIBUTES | 264962 | 0 INPUTS | 2 | fsb/VPA | nAS_FSB -INPUTMC | 1 | 2 | 0 +INPUTMC | 1 | 2 | 1 INPUTP | 1 | 54 EQ | 1 | !nVPA_FSB = fsb/VPA & !nAS_FSB; MACROCELL | 5 | 16 | iobm/nDinLE ATTRIBUTES | 8684290 | 0 -OUTPUTMC | 1 | 5 | 15 -INPUTS | 6 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd2 | CLK_IOB | iobm/IOS_FSM_FFd3 | iobm/RESrf | iobm/RESrr -INPUTMC | 5 | 0 | 15 | 5 | 9 | 5 | 2 | 0 | 3 | 0 | 2 -INPUTP | 1 | 35 -EXPORTS | 1 | 5 | 15 -EQ | 4 | +OUTPUTMC | 1 | 5 | 17 +INPUTS | 12 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd2 | C8M | nBERR_IOB | iobm/IOS_FSM_FFd3 | iobm/IOBERR | iobm/RESrf | iobm/RESrr | iobm/BERRrf | iobm/BERRrr | iobm/DTACKrf | iobm/DTACKrr +INPUTMC | 10 | 0 | 13 | 5 | 9 | 0 | 17 | 5 | 17 | 0 | 4 | 0 | 3 | 0 | 11 | 0 | 10 | 0 | 9 | 0 | 8 +INPUTP | 2 | 35 | 123 +EXPORTS | 1 | 5 | 17 +EQ | 14 | nDinLE.D = iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2; - !nDinLE.CLK = CLK2X_IOB; // GCK - iobm/nDinLE.EXP = CLK_IOB & iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd1 & - iobm/RESrf & iobm/RESrr -GLOBALS | 1 | 2 | CLK2X_IOB - -MACROCELL | 3 | 4 | iobm/nDoutOE -ATTRIBUTES | 8684290 | 0 -INPUTS | 3 | iobs/IORW0 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd2 -INPUTMC | 3 | 7 | 17 | 5 | 2 | 5 | 9 -EQ | 3 | - nDoutOE.D = !iobs/IORW0 - # !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd2; - nDoutOE.CLK = CLK2X_IOB; // GCK -GLOBALS | 1 | 2 | CLK2X_IOB + !nDinLE.CLK = C16M; // GCK + iobm/nDinLE.EXP = C8M & nBERR_IOB & iobm/IOS_FSM_FFd3 & + iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2 & iobm/IOBERR & iobm/RESrf & + iobm/RESrr + # C8M & !nBERR_IOB & iobm/IOS_FSM_FFd3 & + iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2 & !iobm/IOBERR & iobm/BERRrf & + iobm/BERRrr + # C8M & !nBERR_IOB & iobm/IOS_FSM_FFd3 & + iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2 & !iobm/IOBERR & iobm/DTACKrf & + iobm/DTACKrr + # C8M & !nBERR_IOB & iobm/IOS_FSM_FFd3 & + iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2 & !iobm/IOBERR & iobm/RESrf & + iobm/RESrr +GLOBALS | 1 | 2 | C16M MACROCELL | 5 | 14 | nADoutLE0_OBUF ATTRIBUTES | 264962 | 0 OUTPUTMC | 1 | 5 | 15 -INPUTS | 7 | iobm/ALE0 | iobs/ALE0 | CLK_IOB | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd1 | iobm/DTACKrf | iobm/DTACKrr -INPUTMC | 6 | 5 | 6 | 3 | 2 | 5 | 2 | 0 | 15 | 1 | 13 | 1 | 12 +INPUTS | 7 | iobm/ALE0 | iobs/ALE0 | C8M | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd1 | iobm/RESrf | iobm/RESrr +INPUTMC | 6 | 5 | 3 | 1 | 13 | 0 | 17 | 0 | 13 | 0 | 4 | 0 | 3 INPUTP | 1 | 35 EXPORTS | 1 | 5 | 15 EQ | 3 | nADoutLE0 = !iobm/ALE0 & !iobs/ALE0; - nADoutLE0_OBUF.EXP = CLK_IOB & iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd1 & - iobm/DTACKrf & iobm/DTACKrr + nADoutLE0_OBUF.EXP = C8M & iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd1 & + iobm/RESrf & iobm/RESrr MACROCELL | 3 | 5 | nDinOE_OBUF ATTRIBUTES | 264962 | 0 -INPUTS | 7 | A_FSB<23> | nWE_FSB | nAS_FSB | A_FSB<22> | A_FSB<21> | A_FSB<20> | SW<1> -INPUTP | 7 | 36 | 47 | 54 | 30 | 29 | 28 | 97 -EQ | 5 | +INPUTS | 6 | A_FSB<23> | nWE_FSB | nAS_FSB | A_FSB<22> | A_FSB<21> | A_FSB<20> +INPUTP | 6 | 36 | 47 | 54 | 30 | 29 | 28 +EQ | 3 | nDinOE = A_FSB<23> & nWE_FSB & !nAS_FSB # A_FSB<22> & !A_FSB<21> & A_FSB<20> & nWE_FSB & - !nAS_FSB - # A_FSB<22> & !A_FSB<21> & nWE_FSB & !nAS_FSB & - !SW<1>; + !nAS_FSB; MACROCELL | 7 | 4 | nRAS_OBUF ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 7 | 3 -INPUTS | 14 | A_FSB<23> | A_FSB<21> | A_FSB<18> | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nADoutLE1 | nWE_FSB | A_FSB<20> | SW<1> | A_FSB<14> | A_FSB<13> | A_FSB<22> | cs/nOverlay1 | nRAMLWE_OBUF.EXP -INPUTMC | 5 | 7 | 3 | 3 | 12 | 5 | 13 | 0 | 17 | 7 | 5 -INPUTP | 9 | 36 | 29 | 24 | 47 | 28 | 97 | 19 | 18 | 30 -EXPORTS | 1 | 7 | 3 -IMPORTS | 1 | 7 | 5 -EQ | 16 | - !nRAS = ;Imported pterms FB8_6 - ram/RefRAS - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & - !ram/RAMDIS2 & !nAS_FSB & !ram/RAMDIS1 +OUTPUTMC | 1 | 7 | 5 +INPUTS | 9 | ram/RefRAS | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | nAS_FSB | ram/RAMEN | A_FSB<21> | nWE_FSB | nLDS_FSB +INPUTMC | 3 | 1 | 10 | 4 | 3 | 4 | 9 +INPUTP | 6 | 36 | 30 | 54 | 29 | 47 | 49 +EXPORTS | 1 | 7 | 5 +EQ | 6 | + !nRAS = ram/RefRAS + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !nAS_FSB & + ram/RAMEN # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !ram/RAMDIS2 & !nAS_FSB & !ram/RAMDIS1; - nRAS_OBUF.EXP = !A_FSB<23> & A_FSB<21> & !A_FSB<18> & + !cs/nOverlay1 & !nAS_FSB & ram/RAMEN; + nRAS_OBUF.EXP = !nWE_FSB & !nLDS_FSB & !nAS_FSB & ram/RAMEN + +MACROCELL | 4 | 4 | ram/nCAS +ATTRIBUTES | 8684290 | 0 +INPUTS | 1 | ram/RASEL +INPUTMC | 1 | 4 | 0 +EQ | 2 | + nCAS.D = !ram/RASEL; + !nCAS.CLK = FCLK; // GCK +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 3 | 7 | N0 +ATTRIBUTES | 265986 | 0 +INPUTS | 1 | cnt/nRESout +INPUTMC | 1 | 3 | 0 +EQ | 2 | + nRES = Gnd; + nRES.OE = !cnt/nRESout; + +MACROCELL | 7 | 1 | A_FSB_19_IBUF$BUF0 +ATTRIBUTES | 264962 | 0 +OUTPUTMC | 1 | 7 | 2 +INPUTS | 8 | A_FSB<19> | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | ram/RefUrgent | A_FSB<23> | nAS_FSB | fsb/ASrf +INPUTMC | 5 | 4 | 6 | 4 | 12 | 7 | 2 | 1 | 7 | 1 | 14 +INPUTP | 3 | 26 | 36 | 54 +EXPORTS | 1 | 7 | 2 +EQ | 9 | + RA<11> = A_FSB<19>; + A_FSB_19_IBUF$BUF0.EXP = ram/RS_FSM_FFd1 & ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd3 + # ram/RS_FSM_FFd1 & !ram/RefUrgent & + !ram/RS_FSM_FFd3 + # A_FSB<23> & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd3 + # nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & + !fsb/ASrf + +MACROCELL | 6 | 8 | A_FSB_21_IBUF$BUF0 +ATTRIBUTES | 264962 | 0 +OUTPUTMC | 1 | 6 | 7 +INPUTS | 6 | A_FSB<21> | A_FSB<9> | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | ram/RASEL +INPUTMC | 2 | 4 | 3 | 4 | 0 +INPUTP | 4 | 29 | 12 | 36 | 30 +EXPORTS | 1 | 6 | 7 +EQ | 3 | + RA<10> = A_FSB<21>; + A_FSB_21_IBUF$BUF0.EXP = A_FSB<9> & !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & ram/RASEL + +MACROCELL | 5 | 13 | nADoutLE1_OBUF +ATTRIBUTES | 8815366 | 0 +OUTPUTMC | 22 | 7 | 15 | 2 | 14 | 2 | 2 | 5 | 13 | 0 | 16 | 2 | 11 | 2 | 5 | 0 | 15 | 2 | 8 | 7 | 11 | 7 | 6 | 7 | 9 | 2 | 4 | 7 | 13 | 2 | 13 | 2 | 15 | 2 | 16 | 2 | 17 | 7 | 5 | 7 | 7 | 7 | 12 | 7 | 14 +INPUTS | 3 | iobs/Clear1 | nADoutLE1 | iobs/Load1 +INPUTMC | 3 | 2 | 4 | 5 | 13 | 7 | 9 +EQ | 3 | + !nADoutLE1.D = iobs/Load1 + # !iobs/Clear1 & !nADoutLE1; + nADoutLE1.CLK = FCLK; // GCK +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 7 | 5 | nRAMLWE_OBUF +ATTRIBUTES | 264962 | 0 +OUTPUTMC | 1 | 7 | 6 +INPUTS | 11 | A_FSB<23> | A_FSB<22> | A_FSB<21> | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nADoutLE1 | cs/nOverlay1 | A_FSB<19> | A_FSB<16> | nWE_FSB | nRAS_OBUF.EXP +INPUTMC | 5 | 7 | 6 | 1 | 15 | 5 | 13 | 4 | 3 | 7 | 4 +INPUTP | 6 | 36 | 30 | 29 | 26 | 22 | 47 +EXPORTS | 1 | 7 | 6 +IMPORTS | 1 | 7 | 4 +EQ | 12 | + !nRAMLWE = ;Imported pterms FB8_5 + !nWE_FSB & !nLDS_FSB & !nAS_FSB & ram/RAMEN; + nRAMLWE_OBUF.EXP = !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # !A_FSB<23> & A_FSB<21> & !A_FSB<19> & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # !A_FSB<23> & A_FSB<21> & !A_FSB<16> & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 # !A_FSB<23> & A_FSB<21> & nWE_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 - # !A_FSB<23> & !A_FSB<20> & !iobs/PS_FSM_FFd2 & - !iobs/PS_FSM_FFd1 & SW<1> & nADoutLE1 + +MACROCELL | 7 | 7 | nRAMUWE_OBUF +ATTRIBUTES | 264962 | 0 +OUTPUTMC | 1 | 7 | 6 +INPUTS | 15 | nWE_FSB | nUDS_FSB | nAS_FSB | ram/RAMEN | A_FSB<23> | A_FSB<21> | A_FSB<18> | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nADoutLE1 | A_FSB<17> | A_FSB<14> | A_FSB<13> | A_FSB<22> | cs/nOverlay1 +INPUTMC | 5 | 4 | 9 | 7 | 6 | 1 | 15 | 5 | 13 | 4 | 3 +INPUTP | 10 | 47 | 56 | 54 | 36 | 29 | 24 | 23 | 19 | 18 | 30 +EXPORTS | 1 | 7 | 6 +EQ | 9 | + !nRAMUWE = !nWE_FSB & !nUDS_FSB & !nAS_FSB & ram/RAMEN; + nRAMUWE_OBUF.EXP = !A_FSB<23> & A_FSB<21> & !A_FSB<18> & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # !A_FSB<23> & A_FSB<21> & !A_FSB<17> & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 # !A_FSB<23> & A_FSB<22> & A_FSB<21> & cs/nOverlay1 & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 -MACROCELL | 4 | 4 | ram/nCAS -ATTRIBUTES | 8684290 | 0 -OUTPUTMC | 1 | 4 | 5 -INPUTS | 3 | ram/RASEL | nWE_FSB | nAS_FSB -INPUTMC | 1 | 4 | 17 -INPUTP | 2 | 47 | 54 -EXPORTS | 1 | 4 | 5 -EQ | 3 | - nCAS.D = !ram/RASEL; - !nCAS.CLK = CLK_FSB; // GCK - ram/nCAS.EXP = nWE_FSB & !nAS_FSB -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 7 | 1 | A_FSB_19_IBUF$BUF0 -ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 7 | 0 -INPUTS | 7 | A_FSB<19> | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | iobs/Once | nADoutLE1 | nWE_FSB | iobs/IORW0 -INPUTMC | 5 | 7 | 3 | 3 | 12 | 4 | 6 | 5 | 13 | 7 | 17 -INPUTP | 2 | 26 | 47 -EXPORTS | 1 | 7 | 0 -EQ | 5 | - RA<11> = A_FSB<19>; - A_FSB_19_IBUF$BUF0.EXP = iobs/PS_FSM_FFd2 - # iobs/PS_FSM_FFd1 - # iobs/Once & nADoutLE1 - # nWE_FSB & iobs/IORW0 & nADoutLE1 - -MACROCELL | 6 | 8 | A_FSB_21_IBUF$BUF0 -ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 6 | 9 -INPUTS | 9 | A_FSB<21> | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | cnt/RefCnt<5> | ram/BACTr | cnt/RefCnt<6> | nAS_FSB | fsb/ASrf | cnt/RefCnt<7> -INPUTMC | 7 | 6 | 9 | 6 | 3 | 3 | 7 | 0 | 1 | 3 | 6 | 0 | 5 | 3 | 3 -INPUTP | 2 | 29 | 54 -EXPORTS | 1 | 6 | 9 -EQ | 9 | - RA<10> = A_FSB<21>; - A_FSB_21_IBUF$BUF0.EXP = !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & - !cnt/RefCnt<5> & ram/BACTr - # !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & - !cnt/RefCnt<6> & ram/BACTr - # nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & - !cnt/RefCnt<5> & !fsb/ASrf - # nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & - !cnt/RefCnt<7> & !fsb/ASrf - -MACROCELL | 6 | 13 | CLK20EN_OBUF$BUF0 -ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 6 | 14 -INPUTS | 11 | A_FSB<23> | A_FSB<22> | ram/Once | cs/nOverlay1 | nAS_FSB | ram/RS_FSM_FFd1 | fsb/ASrf | A_FSB<21> | cnt/RefDone | ram/BACTr | cnt/TimeoutB.EXP -INPUTMC | 7 | 4 | 9 | 0 | 17 | 3 | 17 | 0 | 5 | 3 | 13 | 0 | 1 | 6 | 12 -INPUTP | 4 | 36 | 30 | 54 | 29 -EXPORTS | 1 | 6 | 14 -IMPORTS | 1 | 6 | 12 -EQ | 12 | - CLK20EN = ;Imported pterms FB7_13 - SW<0>; - CLK20EN_OBUF$BUF0.EXP = !A_FSB<23> & !A_FSB<22> & !ram/Once & cs/nOverlay1 & - !nAS_FSB & !ram/RS_FSM_FFd1 - # !A_FSB<23> & !A_FSB<22> & !ram/Once & cs/nOverlay1 & - !ram/RS_FSM_FFd1 & fsb/ASrf - # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & !nAS_FSB & - !ram/RS_FSM_FFd1 & !ram/BACTr - # A_FSB<22> & !cnt/RefDone & cs/nOverlay1 & - !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/BACTr - # !A_FSB<22> & !cnt/RefDone & !cs/nOverlay1 & - !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/BACTr - -MACROCELL | 6 | 11 | CLK25EN_OBUF -ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 6 | 10 -INPUTS | 4 | SW<0> | A_FSB<20> | ram/RASEL | A_FSB<19> -INPUTMC | 1 | 4 | 17 -INPUTP | 3 | 98 | 28 | 26 -EXPORTS | 1 | 6 | 10 -EQ | 3 | - CLK25EN = !SW<0>; - CLK25EN_OBUF.EXP = A_FSB<20> & ram/RASEL - # A_FSB<19> & !ram/RASEL - -MACROCELL | 7 | 14 | Park -ATTRIBUTES | 8815430 | 0 -OUTPUTMC | 6 | 2 | 0 | 2 | 5 | 2 | 3 | 2 | 10 | 2 | 11 | 7 | 13 -INPUTS | 12 | RESr0 | RESr1 | IPL2r0 | RESr2 | RESDone | IPL2r1 | A_FSB<23> | A_FSB<21> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> -INPUTMC | 6 | 0 | 9 | 0 | 8 | 0 | 11 | 0 | 7 | 7 | 6 | 0 | 10 -INPUTP | 6 | 36 | 29 | 26 | 24 | 23 | 22 -EXPORTS | 1 | 7 | 13 -EQ | 8 | - nBR_IOB.D = Gnd; - nBR_IOB.CLK = CLK_FSB; // GCK - nBR_IOB.CE = RESr0 & RESr1 & IPL2r0 & RESr2 & !RESDone & - IPL2r1; - Park.EXP = !A_FSB<23> & A_FSB<21> & !A_FSB<19> - # !A_FSB<23> & A_FSB<21> & !A_FSB<18> - # !A_FSB<23> & A_FSB<21> & !A_FSB<17> - # !A_FSB<23> & A_FSB<21> & !A_FSB<16> -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 5 | 13 | nADoutLE1_OBUF -ATTRIBUTES | 8815366 | 0 -OUTPUTMC | 24 | 4 | 6 | 7 | 15 | 7 | 17 | 2 | 12 | 5 | 13 | 4 | 3 | 7 | 13 | 3 | 16 | 3 | 14 | 2 | 7 | 7 | 3 | 4 | 12 | 3 | 0 | 7 | 8 | 2 | 9 | 2 | 16 | 4 | 5 | 7 | 0 | 7 | 1 | 7 | 2 | 7 | 4 | 7 | 7 | 7 | 9 | 7 | 16 -INPUTS | 3 | iobs/Clear1 | nADoutLE1 | iobs/Load1 -INPUTMC | 3 | 3 | 0 | 5 | 13 | 4 | 12 -EQ | 3 | - !nADoutLE1.D = iobs/Load1 - # !iobs/Clear1 & !nADoutLE1; - nADoutLE1.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 3 | 1 | nAoutOE_OBUF -ATTRIBUTES | 8815366 | 0 -OUTPUTMC | 8 | 5 | 1 | 5 | 11 | 5 | 8 | 5 | 10 | 5 | 2 | 5 | 15 | 5 | 6 | 3 | 1 -INPUTS | 4 | iobm/BGr1 | nAoutOE | iobm/BGr0 | nAS_IOB -INPUTMC | 4 | 1 | 14 | 3 | 1 | 1 | 15 | 5 | 11 -EQ | 4 | - nAoutOE.D = !iobm/BGr0 & !iobm/BGr1 - # !iobm/BGr1 & nAoutOE - # !nAS_IOB & !iobm/BGr0 & !nAoutOE; - nAoutOE.CLK = CLK2X_IOB; // GCK -GLOBALS | 1 | 2 | CLK2X_IOB - -MACROCELL | 7 | 5 | nRAMLWE_OBUF -ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 7 | 4 -INPUTS | 10 | nWE_FSB | nLDS_FSB | ram/RAMDIS2 | nAS_FSB | ram/RAMDIS1 | ram/RefRAS | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | A_FSB<21> -INPUTMC | 4 | 4 | 2 | 6 | 17 | 0 | 0 | 0 | 17 -INPUTP | 6 | 47 | 49 | 54 | 36 | 30 | 29 -EXPORTS | 1 | 7 | 4 -EQ | 7 | - !nRAMLWE = !nWE_FSB & !nLDS_FSB & !ram/RAMDIS2 & !nAS_FSB & - !ram/RAMDIS1; - nRAMLWE_OBUF.EXP = ram/RefRAS - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & - !ram/RAMDIS2 & !nAS_FSB & !ram/RAMDIS1 - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !ram/RAMDIS2 & !nAS_FSB & !ram/RAMDIS1 - -MACROCELL | 7 | 7 | nRAMUWE_OBUF -ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 7 | 8 -INPUTS | 10 | A_FSB<23> | A_FSB<22> | A_FSB<21> | iobs/PS_FSM_FFd2 | nADoutLE1 | A_FSB<20> | A_FSB<19> | A_FSB<17> | A_FSB<16> | RESDone.EXP -INPUTMC | 3 | 7 | 3 | 5 | 13 | 7 | 6 -INPUTP | 7 | 36 | 30 | 29 | 28 | 26 | 23 | 22 -EXPORTS | 1 | 7 | 8 -IMPORTS | 1 | 7 | 6 -EQ | 13 | - !nRAMUWE = ;Imported pterms FB8_7 - !nWE_FSB & !nUDS_FSB & !ram/RAMDIS2 & !nAS_FSB & - !ram/RAMDIS1; - nRAMUWE_OBUF.EXP = !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & - !iobs/PS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & A_FSB<21> & !A_FSB<20> & - !iobs/PS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & A_FSB<21> & !A_FSB<19> & - !iobs/PS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & A_FSB<21> & !A_FSB<17> & - !iobs/PS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & A_FSB<21> & !A_FSB<16> & - !iobs/PS_FSM_FFd2 & nADoutLE1 - MACROCELL | 4 | 1 | nROMCS_OBUF ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 4 | 2 -INPUTS | 16 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | SW<1> | cs/nOverlay1 | cnt/RefDone | ram/Once | ram/RAMDIS2 | nAS_FSB | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | fsb/ASrf -INPUTMC | 10 | 0 | 17 | 3 | 13 | 4 | 9 | 4 | 2 | 6 | 9 | 6 | 3 | 3 | 7 | 3 | 6 | 3 | 3 | 0 | 5 -INPUTP | 6 | 36 | 30 | 29 | 28 | 97 | 54 -EXPORTS | 1 | 4 | 2 +OUTPUTMC | 1 | 4 | 0 +INPUTS | 12 | A_FSB<22> | A_FSB<21> | ram/RS_FSM_FFd2 | ram/RefUrgent | ram/RS_FSM_FFd3 | ram/RefReq | cs/nOverlay1 | A_FSB<23> | nAS_FSB | ram/RAMEN | fsb/ASrf | ram/RefDone.EXP +INPUTMC | 8 | 4 | 12 | 1 | 7 | 7 | 2 | 1 | 9 | 4 | 3 | 4 | 9 | 1 | 14 | 4 | 2 +INPUTP | 4 | 30 | 29 | 36 | 54 +EXPORTS | 1 | 4 | 0 +IMPORTS | 1 | 4 | 2 EQ | 14 | - !nROMCS = A_FSB<23> & !A_FSB<22> & !A_FSB<21> & !A_FSB<20> & - !SW<1> - # !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> & - SW<1> - # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & !A_FSB<20> & + !nROMCS = ;Imported pterms FB5_3 + !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> + # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> & !cs/nOverlay1; - nROMCS_OBUF.EXP = !A_FSB<23> & A_FSB<22> & A_FSB<21> & !cnt/RefDone & - ram/Once & !cs/nOverlay1 & !ram/RAMDIS2 & !nAS_FSB & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & - cnt/RefCnt<7> - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & !cnt/RefDone & - ram/Once & !cs/nOverlay1 & !ram/RAMDIS2 & !ram/RS_FSM_FFd2 & - !ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & - fsb/ASrf + nROMCS_OBUF.EXP = A_FSB<22> & !A_FSB<21> & !ram/RS_FSM_FFd2 & + !ram/RefUrgent & !ram/RS_FSM_FFd3 & !ram/RefReq + # A_FSB<22> & cs/nOverlay1 & !ram/RS_FSM_FFd2 & + !ram/RefUrgent & !ram/RS_FSM_FFd3 & !ram/RefReq + # !A_FSB<22> & !cs/nOverlay1 & !ram/RS_FSM_FFd2 & + !ram/RefUrgent & !ram/RS_FSM_FFd3 & !ram/RefReq + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !nAS_FSB & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & !ram/RAMEN + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & !ram/RAMEN & fsb/ASrf -MACROCELL | 0 | 12 | $OpTx$FX_DC$591 -ATTRIBUTES | 133888 | 0 -OUTPUTMC | 6 | 2 | 0 | 2 | 1 | 2 | 2 | 2 | 3 | 2 | 16 | 2 | 17 -INPUTS | 2 | nAS_FSB | fsb/ASrf -INPUTMC | 1 | 0 | 5 -INPUTP | 1 | 54 +MACROCELL | 6 | 11 | N1 +ATTRIBUTES | 264962 | 0 +INPUTS | 0 EQ | 1 | - $OpTx$FX_DC$591 = nAS_FSB & !fsb/ASrf; + C25MEN = Vcc; -MACROCELL | 2 | 1 | EXP14_ +MACROCELL | 6 | 13 | N1$BUF0 +ATTRIBUTES | 264962 | 0 +INPUTS | 0 +EQ | 1 | + C20MEN = Vcc; + +MACROCELL | 2 | 0 | EXP14_ ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 2 | 0 -INPUTS | 20 | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | fsb/Ready0r | fsb/VPA | ram/RAMReady | $OpTx$FX_DC$591 | A_FSB<21> | fsb/Ready1r | iobs/IOReady | SW<1> | A_FSB<14> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | nWE_FSB | A_FSB<13> | EXP15_.EXP -INPUTMC | 8 | 0 | 17 | 2 | 3 | 2 | 0 | 6 | 14 | 0 | 12 | 2 | 14 | 3 | 16 | 2 | 2 -INPUTP | 12 | 36 | 30 | 29 | 97 | 19 | 28 | 26 | 24 | 23 | 22 | 47 | 18 -EXPORTS | 1 | 2 | 0 -IMPORTS | 1 | 2 | 2 -EQ | 57 | +OUTPUTMC | 1 | 2 | 1 +INPUTS | 20 | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | fsb/Ready0r | fsb/VPA | fsb/ASrf | ram/RAMReady | A_FSB<21> | nAS_FSB | A_FSB<14> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | nWE_FSB | fsb/Ready1r | iobs/IOReady | A_FSB<13> | EXP21_.EXP +INPUTMC | 8 | 4 | 3 | 2 | 5 | 2 | 1 | 1 | 14 | 4 | 16 | 2 | 9 | 2 | 6 | 2 | 17 +INPUTP | 12 | 36 | 30 | 29 | 54 | 19 | 28 | 26 | 24 | 23 | 22 | 47 | 18 +EXPORTS | 1 | 2 | 1 +IMPORTS | 1 | 2 | 17 +EQ | 33 | EXP14_.EXP = !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & - !fsb/Ready0r & fsb/VPA & !ram/RAMReady & !$OpTx$FX_DC$591 - # A_FSB<22> & !A_FSB<21> & !fsb/Ready1r & fsb/VPA & - !iobs/IOReady & !SW<1> & !$OpTx$FX_DC$591 + !fsb/Ready0r & fsb/VPA & fsb/ASrf & !ram/RAMReady # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !fsb/Ready0r & fsb/VPA & !ram/RAMReady & - !$OpTx$FX_DC$591 + !cs/nOverlay1 & !fsb/Ready0r & fsb/VPA & !nAS_FSB & !ram/RAMReady + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !fsb/Ready0r & fsb/VPA & fsb/ASrf & !ram/RAMReady # A_FSB<14> & A_FSB<22> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !cs/nOverlay1 & - !fsb/Ready1r & fsb/VPA & !iobs/IOReady & !$OpTx$FX_DC$591 + !fsb/Ready1r & fsb/VPA & !iobs/IOReady & !nAS_FSB # A_FSB<13> & A_FSB<22> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !cs/nOverlay1 & - !fsb/Ready1r & fsb/VPA & !iobs/IOReady & !$OpTx$FX_DC$591 -;Imported pterms FB3_3 - # A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & - A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !nWE_FSB & !cs/nOverlay1 & !cnt/TimeoutA & - !fsb/Ready2r & fsb/VPA & !$OpTx$FX_DC$591 - # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & - !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !nWE_FSB & !cs/nOverlay1 & !cnt/TimeoutA & - !fsb/Ready2r & fsb/VPA & !$OpTx$FX_DC$591 - # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & - !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !nWE_FSB & cs/nOverlay1 & !cnt/TimeoutA & - !fsb/Ready2r & fsb/VPA & !$OpTx$FX_DC$591 - # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & - A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !nWE_FSB & !cs/nOverlay1 & !cnt/TimeoutA & - !fsb/Ready2r & fsb/VPA & !$OpTx$FX_DC$591 - # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & - !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !nWE_FSB & cs/nOverlay1 & !cnt/TimeoutA & - !fsb/Ready2r & fsb/VPA & !$OpTx$FX_DC$591 -;Imported pterms FB3_4 - # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & - !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !nWE_FSB & !cs/nOverlay1 & !cnt/TimeoutA & - !fsb/Ready2r & fsb/VPA & !$OpTx$FX_DC$591 + !fsb/Ready1r & fsb/VPA & !iobs/IOReady & !nAS_FSB +;Imported pterms FB3_18 + # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & + cs/nOverlay1 & !fsb/Ready1r & fsb/VPA & !iobs/IOReady & fsb/ASrf & + !nADoutLE1 # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & !iobs/BERR & !cnt/TimeoutB & - !fsb/BERR0r & !fsb/BERR1r & fsb/Ready1r & nBR_IOB & - !$OpTx$FX_DC$591 + A_FSB<17> & A_FSB<16> & fsb/Ready1r & !nAS_FSB # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & !iobs/BERR & !cnt/TimeoutB & - !fsb/BERR0r & !fsb/BERR1r & iobs/IOReady & nBR_IOB & - !$OpTx$FX_DC$591 + A_FSB<17> & A_FSB<16> & fsb/Ready1r & fsb/ASrf + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & iobs/IOReady & !nAS_FSB + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & iobs/IOReady & fsb/ASrf MACROCELL | 2 | 2 | EXP15_ ATTRIBUTES | 2048 | 0 OUTPUTMC | 1 | 2 | 1 -INPUTS | 23 | A_FSB<9> | A_FSB<15> | A_FSB<14> | A_FSB<13> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | nWE_FSB | cs/nOverlay1 | cnt/TimeoutA | fsb/Ready2r | fsb/VPA | $OpTx$FX_DC$591 | A_FSB<8> | fsb/Ready0r.EXP -INPUTMC | 6 | 0 | 17 | 3 | 15 | 2 | 15 | 2 | 0 | 0 | 12 | 2 | 3 -INPUTP | 17 | 12 | 21 | 19 | 18 | 17 | 15 | 13 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 47 | 11 +INPUTS | 17 | A_FSB<14> | A_FSB<22> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | nWE_FSB | cs/nOverlay1 | fsb/Ready1r | fsb/VPA | iobs/IOReady | fsb/ASrf | A_FSB<13> | A_FSB<21> | nAS_FSB | nADoutLE1 +INPUTMC | 6 | 4 | 3 | 2 | 9 | 2 | 1 | 2 | 6 | 1 | 14 | 5 | 13 +INPUTP | 11 | 19 | 30 | 28 | 26 | 24 | 23 | 22 | 47 | 18 | 29 | 54 EXPORTS | 1 | 2 | 1 -IMPORTS | 1 | 2 | 3 -EQ | 43 | - EXP15_.EXP = A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & - A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !nWE_FSB & !cs/nOverlay1 & !cnt/TimeoutA & - !fsb/Ready2r & fsb/VPA & !$OpTx$FX_DC$591 - # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & - !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !nWE_FSB & !cs/nOverlay1 & !cnt/TimeoutA & - !fsb/Ready2r & fsb/VPA & !$OpTx$FX_DC$591 - # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & - !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !nWE_FSB & cs/nOverlay1 & !cnt/TimeoutA & - !fsb/Ready2r & fsb/VPA & !$OpTx$FX_DC$591 - # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & - A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !nWE_FSB & !cs/nOverlay1 & !cnt/TimeoutA & - !fsb/Ready2r & fsb/VPA & !$OpTx$FX_DC$591 - # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & - !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !nWE_FSB & cs/nOverlay1 & !cnt/TimeoutA & - !fsb/Ready2r & fsb/VPA & !$OpTx$FX_DC$591 -;Imported pterms FB3_4 - # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & - !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !nWE_FSB & !cs/nOverlay1 & !cnt/TimeoutA & - !fsb/Ready2r & fsb/VPA & !$OpTx$FX_DC$591 - # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & - A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & - A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & !iobs/BERR & !cnt/TimeoutB & - !fsb/BERR0r & !fsb/BERR1r & fsb/Ready1r & nBR_IOB & - !$OpTx$FX_DC$591 - # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & - A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & - A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & !iobs/BERR & !cnt/TimeoutB & - !fsb/BERR0r & !fsb/BERR1r & iobs/IOReady & nBR_IOB & - !$OpTx$FX_DC$591 +EQ | 18 | + EXP15_.EXP = A_FSB<14> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !cs/nOverlay1 & + !fsb/Ready1r & fsb/VPA & !iobs/IOReady & fsb/ASrf + # A_FSB<13> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !cs/nOverlay1 & + !fsb/Ready1r & fsb/VPA & !iobs/IOReady & fsb/ASrf + # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & + cs/nOverlay1 & !fsb/Ready1r & fsb/VPA & !iobs/IOReady & !nAS_FSB & + !nADoutLE1 + # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & + cs/nOverlay1 & !fsb/Ready1r & fsb/VPA & !iobs/IOReady & !nAS_FSB & + !nADoutLE1 + # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & + cs/nOverlay1 & !fsb/Ready1r & fsb/VPA & !iobs/IOReady & fsb/ASrf & + !nADoutLE1 -MACROCELL | 2 | 4 | EXP16_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 2 | 3 -INPUTS | 2 | nAS_FSB | fsb/ASrf -INPUTMC | 1 | 0 | 5 -INPUTP | 1 | 54 -EXPORTS | 1 | 2 | 3 -EQ | 1 | - EXP16_.EXP = nAS_FSB & !fsb/ASrf - -MACROCELL | 2 | 5 | EXP17_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 2 | 6 -INPUTS | 24 | nAS_FSB | fsb/ASrf | A_FSB<9> | A_FSB<8> | A_FSB<15> | A_FSB<14> | A_FSB<13> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | iobs/BERR | cnt/TimeoutB | fsb/BERR0r | fsb/BERR1r | iobs/IOReady | nBR_IOB -INPUTMC | 7 | 0 | 5 | 7 | 15 | 6 | 12 | 2 | 11 | 0 | 16 | 3 | 16 | 7 | 14 -INPUTP | 17 | 54 | 12 | 11 | 21 | 19 | 18 | 17 | 15 | 13 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 -EXPORTS | 1 | 2 | 6 -EQ | 6 | - EXP17_.EXP = nAS_FSB & !fsb/ASrf - # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & - A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & - A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & !iobs/BERR & !cnt/TimeoutB & - !fsb/BERR0r & !fsb/BERR1r & iobs/IOReady & nBR_IOB - -MACROCELL | 2 | 6 | EXP18_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 2 | 7 -INPUTS | 11 | A_FSB<23> | cnt/TimeoutB | nDTACK_FSB | A_FSB<22> | A_FSB<20> | fsb/Ready1r | iobs/IOReady | cs/nOverlay1 | fsb/Ready0r | ram/RAMReady | EXP17_.EXP -INPUTMC | 8 | 6 | 12 | 2 | 8 | 2 | 14 | 3 | 16 | 0 | 17 | 2 | 3 | 6 | 14 | 2 | 5 -INPUTP | 3 | 36 | 30 | 28 -EXPORTS | 1 | 2 | 7 -IMPORTS | 1 | 2 | 5 -EQ | 14 | - EXP18_.EXP = A_FSB<23> & cnt/TimeoutB & nDTACK_FSB - # !A_FSB<22> & cnt/TimeoutB & nDTACK_FSB - # !A_FSB<20> & cnt/TimeoutB & nDTACK_FSB - # A_FSB<23> & !fsb/Ready1r & !iobs/IOReady & - nDTACK_FSB - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & - !fsb/Ready0r & nDTACK_FSB & !ram/RAMReady -;Imported pterms FB3_6 - # nAS_FSB & !fsb/ASrf - # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & - A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & - A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & !iobs/BERR & !cnt/TimeoutB & - !fsb/BERR0r & !fsb/BERR1r & iobs/IOReady & nBR_IOB - -MACROCELL | 2 | 7 | EXP19_ +MACROCELL | 2 | 7 | EXP16_ ATTRIBUTES | 2048 | 0 OUTPUTMC | 1 | 2 | 8 -INPUTS | 24 | A_FSB<14> | A_FSB<22> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | nWE_FSB | cs/nOverlay1 | fsb/Ready1r | iobs/IOReady | nDTACK_FSB | A_FSB<21> | nADoutLE1 | A_FSB<8> | A_FSB<15> | A_FSB<13> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<23> | cnt/TimeoutA | fsb/Ready2r | EXP18_.EXP -INPUTMC | 8 | 0 | 17 | 2 | 14 | 3 | 16 | 2 | 8 | 5 | 13 | 3 | 15 | 2 | 15 | 2 | 6 -INPUTP | 16 | 19 | 30 | 28 | 26 | 24 | 23 | 22 | 47 | 29 | 11 | 21 | 18 | 17 | 15 | 13 | 36 +INPUTS | 18 | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | fsb/Ready0r | nDTACK_FSB | ram/RAMReady | A_FSB<21> | A_FSB<20> | fsb/Ready1r | iobs/IOReady | A_FSB<14> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | nWE_FSB | A_FSB<13> | iobs/IOReady.EXP +INPUTMC | 7 | 4 | 3 | 2 | 5 | 2 | 8 | 4 | 16 | 2 | 9 | 2 | 6 | 2 | 6 +INPUTP | 11 | 36 | 30 | 29 | 28 | 19 | 26 | 24 | 23 | 22 | 47 | 18 EXPORTS | 1 | 2 | 8 IMPORTS | 1 | 2 | 6 -EQ | 37 | - EXP19_.EXP = A_FSB<14> & A_FSB<22> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !cs/nOverlay1 & - !fsb/Ready1r & !iobs/IOReady & nDTACK_FSB - # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & - cs/nOverlay1 & !fsb/Ready1r & !iobs/IOReady & nDTACK_FSB & - !nADoutLE1 - # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & - A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !nWE_FSB & !cs/nOverlay1 & !cnt/TimeoutA & - !fsb/Ready2r & nDTACK_FSB - # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & - A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & !A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !nWE_FSB & cs/nOverlay1 & !cnt/TimeoutA & - !fsb/Ready2r & nDTACK_FSB - # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & - !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !nWE_FSB & !cs/nOverlay1 & !cnt/TimeoutA & - !fsb/Ready2r & nDTACK_FSB -;Imported pterms FB3_7 - # A_FSB<23> & cnt/TimeoutB & nDTACK_FSB - # !A_FSB<22> & cnt/TimeoutB & nDTACK_FSB - # !A_FSB<20> & cnt/TimeoutB & nDTACK_FSB - # A_FSB<23> & !fsb/Ready1r & !iobs/IOReady & - nDTACK_FSB - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & +EQ | 24 | + EXP16_.EXP = !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !fsb/Ready0r & nDTACK_FSB & !ram/RAMReady -;Imported pterms FB3_6 - # nAS_FSB & !fsb/ASrf - # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & - A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & - A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & !iobs/BERR & !cnt/TimeoutB & - !fsb/BERR0r & !fsb/BERR1r & iobs/IOReady & nBR_IOB - -MACROCELL | 2 | 9 | EXP20_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 2 | 8 -INPUTS | 19 | A_FSB<22> | A_FSB<21> | A_FSB<20> | fsb/Ready1r | iobs/IOReady | nDTACK_FSB | SW<1> | A_FSB<23> | cs/nOverlay1 | fsb/Ready0r | ram/RAMReady | A_FSB<13> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | nWE_FSB | nADoutLE1 | EXP21_.EXP -INPUTMC | 8 | 2 | 14 | 3 | 16 | 2 | 8 | 0 | 17 | 2 | 3 | 6 | 14 | 5 | 13 | 2 | 10 -INPUTP | 11 | 30 | 29 | 28 | 97 | 36 | 18 | 26 | 24 | 23 | 22 | 47 -EXPORTS | 1 | 2 | 8 -IMPORTS | 1 | 2 | 10 -EQ | 25 | - EXP20_.EXP = A_FSB<22> & !A_FSB<21> & A_FSB<20> & !fsb/Ready1r & + # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !fsb/Ready1r & !iobs/IOReady & nDTACK_FSB - # A_FSB<22> & !A_FSB<21> & !fsb/Ready1r & - !iobs/IOReady & nDTACK_FSB & !SW<1> # !A_FSB<23> & A_FSB<22> & A_FSB<21> & !cs/nOverlay1 & !fsb/Ready0r & nDTACK_FSB & !ram/RAMReady + # A_FSB<14> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !cs/nOverlay1 & + !fsb/Ready1r & !iobs/IOReady & nDTACK_FSB # A_FSB<13> & A_FSB<22> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !cs/nOverlay1 & !fsb/Ready1r & !iobs/IOReady & nDTACK_FSB - # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & - cs/nOverlay1 & !fsb/Ready1r & !iobs/IOReady & nDTACK_FSB & - !nADoutLE1 -;Imported pterms FB3_11 - # iobs/BERR & nDTACK_FSB - # fsb/BERR0r & nDTACK_FSB - # fsb/BERR1r & nDTACK_FSB - # nDTACK_FSB & !nBR_IOB - # A_FSB<21> & cnt/TimeoutB & nDTACK_FSB -;Imported pterms FB3_12 +;Imported pterms FB3_7 + # nAS_FSB & !fsb/ASrf + # A_FSB<23> & !fsb/Ready1r & !iobs/IOReady & + nDTACK_FSB # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & !iobs/BERR & !cnt/TimeoutB & - !fsb/BERR0r & !fsb/BERR1r & fsb/Ready1r & nBR_IOB - -MACROCELL | 2 | 10 | EXP21_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 2 | 9 -INPUTS | 8 | iobs/BERR | nDTACK_FSB | fsb/BERR0r | fsb/BERR1r | nBR_IOB | A_FSB<21> | cnt/TimeoutB | fsb/BERR0r.EXP -INPUTMC | 7 | 7 | 15 | 2 | 8 | 2 | 11 | 0 | 16 | 7 | 14 | 6 | 12 | 2 | 11 -INPUTP | 1 | 29 -EXPORTS | 1 | 2 | 9 -IMPORTS | 1 | 2 | 11 -EQ | 11 | - EXP21_.EXP = iobs/BERR & nDTACK_FSB - # fsb/BERR0r & nDTACK_FSB - # fsb/BERR1r & nDTACK_FSB - # nDTACK_FSB & !nBR_IOB - # A_FSB<21> & cnt/TimeoutB & nDTACK_FSB -;Imported pterms FB3_12 + A_FSB<17> & A_FSB<16> & fsb/Ready1r # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & !iobs/BERR & !cnt/TimeoutB & - !fsb/BERR0r & !fsb/BERR1r & fsb/Ready1r & nBR_IOB + A_FSB<17> & A_FSB<16> & iobs/IOReady -MACROCELL | 2 | 13 | EXP22_ +MACROCELL | 2 | 10 | EXP17_ ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 2 | 14 -INPUTS | 16 | A_FSB<23> | fsb/Ready1r | iobs/IOReady | A_FSB<22> | A_FSB<21> | A_FSB<20> | SW<1> | A_FSB<14> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | nWE_FSB | cs/nOverlay1 | A_FSB<13> | cs/nOverlay0.EXP -INPUTMC | 4 | 2 | 14 | 3 | 16 | 0 | 17 | 2 | 12 -INPUTP | 12 | 36 | 30 | 29 | 28 | 97 | 19 | 26 | 24 | 23 | 22 | 47 | 18 -EXPORTS | 1 | 2 | 14 -IMPORTS | 1 | 2 | 12 -EQ | 18 | - EXP22_.EXP = A_FSB<23> & !fsb/Ready1r & !iobs/IOReady - # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !fsb/Ready1r & - !iobs/IOReady - # A_FSB<22> & !A_FSB<21> & !fsb/Ready1r & - !iobs/IOReady & !SW<1> - # A_FSB<14> & A_FSB<22> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !cs/nOverlay1 & - !fsb/Ready1r & !iobs/IOReady - # A_FSB<13> & A_FSB<22> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !cs/nOverlay1 & - !fsb/Ready1r & !iobs/IOReady -;Imported pterms FB3_13 - # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & - cs/nOverlay1 & !fsb/Ready1r & !iobs/IOReady & !nADoutLE1 - # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & - cs/nOverlay1 & !fsb/Ready1r & !iobs/IOReady & !nADoutLE1 - -MACROCELL | 2 | 17 | EXP23_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 2 | 0 -INPUTS | 10 | A_FSB<23> | cnt/TimeoutB | fsb/VPA | $OpTx$FX_DC$591 | A_FSB<22> | A_FSB<21> | fsb/Ready1r | iobs/IOReady | A_FSB<20> | nROMWE_OBUF.EXP -INPUTMC | 6 | 6 | 12 | 2 | 0 | 0 | 12 | 2 | 14 | 3 | 16 | 2 | 16 -INPUTP | 4 | 36 | 30 | 29 | 28 -EXPORTS | 1 | 2 | 0 -IMPORTS | 1 | 2 | 16 -EQ | 29 | - EXP23_.EXP = A_FSB<23> & cnt/TimeoutB & fsb/VPA & - !$OpTx$FX_DC$591 - # !A_FSB<22> & cnt/TimeoutB & fsb/VPA & - !$OpTx$FX_DC$591 - # A_FSB<21> & cnt/TimeoutB & fsb/VPA & - !$OpTx$FX_DC$591 - # A_FSB<23> & !fsb/Ready1r & fsb/VPA & - !iobs/IOReady & !$OpTx$FX_DC$591 - # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !fsb/Ready1r & - fsb/VPA & !iobs/IOReady & !$OpTx$FX_DC$591 -;Imported pterms FB3_17 - # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & - cs/nOverlay1 & !fsb/Ready1r & fsb/VPA & !iobs/IOReady & - !nADoutLE1 & !$OpTx$FX_DC$591 - # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & - cs/nOverlay1 & !fsb/Ready1r & fsb/VPA & !iobs/IOReady & - !nADoutLE1 & !$OpTx$FX_DC$591 - # A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & - A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & !A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !nWE_FSB & cs/nOverlay1 & !cnt/TimeoutA & - !fsb/Ready2r & fsb/VPA & !$OpTx$FX_DC$591 - # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & - A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & !A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !nWE_FSB & cs/nOverlay1 & !cnt/TimeoutA & - !fsb/Ready2r & fsb/VPA & !$OpTx$FX_DC$591 - -MACROCELL | 4 | 0 | EXP24_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 4 | 17 -INPUTS | 12 | A_FSB<22> | cnt/RefDone | cs/nOverlay1 | nAS_FSB | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd2 | ram/BACTr | fsb/ASrf | A_FSB<21> | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> -INPUTMC | 9 | 3 | 13 | 0 | 17 | 3 | 17 | 6 | 9 | 0 | 1 | 0 | 5 | 3 | 7 | 3 | 6 | 3 | 3 -INPUTP | 3 | 30 | 54 | 29 -EXPORTS | 1 | 4 | 17 -EQ | 11 | - EXP24_.EXP = A_FSB<22> & !cnt/RefDone & cs/nOverlay1 & - !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & !ram/BACTr - # A_FSB<22> & !cnt/RefDone & cs/nOverlay1 & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & !ram/BACTr & fsb/ASrf - # !A_FSB<22> & !cnt/RefDone & !cs/nOverlay1 & - !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & !ram/BACTr - # !A_FSB<22> & !cnt/RefDone & !cs/nOverlay1 & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & !ram/BACTr & fsb/ASrf - # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & cnt/RefCnt<5> & cnt/RefCnt<6> & - cnt/RefCnt<7> - -MACROCELL | 4 | 7 | EXP25_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 4 | 6 -INPUTS | 9 | A_FSB<23> | A_FSB<21> | A_FSB<19> | iobs/Once | A_FSB<18> | A_FSB<17> | A_FSB<16> | nWE_FSB | RA_4_OBUF.EXP -INPUTMC | 2 | 4 | 6 | 4 | 8 -INPUTP | 7 | 36 | 29 | 26 | 24 | 23 | 22 | 47 -EXPORTS | 1 | 4 | 6 -IMPORTS | 1 | 4 | 8 -EQ | 11 | - EXP25_.EXP = !A_FSB<23> & A_FSB<21> & !A_FSB<19> & !iobs/Once - # !A_FSB<23> & A_FSB<21> & !A_FSB<18> & !iobs/Once - # !A_FSB<23> & A_FSB<21> & !A_FSB<17> & !iobs/Once - # !A_FSB<23> & A_FSB<21> & !A_FSB<16> & !iobs/Once - # !A_FSB<23> & A_FSB<21> & nWE_FSB & !iobs/Once -;Imported pterms FB5_9 - # !A_FSB<23> & !A_FSB<20> & !iobs/Once & SW<1> - # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> & - !iobs/Once - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & !iobs/Once & - cs/nOverlay1 - -MACROCELL | 4 | 15 | EXP26_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 4 | 16 -INPUTS | 13 | A_FSB<23> | A_FSB<22> | A_FSB<21> | ram/Once | cs/nOverlay1 | nAS_FSB | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd2 | fsb/ASrf | cnt/RefDone | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> -INPUTMC | 9 | 4 | 9 | 0 | 17 | 3 | 17 | 6 | 9 | 0 | 5 | 3 | 13 | 3 | 7 | 3 | 6 | 3 | 3 -INPUTP | 4 | 36 | 30 | 29 | 54 -EXPORTS | 1 | 4 | 16 -EQ | 10 | - EXP26_.EXP = !A_FSB<23> & A_FSB<22> & A_FSB<21> & !ram/Once & - !cs/nOverlay1 & !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & !ram/Once & - !cs/nOverlay1 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & fsb/ASrf - # A_FSB<22> & !cnt/RefDone & cs/nOverlay1 & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & cnt/RefCnt<5> & cnt/RefCnt<6> & - cnt/RefCnt<7> - # !A_FSB<22> & !cnt/RefDone & !cs/nOverlay1 & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & cnt/RefCnt<5> & cnt/RefCnt<6> & - cnt/RefCnt<7> - -MACROCELL | 4 | 16 | EXP27_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 4 | 17 -INPUTS | 15 | A_FSB<23> | cnt/RefDone | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd2 | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | A_FSB<22> | ram/Once | cs/nOverlay1 | nAS_FSB | fsb/ASrf | A_FSB<21> | ram/BACTr | EXP26_.EXP -INPUTMC | 11 | 3 | 13 | 3 | 17 | 6 | 9 | 3 | 7 | 3 | 6 | 3 | 3 | 4 | 9 | 0 | 17 | 0 | 5 | 0 | 1 | 4 | 15 -INPUTP | 4 | 36 | 30 | 54 | 29 -EXPORTS | 1 | 4 | 17 -IMPORTS | 1 | 4 | 15 -EQ | 21 | - EXP27_.EXP = A_FSB<23> & !cnt/RefDone & !ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd2 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> - # !A_FSB<23> & !A_FSB<22> & !ram/Once & cs/nOverlay1 & - !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 - # !A_FSB<23> & !A_FSB<22> & !ram/Once & cs/nOverlay1 & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & fsb/ASrf - # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & !nAS_FSB & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & !ram/BACTr - # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & !ram/BACTr & fsb/ASrf -;Imported pterms FB5_16 - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & !ram/Once & - !cs/nOverlay1 & !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & !ram/Once & - !cs/nOverlay1 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & fsb/ASrf - # A_FSB<22> & !cnt/RefDone & cs/nOverlay1 & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & cnt/RefCnt<5> & cnt/RefCnt<6> & - cnt/RefCnt<7> - # !A_FSB<22> & !cnt/RefDone & !cs/nOverlay1 & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & cnt/RefCnt<5> & cnt/RefCnt<6> & - cnt/RefCnt<7> - -MACROCELL | 6 | 0 | EXP28_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 6 | 17 -INPUTS | 15 | A_FSB<23> | cnt/RefDone | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | A_FSB<22> | cs/nOverlay1 | ram/BACTr | fsb/ASrf | ram/Once | A_FSB<21> | nAS_FSB | RA_1_OBUF.EXP -INPUTMC | 11 | 3 | 13 | 3 | 17 | 6 | 3 | 3 | 7 | 3 | 6 | 3 | 3 | 0 | 17 | 0 | 1 | 0 | 5 | 4 | 9 | 6 | 1 -INPUTP | 4 | 36 | 30 | 29 | 54 -EXPORTS | 1 | 6 | 17 -IMPORTS | 1 | 6 | 1 -EQ | 19 | - EXP28_.EXP = A_FSB<23> & !cnt/RefDone & !ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> - # !A_FSB<22> & !cnt/RefDone & !cs/nOverlay1 & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & !ram/BACTr & fsb/ASrf - # !cnt/RefDone & ram/Once & !ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> - # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & - cnt/RefCnt<7> - # !cnt/RefDone & nAS_FSB & !ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & - !fsb/ASrf -;Imported pterms FB7_2 - # A_FSB<22> & !cnt/RefDone & cs/nOverlay1 & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & - cnt/RefCnt<7> - # !A_FSB<22> & !cnt/RefDone & !cs/nOverlay1 & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & - cnt/RefCnt<7> - -MACROCELL | 6 | 2 | EXP29_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 6 | 3 -INPUTS | 13 | cnt/RefDone | nAS_FSB | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | fsb/ASrf | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | A_FSB<21> -INPUTMC | 9 | 3 | 13 | 3 | 17 | 6 | 9 | 6 | 3 | 3 | 7 | 3 | 6 | 3 | 3 | 0 | 5 | 0 | 17 -INPUTP | 4 | 54 | 36 | 30 | 29 -EXPORTS | 1 | 6 | 3 -EQ | 15 | - EXP29_.EXP = !cnt/RefDone & !nAS_FSB & ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & - cnt/RefCnt<7> - # !cnt/RefDone & ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & - !ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & - fsb/ASrf - # !A_FSB<23> & !A_FSB<22> & !cnt/RefDone & - cs/nOverlay1 & !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & - cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> - # !A_FSB<23> & !A_FSB<22> & !cnt/RefDone & - cs/nOverlay1 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & - cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & fsb/ASrf - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & !cnt/RefDone & - !cs/nOverlay1 & !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & - cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> - -MACROCELL | 6 | 15 | EXP30_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 6 | 14 -INPUTS | 10 | A_FSB<22> | A_FSB<21> | cnt/RefDone | ram/RS_FSM_FFd1 | ram/BACTr | fsb/ASrf | cs/nOverlay1 | A_FSB<23> | ram/Once | nAS_FSB -INPUTMC | 6 | 3 | 13 | 3 | 17 | 0 | 1 | 0 | 5 | 0 | 17 | 4 | 9 -INPUTP | 4 | 30 | 29 | 36 | 54 -EXPORTS | 1 | 6 | 14 -EQ | 10 | - EXP30_.EXP = A_FSB<22> & !A_FSB<21> & !cnt/RefDone & - !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf - # A_FSB<22> & !cnt/RefDone & cs/nOverlay1 & - !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf - # !A_FSB<22> & !cnt/RefDone & !cs/nOverlay1 & - !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & !ram/Once & - !cs/nOverlay1 & !nAS_FSB & !ram/RS_FSM_FFd1 - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & !ram/Once & - !cs/nOverlay1 & !ram/RS_FSM_FFd1 & fsb/ASrf - -MACROCELL | 6 | 16 | EXP31_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 6 | 17 -INPUTS | 9 | A_FSB<22> | A_FSB<21> | cnt/RefDone | nAS_FSB | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | ram/BACTr | fsb/ASrf | cs/nOverlay1 -INPUTMC | 6 | 3 | 13 | 3 | 17 | 6 | 3 | 0 | 1 | 0 | 5 | 0 | 17 -INPUTP | 3 | 30 | 29 | 54 -EXPORTS | 1 | 6 | 17 -EQ | 10 | - EXP31_.EXP = A_FSB<22> & !A_FSB<21> & !cnt/RefDone & !nAS_FSB & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & !ram/BACTr - # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & !ram/BACTr & fsb/ASrf - # A_FSB<22> & !cnt/RefDone & cs/nOverlay1 & - !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & !ram/BACTr - # A_FSB<22> & !cnt/RefDone & cs/nOverlay1 & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & !ram/BACTr & fsb/ASrf - # !A_FSB<22> & !cnt/RefDone & !cs/nOverlay1 & - !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & !ram/BACTr - -MACROCELL | 7 | 0 | EXP32_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 7 | 17 -INPUTS | 9 | iobs/IORW0 | iobs/IORW1 | nADoutLE1 | nAS_FSB | fsb/ASrf | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB_19_IBUF$BUF0.EXP -INPUTMC | 5 | 7 | 17 | 7 | 13 | 5 | 13 | 0 | 5 | 7 | 1 -INPUTP | 4 | 54 | 36 | 30 | 29 -EXPORTS | 1 | 7 | 17 -IMPORTS | 1 | 7 | 1 -EQ | 10 | - EXP32_.EXP = iobs/IORW0 & iobs/IORW1 & !nADoutLE1 - # !iobs/IORW0 & !iobs/IORW1 & !nADoutLE1 - # nAS_FSB & !fsb/ASrf & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & nADoutLE1 - # !A_FSB<23> & A_FSB<21> & !iobs/IORW0 & nADoutLE1 -;Imported pterms FB8_2 - # iobs/PS_FSM_FFd2 - # iobs/PS_FSM_FFd1 - # iobs/Once & nADoutLE1 - # nWE_FSB & iobs/IORW0 & nADoutLE1 - -MACROCELL | 7 | 2 | EXP33_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 7 | 3 -INPUTS | 10 | A_FSB<23> | A_FSB<22> | A_FSB<21> | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nADoutLE1 | A_FSB<20> | A_FSB<19> | A_FSB<17> | A_FSB<16> -INPUTMC | 3 | 7 | 3 | 3 | 12 | 5 | 13 -INPUTP | 7 | 36 | 30 | 29 | 28 | 26 | 23 | 22 -EXPORTS | 1 | 7 | 3 -EQ | 10 | - EXP33_.EXP = !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 - # !A_FSB<23> & A_FSB<21> & !A_FSB<20> & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 - # !A_FSB<23> & A_FSB<21> & !A_FSB<19> & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 - # !A_FSB<23> & A_FSB<21> & !A_FSB<17> & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 - # !A_FSB<23> & A_FSB<21> & !A_FSB<16> & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 - -MACROCELL | 7 | 9 | EXP34_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 7 | 8 -INPUTS | 12 | A_FSB<23> | A_FSB<21> | A_FSB<18> | iobs/PS_FSM_FFd2 | nADoutLE1 | nWE_FSB | A_FSB<20> | SW<1> | A_FSB<14> | A_FSB<13> | A_FSB<22> | cs/nOverlay1 -INPUTMC | 3 | 7 | 3 | 5 | 13 | 0 | 17 -INPUTP | 9 | 36 | 29 | 24 | 47 | 28 | 97 | 19 | 18 | 30 -EXPORTS | 1 | 7 | 8 -EQ | 10 | - EXP34_.EXP = !A_FSB<23> & A_FSB<21> & !A_FSB<18> & - !iobs/PS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & A_FSB<21> & nWE_FSB & - !iobs/PS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & !A_FSB<20> & !iobs/PS_FSM_FFd2 & - SW<1> & nADoutLE1 - # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> & - !iobs/PS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - cs/nOverlay1 & !iobs/PS_FSM_FFd2 & nADoutLE1 - -MACROCELL | 7 | 10 | EXP35_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 7 | 11 -INPUTS | 7 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | iobs/BERR | fsb/BERR0r | fsb/BERR1r -INPUTMC | 3 | 7 | 15 | 2 | 11 | 0 | 16 -INPUTP | 4 | 36 | 30 | 29 | 28 -EXPORTS | 1 | 7 | 11 -EQ | 2 | - EXP35_.EXP = !A_FSB<23> & A_FSB<22> & !A_FSB<21> & A_FSB<20> & - !iobs/BERR & !fsb/BERR0r & !fsb/BERR1r - -MACROCELL | 7 | 12 | EXP36_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 7 | 13 -INPUTS | 10 | nAS_FSB | fsb/ASrf | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | A_FSB<23> | A_FSB<22> | A_FSB<21> | cs/nOverlay1 | A_FSB<20> | nBERR_FSB_OBUF.EXP -INPUTMC | 5 | 0 | 5 | 7 | 3 | 3 | 12 | 0 | 17 | 7 | 11 -INPUTP | 5 | 54 | 36 | 30 | 29 | 28 -EXPORTS | 1 | 7 | 13 -IMPORTS | 1 | 7 | 11 -EQ | 10 | - EXP36_.EXP = nAS_FSB & !fsb/ASrf +OUTPUTMC | 1 | 2 | 11 +INPUTS | 11 | nWE_FSB | iobs/IORW1 | nAS_FSB | fsb/ASrf | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | A_FSB<23> | A_FSB<22> | A_FSB<21> | cs/nOverlay1 | fsb/Ready1r.EXP +INPUTMC | 6 | 2 | 11 | 1 | 14 | 7 | 6 | 1 | 15 | 4 | 3 | 2 | 9 +INPUTP | 5 | 47 | 54 | 36 | 30 | 29 +EXPORTS | 1 | 2 | 11 +IMPORTS | 1 | 2 | 9 +EQ | 7 | + EXP17_.EXP = !nWE_FSB & !iobs/IORW1 + # nAS_FSB & !fsb/ASrf # !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 - # !A_FSB<23> & A_FSB<21> & !A_FSB<20> -;Imported pterms FB8_12 - # !A_FSB<23> & !A_FSB<20> & SW<1> +;Imported pterms FB3_10 + # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> + +MACROCELL | 2 | 12 | EXP18_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 2 | 11 +INPUTS | 8 | A_FSB<23> | A_FSB<21> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<22> | cs/nOverlay1 +INPUTMC | 1 | 4 | 3 +INPUTP | 7 | 36 | 29 | 26 | 24 | 23 | 22 | 30 +EXPORTS | 1 | 2 | 11 +EQ | 6 | + EXP18_.EXP = !A_FSB<23> & A_FSB<21> & !A_FSB<19> + # !A_FSB<23> & A_FSB<21> & !A_FSB<18> + # !A_FSB<23> & A_FSB<21> & !A_FSB<17> + # !A_FSB<23> & A_FSB<21> & !A_FSB<16> + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + cs/nOverlay1 + +MACROCELL | 2 | 13 | EXP19_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 2 | 14 +INPUTS | 12 | A_FSB<23> | nWE_FSB | iobs/Once | iobs/IORW0 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | fsb/ASrf | nADoutLE1 | A_FSB<22> | A_FSB<21> | A_FSB<20> | nAS_FSB +INPUTMC | 6 | 7 | 16 | 2 | 14 | 7 | 6 | 1 | 15 | 1 | 14 | 5 | 13 +INPUTP | 6 | 36 | 47 | 30 | 29 | 28 | 54 +EXPORTS | 1 | 2 | 14 +EQ | 14 | + EXP19_.EXP = A_FSB<23> & nWE_FSB & !iobs/Once & !iobs/IORW0 & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 + # A_FSB<22> & !A_FSB<21> & A_FSB<20> & nWE_FSB & + !iobs/Once & !iobs/IORW0 & !nAS_FSB & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & nADoutLE1 + # A_FSB<22> & !A_FSB<21> & A_FSB<20> & nWE_FSB & + !iobs/Once & !iobs/IORW0 & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & + fsb/ASrf & nADoutLE1 + # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !nWE_FSB & + !iobs/Once & iobs/IORW0 & !nAS_FSB & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & nADoutLE1 + # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !nWE_FSB & + !iobs/Once & iobs/IORW0 & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & + fsb/ASrf & nADoutLE1 + +MACROCELL | 2 | 15 | EXP20_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 2 | 14 +INPUTS | 19 | A_FSB<14> | A_FSB<22> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | nWE_FSB | cs/nOverlay1 | iobs/Once | iobs/IORW0 | nAS_FSB | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nADoutLE1 | fsb/ASrf | A_FSB<13> | A_FSB<21> | nROMWE_OBUF.EXP +INPUTMC | 8 | 4 | 3 | 7 | 16 | 2 | 14 | 7 | 6 | 1 | 15 | 5 | 13 | 1 | 14 | 2 | 16 +INPUTP | 11 | 19 | 30 | 28 | 26 | 24 | 23 | 22 | 47 | 54 | 18 | 29 +EXPORTS | 1 | 2 | 14 +IMPORTS | 1 | 2 | 16 +EQ | 33 | + EXP20_.EXP = A_FSB<14> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !cs/nOverlay1 & + !iobs/Once & iobs/IORW0 & !nAS_FSB & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & nADoutLE1 + # A_FSB<14> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !cs/nOverlay1 & + !iobs/Once & iobs/IORW0 & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & + fsb/ASrf & nADoutLE1 + # A_FSB<13> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !cs/nOverlay1 & + !iobs/Once & iobs/IORW0 & !nAS_FSB & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & nADoutLE1 + # A_FSB<13> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !cs/nOverlay1 & + !iobs/Once & iobs/IORW0 & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & + fsb/ASrf & nADoutLE1 + # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & + cs/nOverlay1 & !iobs/Once & iobs/IORW0 & !nAS_FSB & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 +;Imported pterms FB3_17 + # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & + cs/nOverlay1 & !iobs/Once & iobs/IORW0 & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 + # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & + cs/nOverlay1 & !iobs/Once & iobs/IORW0 & !nAS_FSB & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & + cs/nOverlay1 & !iobs/Once & iobs/IORW0 & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 + +MACROCELL | 2 | 17 | EXP21_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 2 | 0 +INPUTS | 24 | A_FSB<14> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | nWE_FSB | cs/nOverlay1 | fsb/Ready1r | fsb/VPA | iobs/IOReady | fsb/ASrf | nADoutLE1 | A_FSB<9> | A_FSB<8> | A_FSB<15> | A_FSB<13> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<23> | nAS_FSB +INPUTMC | 6 | 4 | 3 | 2 | 9 | 2 | 1 | 2 | 6 | 1 | 14 | 5 | 13 +INPUTP | 18 | 19 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 47 | 12 | 11 | 21 | 18 | 17 | 15 | 13 | 36 | 54 +EXPORTS | 1 | 2 | 0 +EQ | 20 | + EXP21_.EXP = A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & + cs/nOverlay1 & !fsb/Ready1r & fsb/VPA & !iobs/IOReady & fsb/ASrf & + !nADoutLE1 + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & fsb/Ready1r & !nAS_FSB + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & fsb/Ready1r & fsb/ASrf + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & iobs/IOReady & !nAS_FSB + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & iobs/IOReady & fsb/ASrf + +MACROCELL | 4 | 15 | EXP22_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 4 | 16 +INPUTS | 10 | A_FSB<23> | ram/RS_FSM_FFd2 | ram/RefUrgent | ram/RS_FSM_FFd3 | ram/RefReq | A_FSB<22> | A_FSB<21> | ram/BACTr | cs/nOverlay1 | RA_6_OBUF.EXP +INPUTMC | 7 | 4 | 12 | 1 | 7 | 7 | 2 | 1 | 9 | 1 | 11 | 4 | 3 | 4 | 14 +INPUTP | 3 | 36 | 30 | 29 +EXPORTS | 1 | 4 | 16 +IMPORTS | 1 | 4 | 14 +EQ | 20 | + EXP22_.EXP = A_FSB<23> & !ram/RS_FSM_FFd2 & !ram/RefUrgent & + !ram/RS_FSM_FFd3 & !ram/RefReq + # A_FSB<22> & !A_FSB<21> & !ram/RS_FSM_FFd2 & + !ram/RefUrgent & !ram/RS_FSM_FFd3 & ram/BACTr + # A_FSB<22> & !A_FSB<21> & !ram/RS_FSM_FFd2 & + !ram/RefUrgent & !ram/RS_FSM_FFd3 & !ram/RefReq + # A_FSB<22> & cs/nOverlay1 & !ram/RS_FSM_FFd2 & + !ram/RefUrgent & !ram/RS_FSM_FFd3 & ram/BACTr + # !A_FSB<22> & !cs/nOverlay1 & !ram/RS_FSM_FFd2 & + !ram/RefUrgent & !ram/RS_FSM_FFd3 & ram/BACTr +;Imported pterms FB5_15 + # A_FSB<22> & cs/nOverlay1 & !ram/RS_FSM_FFd2 & + !ram/RefUrgent & !ram/RS_FSM_FFd3 & !ram/RefReq + # !A_FSB<22> & !cs/nOverlay1 & !ram/RS_FSM_FFd2 & + !ram/RefUrgent & !ram/RS_FSM_FFd3 & !ram/RefReq + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & + !ram/RS_FSM_FFd2 & !ram/RefUrgent & !ram/RS_FSM_FFd3 & !ram/RAMEN + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !ram/RS_FSM_FFd2 & !ram/RefUrgent & + !ram/RS_FSM_FFd3 & !ram/RAMEN + +MACROCELL | 4 | 17 | EXP23_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 4 | 0 +INPUTS | 10 | A_FSB<23> | ram/RS_FSM_FFd2 | ram/RefUrgent | ram/RS_FSM_FFd3 | ram/BACTr | ram/RefReq | A_FSB<22> | A_FSB<21> | cs/nOverlay1 | ram/RAMReady.EXP +INPUTMC | 7 | 4 | 12 | 1 | 7 | 7 | 2 | 1 | 11 | 1 | 9 | 4 | 3 | 4 | 16 +INPUTP | 3 | 36 | 30 | 29 +EXPORTS | 1 | 4 | 0 +IMPORTS | 1 | 4 | 16 +EQ | 17 | + EXP23_.EXP = A_FSB<23> & !ram/RS_FSM_FFd2 & !ram/RefUrgent & + !ram/RS_FSM_FFd3 & ram/BACTr + # A_FSB<23> & !ram/RS_FSM_FFd2 & !ram/RefUrgent & + !ram/RS_FSM_FFd3 & !ram/RefReq + # A_FSB<22> & !A_FSB<21> & !ram/RS_FSM_FFd2 & + !ram/RefUrgent & !ram/RS_FSM_FFd3 & ram/BACTr + # A_FSB<22> & cs/nOverlay1 & !ram/RS_FSM_FFd2 & + !ram/RefUrgent & !ram/RS_FSM_FFd3 & ram/BACTr + # !A_FSB<22> & !cs/nOverlay1 & !ram/RS_FSM_FFd2 & + !ram/RefUrgent & !ram/RS_FSM_FFd3 & ram/BACTr +;Imported pterms FB5_17 + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & + !ram/RAMEN + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & !ram/RAMEN & + fsb/ASrf + +MACROCELL | 7 | 8 | EXP24_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 7 | 9 +INPUTS | 6 | A_FSB<23> | A_FSB<22> | A_FSB<21> | cs/nOverlay1 | A_FSB<19> | nWE_FSB +INPUTMC | 1 | 4 | 3 +INPUTP | 5 | 36 | 30 | 29 | 26 | 47 +EXPORTS | 1 | 7 | 9 +EQ | 4 | + EXP24_.EXP = !A_FSB<23> & !A_FSB<22> & !A_FSB<21> + # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 + # !A_FSB<23> & A_FSB<21> & !A_FSB<19> + # !A_FSB<23> & A_FSB<21> & nWE_FSB + +MACROCELL | 7 | 10 | EXP25_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 7 | 9 +INPUTS | 9 | A_FSB<23> | A_FSB<21> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<14> | A_FSB<13> | A_FSB<22> | cs/nOverlay1 +INPUTMC | 1 | 4 | 3 +INPUTP | 8 | 36 | 29 | 24 | 23 | 22 | 19 | 18 | 30 +EXPORTS | 1 | 7 | 9 +EQ | 6 | + EXP25_.EXP = !A_FSB<23> & A_FSB<21> & !A_FSB<18> + # !A_FSB<23> & A_FSB<21> & !A_FSB<17> + # !A_FSB<23> & A_FSB<21> & !A_FSB<16> # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> # !A_FSB<23> & A_FSB<22> & A_FSB<21> & cs/nOverlay1 -MACROCELL | 7 | 16 | EXP37_ +MACROCELL | 7 | 12 | EXP26_ ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 7 | 17 -INPUTS | 9 | A_FSB<23> | A_FSB<21> | A_FSB<19> | nADoutLE1 | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<20> | SW<1> -INPUTMC | 1 | 5 | 13 -INPUTP | 8 | 36 | 29 | 26 | 24 | 23 | 22 | 28 | 97 -EXPORTS | 1 | 7 | 17 -EQ | 5 | - EXP37_.EXP = !A_FSB<23> & A_FSB<21> & !A_FSB<19> & nADoutLE1 - # !A_FSB<23> & A_FSB<21> & !A_FSB<18> & nADoutLE1 - # !A_FSB<23> & A_FSB<21> & !A_FSB<17> & nADoutLE1 - # !A_FSB<23> & A_FSB<21> & !A_FSB<16> & nADoutLE1 - # !A_FSB<23> & !A_FSB<20> & SW<1> & nADoutLE1 +OUTPUTMC | 1 | 7 | 13 +INPUTS | 10 | A_FSB<23> | A_FSB<22> | A_FSB<21> | iobs/PS_FSM_FFd2 | nADoutLE1 | cs/nOverlay1 | A_FSB<19> | A_FSB<16> | nWE_FSB | iobs/nBERR_FSB.EXP +INPUTMC | 4 | 7 | 6 | 5 | 13 | 4 | 3 | 7 | 11 +INPUTP | 6 | 36 | 30 | 29 | 26 | 22 | 47 +EXPORTS | 1 | 7 | 13 +IMPORTS | 1 | 7 | 11 +EQ | 13 | + EXP26_.EXP = !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & + !iobs/PS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 & + !iobs/PS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & A_FSB<21> & !A_FSB<19> & + !iobs/PS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & A_FSB<21> & !A_FSB<16> & + !iobs/PS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & A_FSB<21> & nWE_FSB & + !iobs/PS_FSM_FFd2 & nADoutLE1 +;Imported pterms FB8_12 + # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> & + !iobs/PS_FSM_FFd2 & nADoutLE1 -PIN | A_FSB<9> | 64 | 0 | N/A | 12 | 8 | 2 | 15 | 6 | 6 | 2 | 8 | 2 | 2 | 2 | 3 | 2 | 5 | 2 | 11 | 2 | 16 -PIN | A_FSB<8> | 64 | 0 | N/A | 11 | 10 | 2 | 15 | 6 | 4 | 2 | 8 | 2 | 2 | 2 | 3 | 2 | 5 | 2 | 7 | 2 | 11 | 2 | 14 | 2 | 16 -PIN | A_FSB<15> | 64 | 0 | N/A | 21 | 10 | 2 | 15 | 4 | 10 | 2 | 8 | 2 | 2 | 2 | 3 | 2 | 5 | 2 | 7 | 2 | 11 | 2 | 14 | 2 | 16 -PIN | A_FSB<14> | 64 | 0 | N/A | 19 | 18 | 2 | 16 | 7 | 17 | 2 | 13 | 2 | 15 | 4 | 8 | 7 | 11 | 2 | 8 | 4 | 13 | 2 | 14 | 7 | 4 | 2 | 1 | 2 | 2 | 2 | 3 | 2 | 5 | 2 | 7 | 2 | 11 | 2 | 12 | 7 | 9 -PIN | A_FSB<13> | 64 | 0 | N/A | 18 | 20 | 2 | 16 | 7 | 17 | 2 | 13 | 2 | 15 | 4 | 10 | 7 | 11 | 2 | 8 | 4 | 13 | 4 | 8 | 7 | 4 | 2 | 1 | 2 | 2 | 2 | 3 | 2 | 5 | 2 | 7 | 2 | 9 | 2 | 11 | 2 | 12 | 2 | 14 | 7 | 9 -PIN | A_FSB<12> | 64 | 0 | N/A | 17 | 10 | 2 | 15 | 2 | 16 | 2 | 8 | 2 | 2 | 2 | 3 | 2 | 5 | 2 | 7 | 2 | 11 | 2 | 14 | 4 | 14 -PIN | A_FSB<11> | 64 | 0 | N/A | 15 | 10 | 2 | 15 | 6 | 1 | 2 | 8 | 2 | 2 | 2 | 3 | 2 | 5 | 2 | 7 | 2 | 11 | 2 | 14 | 2 | 16 -PIN | A_FSB<10> | 64 | 0 | N/A | 13 | 10 | 2 | 15 | 6 | 5 | 2 | 8 | 2 | 2 | 2 | 3 | 2 | 5 | 2 | 7 | 2 | 11 | 2 | 14 | 2 | 16 -PIN | A_FSB<23> | 64 | 0 | N/A | 36 | 58 | 4 | 9 | 4 | 6 | 7 | 17 | 2 | 12 | 2 | 11 | 2 | 3 | 2 | 13 | 2 | 15 | 4 | 1 | 7 | 13 | 4 | 2 | 2 | 8 | 3 | 17 | 4 | 17 | 6 | 6 | 6 | 3 | 7 | 3 | 4 | 12 | 7 | 8 | 6 | 17 | 6 | 14 | 6 | 7 | 7 | 10 | 3 | 5 | 7 | 2 | 2 | 1 | 2 | 2 | 2 | 5 | 2 | 6 | 2 | 7 | 2 | 9 | 2 | 14 | 2 | 16 | 2 | 17 | 3 | 0 | 3 | 16 | 4 | 5 | 4 | 7 | 4 | 8 | 4 | 11 | 4 | 13 | 4 | 15 | 4 | 16 | 6 | 0 | 6 | 2 | 6 | 4 | 6 | 10 | 6 | 13 | 6 | 15 | 7 | 0 | 7 | 4 | 7 | 5 | 7 | 7 | 7 | 9 | 7 | 11 | 7 | 12 | 7 | 14 | 7 | 16 -PIN | A_FSB<22> | 64 | 0 | N/A | 30 | 54 | 4 | 9 | 4 | 6 | 7 | 17 | 2 | 12 | 2 | 11 | 2 | 3 | 2 | 13 | 2 | 15 | 4 | 1 | 7 | 12 | 4 | 2 | 2 | 8 | 3 | 17 | 4 | 16 | 6 | 6 | 6 | 3 | 7 | 3 | 4 | 12 | 7 | 8 | 6 | 16 | 6 | 13 | 6 | 7 | 7 | 10 | 3 | 5 | 7 | 2 | 2 | 1 | 2 | 2 | 2 | 5 | 2 | 6 | 2 | 7 | 2 | 9 | 2 | 14 | 2 | 16 | 2 | 17 | 3 | 0 | 3 | 16 | 4 | 0 | 4 | 5 | 4 | 8 | 4 | 11 | 4 | 13 | 4 | 15 | 6 | 0 | 6 | 1 | 6 | 2 | 6 | 4 | 6 | 10 | 6 | 15 | 7 | 0 | 7 | 4 | 7 | 5 | 7 | 7 | 7 | 9 | 7 | 11 -PIN | A_FSB<21> | 64 | 0 | N/A | 29 | 51 | 4 | 9 | 4 | 5 | 7 | 17 | 2 | 12 | 2 | 11 | 2 | 3 | 2 | 13 | 2 | 15 | 4 | 1 | 7 | 13 | 4 | 0 | 2 | 8 | 3 | 0 | 4 | 16 | 6 | 6 | 6 | 3 | 7 | 2 | 4 | 11 | 7 | 7 | 6 | 16 | 6 | 13 | 6 | 7 | 7 | 10 | 3 | 5 | 7 | 0 | 6 | 8 | 2 | 1 | 2 | 2 | 2 | 5 | 2 | 7 | 2 | 9 | 2 | 10 | 2 | 14 | 2 | 16 | 2 | 17 | 4 | 7 | 4 | 8 | 4 | 13 | 4 | 15 | 6 | 0 | 6 | 2 | 6 | 4 | 6 | 10 | 6 | 15 | 7 | 4 | 7 | 5 | 7 | 9 | 7 | 11 | 7 | 12 | 7 | 14 | 7 | 16 -PIN | A_FSB<20> | 64 | 0 | N/A | 28 | 32 | 4 | 5 | 7 | 17 | 2 | 12 | 2 | 11 | 2 | 13 | 2 | 15 | 2 | 0 | 7 | 12 | 2 | 8 | 7 | 2 | 4 | 11 | 7 | 7 | 4 | 13 | 7 | 10 | 3 | 5 | 4 | 1 | 2 | 1 | 2 | 2 | 2 | 3 | 2 | 5 | 2 | 6 | 2 | 7 | 2 | 9 | 2 | 14 | 2 | 16 | 2 | 17 | 4 | 8 | 6 | 11 | 7 | 4 | 7 | 9 | 7 | 11 | 7 | 16 -PIN | A_FSB<19> | 64 | 0 | N/A | 26 | 21 | 2 | 16 | 7 | 16 | 2 | 13 | 2 | 15 | 7 | 1 | 6 | 11 | 2 | 8 | 7 | 2 | 4 | 11 | 7 | 7 | 4 | 7 | 2 | 1 | 2 | 2 | 2 | 3 | 2 | 5 | 2 | 7 | 2 | 9 | 2 | 11 | 2 | 12 | 2 | 14 | 7 | 14 -PIN | A_FSB<18> | 64 | 0 | N/A | 24 | 20 | 2 | 16 | 7 | 16 | 2 | 13 | 2 | 15 | 6 | 7 | 7 | 9 | 2 | 8 | 4 | 13 | 4 | 7 | 7 | 4 | 2 | 1 | 2 | 2 | 2 | 3 | 2 | 5 | 2 | 7 | 2 | 9 | 2 | 11 | 2 | 12 | 2 | 14 | 7 | 14 -PIN | A_FSB<17> | 64 | 0 | N/A | 23 | 20 | 2 | 16 | 7 | 16 | 2 | 13 | 2 | 15 | 6 | 4 | 4 | 7 | 2 | 8 | 7 | 2 | 4 | 11 | 7 | 7 | 2 | 1 | 2 | 2 | 2 | 3 | 2 | 5 | 2 | 7 | 2 | 9 | 2 | 11 | 2 | 12 | 2 | 14 | 7 | 14 -PIN | A_FSB<16> | 64 | 0 | N/A | 22 | 20 | 2 | 16 | 7 | 16 | 2 | 13 | 2 | 15 | 4 | 14 | 4 | 7 | 2 | 8 | 7 | 2 | 4 | 11 | 7 | 7 | 2 | 1 | 2 | 2 | 2 | 3 | 2 | 5 | 2 | 7 | 2 | 9 | 2 | 11 | 2 | 12 | 2 | 14 | 7 | 14 -PIN | CLK2X_IOB | 4096 | 0 | N/A | 33 | 31 | 5 | 1 | 5 | 2 | 0 | 15 | 5 | 9 | 5 | 5 | 5 | 4 | 5 | 12 | 5 | 3 | 5 | 7 | 5 | 17 | 1 | 15 | 5 | 0 | 5 | 15 | 5 | 6 | 1 | 16 | 1 | 14 | 1 | 12 | 1 | 10 | 0 | 2 | 1 | 7 | 3 | 4 | 3 | 1 | 5 | 11 | 5 | 8 | 5 | 10 | 1 | 9 | 0 | 4 | 1 | 13 | 0 | 3 | 1 | 8 | 5 | 16 -PIN | nWE_FSB | 64 | 0 | N/A | 47 | 22 | 2 | 14 | 7 | 17 | 2 | 13 | 2 | 15 | 7 | 6 | 7 | 13 | 2 | 8 | 7 | 1 | 4 | 7 | 7 | 4 | 4 | 4 | 2 | 16 | 3 | 5 | 7 | 5 | 2 | 1 | 2 | 2 | 2 | 3 | 2 | 7 | 2 | 9 | 2 | 12 | 4 | 13 | 7 | 9 -PIN | CLK_FSB | 16384 | 0 | N/A | 42 | 57 | 3 | 13 | 4 | 9 | 4 | 6 | 0 | 17 | 7 | 15 | 7 | 17 | 2 | 12 | 3 | 15 | 6 | 12 | 6 | 6 | 2 | 11 | 0 | 16 | 2 | 3 | 2 | 14 | 2 | 15 | 2 | 0 | 4 | 3 | 0 | 14 | 7 | 13 | 3 | 16 | 3 | 14 | 0 | 13 | 4 | 2 | 2 | 8 | 3 | 17 | 4 | 17 | 6 | 9 | 6 | 3 | 7 | 3 | 3 | 7 | 3 | 6 | 3 | 12 | 1 | 17 | 3 | 3 | 0 | 6 | 3 | 9 | 1 | 6 | 3 | 11 | 3 | 8 | 4 | 12 | 0 | 1 | 0 | 9 | 0 | 8 | 0 | 11 | 0 | 7 | 0 | 0 | 7 | 6 | 0 | 10 | 3 | 2 | 3 | 0 | 7 | 8 | 6 | 17 | 6 | 14 | 7 | 14 | 5 | 13 | 0 | 5 | 4 | 4 -PIN | nAS_FSB | 64 | 0 | N/A | 54 | 48 | 4 | 9 | 4 | 6 | 0 | 17 | 7 | 15 | 6 | 16 | 2 | 12 | 3 | 15 | 6 | 12 | 6 | 6 | 2 | 11 | 0 | 16 | 0 | 12 | 2 | 14 | 2 | 4 | 7 | 12 | 3 | 16 | 4 | 2 | 2 | 5 | 3 | 17 | 4 | 17 | 6 | 9 | 6 | 3 | 7 | 3 | 4 | 12 | 0 | 1 | 0 | 5 | 7 | 8 | 6 | 17 | 6 | 14 | 7 | 11 | 4 | 4 | 2 | 16 | 3 | 10 | 3 | 5 | 7 | 0 | 7 | 5 | 7 | 6 | 3 | 0 | 4 | 0 | 4 | 1 | 4 | 15 | 4 | 16 | 6 | 0 | 6 | 2 | 6 | 8 | 6 | 10 | 6 | 13 | 6 | 15 -PIN | SW<1> | 64 | 0 | N/A | 97 | 11 | 2 | 9 | 7 | 16 | 2 | 13 | 4 | 1 | 7 | 11 | 2 | 1 | 4 | 13 | 4 | 8 | 7 | 4 | 3 | 5 | 7 | 9 -PIN | nRES | 64 | 0 | N/A | 145 | 4 | 2 | 12 | 0 | 9 | 0 | 3 | 0 | 2 -PIN | nLDS_FSB | 64 | 0 | N/A | 49 | 3 | 4 | 3 | 0 | 14 | 7 | 5 -PIN | nUDS_FSB | 64 | 0 | N/A | 56 | 3 | 3 | 14 | 0 | 13 | 7 | 6 -PIN | CLK_IOB | 8256 | 0 | N/A | 35 | 8 | 5 | 2 | 5 | 9 | 5 | 17 | 5 | 15 | 5 | 0 | 5 | 14 | 5 | 16 | 1 | 11 -PIN | nBERR_IOB | 64 | 0 | N/A | 123 | 4 | 5 | 17 | 0 | 4 | 1 | 16 | 5 | 0 -PIN | nIPL2 | 64 | 0 | N/A | 146 | 1 | 0 | 11 -PIN | nBG_IOB | 64 | 0 | N/A | 117 | 1 | 1 | 15 -PIN | E_IOB | 64 | 0 | N/A | 37 | 1 | 1 | 11 -PIN | nDTACK_IOB | 64 | 0 | N/A | 127 | 2 | 1 | 13 | 1 | 12 -PIN | nVPA_IOB | 64 | 0 | N/A | 125 | 2 | 1 | 8 | 1 | 7 +MACROCELL | 7 | 15 | EXP27_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 7 | 16 +INPUTS | 8 | A_FSB<23> | iobs/Once | iobs/PS_FSM_FFd1 | iobs/PS_FSM_FFd2 | nADoutLE1 | A_FSB<22> | A_FSB<21> | cs/nOverlay1 +INPUTMC | 5 | 7 | 16 | 1 | 15 | 7 | 6 | 5 | 13 | 4 | 3 +INPUTP | 3 | 36 | 30 | 29 +EXPORTS | 1 | 7 | 16 +EQ | 6 | + EXP27_.EXP = A_FSB<23> & !iobs/Once & iobs/PS_FSM_FFd1 + # !iobs/Once & iobs/PS_FSM_FFd2 & !nADoutLE1 + # !iobs/Once & iobs/PS_FSM_FFd1 & !nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & !iobs/Once + # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 & + !iobs/Once + +MACROCELL | 7 | 17 | EXP28_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 7 | 16 +INPUTS | 9 | A_FSB<23> | A_FSB<21> | A_FSB<19> | iobs/Once | A_FSB<18> | A_FSB<17> | A_FSB<16> | nWE_FSB | cnt/PORS_FSM_FFd1.EXP +INPUTMC | 2 | 7 | 16 | 7 | 0 +INPUTP | 7 | 36 | 29 | 26 | 24 | 23 | 22 | 47 +EXPORTS | 1 | 7 | 16 +IMPORTS | 1 | 7 | 0 +EQ | 10 | + EXP28_.EXP = !A_FSB<23> & A_FSB<21> & !A_FSB<19> & !iobs/Once + # !A_FSB<23> & A_FSB<21> & !A_FSB<18> & !iobs/Once + # !A_FSB<23> & A_FSB<21> & !A_FSB<17> & !iobs/Once + # !A_FSB<23> & A_FSB<21> & !A_FSB<16> & !iobs/Once + # !A_FSB<23> & A_FSB<21> & nWE_FSB & !iobs/Once +;Imported pterms FB8_1 + # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> & + !iobs/Once + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + cs/nOverlay1 & !iobs/Once + +PIN | A_FSB<9> | 64 | 0 | N/A | 12 | 4 | 6 | 6 | 2 | 6 | 2 | 17 | 6 | 8 +PIN | A_FSB<8> | 64 | 0 | N/A | 11 | 3 | 6 | 4 | 2 | 6 | 2 | 17 +PIN | A_FSB<15> | 64 | 0 | N/A | 21 | 3 | 4 | 10 | 2 | 6 | 2 | 17 +PIN | A_FSB<14> | 64 | 0 | N/A | 19 | 14 | 7 | 10 | 2 | 6 | 2 | 9 | 2 | 0 | 2 | 7 | 2 | 8 | 7 | 0 | 7 | 7 | 7 | 11 | 4 | 8 | 2 | 2 | 2 | 15 | 2 | 16 | 2 | 17 +PIN | A_FSB<13> | 64 | 0 | N/A | 18 | 14 | 7 | 10 | 2 | 6 | 2 | 7 | 2 | 0 | 2 | 9 | 2 | 8 | 7 | 0 | 7 | 7 | 7 | 11 | 4 | 10 | 2 | 2 | 2 | 15 | 2 | 16 | 2 | 17 +PIN | A_FSB<12> | 64 | 0 | N/A | 17 | 3 | 4 | 13 | 2 | 6 | 2 | 17 +PIN | A_FSB<11> | 64 | 0 | N/A | 15 | 3 | 6 | 1 | 2 | 6 | 2 | 17 +PIN | A_FSB<10> | 64 | 0 | N/A | 13 | 3 | 6 | 5 | 2 | 6 | 2 | 17 +PIN | A_FSB<23> | 64 | 0 | N/A | 36 | 43 | 7 | 16 | 2 | 14 | 4 | 7 | 2 | 5 | 2 | 9 | 2 | 1 | 2 | 11 | 2 | 7 | 2 | 17 | 4 | 6 | 4 | 11 | 7 | 6 | 7 | 1 | 4 | 8 | 7 | 9 | 7 | 13 | 4 | 16 | 6 | 7 | 3 | 5 | 7 | 4 | 2 | 13 | 2 | 0 | 2 | 6 | 2 | 10 | 2 | 12 | 4 | 1 | 4 | 2 | 4 | 5 | 4 | 14 | 4 | 15 | 4 | 17 | 6 | 6 | 6 | 8 | 7 | 0 | 7 | 5 | 7 | 7 | 7 | 8 | 7 | 10 | 7 | 11 | 7 | 12 | 7 | 14 | 7 | 15 | 7 | 17 +PIN | A_FSB<22> | 64 | 0 | N/A | 30 | 40 | 7 | 16 | 2 | 13 | 4 | 7 | 2 | 5 | 2 | 9 | 2 | 1 | 2 | 10 | 2 | 8 | 2 | 17 | 4 | 6 | 4 | 11 | 7 | 5 | 7 | 2 | 4 | 8 | 7 | 8 | 7 | 12 | 4 | 15 | 6 | 7 | 3 | 5 | 7 | 4 | 2 | 16 | 2 | 0 | 2 | 2 | 2 | 6 | 2 | 7 | 2 | 12 | 2 | 15 | 4 | 1 | 4 | 2 | 4 | 5 | 4 | 14 | 4 | 16 | 4 | 17 | 6 | 6 | 6 | 8 | 7 | 0 | 7 | 7 | 7 | 10 | 7 | 14 | 7 | 15 +PIN | A_FSB<21> | 64 | 0 | N/A | 29 | 40 | 7 | 15 | 2 | 13 | 4 | 7 | 2 | 5 | 2 | 9 | 2 | 1 | 2 | 11 | 2 | 8 | 2 | 17 | 4 | 5 | 4 | 11 | 7 | 5 | 7 | 2 | 4 | 8 | 7 | 8 | 7 | 12 | 4 | 15 | 6 | 7 | 3 | 5 | 7 | 4 | 6 | 8 | 2 | 16 | 2 | 0 | 2 | 2 | 2 | 6 | 2 | 7 | 2 | 10 | 2 | 12 | 2 | 15 | 4 | 1 | 4 | 2 | 4 | 14 | 4 | 16 | 4 | 17 | 7 | 0 | 7 | 7 | 7 | 10 | 7 | 11 | 7 | 14 | 7 | 17 +PIN | A_FSB<20> | 64 | 0 | N/A | 28 | 20 | 7 | 16 | 2 | 13 | 4 | 7 | 2 | 9 | 2 | 1 | 2 | 11 | 2 | 8 | 7 | 6 | 7 | 9 | 7 | 13 | 6 | 10 | 3 | 5 | 2 | 17 | 2 | 0 | 2 | 2 | 2 | 6 | 2 | 7 | 2 | 15 | 2 | 16 | 4 | 2 +PIN | A_FSB<19> | 64 | 0 | N/A | 26 | 16 | 2 | 17 | 2 | 12 | 2 | 9 | 2 | 0 | 2 | 7 | 2 | 8 | 7 | 5 | 7 | 8 | 7 | 12 | 6 | 10 | 7 | 1 | 2 | 2 | 2 | 6 | 2 | 15 | 2 | 16 | 7 | 17 +PIN | A_FSB<18> | 64 | 0 | N/A | 24 | 15 | 7 | 14 | 2 | 12 | 2 | 9 | 2 | 0 | 2 | 7 | 2 | 8 | 2 | 17 | 7 | 7 | 7 | 10 | 6 | 7 | 2 | 2 | 2 | 6 | 2 | 15 | 2 | 16 | 7 | 17 +PIN | A_FSB<17> | 64 | 0 | N/A | 23 | 15 | 7 | 14 | 2 | 12 | 2 | 9 | 2 | 0 | 2 | 7 | 2 | 8 | 2 | 17 | 7 | 7 | 7 | 10 | 6 | 4 | 2 | 2 | 2 | 6 | 2 | 15 | 2 | 16 | 7 | 17 +PIN | A_FSB<16> | 64 | 0 | N/A | 22 | 15 | 7 | 10 | 2 | 12 | 2 | 9 | 2 | 0 | 2 | 7 | 2 | 8 | 7 | 5 | 2 | 17 | 7 | 12 | 4 | 14 | 2 | 2 | 2 | 6 | 2 | 15 | 2 | 16 | 7 | 17 +PIN | C16M | 4096 | 0 | N/A | 33 | 30 | 5 | 1 | 0 | 14 | 0 | 17 | 0 | 13 | 5 | 9 | 5 | 6 | 5 | 5 | 5 | 12 | 5 | 4 | 5 | 7 | 5 | 17 | 5 | 0 | 5 | 15 | 5 | 3 | 0 | 10 | 0 | 8 | 5 | 2 | 0 | 6 | 0 | 3 | 0 | 1 | 0 | 0 | 5 | 11 | 5 | 8 | 5 | 10 | 0 | 5 | 0 | 11 | 0 | 9 | 0 | 4 | 0 | 2 | 5 | 16 +PIN | nWE_FSB | 64 | 0 | N/A | 47 | 20 | 2 | 17 | 2 | 14 | 2 | 9 | 2 | 0 | 2 | 11 | 2 | 8 | 7 | 5 | 7 | 8 | 7 | 12 | 4 | 5 | 2 | 16 | 3 | 5 | 7 | 4 | 7 | 7 | 2 | 2 | 2 | 7 | 2 | 10 | 2 | 13 | 2 | 15 | 7 | 17 +PIN | C8M | 8256 | 0 | N/A | 35 | 27 | 0 | 17 | 5 | 9 | 5 | 17 | 5 | 15 | 5 | 14 | 5 | 16 | 3 | 8 | 6 | 0 | 3 | 17 | 3 | 16 | 3 | 15 | 6 | 12 | 6 | 14 | 6 | 9 | 6 | 6 | 6 | 2 | 6 | 17 | 6 | 16 | 6 | 3 | 6 | 15 | 3 | 1 | 7 | 14 | 7 | 0 | 3 | 6 | 0 | 12 | 3 | 0 | 0 | 7 +PIN | FCLK | 16384 | 0 | N/A | 42 | 38 | 4 | 3 | 7 | 16 | 2 | 14 | 4 | 2 | 4 | 7 | 2 | 5 | 2 | 9 | 2 | 1 | 0 | 16 | 1 | 17 | 2 | 11 | 2 | 6 | 0 | 15 | 1 | 16 | 2 | 8 | 7 | 11 | 4 | 0 | 4 | 6 | 4 | 12 | 7 | 6 | 1 | 7 | 7 | 2 | 1 | 15 | 4 | 9 | 1 | 12 | 7 | 9 | 1 | 11 | 1 | 9 | 1 | 8 | 1 | 13 | 2 | 4 | 7 | 13 | 4 | 16 | 1 | 10 | 1 | 6 | 5 | 13 | 1 | 14 | 4 | 4 +PIN | nAS_FSB | 64 | 0 | N/A | 54 | 36 | 4 | 3 | 7 | 16 | 2 | 14 | 4 | 7 | 2 | 4 | 2 | 9 | 2 | 1 | 2 | 10 | 2 | 6 | 2 | 5 | 7 | 11 | 4 | 0 | 4 | 6 | 4 | 12 | 7 | 6 | 7 | 1 | 4 | 9 | 7 | 9 | 1 | 11 | 1 | 14 | 7 | 13 | 4 | 16 | 4 | 5 | 2 | 16 | 3 | 10 | 3 | 5 | 7 | 4 | 4 | 11 | 7 | 7 | 2 | 0 | 2 | 2 | 2 | 13 | 2 | 15 | 2 | 17 | 4 | 1 | 4 | 8 +PIN | nBG_IOB | 64 | 0 | N/A | 117 | 1 | 0 | 14 +PIN | nLDS_FSB | 64 | 0 | N/A | 49 | 3 | 0 | 16 | 1 | 17 | 7 | 4 +PIN | nUDS_FSB | 64 | 0 | N/A | 56 | 3 | 0 | 15 | 1 | 16 | 7 | 7 +PIN | nBERR_IOB | 64 | 0 | N/A | 123 | 4 | 5 | 17 | 0 | 11 | 0 | 10 | 5 | 16 +PIN | E | 64 | 0 | N/A | 37 | 8 | 3 | 2 | 3 | 12 | 3 | 11 | 3 | 3 | 3 | 9 | 0 | 7 | 3 | 14 | 3 | 13 +PIN | nIPL2 | 64 | 0 | N/A | 146 | 1 | 0 | 12 +PIN | nDTACK_IOB | 64 | 0 | N/A | 127 | 2 | 0 | 9 | 0 | 8 +PIN | nVPA_IOB | 64 | 0 | N/A | 125 | 2 | 0 | 2 | 0 | 1 PIN | A_FSB<1> | 64 | 0 | N/A | 149 | 1 | 6 | 5 PIN | A_FSB<2> | 64 | 0 | N/A | 153 | 1 | 6 | 1 -PIN | A_FSB<3> | 64 | 0 | N/A | 155 | 1 | 4 | 14 +PIN | A_FSB<3> | 64 | 0 | N/A | 155 | 1 | 4 | 13 PIN | A_FSB<4> | 64 | 0 | N/A | 157 | 1 | 4 | 10 -PIN | A_FSB<5> | 64 | 0 | N/A | 4 | 1 | 4 | 8 +PIN | A_FSB<5> | 64 | 0 | N/A | 4 | 1 | 4 | 7 PIN | A_FSB<6> | 64 | 0 | N/A | 6 | 1 | 4 | 10 -PIN | A_FSB<7> | 64 | 0 | N/A | 8 | 1 | 4 | 14 -PIN | SW<0> | 64 | 0 | N/A | 98 | 2 | 6 | 12 | 6 | 11 +PIN | A_FSB<7> | 64 | 0 | N/A | 8 | 1 | 4 | 13 PIN | nVMA_IOB | 536871040 | 0 | N/A | 118 PIN | nAS_IOB | 536871040 | 0 | N/A | 135 PIN | nLDS_IOB | 536871040 | 0 | N/A | 130 PIN | nUDS_IOB | 536871040 | 0 | N/A | 134 PIN | nDTACK_FSB | 536871040 | 0 | N/A | 44 +PIN | nBERR_FSB | 536871040 | 0 | N/A | 112 +PIN | nAoutOE | 536871040 | 0 | N/A | 141 +PIN | nBR_IOB | 536871040 | 0 | N/A | 116 PIN | RA<0> | 536871040 | 0 | N/A | 86 PIN | RA<1> | 536871040 | 0 | N/A | 79 PIN | RA<2> | 536871040 | 0 | N/A | 69 @@ -2892,23 +2497,21 @@ PIN | RA<6> | 536871040 | 0 | N/A | 72 PIN | RA<7> | 536871040 | 0 | N/A | 82 PIN | RA<8> | 536871040 | 0 | N/A | 88 PIN | RA<9> | 536871040 | 0 | N/A | 92 -PIN | nBERR_FSB | 536871040 | 0 | N/A | 112 +PIN | nDoutOE | 536871040 | 0 | N/A | 143 PIN | nOE | 536871040 | 0 | N/A | 60 PIN | nROMWE | 536871040 | 0 | N/A | 57 PIN | nVPA_FSB | 536871040 | 0 | N/A | 147 PIN | nDinLE | 536871040 | 0 | N/A | 140 -PIN | nDoutOE | 536871040 | 0 | N/A | 143 PIN | nADoutLE0 | 536871040 | 0 | N/A | 139 PIN | nDinOE | 536871040 | 0 | N/A | 144 PIN | nRAS | 536871040 | 0 | N/A | 103 PIN | nCAS | 536871040 | 0 | N/A | 59 PIN | RA<11> | 536871040 | 0 | N/A | 102 PIN | RA<10> | 536871040 | 0 | N/A | 90 -PIN | CLK20EN | 536871040 | 0 | N/A | 96 -PIN | CLK25EN | 536871040 | 0 | N/A | 95 -PIN | nBR_IOB | 536871040 | 0 | N/A | 116 PIN | nADoutLE1 | 536871040 | 0 | N/A | 136 -PIN | nAoutOE | 536871040 | 0 | N/A | 141 PIN | nRAMLWE | 536871040 | 0 | N/A | 104 PIN | nRAMUWE | 536871040 | 0 | N/A | 105 PIN | nROMCS | 536871040 | 0 | N/A | 58 +PIN | C25MEN | 536871040 | 0 | N/A | 95 +PIN | C20MEN | 536871040 | 0 | N/A | 96 +PIN | nRES | 536870976 | 0 | N/A | 145 | 3 | 4 | 7 | 0 | 4 | 0 | 3 diff --git a/cpld/XC95144XL/WarpSE.nga b/cpld/XC95144XL/WarpSE.nga index 2e656dd..f7c4c6c 100644 --- a/cpld/XC95144XL/WarpSE.nga +++ b/cpld/XC95144XL/WarpSE.nga @@ -1,3 +1,3 @@ XILINX-XDB 0.1 STUB 0.1 ASCII XILINX-XDM V1.6e -$75747<,Fz_t)HW_EA'1<7)L880(B~[x%D[[AE#=0;%H.E025>"Hx]r/JUQKC%7:5+W6;2.D|Yv+FY]GG!3>9'_BA85+Rdt'3>.7290;%k5'0;294,&/83:1<$??;)3345<689:1==>?)018,470890:=:>?:03445/682":;=>51623>4178 ;;7%?70182<56=91:;%<>4(3:34?4?89094=>&119+75672::;<7=?01+15>.489:1?=>?:2234,&/;<:;6>;?0;1645/682"89=>53423>6378 n0$9>?:523>167!8:0$;>?0;4345<189:"==5'7123>26783=;<='>0:*:456=19:;64>?0(3844=6:2;;>6?>2:3157=6:3E^X][[:SC?54<76;1:??5>439217=6>;1:;?5>8392=4=5:28;>6<=2:0051=5;3CE\XZ5A^DPF94428497?:=;371?7153;297?7i;38JJUSS2Z]7>7>11:11?6653:897>==;261?6353:<97>9>;508054<<8808?<44208034<=9809?<45208114<=<809;<459081<7<>;1=17:2=9>69<2:576>11:2=<>6972:5:5>>531:975?=;901?=3531<9759=;9;2?<4<19805<<49308=64<1=8058<49708=25e9B[CUE48:5"]OK9:C\BVD;984o7LQISC>25;(WIM30MRH\B=31:a=FWOYI0<<1.QCG=>GXNZH7=>0k;@]EWG:6;7$[MI74A^DPF9736m1JSK]M<06=*UGC12KTJ^L3147?,SEA?e9B[CUE4825"]OK9:C\BVD;904o7LQISC>2=;(WIM20MRH\B=3=g>GXNZH7=3 _AE;8EZ@TJ58;2i5N_GQA8769&YKO56OPFR@?648c3HUM_O2=1?,SEA?e9B[CUE4;85"]OKb:C\BVD;::0:245N_GQA8759l2KTJ^L322<-TDB?3HUM_O2=>b9B[CUE4;4%\LJ7;@]EWG:46j1JSK]M<2<-TDB?3HUM_O2;>b9B[CUE4=4%\LJ7;@]EWG:26j1JSK]M<4<-TDB?3HUM_O29>b9B[CUE4?4%\LJ7;@]EWG:06j1JSK]M<6<-TDB?3HUM_O27>b9B[CUE414%\LJ7;@]EWG:>6j1JSK]M<8<-TDBc3HUM_OQ>0^KAQCb_H@VBa=FWOYIS<GXNZHT=>QFBTDg?DYA[KU:8RGMUGf8EZ@TJV;>SDLZFe9B[CUEW8UBNXHk;@]EWGY60VCIYKj4A^DPFZ7>W@H^J<<4A^DPFZ7>W@H^J)LZF137?DYA[KU:5RGMUG&AQC6)L8?0MRH\B^3:[LDRN-H^J= K1078EZ@TJV;2SDLZF%@VB5(C:8<0MRH\B^3:[LDRN-H^J= JXQ3a?DYA[KU:5RGMUG&AQC6)MQZT\YQ?1c9B[CUEW83TEO[I$CWE4+C_XVZ_S!EYR\pvojzldjahjPndv\51=FWOYIS<7PICWE GSA8'[h7LQISC]2[LDRNm1JSK]M_32\MGSAl2KTJ^LP20]JFP@6:2KTJ^LP20]JFP@#J\L;=95N_GQA[77XAK_M(O[I0/F21>GXNZHT>GXNZHT>!EYR\TQY69k1JSK]M_33\MGSA,K_M<#KWP^RW[77e3HUM_OQ=1^KAQC"E]O:%IU^PPU]062=FWOYIS??PICWE GSA8'OS\Rz|ilpfjdkblVdnxR?;;@]EWGY59VCIYK*MUG2-Ua=FWOYIS?GXNZHT>>QFBTD`?DYA[KU9SDLZFb9B[CUEW:UBNXHl;@]EWGY3W@H^Jn5N_GQA[0YNJ\Lh7LQISC]5[LDRNj1JSK]M_6]JFP@d3HUM_OQ7_H@VBf=FWOYIS4QFBTD0?GSA02H^_RGAFN08G@5EKC;:NB#^NDb9@HN47MGUDNXH>1:AOO76BFVEIYK*MUG227>EKC;:NBRAMUG&AQC6)L8>0OAE=0DL\KGSA,K_M<#J>159@HN47MGUDNXH+BTD3*A46=2IGG?>JN^MAQC"E]O:%IU^>a:AOO76BFVEIYK*MUG2-A]VXX]U;=l5LLJ03AKYHJ\L/NXH?.DZS[URX98k0OAE=0DL\KGSA,K_M<#KWP^RW[77f3JF@>=KA_N@VB!DRN9$NT]Q_T^12e>EKC;:NBRAMUG&AQC6)MQZT\YQ;279@HN47MGUDNXH+BTD3*@^WW}ybakaalgg[kcsW890OAE=0DL\KGSA,K_M<#_8;BNH60CIj2IGG?;JN/RB@f=DDB8>ICQ@BTDf?FJL:EKC;?NBRAMUG,G646UQFOC,SEA743JF@>UQFOC]JFP@(NJF@=95LLJ0[[LIEW@H^J"HLLJ/4?FJLWOYIn6MCK^DPF+VFL8;0OAEPFR@\MGSA'OIGG<<4CMI\BVDXAK_M#KMCK,58GIMXAFHi7NBD_HMA*UGCk2IGGRG@B^KAQC753JF@SDAM_H@VB*@DDB'27NABMHVWAA1EkgjaX|hm7;EcweVvbk<1Oiaov7:Fjwddkmh1NT]?;_/G[Tc=BPY;?S#KWP^RW[5`4^,F\UYW\V?:n6KWP06\*@^WW}ybakaalgg[kcsWh1NT]?:_/G[Tc=BPY;>S#KWP^RW[5`5^,F\UYW\V?:n6KWP07\*@^WW}ybakaalgg[kcsWh1NT]?9_/G[T4dU%IU^i;DZS52Y)MQZT\YQ?f:G[T41X&LR[S]ZP10`8A]V6?V$NT]Q{shoqakgjmmUeiyQn;DZS5=Y)MQZm7HV_19]-A]VXX]U;j6KWP0:\*@^WWY^T=k5JXQ3;[+C_XVZ_S?h4EYR2X&LR[S]ZP50`8A]V60V$NT]Q{shoqakgjmmUeiyQn;DZS5k5JXQ06[+C_XVZ_S>h4EYR11Z(BPYU[XR:i;DZS60Y)MQZT\YQ:1c9F\U42W'OS\Rz|ilpfjdkblVdnxRo4EYR12Z(BPYl0IU^=6^,F\UYW\V:m7HV_27]-A]VXX]U:j6KWP34\*@^WWY^T>k5JXQ05[+C_XVZ_S>?m;DZS63Y)MQZTx~gbrdlbi`bXfl~Tm6KWP35\*@^Wn2OS\?9P.DZS[URX8o1NT]<8_/G[TZVSW8l0IU^=7^,F\UYW\V8m7HV_26]-A]VXX]U8j6KWP35\*@^WWY^T8k5JXQ04[+C_XVZ_S8?m;DZS62Y)MQZTx~gbrdlbi`bXfl~Tm6KWP3:\*@^Wn2OS\?6P.DZS[URX8o1NT]<7_/G[TZVSW8l0IU^=8^,F\UYW\V8m7HV_29]-A]VXX]U8j6KWP3:\*@^WWY^T8k5JXQ0;[+C_XVZ_S8?m;DZS6=Y)MQZTx~gbrdlbi`bXfl~Tm6KWP3;\*@^Wn2OS\?7P.DZS[URX8o1NT]<6_/G[TZVSW8l0IU^=9^,F\UYW\V8m7HV_28]-A]VXX]U8j6KWP3;\*@^WWY^T8>P.DZS[URX9o1NT]=?_/G[TZVSW;l0IU^<0^,F\UYW\V9m7HV_31]-A]VXX]U?=o5JXQ13[+C_XV~xe`|jn`of`Zhb|Vk0IU^<1^,F\U`?P.DZS[URX:o1NT]=>_/G[TZVSW:l0IU^<1^,F\UYW\V>:n6KWP23\*@^WW}ybakaalgg[kcsWh1NT]==_/G[Tc=BPY99S#KWP^RW[5`R JXQ]SPZ7a3LR[??Q!EYR\TQY5n2OS\>Q!EYR\TQY5n2OS\>=P.DZS[URX;o1NT]=<_/G[TZVSW=;i7HV_32]-A]VX|zcf~h`nmdf\j`rXi2OS\>:P.DZSb>C_X:>T"HV__QV\4c=BPY9?S#KWP^RW[4`:P.DZS[URX<8h0IU^<4^,F\UYs{`gyicobee]maqYf3LR[?8Q!EYR2f>C_X:?T"HV__uqjiwciidooSck{_`9F\U51W'OS\k5JXQ15[+C_XVZ_S=h4EYR02Z(BPYU[XR?i;DZS73Y)MQZT\YQ=f:G[T60X&LR[S]ZP3g9F\U51W'OS\R^[_5d8A]V4>V$NT]Q_T^72f>C_X:U%IU^Ptrknv`hfelnTbhzP5:G\MJD>3LUBCO _AEc8AZOHJVCIYK94EeefRdj53OL87J`k2:KM7>OI8:1BB<:4IO330>OI98>0EC?=4:KM562OI9090EC<;;HL141=NF;;?7D@=259JJ7533@D9895FN377?LH5>=1BB?9;;HL1<1=NF;387D@<4:KM7523@ZG>>!D0;8MUJ5z9$O>55FPM0q4+Wf3@ZG>>!RDE5?LVK:{;37D^C2s3-@<=NXE8y=#J>9:KSH7t6&M837D^C2s3-Ud=NXE8y=#\JG99JjqBbdhs87AAL7:NLCLEFDj1Fmga}Vdppmjhd3DcecXjrrklj2=IM]]D^F=4OOG1?JT43F__?6^ND59SEWR03YCEBLCJa:RJJZDR[@NSn6^FN^@VWKGJM=1[me8;Qcqo+EB>2Zj~f K7:Rbvn(C9>1[me!D3:8Tdtl&LR[h6^nrj,F\UYW\V:o7]o}k/G[TZVSW8n0\l|d.DZS[URX:m1[me!EYR\TQY4901[me!EYR\pvojzldjahjPndv\2>Vfzb$Z46^nrj,QAB00?c8VD:687$[MI64R@>25?69>2XJ01:<=UI585"]OK5:PB868>3[K7?3 _AE78VD:3601YM1:1.QCG1>TF4<427_O35?,SEA389QE9>9&YKO96\N<8<:?WG;17$[MI74R@]3[JDRNk1YMR>POCWE*AeTFW9UDNXH!D332?WGX8VEIYK K2^RW[5763[KT3[KT=RAMUG`8VDY6WFH^J#Jl;SC\5ZIE]O$O=n5]A^3\KGSA&M8:=6\N_0]LFP@)L;U[XR>>1:PB[4YHJ\L%H?Q_T^3g?WGX9VEIYK JXQ31?WGX9VEIYK JXQ]SPZ66:2XJSc:PB[7YHJ\L%H?j4R@]1[JDRN'OS\<<4R@]1[JDRN'OS\R^[_131?WGX:VEIYK JXQ]SPZ76:2XJS?Q@BTD-A]VXX]U9=?5]A^0\KGSA&LR[S]ZP3008VDY5WFH^J#KWP^RW[17b3[KT>RAMUG,F\UYs{`gyicobee]maqYe3[KT>RAMUG,R=>TFW:UDNXHm;SC\7ZIE]O$Oo6\N_2]LFP@)L8i0^LQ<_N@VB+B5981YMR=POCWE*A4XX]U;=<5]A^1\KGSA&M8T\YQ>d:PB[6YHJ\L%IU^>2:PB[6YHJ\L%IU^PPU]357=UIV9TCO[I.DZS[URX98o0^LQ<_N@VB+C_XV~xe`|jn`of`Zhb|Vh0^LQ<_N@VB+W>3[KT8RAMUG`8VDY3WFH^J#Jl;SC\0ZIE]O$O=n5]A^6\KGSA&M8:=6\N_5]LFP@)L;U[XR>>1:PB[1YHJ\L%H?Q_T^3g?WGX:i6\N_4]LFP@)MQZTx~gbrdlbi`bXfl~Tn6\N_4]LFP@)Y01YMR8POCWEf>TFW?UDNXH!Db9QEZ0XGK_M"I?l;SC\2ZIE]O$O>TFW>UDNXH!D0a8VDY0WFH^J#J=109QEZ1XGK_M"IXGK_M"\74R@]:[JDRNk1YMR7POCWE*AeTFW0UDNXH!D3f8VDY>WFH^J#KWP008VDY>WFH^J#KWP^RW[5753[KT5RAMUG,F\UYW\V;:>6\N_8]LFP@)MQZT\YQ=139QEZ?XGK_M"HV__QV\744TB[Meei#J=b:PFWAiim'OS\TB[{:<7_K\r1,G<>TB[{:%H<64RDQq4+B5?2XN_>!Q89QAVt7&[OL96\JSs34?WCTz8$O46\JSs3-@4>.SGD1>TB[{8<7_K\r3,G<>TB[{8%H<64RDQq6+B5?2XN_STM[U]E^GMLD;8RLCPW]S[I>5Ycb48Sdtw[Lk0[l|SD,mcd3<_`nd?m4XB;6512_D$;;!Y_>01"+Wucbl)Lb`h4/Vdppmjh';':"86VKMM3g?]OKAGR&TIL/0/3#WQSE(9$:,L]LIH18\JT13QniSDjm;Yfk[Utne@d}o6Vkh^RqmhR~xll0TifPPsknR`ttafd37Ujg_Uknaa=_laU_e`kLhdah`>^c`V^bahJjl`{24>^ceVGjfb|Yesqjkk773QnfS@gaosTfvvohf=1QI_Ak;blw+WcaKg~7<3h4cov,V``Df}6;2#J>0:amp*TbnJd0=0!D033?fhs'[omOcz30?,G6c=df}%YikMat=2=*T763jd#_kiCov?4;(UMN;n7n`{/SgeGkr;87UdclrdcwaaYg{Uo7n`{/SgeGkr;97l0ocz Rdd@jq:66'N:<6mat.PfbFhs484%H1:+B682iex"\jfBlw878)L8;;7n`{/SgeGkr;:7$O>k5lnu-QacEi|585"\?>;blw+WcaKg~7>3 ]EF3f?fhs'[omOcz32?]wwlkdzlkiiQwos]g?fhs'[omOcz33?d8gkr(ZllHby2<>/F24>ei|&XnjN`{<2<-@4773jd#_kiCov?7;(C:o1hby!]egAmp959&X;:7n`{/SgeGkr;;7$YIJ?j;blw+WcaKg~7?3Q{sho`v`gsmmUscQk;blw+WcaKg~783h4cov,V``Df}6?2#J>0:amp*TbnJd090!D033?fhs'[omOcz34?,G6c=df}%YikMat=6=*T763jd#_kiCov?0;(UMN;n7n`{/SgeGkr;<7UdclrdcwaaYg{Uo7n`{/SgeGkr;=7l0ocz Rdd@jq:26'N:<6mat.PfbFhs4<4%H5:+B682iex"\jfBlw838)L8;;7n`{/SgeGkr;>7$O>k5lnu-QacEi|5<5"\?>;blw+WcaKg~7:3 ]EF3f?fhs'[omOcz36?]wwlkdzlkiiQwos]g?fhs'[omOcz37?d8gkr(ZllHby28>/F24>ei|&XnjN`{<6<-@4773jd#_kiCov?3;(C:o1hby!]egAmp919&X;:7n`{/SgeGkr;?7$YIJ?j;blw+WcaKg~7;3Q{sho`v`gsmmUscQm;blw+WcaLfdnh6mat.PfbAiim'Nn7n`{/Sge@jhb&M;n7n`{/Sge@jhb&M8:?6mat.PfbAiim'N9S]ZP0018gkr(ZllOcck!D3]SPZ7c3jd#_kiDnlf*T`d:amp*Rnele~xLQ{sho`v`gsmmUscQl;blw+QojmfNh5lnu-Wmhch}}H%Hk5lnu-Wmhch}}H%H4:amp*Rnele~xO K2^RW[5733jd#YgbenwwF+B5WY^T=<:4cov,Plkbg|~I"I1:amp*Rnele~xO^}e/F26>ei|&^bahaztCRqa+B69;1hby![ilglqqDWzl$O><94cov,Plkbg|~I\k!D3]SPZ66?2iex"ZfmdmvpGVum'N9S]ZP1058gkr(\`gncxzMPsg-@7YW\V8:?6mat.Vji`ir|KZyi#KWP0:8gkr(\`gncxzMPsg-A]VXX]U;=55lnu-Wmhch}}H[~h JXQ]SPZ75<2iex"ZfmdmvpGVum'OS\Rz|ilpfjdkblVdnxR?>;blw+QojmfN]|j.P30?fhs']cfib{{BQpf*WC@:91hby![ilglqqDWzlUdclrdcwaaYg{U:h6mat.Vji`ir|KUdclrdcwaaYg{Uh7n} nNtfvig~8l1h"`@vdpoe|6)Lo1h"`@vdpoe|6)L8l0o~!aOwgqhd7&M8:86m|/oMuawjfq9$O>R^[_137?fu(fF|n~aov0/F1[URX98:0o~!aOwgqhd7&LR[=85ls.lLr`tkip:%IU^PPU]350=d{&dDzh|cax2-A]VXX]U:><5ls.lLr`tkip:%IU^Ptrknv`hfelnTbhzPe:ap+kIqm{fju= ^119`w*hH~lxgmt>!RDE25>et'gE}ibny1,QWQ@6m2ix#cAyesnb}5Ys{`gh~ho{ee]svibX9m1h"`@vdpoe|6X|zcfokntdf\|jtXk2ix#cAyesnb}4``tj&HN^_>}.DZS[qune{oem`kk_ogw[f=a{k%II_\?r/Sf?cue'KOY^=|!RDEb?cue'KOY^<|l;gqa+GCUZ8x%Hi5isc-AAWT6z'N:h6h|b.@FVW7u&M8:>6h|b.@FVW7u&M8T\YQ?139ewg)EM[X:~#J=_QV\5f=a{k%II_\>r/Sf?cue'KOY^<|!RDEa?cue'[ojht>}d:dpf*Tbims;~#Jj;gqa+Wcflp:y"I?j;gqa+Wcflp:y"I<>3:dpf*Tbims;~#J=_QV\445}.DZS[URX88>0j~l Rdcg}5t)MQZT\YQ>159ewg)Umhnr< JXQ]SPZ4582lxn"\jae{3v+C_XV~xe`|jn`of`Zhb|Vn0j~l Rdcg}5t)Yo1mo!]e`fz4w(UMNh0j~l Rdcg}4tc3oyi#_kndx3q*Ac5isc-Qadb~9{$O>R^[_0d8bvd(Zlkou<|!EYR20>`tj&Xnmiw>r/G[TZVSW9;?7k}m/Sgb`|7u&LR[S]ZP1068bvd(Zlkou<|!EYR\TQY59=1mo!]e`fz5w(BPYU[XR==0:dpf*Tbims:~#KWP^vpmhtbfhgnhR`jt^f8bvd(Zlkou<|!Qg9ewg)Umhnr= ]EF`8bvd(Zlkou?|k;gqa+Wcflp8y"Ik4fr`,V`gcq;x%H K2^RW[6743oyi#_kndx0q*A4XX]U?=>5isc-Qadb~:{$O>R^[_4f8bvd(Zlkou?|!Qg9ewg)Umhnr> ]EF58bvd(^YK27k}m/WRB*Agi;gqa+SVF&M8T\YQ>f:dpf*PWI'N9S]ZP2g9ewg)QXH$O>R^[_2d8bvd(^YK%H?Q_T^6e?cue'_ZJ"I`tj&\[M#J=_QV\2<=a{k%]\L ^b:dpf*PWI'XNKl5isc-m@QGDCj1mo!aDUC@O+Bc3oyi#cJ[ABI-@4b2:dpf*hC\HI@"I`tj&dOXLMD.E0\TQY1k2lxn"`KT@AH*Tc;hmai*GKM9$O>R^[_032?liee&KGI= K2^RW[7dohjd%IK>!RDE:?liee&HL~ohjd%IK?!RDE`?liee&N_MNE}fd9jkgk(L]KHGh!Dg9jkgk(L]KHGh!D0d8mjdj'M^JOF|i.E0f?liee&N_MNE}f/S24>ohjd%OXLMDrg,QABeohjd%OXLMDrs,Gb>ohjd%OXLMDrs,G5c=ngkg$HYOLKsp-@7c3:f=ngkg$I^2?>/Fg?liee&OX0=0!D0f8mjdj'LY7<3 K2008mjdj'LY7<3 K2^RW[5753`eia"K\<1<-@7YW\V;:>6g`bl-FW969&M8T\YQ=c:klfh)B[5:5"\k4in`n+@U;87$YIJ?m;hmai*CT494Tx~gbcsgbp`bXpfxTm6g`bl-FW979k2cdn`!JS=3=*Ab>/F157=ngkg$I^2>>/F1[URX8880eblb/DQ?5;(C:VZ_Sohjd%N_1<1.E0\TQY59;1bcoc ER>1:+B5WY^T?<<4in`n+@U;:7$O>R^[_5a8mjdj'LY7>3 ^e:klfh)B[585"_KHa:klfh)B[595o6g`bl-FW959&Mn0eblb/DQ?7;(C9m1bcoc ER>0:+B59;1bcoc ER>0:+B5WY^T<<<4in`n+@U;;7$O>R^[_031?liee&OX0>0!D3]SPZ4d3`eia"K\<2<-U`=ngkg$I^2<>/PFC4d6g`bl-FW929&M8T\YQ?139jkgk(MZ6?2#J=_QV\544ohjd%NXLMD.E0f?liee&O_MNE!EYR27>ohjd%NXLMD.DZS[URX8890eblb/DVBGN(BPYU[XR?>3:klfh)B\HI@"HV__QV\645ohjd%N~#Jn;hmai*Cu&M;j7damm.Gq*A4>3`eia"K}.P`8mjdj'Lx%^HI7;hmai*Cu:h1bcoc Es0-@g=ngkg$I6g`bl-JKDES&M8T\YQ=139jkgk(AFKHX#J=_QV\7442:klfh)NGHI_"Iohjd%BCLM[.Pg8mjdj'@EJOY ]EF`8mjdj'@EII_\k;hmai*OHJLXY"Ik4in`n+LIEM[X%H5foco,MJDBZ[$O>R^[_4f8mjdj'@EII_\!Qg9jkgk(AFHN^_ ]EF3`?liee&CDNH\]_uqjiftbi}ooSua}_c9jkgk(AFXN]j4in`n+LIUMXx%Hh5foco,MJTBY{$O=h5foco,MJTBY{$O>i5foco,MJTBY{$Zj6g`bl-JKWCVz'XNKohjd%BC^QISL]EBa7)ZLM:=6g`bl-JKVYA[DUMJi<>3:klfh)NGZUM_@QIFe0-@42ohjd%BC^QISL]EBa4)L;U[XR>>9:klfh)NGZUM_@QIFe0-@7YW\V;:56g`bl-JKVYA[DUMJiohjd%BC^QISL]EBa4)L;U[XR:>3:klfh)NGZUM_@QIFe0-U43ohjd%BC^QISL]EBa5)L;;27damm.KLWZ@TEVLMh> K2^RW[57>3`eia"G@S^DPIZ@Al:$O>R^[_03:?liee&CD_RH\M^DE`6(C:VZ_S??<;hmai*OH[VLXARHId2,R50=ngkg$EB]PFRO\BCb4&[OLm6g`bl-QAVtak2cdn`!]ERpe*Abohjd%YI^|i.Pg8mjdj'[OX~k ]EFc8mjdj'[OX~m4in`n+WCTz{$Oh6g`bl-QAVtu&M;o7damm.PFWwt)L;i0eblb/SGPvw(Vm2cdn`!]ERpq*WC@i2cdn`!YP@peg>ohjd%]\L|i.Ef8mjdj'_ZJ~k K1e9jkgk(^YKyj#J=c:klfh)QXHxm"\k4in`n+SVFzo$YIJo4in`n+SVFz{i0eblb/WRBvw(Cl2cdn`!YP@pq*A7c3`eia"X_Asp-@7eohjd%]\L|}.SGDf>ohjd%eM^aztd9jkgk(fHYdyy*@Ee9jkgk(fHYdyy*^149jkgk(fHYdyy MUGMF*JSSl2cdn`!aARmvp+Bb3`eia"`NSnww*A7b3`eia"`NSnww*A46;2cdn`!aARmvp+B5WY^T<<=4in`n+kGTg|~%H?Q_T^3g?liee&dJ_b{{.Pd8mjdj'gKXcxz!RDE24>ohjd%eM^azt/VQWQdohjd%eHd`CE/G[TZrtadxnblcjd^lfpZbohjd%eHb{{OD,Gb>ohjd%eHb{{OD,G5c=ngkg$bIaztNG-@7733`eia"`KotvLA+B5WY^T<<:4in`n+kBh}}EN"Iohjd%eHb{{OD,R55=ngkg$bIaztNG-V@A>3`eia"`CDRa8mjdj'gFO_)AJ129jkgk(fENX"O[IOD,LQQd1:klfh)iDMY%H?Q_T^225>ohjd%e@I]!D3]SPZ7692cdn`!aLEQ-@7YW\V8i7damm.lO@V(Vl2cdn`!aLEQ-V@Ab3`eia"`CDR,WVVR>3`eia"`ZDRa8mjdj'g_O_)AJ129jkgk(f\NX"O[IOD,LQQd1:klfh)i]MY%H?Q_T^225>ohjd%eYI]!D3]SPZ7692cdn`!aUEQ-@7YW\V8i7damm.lV@V(Vl2cdn`!aUEQ-V@Ab3`eia"`ZDR,WVVR>3`eia"`YM@a8mjdj'g\FM)AJb:klfh)i^DK/]<=4in`n+kPJI'H^JBK!OTVa?liee&d]AL Kc:klfh)i^DK%Hohjd%eZ@O!Qe9jkgk(f_GJ"_KHe:klfh)i^DK%X_][1`9jkgk(f_GJSy}fmbpfeqccWqeyS45focq,EIC7j2cdn~!NLD2-@f=ngky$MAK?.E3`?lie{&KGI= K2c9jkgu(IEO;"\j4in`p+DJB8'XNK45focq,EIC612cdn~!MESPa?lie{&HN^_ Kc:klfv)EM[X%H.DZS[URX88>0ebl|/Bnfew7)MQZT\YQ>219jkgu(Keoj~< JXQ]wwlkumgkfiiQaeu]g?lie{&Igil|>.Pd8mjdt'Jfnm?!RDEa?lie{&CDMNZ}d:klfv)NGHI_~#Jj;hmaw*OHIJ^y"I?j;hmaw*OHIJ^y"Ic:klfv)NGE;%OHl4in`p+LIK9'Nh7dams.KLH4(C9j1bco} INN2*A4e3`ei"G@L0,R`>ohjz%BCA?!RDEb?lie{&CD^H_l;hmaw*OHZL[%Hi5focq,MJTBY'N:h6g`br-JKWCV&M8:>6g`br-JKWCV&M8T\YQ?139jkgu(AFXN]#J=_QV\5442:klfv)NG[OZ"Iohjz%BC_Y?.E0\TQY49;1bco} INPT4+B5WY^T8<<4in`p+LIU_9$O>R^[_431?lie{&CD^Z>!D3]SPZ0d3`ei"G@RV2-U`=ngky$EB\X0/PFC4d6g`br-JKWQ6&M8T\YQ?139jkgu(AFX\=#J=_QV\5442:klfv)NG[]:"I4:klfv)NG[ojht K2^RW[6773`ei"G@Rdcg}+C_X;;0ebl|/HMQadb~&LR[Sy}fmsgmehccWgoSh5focq,MJTbims%]<>4in`p+LIUmhnr"_KH1e9jkgu(AFXnmiwPtrkngwcf|lnTtb|P9:klfv)NG\:h7dams.KLQ5(DMk1bco} INW3*Aeohjz%BCX>!D332?lie{&CDY= K2^RW[5763`ei"G@U1,G6ZVSW8h0ebl|/HMV4+Wc3`ei"G@U1,QAB?.SGDe>ohjz%Gclj>c:klfv)Kghn:"Ij4in`p+Iifl8$O=i5focq,Hjgc9'N9=?5focq,Hjgc9'N9S]ZP0008mjdt'Eejh< K2^RW[4753`ei"B`ae3-@7YW\V8:>6g`br-Okdb6&M8T\YQ<139jkgu(Dfko=#J=_QV\044<64in`p+UUXNZGTJKj>.E0\TQY7911bco} PR]EWHYANm;%H?Q_T^326>ohjz%[_RH\M^DE`4(V9=1bco} PR]EWHYANm;%^HI>0:klfv)W[VLXARHId331?lie{&ZXSK]B_GDg6+B6;2cdn~!_S^DPIZ@Al;$O=<=4in`p+UUXNZGTJKj=.E02<>ohjz%[_RH\M^DE`7(C:VZ_S=?7;hmaw*VTWOYFSKHk2/F1[URX9820ebl|/QQ\BVKXNOn9"I8:klfv)W[VLXARHId3,G6ZVSW=;37dams.RP[CUJWOLo>#J=_QV\14>109mEAir|EO:SBLZF/F153=iIMe~xAK>_N@VB+B5WY^T<<84n@FlqqJB9VEIYK K2^RW[4773gKOcxzCE0]LFP@)Y880bLJ`uuNF5ZIE]O$YIJ94n@Q\BVDe3gKXSK]M.QCGg>hF[VLXNRGMUG58jDUXAFHi7cO\_HMA*UGC?2dJcxz@Ec9mEjssGL$[MIm4n@mvpJCXGK_Mi6`NotvLAZIE]O$Oj6`NotvLAZIE]O$O=k5aAnwwK@YHJ\L%H??;;oClqqIBWFH^J#J=_QV\442hFg|~DIRAMUG,QAB?hEM[XTJ^LPOCWE*A7692dII_\PFR@\KGSA&M8::6`MESP\BVDXGK_M"I7:lAAWTXNZHTCO[I.DZS[URX:;90bOK]R^DPFZIE]O$NT]Q{shoqakgjmmUeiyQ>0:lAAWTXNZHTCO[I.P;8jGCUZVCDNi5aBDPQ[LIE&YKOi6`MESP\MJDXAK_M;6`MG^KLFg=iJNUBCO _AEa8jGAXAFHTEO[I7:lAVZOHJk1eN_QFOC,SEA2hCagENSBLZF/Ff?kBnfFOTCO[I.E3f?kBnfFOTCO[I.E027>hCagENSBLZF/F1[URX8890bIgaOD]LFP@)L;U[XR?>3:lGmkIBWFH^J#J=_QV\6a=iL`dDIRAMUG,R3>hCg|~DIo5aDnwwK@(WIM?0bD^C289mMUJ5&YKOm6`FPM0\MGSA02dGH^QISCa8jIBTWOYI"]OKd:lO@VYA[KUBNXH7;oNGWZOHJj1e@I]PIN@-TDB43gEN;6`@E/RB@==iGLUDNXHn;oMF[JDRN'Ni7cAJ_N@VB+B6j2dDIRAMUG,G6f=iGLUDNXH!EYR25>hHMVEIYK JXQ]SPZ6692dDIRAMUG,F\UYW\V;:=6`@E^MAQC(BPYU[XR<>1:lLAZIE]O$NT]Q_T^125>hHMVEIYK JXQ]SPZ26l2dDIRAMUG,F\UYs{`gyicobee]maqYf3gENSBLZF/S4?kTFEE]Nn6`]ALNTA+VFLj1e^LCCWD]LFP@b3gXJAAYJ_N@VB+Ba3gXJAAYJ_N@VB+B6n2dYM@BXE^MAQC(C:8:0b_OBLVG\KGSA&LR[=85aR@OOS@YHJ\L%IU^PPU]350=iZHGG[HQ@BTD-A]VXX]U:=85aR@OOS@YHJ\L%IU^PPU]164=iZHGG[HQ@BTD-A]VX|zcf~h`nmdf\j`rXm2dYM@BXE^MAQC(V?2dYM@[XEc9mVDKR_L$[MIm4nSCNQRCXGK_Mi6`]ALWTAZIE]O$Oj6`]ALWTAZIE]O$O=k5aR@OVS@YHJ\L%H???;oPBIPQBWFH^J#KWP078jWGJ]^OTCO[I.DZS[URX88?0b_OBUVG\KGSA&LR[S]ZP1078jWGJ]^OTCO[I.DZS[URX:8?0b_OBUVG\KGSA&LR[S]ZP3078jWGJ]^OTCO[I.DZS[URX<;;0b_OBUVG\KGSA&LR[Sy}fmsgmehccWgoSh5aR@OVS@YHJ\L%]95aR@Q;?kTF['ZJH45aR@Q\KGSAj2dYM^Q@BTD-@f=iZHYTCO[I.E3`?kTF[VEIYK K2e9mVDUXGK_M"HV_139mVDUXGK_M"HV__QV\4442:lQEVYHJ\L%IU^PPU]057=iZHYTCO[I.DZS[URX<8o0b_O\_N@VB+C_XV~xe`|jn`of`Zhb|Vh0b_O\_N@VB+W33gXN_55aRDQ-TDB>3gXN_RGMUG48jWIJKZk0b_ABCR,SEAdhUGDIXSBLZF/G[T42hUGDIXSBLZF/G[TZrtadxnblcjd^lfpZbhRLZUM_Om4nTFP[CUE&YKOh6`ZDR]EWGYNJ\L37c[KS^KLFf=i]MYTEBL!P@F;?kPJIVCDNn5aVLC\MJD)XHN37cX_A^DPFf=i^YKTJ^L!P@Fg?kPWIVLXNRAMUGd8jSVFWOYISBLZF/F24>hQXHUM_OQ@BTD-@4773g\[MRH\B^MAQC(C:o1eZ]OPFR@\KGSA&X20b[^N_HMAg>hQXHUBCO _AEf8jSVFW@EISDLZF69mS@YA[Kh0bZKPFR@-TDBd3g]NSK]M_H@VB1=iido<7ckheeef3>vh{lnli45}al-AEFRuj2xja"LNCUp-@f=uid%IMNZ}.E3`?wgj'KKHX K2c9qeh)EIJ^y"\j4r`o,FDESz'XNK55}al-Ljfcf3{kf#B`le/Fa?wgj'Fdhi#J>b:pbi*Iikl$O><>4r`o,Kkeb&M8T\YQ?119qeh)Hfjo%H?Q_T^324>tfe&Eeoh K2^RW[7773{kf#B`le/F1[URX;8:0~lc Ooaf*A4XX]U?m6|nm.Mmg`(Vk2xja"Aacd,QAB7>3{kf#B`le^vpmheumh~nhRv`r^`8vdk(ZHGOE^?k;scn+WGJL@Y:"Ik4r`o,VDKCAZ;%H5}al-QEHBN[8$O>R^[_430?wgj'[KFHD]>.E0\TQY1l2xja"\NMEKP5+Wa3{kf#_OBDHQ2*WC@j2xja"\NMEKP6a=uid%YM@JFS3,Ga>tfe&XJAIG\2/F2a>tfe&XJAIG\2/F156=uid%YM@JFS3,G6ZVSW9;87ob/SCN@LU5&M8T\YQ>129qeh)UIDNB_? K2^RW[7743{kf#_OBDHQ1*A4XX]U8=>5}al-QEHBN[;$O>R^[_530?wgj'[KFHD]=.E0\TQY2l2xja"\NMEKP6+Wa3{kf#_OBDHQ1*WC@9j1ym`!]ALFJW7Ys{`gh~ho{ee]{kwYd3{kf#_OBRdcg}`=uid%YM@\jae{-@c=uid%YM@\jae{-@4`tfe&XJA_kndx,G6ZVSW=;?7ob/SCNV`gcq'N9S]ZP5068vdk(ZHGYiljv.E0\TQY1m2xja"\NMSgb`|(V991ym`!]ALPfea)ZLM27ob/SCPAId1:pbi*TF[LF%H?Q_T^225>tfe&XJ_HB!D3]SPZ7692xja"\NSDN-@7YW\V8:=6|nm.PBW@J)L;U[XR=>1:pbi*TF[LF%H?Q_T^625>tfe&XJ_HB!D3]SPZ3692xja"\NSDN-@7YW\V?8;scn+WUXNZGTJKj>.E0\TQY39>1ym`!]S^DPIZ@Al8$O>R^[_434?wgj'[YTJ^CPFGf2*A4XX]U==<5}al-QWZ@TEVLMh< ^129qeh)U[VLXARHId0,QAB`6|nm.PP[CUJWOLo>#J>139qeh)U[VLXARHId3,G641>7:pbi*TTWOYFSKHk2/F1[URX98=0~lc RR]EWHYANm8%H?Q_T^023>tfe&XXSK]B_GDg6+B5WY^T?<94r`o,VVYA[DUMJi#_>3:pbi*TTWOYFSKHk2/PFCc=uid%Y_RH\M^DE`6763{kf#_]PFRO\BCb4&M;97ob/SQ\BVKXNOn8"I?>2:pbi*TTWOYFSKHk3/F152=uid%Y_RH\M^DE`6(C:VZ_S=?8;scn+WUXNZGTJKj<.E0\TQY69>1ym`!]S^DPIZ@Al:$O>R^[_334?wgj'[YTJ^CPFGf0*A4XX]U8=:5}al-QWZ@TEVLMh> K2^RW[1703{kf#_]PFRO\BCb4&M8T\YQ:169qeh)U[VLXARHId2,G6ZVSW?;:7ob/SQ\BVKXNOn8"\?<;scn+WUXNZGTJKj<.SGDe>tfe&Xnj_O\c:pbi*Tbn[KX"Ij4r`o,V``UIZ$O=i5}al-QacTF['N9o6|nm.PfbWGT&Xo0~lc RddQEV(UMN20~lc nBCPe>tfe&dHM^ Kb:pbi*hDIZ$O=o5}al-mGDU)L;i0~lc nBCP*@^W9m1ym`!aC@Q-A]VX|zcf~h`nmdf\j`rXi2xja"`LAR,Rg>tfe&dHM^ ]EF78rdjrmtJK|5?n3:BC|7?>2O096n382h6s\ec81=f<2289?44o7:32gbg=c:031<7?51zQfg?4>k3?1=>:79`:965bai2|_:94?:082>074sZoh6?7l:48271>>i109{#:0<1=nh4$0f4>7?e3k8247>54`8673<29:qC>4;4$3;7>7??3Si96>u=5;3:>7d=u`396=4+1ec9<4=i9m31i65f9183>!7ci32:7c?k9;d8?l0a290/=io5809m5a?=021b:i4?:%3ge?>63g;o5774;h4a>5<#9mk14<5a1e;9e>=n>00;6)?ka;:2?k7c13h07d88:18'5ag=081e=i75c:9j20<72-;om76>;o3g=?b<3`296=4+1ec9<4=i9m31<65f7g83>!7ci32:7c?k9;38?l1c290/=io5809m5a?=:21b;o4?:%3ge?>63g;o57=4;h54>5<#9mk14<5a1e;90>=n?<0;6)?ka;:2?k7c13?07d9<:18'5ag=081e=i756:9j34<72-;om76>;o3g=?1<3`nm6=44i4d1>5<d`81=5=i9m31=65f5b194?=n=121<75f5d394?=nm>0;66g:6983>>o20<0;66g:6c83>>o6100;66g:7583>>o2mo0;66g89;29 4bf21;0b3290/=io5809m5a?=9<10e5850;&2`d4:9j<=<72-;om76>;o3g=?7432c3m7>5$0fb>=75<#9mk14<5a1e;954=d`8;5>h6l00:<65f29a94?"6lh095=5a1e;96>=h=l<1<75`55a94?=h=1h1<75`5d694?=h=>81<75`1dc94?"6lh0:ih5a1e;9f>=h9o;1<7*>d`82a`=i9m31m65`1g194?"6lh0:ih5a1e;9=>=h9o?1<7*>d`82a`=i9m31465`1g594?"6lh0:ih5a1e;93>=h9o31<7*>d`82a`=i9m31:65`1g`94?"6lh0:ih5a1e;91>=h9on1<7*>d`82a`=i9m31865`1gd94?"6lh0:ih5a1e;97>=h:9;1<7*>d`82a`=i9m31>65`54;94?=h=1n1<75`5e694?=h=l21<75`56594?=h=191<75`52494?=h=>31<75`5c794?=h=>:1<75`1dd94?"6lh0:ih5a1e;94>=h9li1<7*>d`82a`=i9m31=65`c483>>ic<3:17b;9e;29?j34n3:17b;;e;29?j3>j3:17b;n7;29?j3d>3:17o7<:182>5<7s-8j=7?k6:J1=0=n9m<1<7*=a082`3=#9jo1845+1e:90<=d49U6d5=9rF9m84>{Wd3>7}#9l31=i;4V0;`>7}#=o=1j6*:f78e?x{zu2wi5<4?:083>5}#:h;1=i84H3;6?l7c>3:1(?o>:0f5?!7dm3>97)?k8;61?>{ejo0;6?4?:1y'6d7=k81C>4;4i0;e>5<#:h;1=4h4;n3g1?6=,;k:669b81!3a?3l0(8h9:g9~yx{:183!4f93;o:6F=949j5a0=83.9m<4>d79'5fc=9h1/=i651`98yg?>29096=4?{%0b5?e63A8296g>9g83>!4f93;2j65`1e794?"5i80:h85Y2`195~J5i<0:w[h?:3y'5`?=9m?0Z<7l:3y'1c1=n2.>j;4i;|~y>{e>l0;6<4?:1y'6d7=9m<0D?7:;h3g2?6=,;k:67[2B9585f1e494?"5i80:h;5+1bg952=#9m21=:54}c;4>5<5290;w)=2c:5k4?:%0b5?7>n21d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g59b>"2n?0m7psr}:a2d<7280;6=u+2`395a0<@;3>7d?k6;29 7g628n=7)?le;35?!7c03;=76sm9783>7<729q/>l?5c09K6<35$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;d8 0`12o1vqps4}c4;>5<6290;w)N51<1b=i850;&1e4<6l?1/=nk55`9'5a>==h10qo7::181>5<7s-8j=7m>;I0:1>o61o0;6)=h9m?1<7*=a082`0=Q:h91=vB=a482S`72;q/=h751e78R4?d2;q/9k95f:&6b34<729q/>l?51e48L7?23`;o:7>5$3c2>4b13-;hi7;=;%3g5}#:h;1o<5G2878m4?a290/>l?518d8?j7c=3:1(?o>:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;7h4$4d5>c=zutw0qo6<:182>5<7s-8j=7?k6:J1=0=n9m<1<7*=a082`3=#9jo1>;5+1e:963=d49U6d5=9rF9m84>{Wd3>7}#9l31=i;4V0;`>7}#=o=1j6*:f78e?x{zu2wi4=4?:083>5}#:h;1=i84H3;6?l7c>3:1(?o>:0f5?!7dm3897)?k8;01?>{ej>0;6?4?:1y'6d7=k81C>4;4i0;e>5<#:h;1=4h4;n3g1?6=,;k:669b81!3a?3l0(8h9:g9~yx{:183!4f93;o:6F=949j5a0=83.9m<4>d79'5fc=:91/=i652198ygge29096=4?{%0b5?e63A8296g>9g83>!4f93;2j65`1e794?"5i80:h85Y2`195~J5i<0:w[h?:3y'5`?=9m?0Z<7l:3y'1c1=n2.>j;4i;|~y>{e?j0;6<4?:1y'6d7=9m<0D?7:;h3g2?6=,;k:67[2B9585f1e494?"5i80:h;5+1bg95`=#9m21=h54}c;g>5<5290;w)=2c:5k4?:%0b5?7>n21d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g59b>"2n?0m7psr}:a33<7280;6=u+2`395a0<@;3>7d?k6;29 7g628n=7)?le;3g?!7c03;o76sm9b83>7<729q/>l?5c09K6<35$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;d8 0`12o1vqps4}c57>5<6290;w)N51<1b=i850;&1e4<6l?1/=nk51b9'5a>=9j10qo7m:181>5<7s-8j=7m>;I0:1>o61o0;6)=h9m?1<7*=a082`0=Q:h91=vB=a482S`72;q/=h751e78R4?d2;q/9k95f:&6b34<729q/>l?51e48L7?23`;o:7>5$3c2>4b13-;hi7?m;%3g5}#:h;1o<5G2878m4?a290/>l?518d8?j7c=3:1(?o>:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;7h4$4d5>c=zutw0qok?:182>5<7s-8j=7?k6:J1=0=n9m<1<7*=a082`3=#9jo1>>5+1e:966=d49U6d5=9rF9m84>{Wd3>7}#9l31=i;4V0;`>7}#=o=1n6*:f78a?x{zu2wii?4?:383>5}#:h;1o<5G2878m4?a290/>l?518d8?j7c=3:1(?o>:0f6?M4f82\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f68a?!3a>3h0qpsr;|`6b6<7280;6=u+2`395a0<@;3>7d?k6;29 7g628n=7)?le;0b?!7c038j76sm5g694?4=83:p(?o>:b38L7?23`;2j7>5$3c2>4?a32e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f68e?!3a>3l0qpsr;|`f0?6=93:16=4+2`395a3<^;k86k38p(8h8:c9'1c0=j2wvqp5rbd494?4=83:p(?o>:b38L7?23`;2j7>5$3c2>4?a32e:h84?:%0b5?7c=2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9m?0Z<7l:3y'1c1=j2.>j;4m;|~y>{e==k1<7?50;2x 7g628n=7E<65:k2`3<72-8j=7?k6:&2g`<5m2.:h54=e:9~f02e29096=4?{%0b5?e63A8296g>9g83>!4f93;2j65`1e794?"5i80:h85Y2`195~J5i<0:w[h?:3y'5`?=9m?0Z<7l:3y'1c1=n2.>j;4i;|~y>{e:1l1<7?50;2x 7g628n=7E<65:k2`3<72-8j=7?k6:&2g`<3;2.:h54;3:9~f7?629096=4?{%0b5?e63A8296g>9g83>!4f93;2j65`1e794?"5i80:h85Y2`195~J5i<0:w[h?:3y'5`?=9m?0Z<7l:3y'1c1=n2.>j;4i;|~y>{e=j>1<7?50;2x 7g628n=7E<65:k2`3<72-8j=7?k6:&2g`<2k2.:h54:c:9~f0e229096=4?{%0b5?e63A8296g>9g83>!4f93;2j65`1e794?"5i80:h85Y2`195~J5i<0:w[h?:3y'5`?=9m?0Z<7l:3y'1c1=n2.>j;4i;|~y>{e=131<7?50;2x 7g628n=7E<65:k2`3<72-8j=7?k6:&2g`<5l2.:h54=d:9~f0>f29096=4?{%0b5?e63A8296g>9g83>!4f93;2j65`1e794?"5i80:h85Y2`195~J5i<0:w[h?:3y'5`?=9m?0Z<7l:3y'1c1=n2.>j;4i;|~y>{e=l81<7?50;2x 7g628n=7E<65:k2`3<72-8j=7?k6:&2g`<5n2.:h54=f:9~f0c429096=4?{%0b5?e63A8296g>9g83>!4f93;2j65`1e794?"5i80:h85Y2`195~J5i<0:w[h?:3y'5`?=9m?0Z<7l:3y'1c1=n2.>j;4i;|~y>{em10;6<4?:1y'6d7=9m<0D?7:;h3g2?6=,;k:67[9g83>!4f93;2j65`1e794?"5i80:h85G2`28R7g428qG>l;51zTe4?4|,8o26{zut1vn886:182>5<7s-8j=7?k6:J1=0=n9m<1<7*=a082`3=#9jo18h5+1e:90`=52;294~"5i80h=6F=949j5<`=83.9m<4>9g98k4b2290/>l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn869:182>5<7s-8j=7?k6:J1=0=n9m<1<7*=a082`3=#9jo19i5+1e:91a=52;294~"5i80h=6F=949j5<`=83.9m<4>9g98k4b2290/>l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn88l:182>5<7s-8j=7?k6:J1=0=n9m<1<7*=a082`3=#9jo18n5+1e:90f=52;294~"5i80h=6F=949j5<`=83.9m<4>9g98k4b2290/>l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn<7n:182>5<7s-8j=7?k6:J1=0=n9m<1<7*=a082`3=#9jo1>:5+1e:962=52;294~"5i80h=6F=949j5<`=83.9m<4>9g98k4b2290/>l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn89::182>5<7s-8j=7?k6:J1=0=n9m<1<7*=a082`3=#9jo19=5+1e:915=52;294~"5i80h=6F=949j5<`=83.9m<4>9g98k4b2290/>l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn8h?:182>5<7s-8j=7?k6:J1=0=n9m<1<7*=a082`3=#9jo18k5+1e:90c=52;294~"5i80h=6F=949j5<`=83.9m<4>9g98k4b2290/>l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn:o50;394?6|,;k:6o6l?0;6)"6kl0>j6*>d986b>=zjh31<7<50;2x 7g62j;0D?7:;h3:b?6=,;k:6<7i;:m2`0<72-8j=7?k5:T1e6<6sE8j97?tVg296~"6m00:h85Y18a96~"2n>0m7);i6;d8yx{z3th397>51;294~"5i80:h;5G2878m4b1290/>l?51e48 4eb2?:0(7>50z&1e45<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02o1/9k85f:~yx=zj1=1<7?50;2x 7g628n=7E<65:k2`3<72-8j=7?k6:&2g`<192.:h5491:9~fgg=8381<7>t$3c2>f7<@;3>7d?6f;29 7g6283m76a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b250z&1e4<6l?1C>4;4i0f5>5<#:h;1=i84$0af>34<,8n36;<4;|`af?6=:3:1N51<1b=4h50;&1e4<61o10c4b23_;2o7c=#=o<1j6sr}|9~f=d=83;1<7>t$3c2>4b13A8296g>d783>!4f93;o:6*>cd815>"6l109=65rbca94?4=83:p(?o>:b38L7?23`;2j7>5$3c2>4?a32e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f68e?!3a>3l0qpsr;|`;`?6=93:16=4+2`395a3<^;k86k38p(8h8:g9'1c0=n2wvqp5rb9d94?7=83:p(?o>:0f5?M4>=2c:h;4?:%0b5?7c>2.:oh4<4:&2`=<4<21vnok50;094?6|,;k:6n?4H3;6?l7>n3:1(?o>:0;e?>i6l<0;6)P5i:0:wAd49U5j:4i;%7e2?`4<729q/>l?51e48L7?23`;o:7>5$3c2>4b13-;hi7:;;%3g5}#:h;1o<5G2878m4?a290/>l?518d8?j7c=3:1(?o>:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;7h4$4d5>c=zutw0qo;j7;295?6=8r.9m<4>d79K6<31b<3th>i;4?:283>5}#:h;1>4=4H3;6?l7>n3:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?6f:T2=f<5s-?m;7j4$4d5>a=zutw0ehj50;&1e44>{M0b1?7|^o:1>v*>e88f`>P61j09w);i7;48 0`12?1vqps4o0f6>5<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zj<>o6=4>:183!4f93;o:6F=949l5a0=83.9m<4>d79'5fc===1/=i655598yg33k3:1?7>50z&1e4<51:1C>4;4i0;e>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>283m7[?6c;0x 0`02m1/9k85d:~yx=nmm0;6){zut1d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a1=e=83;1<7>t$3c2>4b13A8296a>d783>!4f93;o:6*>cd865>"6l10>=65rb4:a>5<4290;w)N51<1b=4h50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95<`<^83h6?u+5g59`>"2n?0o7psr}:kf`?6=,;k:6hj4V3c0>4}K:h?1=vXi0;0x 4c>2ln0Z<7l:3y'1c1=>2.>j;49;|~y>i6l<0;6)P5i:0:wAd49U5j:49;%7e2?04<729q/>l?51e48L7?23f;o:7>5$3c2>4b13-;hi7;<;%3go61o0;6)P5i:0:wA9g9U5j:4k;%7e2?bl=51zN1e0<6s_l;6?u+1d;9aa=Q90i1>v*:f685?!3a>3<0qpsr;n3g1?6=,;k:669b81!3a?3<0(8h9:79~yx{51;294~"5i80:h;5G2878k4b1290/>l?51e48 4eb2;30(4;4i0;e>5<#:h;1=4h4;n3g1?6=,;k:669b81!3a?3n0(8h9:e9~yx{51;294~"5i80:h;5G2878k4b1290/>l?51e48 4eb2:i0(4;4i0;e>5<#:h;1=4h4;n3g1?6=,;k:669b81!3a?3n0(8h9:e9~yx{7>51;294~"5i80:h;5G2878k4b1290/>l?51e48 4eb2:k0(4;4i0;e>5<#:h;1=4h4;n3g1?6=,;k:669b81!3a?3n0(8h9:e9~yx{51;294~"5i80:h;5G2878k4b1290/>l?51e48 4eb2:20(4;4i0;e>5<#:h;1=4h4;n3g1?6=,;k:669b81!3a?3n0(8h9:e9~yx{51;294~"5i80:h;5G2878k4b1290/>l?51e48 4eb2:<0(4;4i0;e>5<#:h;1=4h4;n3g1?6=,;k:669b81!3a?3n0(8h9:e9~yx{51;294~"5i80:h;5G2878k4b1290/>l?51e48 4eb2:?0(0;6?4?:1y'6d7=k81C>4;4i0;e>5<#:h;1=4h4;n3g1?6=,;k:669b81!3a?3n0(8h9:e9~yx{51;294~"5i80:h;5G2878k4b1290/>l?51e48 4eb2:=0(4;4i0;e>5<#:h;1=4h4;n3g1?6=,;k:669b81!3a?3n0(8h9:e9~yx{51;294~"5i80:h;5G2878k4b1290/>l?51e48 4eb2:30(4;4i0;e>5<#:h;1=4h4;n3g1?6=,;k:669b81!3a?3n0(8h9:e9~yx{51;294~"5i80:h;5G2878k4b1290/>l?51e48 4eb2:h0(4;4i0;e>5<#:h;1=4h4;n3g1?6=,;k:669b81!3a?3n0(8h9:e9~yx{51;294~"5i80:h;5G2878k4b1290/>l?51e48 4eb2:n0(4;4i0;e>5<#:h;1=4h4;n3g1?6=,;k:669b81!3a?3n0(8h9:e9~yx{7>51;294~"5i80:h;5G2878k4b1290/>l?51e48 4eb2:l0(4;4i0;e>5<#:h;1=4h4;n3g1?6=,;k:669b81!3a?3n0(8h9:e9~yx{m7>51;294~"5i80:h;5G2878k4b1290/>l?51e48 4eb2=k0(4;4i0;e>5<#:h;1=4h4;n3g1?6=,;k:669b81!3a?3n0(8h9:e9~yx{51;294~"5i80:h;5G2878k4b1290/>l?51e48 4eb2:90(4;4i0;e>5<#:h;1=4h4;n3g1?6=,;k:669b81!3a?3n0(8h9:e9~yx{51;294~"5i80:h;5G2878k4b1290/>l?51e48 4eb2::0(4;4i0;e>5<#:h;1=4h4;n3g1?6=,;k:669b81!3a?3n0(8h9:e9~yx{51;294~"5i80:h;5G2878k4b1290/>l?51e48 4eb24;4i0;e>5<#:h;1=4h4;n3g1?6=,;k:669b81!3a?3n0(8h9:e9~yx{51;294~"5i80:h;5G2878k4b1290/>l?51e48 4eb2<=0(0;6?4?:1y'6d7=k81C>4;4i0;e>5<#:h;1=4h4;n3g1?6=,;k:669b81!3a?3n0(8h9:e9~yx{51;294~"5i80:h;5G2878k4b1290/>l?51e48 4eb2<30(4;4i0;e>5<#:h;1=4h4;n3g1?6=,;k:669b81!3a?3n0(8h9:e9~yx{51;294~"5i80:h;5G2878k4b1290/>l?51e48 4eb2<<0(4;4i0;e>5<#:h;1=4h4;n3g1?6=,;k:669b81!3a?3n0(8h9:e9~yx{51;294~"5i80:h;5G2878k4b1290/>l?51e48 4eb24;4i0;e>5<#:h;1=4h4;n3g1?6=,;k:669b81!3a?3n0(8h9:e9~yx{51;294~"5i80:h;5G2878k4b1290/>l?51e48 4eb2=<0(4;4i0;e>5<#:h;1=4h4;n3g1?6=,;k:669b81!3a?3n0(8h9:e9~yx{51;294~"5i80:h;5G2878k4b1290/>l?51e48 4eb2:80(4;4i0;e>5<#:h;1=4h4;n3g1?6=,;k:669b81!3a?3n0(8h9:e9~yx{51;294~"5i80:h;5G2878k4b1290/>l?51e48 4eb2=?0(4;4i0;e>5<#:h;1=4h4;n3g1?6=,;k:669b81!3a?3n0(8h9:e9~yx{51;294~"5i80:h;5G2878k4b1290/>l?51e48 4eb2:o0(4;4i0;e>5<#:h;1=4h4;n3g1?6=,;k:669b81!3a?3n0(8h9:e9~yx{:183!4f93;o:6F=949l5a0=83.9m<4>d79'5fc=<81/=i654098yge229096=4?{%0b5?e63A8296g>9g83>!4f93;2j65`1e794?"5i80:h85Y2`195~J5i<0:w[h?:3y'5`?=9m?0Z<7l:3y'1c1=l2.>j;4k;|~y>{el<0;6<4?:1y'6d7=9m<0D?7:;n3g2?6=,;k:67[50z&1e4<6l?1C>4;4o0f5>5<#:h;1=i84$0af>1d<,8n369l4;|`62`<72;0;6=u+2`39g4=O:0?0e<7i:18'6d7=90l07b?k5;29 7g628n>7[50z&1e4<6l?1C>4;4o0f5>5<#:h;1=i84$0af>03<,8n368;4;|`67c<72;0;6=u+2`39g4=O:0?0e<7i:18'6d7=90l07b?k5;29 7g628n>7[50z&1e4<6l?1C>4;4o0f5>5<#:h;1=i84$0af>0><,8n36864;|`60`<72;0;6=u+2`39g4=O:0?0e<7i:18'6d7=90l07b?k5;29 7g628n>7[k3:1=7>50z&1e4<6l?1C>4;4o0f5>5<#:h;1=i84$0af>11<,8n36994;|`6=g<72;0;6=u+2`39g4=O:0?0e<7i:18'6d7=90l07b?k5;29 7g628n>7[50z&1e4<6l?1C>4;4o0f5>5<#:h;1=i84$0af>1><,8n36964;|`6e2<72;0;6=u+2`39g4=O:0?0e<7i:18'6d7=90l07b?k5;29 7g628n>7[50z&1e4<6l?1C>4;4o0f5>5<#:h;1=i84$0af>67<,8n36>?4;|`6g3<72;0;6=u+2`39g4=O:0?0e<7i:18'6d7=90l07b?k5;29 7g628n>7[7>50z&1e45<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zj=296=4=:183!4f93i:7E<65:k2=c<72-8j=7?6f:9l5a3=83.9m<4>d49U6d5=9rF9m84>{Wd3>7}#9l31=i;4V0;`>7}#=o=1:6*:f785?x{zu2wi85=50;094?6|,;k:6n?4H3;6?l7>n3:1(?o>:0;e?>i6l<0;6)P5i:0:wAd49U5j:49;%7e2?07<729q/>l?5c09K6<35$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c6;a?6=;3:14g73_;2o73=#=o<1:6sr}|9j5d7=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l?4V0;`>7}#=o=1j6*:f78e?x{zu2e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f685?!3a>3<0qpsr;|`77)?l0;37?l7>n3:1(?o>:0;e?S4f;3;p@?o::0yU5fb=:r.:i44>9g9U5j:49;%7e2?0l=51zN1e0<6s_;hh7f5<^83h6?u+5g592>"2n?0=7psr}:k`0?6=,;k:6n:4V3c0>7}K:h?1=vX>ce81!7b13i?7[?6c;0x 0`0211/9k858:~yI4f<3>pZ?7=:2yUa`<5s-?m97m;;%117?3a?2w]ik4={%7e1?7>n2.8>>4:f69~ 3142l1vZ?7=:2yUa`<5s-?m97m;;%117?3a?2w]ik4={%7e1?7>n2.8>>4:f79~ 3142l1vZ?7=:2yUa`<5s-?m97m;;%117?3a?2w]ik4={%7e1?e43-99?7;i7:'225=?2w]=h652zTfa?4|,6n:4$200>0`03t.=;>4>3:~y>o50k0;6)P5i:09wAi38pZhk52z&6b0<50k1/??=55g58y!00;3;97psr;h0;e?6=,;k:6?6n;W0b7?4|D;k>60:>6*:f7826>{zuE8j87?tV3;b>7}Qml09w);i5;0;e>"4::0>j:5r$750>449d83>4<729q/>l?52`:8L7?23f;o97>5$3c2>4b232wi>4950;394?6|,;k:6i6l<0;6)=zj=2=6=4<:183!4f9382i6F=949j5d6=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l>4V0;`>7}#=o=1:6*:f785?x{zu2c:m<4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e4=Q90i1>v*:f685?!3a>3<0qpsr;n3g1?6=,;k:669b81!3a?3<0(8h9:79~yx{3;7>51;294~"5i809m55G2878k4b2290/>l?51e78?xd3000;6:4?:1y'6d7=>:1C>4;4i0c3>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?n0:T2=f<5s-?m;7?>;%7e2?763twvq6g>a083>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=l?4V0;`>7}#=o=1=<5+5g4954=zutw0e69b81!3a?3;:7);i6;32?x{zu2c:n:4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9k=0Z<7l:3y'1c1=981/9k85109~yx{;|~y>o6jk0;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95gd<^83h6?u+5g5954=#=o<1=<5r}|8k4b2290/>l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn96n:1825?6=8r.9m<493:J1=0=n9h:1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`28R4?d2;q/9k95109'1c0=981vqps4i0c2>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k:7[?6c;0x 0`028;0(8h9:038yx{z3`;jo7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3bg>P61j09w);i7;32?!3a>3;:7psr}:k2f2<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:n:5Y18a96~"2n>0:=6*:f7825>{zut1b=oo50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28hj7[?6c;0x 0`028;0(8h9:038yx{z3`;in7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6jk1]=4m52z&6b2<692.>j;4>1:~yx=n9ki1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751ca8R4?d2;q/9k95109'1c0=981vqps4i0`g>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28ho7[?6c;0x 0`028;0(8h9:038yx{z3`;ii7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3aa>P61j09w);i7;32?!3a>3;:7psr}:k2fc<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:nk5Y18a96~"2n>0=7);i6;48yx{z3`;j>7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b6>P61j09w);i7;48 0`12?1vqps4i0c0>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k87[?6c;0x 0`02?1/9k856:~yx=n9h>1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`68R4?d2;q/9k956:&6b3<13twvq6g>a483>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i<1]=4m52z&6b2<13-?m:784}|~?l7f>3:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n6:T2=f<5s-?m;784$4d5>3=zutw0e4g03_;2o73=#=o<1:6sr}|9l5a3=83.9m<4>d49U6d5=9rF9m84>{Wd3>7}#9l31=i;4V0;`>7}#=o=1:6*:f785?x{zu2wi85650;194?6|,;k:6o6i90;6)P5i:0:wAa19U5j:49;%7e2?0l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn96k:180>5<7s-8j=78<;I0:1>o6i90;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95d6<^83h6?u+5g59=>"2n?027psr}:k2e4<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751`38R4?d2;q/9k959:&6b3<>3twvq6a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd3=90;6?4?:1y'6d7=k81C>4;4i0;e>5<#:h;1=4h4;n3g1?6=,;k:669b81!3a?3<0(8h9:79~yx{?i7>52;294~"5i80h=6F=949j5<`=83.9m<4>9g98k4b2290/>l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn9:i:181>5<7s-8j=7m>;I0:1>o61o0;6)=h9m?1<7*=a082`0=Q:h91=vB=a482S`72;q/=h751e78R4?d2;q/9k956:&6b3<13twvq6sm44394?4=83:p(?o>:b38L7?23`;2j7>5$3c2>4?a32e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f685?!3a>3<0qpsr;|`71=<72?0;6=u+2`3957)?l0;37?l7>n3:1(?o>:0;e?S4f;3;p@?o::0yU5fb=:r.:i44>9g9U5j:49;%7e2?0l=51zN1e0<6s_;hh7f5<^83h6?u+5g592>"2n?0=7psr}:k`0?6=,;k:6n:4V3c0>7}K:h?1=vX>ce81!7b13i?7[?6c;0x 0`0211/9k858:~yI4f<3>pZ?7=:2yUa`<5s-?m97m;;%117?3a?2w]ik4={%7e1?7>n2.8>>4:f69~ 3142l1vZ?7=:2yUa`<5s-?m97m;;%117?3a?2w]ik4={%7e1?7>n2.8>>4:f79~ 3142l1vZ?7=:2yUa`<5s-?m97m;;%117?3a?2w]ik4={%7e1?e43-99?7;i7:'225=?2w]=h652zTfa?4|,6n:4$200>0`03t.=;>4>3:~y>o50k0;6)P5i:09wAi38pZhk52z&6b0<50k1/??=55g58y!00;3;97psr;h0;e?6=,;k:6?6n;W0b7?4|D;k>60:>6*:f7826>{zuE8j87?tV3;b>7}Qml09w);i5;0;e>"4::0>j:5r$750>446<729q/>l?528g8L7?23`;j<7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b4>P61j09w);i7;48 0`12?1vqps4i0c2>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k:7[?6c;0x 0`02?1/9k856:~yx=h9m?1<7*=a082`0=Q:h91=vB=a482S`72;q/=h751e78R4?d2;q/9k956:&6b3<13twvq6sm44194?7=83:p(?o>:3c;?M4>=2e:h84?:%0b5?7c=21vn9;::180>5<7s-8j=78<;I0:1>o6i90;6)P5i:0:wAa19U5j:4>1:&6b3<692wvqp5f1`394?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26;W3:g?4|,477[3:1?7>50z&1e4<1;2B9585f1`294?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26470:=6*:f7825>{zut1d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a002=8391<7>t$3c2>4b33A8296g>a183>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i91]=4m52z&6b2<13-?m:784}|~?l7f93:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n1:T2=f<5s-?m;784$4d5>3=zutw0c4b23_;2o73=#=o<1:6sr}|9~f13>29086=4?{%0b5?043A8296g>a183>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=l>4V0;`>7}#=o=156*:f78:?x{zu2c:m<4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9h;0Z<7l:3y'1c1=12.>j;46;|~y>i6l<0;6)P5i:0:wAd49U5j:49;%7e2?07<729q/>l?5c09K6<35$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c65f?6=:3:1N51<1b=4h50;&1e4<61o10c4b23_;2o73=#=o<1:6sr}|9~f10d29096=4?{%0b5?e63A8296g>9g83>!4f93;2j65`1e794?"5i80:h85Y2`195~J5i<0:w[h?:3y'5`?=9m?0Z<7l:3y'1c1=>2.>j;49;|~y>{e<>>1<7850;2x 7g6283o7E<65:&2g5<6<2c:5k4?:%0b5?7>n2\9m>4>{M0b1?7|^8io6?u+1d;95<`<^83h6?u+5g592>"2n?0=7psr}:k`7?6=,;k:6n=4V3c0>4}K:h?1=vX>ce81!7b13i87[?6c;0x 0`02?1/9k856:~yx=nk=0;6)==zutF9m94;{W0:6?5|^lo1>v*:f48`0>"4::0>j:5rVdd96~"2n<0:5k5+33191c1v*:f48`0>"4::0>j:5rVdd96~"2n<0:5k5+33191c0v*:f48`0>"4::0>j:5rVdd96~"2n<0h?6*<2286b2=z,?=86:5rV0g;>7}Qml09w);i5;a7?!55;3?m;6s+661956=zut1b>5l50;&1e4<50k1]>l=52zN1e0<6s_;hh77>e3_;2o744<,7}#=o?1>5l4$200>0`03t.=;>4>2:~y>o50h0;6)P5i:09wAi38pZhk52z&6b0<50h1/??=55g58y!00;3;97psr;n3g1?6=,;k:6t$3c2>7?b3A8296g>a183>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=l>4V0;`>7}#=o=1:6*:f785?x{zu2c:m<4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e4=Q90i1>v*:f685?!3a>3<0qpsr;n3g1?6=,;k:669b81!3a?3<0(8h9:79~yx{=i7>51;294~"5i809m55G2878k4b2290/>l?51e78?xd3?90;694?:1y'6d7=>:1C>4;4i0c3>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k;7[?6c;0x 0`028;0(8h9:038yx{z3`;j=7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b5>P61j09w);i7;32?!3a>3;:7psr}:k2ef<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:mn5Y18a96~"2n>0:=6*:f7825>{zut1d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a027=83>1<7>t$3c2>35<@;3>7d?n0;29 7g6283m7[;|~y>o6i80;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95d7<^83h6?u+5g5954=#=o<1=<5r}|8m4gd290/>l?518d8R7g428qG>l;51zTe4?4|,8o26477[50z&1e4<1;2B9585f1`294?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o2647;|~y>o6ij0;6)P5i:0:wAab9U5j:4>1:&6b3<692wvqp5f1c594?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26477[50z&1e4<6l=1C>4;4i0c3>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k;7[?6c;0x 0`02?1/9k856:~yx=n9h;1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`38R4?d2;q/9k956:&6b3<13twvq6g>ab83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6ij1]=4m52z&6b2<13-?m:784}|~?j7c=3:1(?o>:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo:85;297?6=8r.9m<493:J1=0=n9h:1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74g73_;2o7<=#=o<156sr}|9j5d7=83.9m<4>9g9K6d6<^;k86k38p(8h8:89'1c0=12wvqp5`1e794?"5i80:h85Y2`195~J5i<0:w[h?:3y'5`?=9m?0Z<7l:3y'1c1=>2.>j;49;|~y>{e<><1<7<50;2x 7g62j;0D?7:;h3:b?6=,;k:6<7i;:m2`0<72-8j=7?k5:T1e6<6sE8j97?tVg296~"6m00:h85Y18a96~"2n>0=7);i6;48yx{z3th?;:4?:383>5}#:h;1o<5G2878m4?a290/>l?518d8?j7c=3:1(?o>:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo:88;296?6=8r.9m<4l1:J1=0=n90l1<7*=a082=c=6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb5:3>5<1290;w)N51<1/=n>5159j5<`=83.9m<4>9g9U6d5=9rF9m84>{W3``?4|,8o26<7i;W3:g?4|,{zut1bo>4?:%0b5?e43_8j?7?tL3c6>4}Q9jn1>v*>e88`7>P61j09w);i7;48 0`12?1vqps4ib694?"5i80h86X=a281I4f=3;pZ7}#=o?1o95+33191c17}#=o?1o95+33191c17}#=o?1o95+33191c1e290/>l?529`8R7g42;qG>l;51zT2ga<5s-;n57<7b:T2=f<5s-?m;7?=;%7e2?753twv@?o;:0yU67>e3-99?7;i7:'225=9;1vqp5f29c94?"5i8094l5Y2`196~J5i<0:w[?ld;0x 4c>2;2j7[?6c;0x 0`02880(8h9:008yx{K:h>1=vX=9`81Scb2;q/9k;529c8 6442{zu2e:h84?:%0b5?7c=21vn996:180>5<7s-8j=7<6e:J1=0=n9h:1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74g73_;2o73=#=o<1:6sr}|9j5d7=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l?4V0;`>7}#=o=1:6*:f785?x{zu2e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f685?!3a>3<0qpsr;|`73d<7280;6=u+2`396d><@;3>7b?k5;29 7g628n>76sm46a94?2=83:p(?o>:718L7?23`;j<7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b4>P61j09w);i7;32?!3a>3;:7psr}:k2e4<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m<5Y18a96~"2n>0:=6*:f7825>{zut1b=lm50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95de<^83h6?u+5g5954=#=o<1=<5r}|8k4b2290/>l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn99k:187>5<7s-8j=78<;I0:1>o6i90;6)P5i:0:wAa19U5j:4>1:&6b3<692wvqp5f1`394?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26;W3:g?4|,47;|~y>i6l<0;6)P5i:0:wAd49U5j:49;%7e2?00<729q/>l?5629K6<3;|~y>o6i80;6)P5i:0:wAa09U5j:4>1:&6b3<692wvqp5f1`a94?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o2647;|~y>i6l<0;6)P5i:0:wAd49U5j:49;%7e2?01<729q/>l?51e68L7?23`;j<7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b4>P61j09w);i7;48 0`12?1vqps4i0c2>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k:7[?6c;0x 0`02?1/9k856:~yx=n9hi1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`a8R4?d2;q/9k956:&6b3<13twvq6a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd3080;6>4?:1y'6d7=>:1C>4;4i0c3>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?n0:T2=f<5s-?m;774$4d5><=zutw0e:18'6d7=90l0D?o?;W0b7?7|D;k>69b81!3a?330(8h9:89~yx{6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb3g2>5<5290;w)=2c:5k4?:%0b5?7>n21d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a6`>=83<1<7>t$3c2>4?c3A8296*>c1820>o61o0;6)P5i:0:wA3=#=o<1:6sr}|9jg1<72-8j=7m;;W0b7?4|D;k>69b81!3a?320(8h9:99~yxJ5i=0?w[<62;1xR`c=:r.>j84l4:&066<2n>1vZhh52z&6b0<61o1/??=55g58y!00;3o0q[<62;1xR`c=:r.>j84l4:&066<2n>1vZhh52z&6b0<61o1/??=55g48y!00;3o0q[<62;1xR`c=:r.>j84l4:&066<2n>1vZhh52z&6b0>4:f69~ 3142>1vZvB=a482S7dl38p(k38p(8h8:008 0`12880qpsC2`695~P51h09w[kj:3y'1c3=:1h0(><<:4d4?x"1?:0:>6sr}:k17}#9l31>5o4V0;`>7}#=o=1=?5+5g4957=zutF9m94>{W0:e?4|^lo1>v*:f48176sm2d094?5=83:p(?o>:3;f?M4>=2c:m=4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9h:0Z<7l:3y'1c1=>2.>j;49;|~y>o6i80;6)P5i:0:wAa09U5j:49;%7e2?07[50z&1e4<5i11C>4;4o0f6>5<#:h;1=i;4;|`1a0<72:0;6=u+2`3926=O:0?0e69b81!3a?3;:7);i6;32?x{zu2c:m<4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9h;0Z<7l:3y'1c1=981/9k85109~yx{6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb3g5>5<>290;w)=2c:m=4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9h:0Z<7l:3y'1c1=981/9k85109~yx{;|~y>o6ij0;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95de<^83h6?u+5g5954=#=o<1=<5r}|8m4d0290/>l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3a3>P61j09w);i7;32?!3a>3;:7psr}:k2fd<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751cc8R4?d2;q/9k95109'1c0=981vqps4i0`a>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?mb:T2=f<5s-?m;7?>;%7e2?763twvq6g>bb83>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=om4V0;`>7}#=o=1=<5+5g4954=zutw0e69b81!3a?3;:7);i6;32?x{zu2e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f685?!3a>3<0qpsr;|`1a1<72:0;6=u+2`395a2<@;3>7d?n0;29 7g6283m7[l?518d8R7g428qG>l;51zTe4?4|,8o26;W3:g?4|,{zut1d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a1cc=8381<7>t$3c2>f7<@;3>7d?6f;29 7g6283m76a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd1800;6>4?:1y'6d7=:0o0D?7:;h3b4?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{k38p(8h8:g9'1c0=n2wvqp5`1e794?"5i80:h85Y2`195~J5i<0:w[h?:3y'5`?=9m?0Z<7l:3y'1c1=>2.>j;49;|~y>{e>921<7850;2x 7g6283o7E<65:&2g5<6<2c:5k4?:%0b5?7>n2\9m>4>{M0b1?7|^8io6?u+1d;95<`<^83h6?u+5g592>"2n?0=7psr}:k`7?6=,;k:6n=4V3c0>4}K:h?1=vX>ce81!7b13i87[?6c;0x 0`02?1/9k856:~yx=nk=0;6)==zutF9m94;{W0:6?5|^lo1>v*:f48`0>"4::0>j:5rVdd96~"2n<0:5k5+33191c1v*:f48`0>"4::0>j:5rVdd96~"2n<0:5k5+33191c0v*:f48`0>"4::0>j:5rVdd96~"2n<0h?6*<2286b2=z,?=86:5rV0g;>7}Qml09w);i5;a7?!55;3?m;6s+661956=zut1b>5l50;&1e4<50k1]>l=52zN1e0<6s_;hh77>e3_;2o744<,7}#=o?1>5l4$200>0`03t.=;>4>2:~y>o50h0;6)P5i:09wAi38pZhk52z&6b0<50h1/??=55g58y!00;3;97psr;n3g1?6=,;k:6t$3c2>7?b3A8296g>a183>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i91]=4m52z&6b2<13-?m:784}|~?l7f93:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n1:T2=f<5s-?m;784$4d5>3=zutw0c4b23_;2o73=#=o<1:6sr}|9~f367290:6=4?{%0b5?4f02B9585`1e794?"5i80:h854}c436?6=<3:1N51<1b=l>50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d6<^83h6?u+5g59f>"2n?0i7psr}:k2e4<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m<5Y18a96~"2n>0:=6*:f7825>{zut1b=lm50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28kh7[?6c;0x 0`028;0(8h9:038yx{z3f;o97>5$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c437?6=13:1N51<1b=l>50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28k;7[?6c;0x 0`02k1/9k85b:~yx=n9h;1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74g63_;2o7g=#=o<1n6sr}|9j5de=83.9m<4>9g9K6d6<^;k86k38p(8h8:c9'1c0=j2wvqp5f1c594?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o2647;|~y>o6jk0;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95gd<^83h6?u+5g5954=#=o<1=<5r}|8m4dd290/>l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3ag>P61j09w);i7;32?!3a>3;:7psr}:k2fa<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751cf8R4?d2;q/9k95109'1c0=981vqps4o0f6>5<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zj?:?6=46:183!4f93<87E<65:k2e5<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751`28R4?d2;q/9k95b:&6b3a083>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=l?4V0;`>7}#=o=1n6*:f78a?x{zu2c:mn4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9hi0Z<7l:3y'1c1=981/9k85109~yx{k38p(8h8:038 0`128;0qpsr;h3ae?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3;:7);i6;32?x{zu2c:no4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9kh0Z<7l:3y'1c1=981/9k85109~yx{;|~y>o6jm0;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95gb<^83h6?u+5g5954=#=o<1=<5r}|8k4b2290/>l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn;>::1825?6=8r.9m<493:J1=0=n9h:1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74g73_;2o7g=#=o<1n6sr}|9j5d7=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l?4V0;`>7}#=o=1n6*:f78a?x{zu2c:mn4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882ef=Q90i1>v*:f68a?!3a>3h0qpsr;h3a3?6=,;k:6<7i;I0b4>P5i:0:wAb69U5j:4m;%7e2?d0:=6*:f7825>{zut1b=ol50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28hi7[?6c;0x 0`028;0(8h9:038yx{z3`;io7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6jj1]=4m52z&6b2<692.>j;4>1:~yx=n9kn1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74dc3_;2o747<,:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882f`=Q90i1>v*:f6825>"2n?0:=6sr}|9j5g`=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=oh4V0;`>7}#=o=1:6*:f785?x{zu2c:m?4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e7=Q90i1>v*:f685?!3a>3<0qpsr;h3b7?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{k38p(8h8:79'1c0=>2wvqp5f1`794?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h?0Z<7l:3y'1c1=>2.>j;49;|~y>o6i?0;6)P5i:0:wAa79U5j:49;%7e2?0l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn;>9:1825?6=8r.9m<493:J1=0=n9h:1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74g73_;2o7g=#=o<1n6sr}|9j5d7=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l?4V0;`>7}#=o=1n6*:f78a?x{zu2c:mn4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882ef=Q90i1>v*:f68a?!3a>3h0qpsr;h3a3?6=,;k:6<7i;I0b4>P5i:0:wAb69U5j:4>1:&6b3<692wvqp5f1cc94?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9kk0Z<7l:3y'1c1=981/9k85109~yx{;|~y>o6jj0;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95ge<^83h6?u+5g5954=#=o<1=<5r}|8m4dc290/>l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3a`>P61j09w);i7;32?!3a>3;:7psr}:k2f`<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751cg8R4?d2;q/9k95109'1c0=981vqps4i0`e>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28hm7[?6c;0x 0`02?1/9k856:~yx=n9h81<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`08R4?d2;q/9k956:&6b3<13twvq6g>a283>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i:1]=4m52z&6b2<13-?m:784}|~?l7f<3:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n4:T2=f<5s-?m;784$4d5>3=zutw0e4g23_;2o73=#=o<1:6sr}|9j5d0=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l84V0;`>7}#=o=1:6*:f785?x{zu2c:m:4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e2=Q90i1>v*:f685?!3a>3<0qpsr;n3g1?6=,;k:669b81!3a?3<0(8h9:79~yx{56;294~"5i80:h95G2878m4g7290/>l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=l?50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d7<^83h6?u+5g592>"2n?0=7psr}:k2ef<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:mn5Y18a96~"2n>0=7);i6;48yx{z3`;i;7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3a3>P61j09w);i7;48 0`12?1vqps4i0`b>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28hj7[?6c;0x 0`02?1/9k856:~yx=h9m?1<7*=a082`0=Q:h91=vB=a482S`72;q/=h751e78R4?d2;q/9k956:&6b3<13twvq6sm50694?4=83:p(?o>:b38L7?23`;2j7>5$3c2>4?a32e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f685?!3a>3<0qpsr;|`665<72?0;6=u+2`3957)?l0;37?l7>n3:1(?o>:0;e?S4f;3;p@?o::0yU5fb=:r.:i44>9g9U5j:49;%7e2?0l=51zN1e0<6s_;hh7f5<^83h6?u+5g592>"2n?0=7psr}:k`0?6=,;k:6n:4V3c0>7}K:h?1=vX>ce81!7b13i?7[?6c;0x 0`0211/9k858:~yI4f<3>pZ?7=:2yUa`<5s-?m97m;;%117?3a?2w]ik4={%7e1?7>n2.8>>4:f69~ 3142l1vZ?7=:2yUa`<5s-?m97m;;%117?3a?2w]ik4={%7e1?7>n2.8>>4:f79~ 3142l1vZ?7=:2yUa`<5s-?m97m;;%117?3a?2w]ik4={%7e1?e43-99?7;i7:'225=?2w]=h652zTfa?4|,6n:4$200>0`03t.=;>4>3:~y>o50k0;6)P5i:09wAi38pZhk52z&6b0<50k1/??=55g58y!00;3;97psr;h0;e?6=,;k:6?6n;W0b7?4|D;k>60:>6*:f7826>{zuE8j87?tV3;b>7}Qml09w);i5;0;e>"4::0>j:5r$750>446<729q/>l?528g8L7?23`;j<7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6i91]=4m52z&6b2<13-?m:784}|~?l7f93:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n1:T2=f<5s-?m;784$4d5>3=zutw0c4b23_;2o73=#=o<1:6sr}|9~f071290:6=4?{%0b5?4f02B9585`1e794?"5i80:h854}c72N51<1b=l>50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d6<^83h6?u+5g592>"2n?0=7psr}:k2e4<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m<5Y18a96~"2n>0=7);i6;48yx{z3f;o97>5$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c72=?6=;3:1N51<1b=l>50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d6<^83h6?u+5g592>"2n?0=7psr}:k2e4<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m<5Y18a96~"2n>0=7);i6;48yx{z3f;o97>5$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c72e?6=;3:1N51<1b=l>50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d6<^83h6?u+5g59f>"2n?0i7psr}:k2e4<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751`38R4?d2;q/9k95109'1c0=981vqps4o0f6>5<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zj<;i6=4;:183!4f93<87E<65:k2e5<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m=5Y18a96~"2n>0i7);i6;`8yx{z3`;j=7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6i81]=4m52z&6b2<692.>j;4>1:~yx=n9hi1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`a8R4?d2;q/9k95109'1c0=981vqps4o0f6>5<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zj<;h6=4;:183!4f93<87E<65:k2e5<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m=5Y18a96~"2n>0i7);i6;`8yx{z3`;j=7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6i81]=4m52z&6b2<692.>j;4>1:~yx=n9hi1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`a8R4?d2;q/9k95109'1c0=981vqps4o0f6>5<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zj<;o6=4;:183!4f93<87E<65:k2e5<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m=5Y18a96~"2n>0i7);i6;`8yx{z3`;j=7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6i81]=4m52z&6b2<692.>j;4>1:~yx=n9hi1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`a8R4?d2;q/9k95109'1c0=981vqps4o0f6>5<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zj<;n6=4;:183!4f93<87E<65:k2e5<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751`28R4?d2;q/9k95109'1c0=981vqps4i0c2>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k:7[?6c;0x 0`028;0(8h9:038yx{z3`;jo7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6ij1]=4m52z&6b2<692.>j;4>1:~yx=h9m?1<7*=a082`0=Q:h91=vB=a482S`72;q/=h751e78R4?d2;q/9k956:&6b3<13twvq6sm50594?>=83:p(?o>:0f7?M4>=2c:m=4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f685?!3a>3<0qpsr;h3b5?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{k38p(8h8:79'1c0=>2wvqp5f1c594?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9k=0Z<7l:3y'1c1=>2.>j;49;|~y>o6jh0;6)P5i:0:wAb`9U5j:49;%7e2?0l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a74e=8381<7>t$3c2>f7<@;3>7d?6f;29 7g6283m76a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd4:;0;6;4?:1y'6d7=90n0D?7:;%3`4?733`;2j7>5$3c2>4?a3_8j?7?tL3c6>4}Q9jn1>v*>e882=c=Q90i1>v*:f685?!3a>3<0qpsr;ha0>5<#:h;1o>5Y2`195~J5i<0:w[?ld;0x 4c>2j90Z<7l:3y'1c1=>2.>j;49;|~y>od<3:1(?o>:b68R7g42;qG>l;51zT2ga<5s-;n57m;;W3:g?4|,{zuE8j87:tV3;1>6}Qml09w);i5;a7?!55;3?m;6sYeg81!3a=3;2j6*<2286b2=z,?=86h5rV3;1>6}Qml09w);i5;a7?!55;3?m;6sYeg81!3a=3;2j6*<2286b3=z,?=86h5rV3;1>6}Qml09w);i5;a7?!55;3?m;6sYeg81!3a=3i87)==3;7e3>{#>>91i6sY1d:96~Pbm38p(8h::b68 6442{zu2c94o4?:%0b5?4?j2\9m>4={M0b1?7|^8io6?u+1d;96=d<^83h6?u+5g5957=#=o<1=?5r}|N1e1<6s_82m7:3:b?S4f;38p@?o::0yU5fb=:r.:i44=8`9U5j:4>2:&6b3<6:2wvqA>4:f69~ 3142880qps4o0f6>5<#:h;1=i;4;|`05`<72:0;6=u+2`3967d?n0;29 7g6283m7[l?518d8R7g428qG>l;51zTe4?4|,8o26;W3:g?4|,{zut1d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a74`=83;1<7>t$3c2>7g?3A8296a>d483>!4f93;o965rb203>5<4290;w)=2c:m=4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f6825>"2n?0:=6sr}|9j5d7=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l?4V0;`>7}#=o=1=<5+5g4954=zutw0c4b23_;2o73=#=o<1:6sr}|9~f67c29086=4?{%0b5?043A8296g>a183>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i91]=4m52z&6b2:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882e4=Q90i1>v*:f6825>"2n?0:=6sr}|9l5a3=83.9m<4>d49U6d5=9rF9m84>{Wd3>7}#9l31=i;4V0;`>7}#=o=1:6*:f785?x{zu2wi84850;094?6|,;k:6n?4H3;6?l7>n3:1(?o>:0;e?>i6l<0;6)P5i:0:wAd49U5j:49;%7e2?06<729q/>l?528g8L7?23`;j<7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b4>P61j09w);i7;48 0`12?1vqps4i0c2>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k:7[?6c;0x 0`02o1/9k85f:~yx=h9m?1<7*=a082`0=Q:h91=vB=a482S`72;q/=h751e78R4?d2;q/9k956:&6b3<13twvq6sm48d94?0=83:p(?o>:0;g?M4>=2.:o=4>4:k2=c<72-8j=7?6f:T1e6<6sE8j97?tV0ag>7}#9l31=4h4V0;`>7}#=o=1:6*:f785?x{zu2ch?7>5$3c2>f5<^;k86k38p(8h8:79'1c0=>2wvqp5fc583>!4f93i?7[<,7=tVdg96~"2n<0h86*<2286b2=z^ll1>v*:f482=c=#;;919k94}%447?c7=tVdg96~"2n<0h86*<2286b2=z^ll1>v*:f482=c=#;;919k84}%447?c7=tVdg96~"2n<0h86*<2286b2=z^ll1>v*:f48`7>"4::0>j:5r$750>2=z^8o36?uYed81!3a=3i?7)==3;7e3>{#>>91=>5r}|9j6=d=83.9m<4=8c9U6d5=:rF9m84>{W3``?4|,8o26?6m;W3:g?4|,44l:51zT1=d<5s_on6?u+5g796=d<,:8868h8;|&536<6:2wvq6g=8`83>!4f9383m6X=a281I4f=3;pZj84=8`9'775==o=0q)883;31?x{z3f;o97>5$3c2>4b232wi84950;194?6|,;k:6?7j;I0:1>o6i90;6)P5i:0:wAa19U5j:49;%7e2?0l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn977:182>5<7s-8j=72m7>54;294~"5i80=?6F=949j5d6=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l>4V0;`>7}#=o=1n6*:f78a?x{zu2c:m<4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9h;0Z<7l:3y'1c1=981/9k85109~yx{k38p(8h8:038 0`128;0qpsr;n3g1?6=,;k:669b81!3a?3<0(8h9:79~yx{2n7>57;294~"5i80=?6F=949j5d6=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l>4V0;`>7}#=o=1=<5+5g4954=zutw0e:18'6d7=90l0D?o?;W0b7?7|D;k>69b81!3a?3;:7);i6;32?x{zu2c:mn4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882ef=Q90i1>v*:f6825>"2n?0:=6sr}|9j5g1=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=o94V0;`>7}#=o=1=<5+5g4954=zutw0e69b81!3a?3;:7);i6;32?x{zu2c:no4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9kh0Z<7l:3y'1c1=981/9k85109~yx{6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb5;`>5=2c:m=4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9h:0Z<7l:3y'1c1=j2.>j;4m;|~y>o6i80;6)P5i:0:wAa09U5j:4>1:&6b3<692wvqp5f1`a94?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o2647;|~y>o6jh0;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95gg<^83h6?u+5g5954=#=o<1=<5r}|8m4de290/>l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3af>P61j09w);i7;32?!3a>3;:7psr}:k2ff<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:nn5Y18a96~"2n>0:=6*:f7825>{zut1d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a0t$3c2>35<@;3>7d?n0;29 7g6283m7[;|~y>o6i80;6)P5i:0:wAa09U5j:4>1:&6b3<692wvqp5f1`a94?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o2647;|~y>o6jh0;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95gg<^83h6?u+5g5954=#=o<1=<5r}|8m4de290/>l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3af>P61j09w);i7;32?!3a>3;:7psr}:k2ff<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:nn5Y18a96~"2n>0:=6*:f7825>{zut1d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a0t$3c2>4b33A8296g>a183>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i91]=4m52z&6b2<13-?m:784}|~?l7f93:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n1:T2=f<5s-?m;784$4d5>3=zutw0e4gd3_;2o73=#=o<1:6sr}|9j5g1=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=o94V0;`>7}#=o=1:6*:f785?x{zu2e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f685?!3a>3<0qpsr;|`7g`<72;0;6=u+2`39g4=O:0?0e<7i:18'6d7=90l07b?k5;29 7g628n>7[50z&1e4<51l1C>4;4i0c3>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k;7[?6c;0x 0`02?1/9k856:~yx=n9h;1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`38R4?d2;q/9k95f:&6b3d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd3lh0;6;4?:1y'6d7=90n0D?7:;%3`4?733`;2j7>5$3c2>4?a3_8j?7?tL3c6>4}Q9jn1>v*>e882=c=Q90i1>v*:f685?!3a>3<0qpsr;ha0>5<#:h;1o>5Y2`195~J5i<0:w[?ld;0x 4c>2j90Z<7l:3y'1c1=>2.>j;49;|~y>od<3:1(?o>:b68R7g42;qG>l;51zT2ga<5s-;n57m;;W3:g?4|,{zuE8j87:tV3;1>6}Qml09w);i5;a7?!55;3?m;6sYeg81!3a=3;2j6*<2286b2=z,?=86h5rV3;1>6}Qml09w);i5;a7?!55;3?m;6sYeg81!3a=3;2j6*<2286b3=z,?=86h5rV3;1>6}Qml09w);i5;a7?!55;3?m;6sYeg81!3a=3i87)==3;7e3>{#>>91;6sY1d:96~Pbm38p(8h::b68 6442{zu2c94o4?:%0b5?4?j2\9m>4={M0b1?7|^8io6?u+1d;96=d<^83h6?u+5g5957=#=o<1=?5r}|N1e1<6s_82m7:3:b?S4f;38p@?o::0yU5fb=:r.:i44=8`9U5j:4>2:&6b3<6:2wvqA>4:f69~ 3142880qps4o0f6>5<#:h;1=i;4;|`7gc<72:0;6=u+2`3967d?n0;29 7g6283m7E0=7);i6;48yx{z3`;j=7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b5>P61j09w);i7;48 0`12?1vqps4o0f6>5<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zj=n;6=4>:183!4f938j46F=949l5a3=83.9m<4>d498yg2c:3:1?7>50z&1e4<1;2B9585f1`294?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h:0Z<7l:3y'1c1=>2.>j;49;|~y>o6i80;6)P5i:0:wAa09U5j:49;%7e2?07[50z&1e4<1;2B9585f1`294?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h:0Z<7l:3y'1c1=>2.>j;49;|~y>o6i80;6)P5i:0:wAa09U5j:49;%7e2?07[50z&1e4<1;2B9585f1`294?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26470i7);i6;`8yx{z3`;jo7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3bg>P61j09w);i7;32?!3a>3;:7psr}:m2`0<72-8j=7?k5:T1e6<6sE8j97?tVg296~"6m00:h85Y18a96~"2n>0=7);i6;48yx{z3th?h84?:483>5}#:h;1:>5G2878m4g7290/>l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3b4>P61j09w);i7;`8 0`12k1vqps4i0c2>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?n1:T2=f<5s-?m;7l4$4d5>g=zutw0e69b81!3a?3;:7);i6;32?x{zu2c:n:4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882f2=Q90i1>v*:f6825>"2n?0:=6sr}|9l5a3=83.9m<4>d49U6d5=9rF9m84>{Wd3>7}#9l31=i;4V0;`>7}#=o=1:6*:f785?x{zu2wi8i850;794?6|,;k:6;=4H3;6?l7f83:1(?o>:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f68a?!3a>3h0qpsr;h3b5?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3h0(8h9:c9~yx{l?518d8R7g428qG>l;51zTe4?4|,8o26477[50z&1e4<1;2B9585f1`294?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26{zut1b=l?50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28k:7[?6c;0x 0`02k1/9k85b:~yx=n9hi1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74gd3_;2o7g=#=o<1n6sr}|9j5g1=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=o94V0;`>7}#=o=1n6*:f78a?x{zu2c:nl4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882fd=Q90i1>v*:f6825>"2n?0:=6sr}|9l5a3=83.9m<4>d49U6d5=9rF9m84>{Wd3>7}#9l31=i;4V0;`>7}#=o=1:6*:f785?x{zu2wi8i650;494?6|,;k:6;=4H3;6?l7f83:1(?o>:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f68a?!3a>3h0qpsr;h3b5?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3h0(8h9:c9~yx{k38p(8h8:c9'1c0=j2wvqp5f1c594?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9k=0Z<7l:3y'1c1=981/9k85109~yx{k38p(8h8:038 0`128;0qpsr;n3g1?6=,;k:669b81!3a?3<0(8h9:79~yx{o=7>58;294~"5i80:h95G2878m4g7290/>l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=l?50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d7<^83h6?u+5g592>"2n?0=7psr}:k2ef<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:mn5Y18a96~"2n>0=7);i6;48yx{z3`;i;7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3a3>P61j09w);i7;48 0`12?1vqps4i0`b>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28hj7[?6c;0x 0`02?1/9k856:~yx=n9kh1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751c`8R4?d2;q/9k956:&6b3<13twvq6g>bb83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6jj1]=4m52z&6b2<13-?m:784}|~?j7c=3:1(?o>:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo=?d;296?6=8r.9m<4l1:J1=0=n90l1<7*=a082=c=6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb230>5<5290;w)=2c:5k4?:%0b5?7>n21d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a74d=8391<7>t$3c2>7?b3A8296g>a183>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i91]=4m52z&6b2<13-?m:784}|~?l7f93:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n1:T2=f<5s-?m;7h4$4d5>c=zutw0c4b23_;2o73=#=o<1:6sr}|9~f67f29086=4?{%0b5?7c<2B9585f1`294?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h:0Z<7l:3y'1c1=>2.>j;49;|~y>o6i80;6)P5i:0:wAa09U5j:49;%7e2?07[50z&1e4<61m1C>4;4$0a3>42P61j09w);i7;48 0`12?1vqps4ib194?"5i80h?6X=a282I4f=3;pZl?5c59U6d5=:rF9m84>{W3``?4|,8o26n:4V0;`>7}#=o=146*:f78;?x{zD;k?69uY28097~Pbm38p(8h::b68 6442{#>>91i6sY28097~Pbm38p(8h::b68 6442{#>>91i6sY28097~Pbm38p(8h::b68 6442<<:4d4?x"1?:0<7pX>e981Scb2;q/9k;5c59'775==o=0q)883;30?x{z3`83n7>5$3c2>7>e3_8j?74}Q9jn1>v*>e881v*:f6826>"2n?0:>6sr}M0b0?7|^;3j6?uYed81!3a=383n6*<2286b2=z,?=86<<4}|8m7>f290/>l?529c8R7g42;qG>l;51zT2ga<5s-;n57<7a:T2=f<5s-?m;7?=;%7e2?753twv@?o;:0yU67>f3-99?7;i7:'225=9;1vqp5`1e794?"5i80:h854}c13a?6=;3:14g73_;2o73=#=o<1:6sr}|9j5d7=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l?4V0;`>7}#=o=1:6*:f785?x{zu2e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f685?!3a>3<0qpsr;|`04c<7280;6=u+2`396d><@;3>7b?k5;29 7g628n>76sm30394?1=83:p(?o>:718L7?23`;j<7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6i91]=4m52z&6b2:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n1:T2=f<5s-?m;7l4$4d5>g=zutw0e69b81!3a?3h0(8h9:c9~yx{l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3ae>P61j09w);i7;`8 0`12k1vqps4i0`a>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?mb:T2=f<5s-?m;7?>;%7e2?763twvq6a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd49;0;6:4?:1y'6d7=>:1C>4;4i0c3>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?n0:T2=f<5s-?m;7l4$4d5>g=zutw0e:18'6d7=90l0Z?o<:0yO6d3=9r\m<74g63_;2o7g=#=o<1n6sr}|9j5de=83.9m<4>9g9K6d6<^;k86k38p(8h8:c9'1c0=j2wvqp5f1c594?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26{zut1b=oo50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95gg<^83h6?u+5g5954=#=o<1=<5r}|8m4de290/>l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3af>P61j09w);i7;32?!3a>3;:7psr}:m2`0<72-8j=7?k5:T1e6<6sE8j97?tVg296~"6m00:h85Y18a96~"2n>0=7);i6;48yx{z3th8==4?:283>5}#:h;1=i:4H3;6?l7f83:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n0:T2=f<5s-?m;784$4d5>3=zutw0e:18'6d7=90l0Z?o<:0yO6d3=9r\m<74g63_;2o73=#=o<1:6sr}|9l5a3=83.9m<4>d49U6d5=9rF9m84>{Wd3>7}#9l31=i;4V0;`>7}#=o=1:6*:f785?x{zu2wi?<750;194?6|,;k:6;=4H3;6?l7f83:1(?o>:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f68a?!3a>3h0qpsr;h3b5?6=,;k:6<7i;I0b4>P5i:0:wAa09U5j:4m;%7e2?d7[:1C>4;4i0c3>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k;7[?6c;0x 0`02j1/9k85c:~yx=n9h;1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74g63_;2o7f=#=o<1o6sr}|9j5de=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=lm4V0;`>7}#=o=1o6*:f78`?x{zu2c:n:4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882f2=Q90i1>v*:f68`?!3a>3i0qpsr;h3ae?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3i0(8h9:b9~yx{k38p(8h8:b9'1c0=k2wvqp5f1ca94?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9ki0Z<7l:3y'1c1=k2.>j;4l;|~y>o6jm0;6)P5i:0:wAbe9U5j:4l;%7e2?e0h7);i6;a8yx{z3`;ij7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3ab>P61j09w);i7;31?!3a>3;97psr}:k2e7<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751`08R4?d2;q/9k95139'1c0=9;1vqps4i0c0>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?n3:T2=f<5s-?m;7?=;%7e2?753twvq6g>a583>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=l:4V0;`>7}#=o=1=?5+5g4957=zutw0e4g23_;2o73=#=o<1:6sr}|9j5d0=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l84V0;`>7}#=o=1:6*:f785?x{zu2c:m:4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e2=Q90i1>v*:f685?!3a>3<0qpsr;n3g1?6=,;k:669b81!3a?3<0(8h9:79~yx{51083>5}#:h;1:>5G2878m4g7290/>l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=l?50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28k:7[?6c;0x 0`02j1/9k85c:~yx=n9hi1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`a8R4?d2;q/9k95c:&6b3b683>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6j>1]=4m52z&6b2:0;e?S4f;3;p@?o::0yUb5<5s-;n57?ma:T2=f<5s-?m;7m4$4d5>f=zutw0e4de3_;2o7f=#=o<1o6sr}|9j5ge=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=om4V0;`>7}#=o=1o6*:f78`?x{zu2c:ni4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882fa=Q90i1>v*:f68`?!3a>3i0qpsr;h3aa?6=,;k:6<7i;I0b4>P5i:0:wAbd9U5j:4l;%7e2?eo6i;0;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95d4<^83h6?u+5g5957=#=o<1=?5r}|8m4g4290/>l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3b7>P61j09w);i7;31?!3a>3;97psr}:k2e1<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751`68R4?d2;q/9k95139'1c0=9;1vqps4i0c6>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k>7[?6c;0x 0`02?1/9k856:~yx=n9h<1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`48R4?d2;q/9k956:&6b3<13twvq6g>a683>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i>1]=4m52z&6b2<13-?m:784}|~?j7c=3:1(?o>:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo=>6;297?6=8r.9m<4>d59K6<3k38p(8h8:79'1c0=>2wvqp5f1`394?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h;0Z<7l:3y'1c1=>2.>j;49;|~y>i6l<0;6)P5i:0:wAd49U5j:49;%7e2?07<729q/>l?5c09K6<35$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c0e6?6=;3:14g73_;2o73=#=o<1:6sr}|9j5d7=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l?4V0;`>7}#=o=1j6*:f78e?x{zu2e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f685?!3a>3<0qpsr;|`1b4<72?0;6=u+2`3957)?l0;37?l7>n3:1(?o>:0;e?S4f;3;p@?o::0yU5fb=:r.:i44>9g9U5j:49;%7e2?0l=51zN1e0<6s_;hh7f5<^83h6?u+5g592>"2n?0=7psr}:k`0?6=,;k:6n:4V3c0>7}K:h?1=vX>ce81!7b13i?7[?6c;0x 0`0211/9k858:~yI4f<3>pZ?7=:2yUa`<5s-?m97m;;%117?3a?2w]ik4={%7e1?7>n2.8>>4:f69~ 3142l1vZ?7=:2yUa`<5s-?m97m;;%117?3a?2w]ik4={%7e1?7>n2.8>>4:f79~ 3142l1vZ?7=:2yUa`<5s-?m97m;;%117?3a?2w]ik4={%7e1?e43-99?7;i7:'225=?2w]=h652zTfa?4|,6n:4$200>0`03t.=;>4>3:~y>o50k0;6)P5i:09wAi38pZhk52z&6b0<50k1/??=55g58y!00;3;97psr;h0;e?6=,;k:6?6n;W0b7?4|D;k>60:>6*:f7826>{zuE8j87?tV3;b>7}Qml09w);i5;0;e>"4::0>j:5r$750>446<729q/>l?528g8L7?23`;j<7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b4>P61j09w);i7;48 0`12?1vqps4i0c2>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k:7[?6c;0x 0`02?1/9k856:~yx=h9m?1<7*=a082`0=Q:h91=vB=a482S`72;q/=h751e78R4?d2;q/9k956:&6b3<13twvq6sm2d`94?7=83:p(?o>:3c;?M4>=2e:h84?:%0b5?7c=21vn?kk:187>5<7s-8j=78<;I0:1>o6i90;6)P5i:0:wAa19U5j:4m;%7e2?d0:=6*:f7825>{zut1b=lm50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95de<^83h6?u+5g5954=#=o<1=<5r}|8k4b2290/>l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn?kj:1825?6=8r.9m<493:J1=0=n9h:1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74g73_;2o747<,:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882e4=Q90i1>v*:f6825>"2n?0:=6sr}|9j5de=83.9m<4>9g9K6d6<^;k86k38p(8h8:038 0`128;0qpsr;h3a3?6=,;k:6<7i;I0b4>P5i:0:wAb69U5j:4>1:&6b3<692wvqp5f1cc94?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26470:=6*:f7825>{zut1b=om50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28hh7[?6c;0x 0`028;0(8h9:038yx{z3`;ih7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6jm1]=4m52z&6b2:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882f`=Q90i1>v*:f6825>"2n?0:=6sr}|9j5g`=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=oh4V0;`>7}#=o=1:6*:f785?x{zu2c:m?4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e7=Q90i1>v*:f685?!3a>3<0qpsr;h3b7?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{k38p(8h8:79'1c0=>2wvqp5f1`794?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h?0Z<7l:3y'1c1=>2.>j;49;|~y>o6i?0;6)P5i:0:wAa79U5j:49;%7e2?0l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn?ki:1825?6=8r.9m<493:J1=0=n9h:1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74g73_;2o747<,:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882e4=Q90i1>v*:f6825>"2n?0:=6sr}|9j5de=83.9m<4>9g9K6d6<^;k86k38p(8h8:038 0`128;0qpsr;h3a3?6=,;k:6<7i;I0b4>P5i:0:wAb69U5j:4>1:&6b3<692wvqp5f1cc94?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26470:=6*:f7825>{zut1b=om50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28hh7[?6c;0x 0`028;0(8h9:038yx{z3`;ih7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3a`>P61j09w);i7;32?!3a>3;:7psr}:k2f`<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751cg8R4?d2;q/9k95109'1c0=981vqps4i0`e>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28hm7[?6c;0x 0`02?1/9k856:~yx=n9h81<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`08R4?d2;q/9k956:&6b3<13twvq6g>a283>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i:1]=4m52z&6b2<13-?m:784}|~?l7f<3:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n4:T2=f<5s-?m;784$4d5>3=zutw0e4g23_;2o73=#=o<1:6sr}|9j5d0=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l84V0;`>7}#=o=1:6*:f785?x{zu2c:m:4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e2=Q90i1>v*:f685?!3a>3<0qpsr;n3g1?6=,;k:669b81!3a?3<0(8h9:79~yx{54;294~"5i80:h95G2878m4g7290/>l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=l?50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d7<^83h6?u+5g592>"2n?0=7psr}:k2ef<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:mn5Y18a96~"2n>0=7);i6;48yx{z3f;o97>5$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c0e7?6=:3:1N51<1b=4h50;&1e4<61o10c4b23_;2o73=#=o<1:6sr}|9~f7`f29096=4?{%0b5?e63A8296g>9g83>!4f93;2j65`1e794?"5i80:h85Y2`195~J5i<0:w[h?:3y'5`?=9m?0Z<7l:3y'1c1=>2.>j;49;|~y>{e;9i1<7=50;2x 7g62;3n7E<65:k2e5<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m=5Y18a96~"2n>0=7);i6;48yx{z3`;j=7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b5>P61j09w);i7;d8 0`12o1vqps4o0f6>5<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zj;lo6=49:183!4f93;2h6F=949'5f6=9=1b=4h50;&1e4<61o1]>l=51zN1e0<6s_;hh74?a3_;2o73=#=o<1:6sr}|9jg6<72-8j=7m<;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{1<7*=a08`0>P5i:09wAj:47;%7e2?>l:54zT1=7<4s_on6?u+5g79g1=#;;919k94}Wge>7}#=o?1=4h4$200>0`03t.=;>4j;|T1=7<4s_on6?u+5g79g1=#;;919k94}Wge>7}#=o?1=4h4$200>0`13t.=;>4j;|T1=7<4s_on6?u+5g79g1=#;;919k94}Wge>7}#=o?1o>5+33191c1v*:f48`0>"4::0>j:5r$750>454o52zTfa?4|,6?6m;%117?3a?2w/::=5139~yx=n:1k1<7*=a081vB=a482S7dl38p(k38p(8h8:008 0`12880qpsC2`695~P51h09w[kj:3y'1c3=:1k0(><<:4d4?x"1?:0:>6sr}:m2`0<72-8j=7?k5:9~f7`329086=4?{%0b5?4>m2B9585f1`294?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h:0Z<7l:3y'1c1=>2.>j;49;|~y>o6i80;6)P5i:0:wAa09U5j:49;%7e2?07[50z&1e4<5i11C>4;4o0f6>5<#:h;1=i;4;|`1b2<72=0;6=u+2`3926=O:0?0e4g73_;2o7g=#=o<1n6sr}|9j5d7=83.9m<4>9g9K6d6<^;k86k38p(8h8:038 0`128;0qpsr;h3bg?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3;:7);i6;32?x{zu2e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f685?!3a>3<0qpsr;|`1b=<728;1<7>t$3c2>35<@;3>7d?n0;29 7g6283m7E0:=6*:f7825>{zut1b=l?50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28k:7[?6c;0x 0`028;0(8h9:038yx{z3`;jo7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6ij1]=4m52z&6b2<692.>j;4>1:~yx=n9k=1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74d03_;2o747<,:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882fd=Q90i1>v*:f6825>"2n?0:=6sr}|9j5gd=83.9m<4>9g9K6d6<^;k86k38p(8h8:038 0`128;0qpsr;h3ag?6=,;k:6<7i;I0b4>P5i:0:wAbb9U5j:4>1:&6b3<692wvqp5f1cf94?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26470i7);i6;`8yx{z3`;ij7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6jo1]=4m52z&6b2<692.>j;4>1:~yx=n9h81<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`08R4?d2;q/9k95109'1c0=981vqps4i0c0>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k87[?6c;0x 0`02?1/9k856:~yx=n9h>1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`68R4?d2;q/9k956:&6b3<13twvq6g>a483>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i<1]=4m52z&6b2<13-?m:784}|~?l7f>3:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n6:T2=f<5s-?m;784$4d5>3=zutw0e4g03_;2o73=#=o<1:6sr}|9l5a3=83.9m<4>d49U6d5=9rF9m84>{Wd3>7}#9l31=i;4V0;`>7}#=o=1:6*:f785?x{zu2wi>k750;32>5<7s-8j=78<;I0:1>o6i90;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95d6<^83h6?u+5g5954=#=o<1=<5r}|8m4g6290/>l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3b5>P61j09w);i7;32?!3a>3;:7psr}:k2ef<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751`a8R4?d2;q/9k95109'1c0=981vqps4i0`4>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?m7:T2=f<5s-?m;7?>;%7e2?763twvq6g>b`83>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=oo4V0;`>7}#=o=1=<5+5g4954=zutw0e69b81!3a?3;:7);i6;32?x{zu2c:nn4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9ki0Z<7l:3y'1c1=981/9k85109~yx{;|~y>o6jl0;6)P5i:0:wAbd9U5j:4>1:&6b3<692wvqp5f1cd94?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o2647;|~y>o6i:0;6)P5i:0:wAa29U5j:49;%7e2?0l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=l850;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d0<^83h6?u+5g592>"2n?0=7psr}:k2e2<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m:5Y18a96~"2n>0=7);i6;48yx{z3f;o97>5$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c0e2?6=<3:14g73_;2o73=#=o<1:6sr}|9j5d7=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l?4V0;`>7}#=o=1:6*:f785?x{zu2c:mn4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882ef=Q90i1>v*:f685?!3a>3<0qpsr;n3g1?6=,;k:669b81!3a?3<0(8h9:79~yx{53;294~"5i80=?6F=949j5d6=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l>4V0;`>7}#=o=1o6*:f78`?x{zu2c:m<4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e4=Q90i1>v*:f68`?!3a>3i0qpsr;n3g1?6=,;k:669b81!3a?3<0(8h9:79~yx{52;294~"5i80h=6F=949j5<`=83.9m<4>9g98k4b2290/>l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn>>::181>5<7s-8j=7m>;I0:1>o61o0;6)=h9m?1<7*=a082`0=Q:h91=vB=a482S`72;q/=h751e78R4?d2;q/9k956:&6b3<13twvq6sm31`94?5=83:p(?o>:3;f?M4>=2c:m=4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f685?!3a>3<0qpsr;h3b5?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3l0(8h9:g9~yx{6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb22b>5<1290;w)N51<1/=n>5159j5<`=83.9m<4>9g9U6d5=9rF9m84>{W3``?4|,8o26<7i;W3:g?4|,{zut1bo>4?:%0b5?e43_8j?7?tL3c6>4}Q9jn1>v*>e88`7>P61j09w);i7;48 0`12?1vqps4ib694?"5i80h86X=a281I4f=3;pZ7}#=o?1o95+33191c17}#=o?1o95+33191c17}#=o?1o95+33191c1e290/>l?529`8R7g42;qG>l;51zT2ga<5s-;n57<7b:T2=f<5s-?m;7?=;%7e2?753twv@?o;:0yU67>e3-99?7;i7:'225=9;1vqp5f29c94?"5i8094l5Y2`196~J5i<0:w[?ld;0x 4c>2;2j7[?6c;0x 0`02880(8h9:008yx{K:h>1=vX=9`81Scb2;q/9k;529c8 6442{zu2e:h84?:%0b5?7c=21vn?hi:180>5<7s-8j=7<6e:J1=0=n9h:1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`28R4?d2;q/9k956:&6b3<13twvq6g>a083>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i81]=4m52z&6b2<13-?m:784}|~?j7c=3:1(?o>:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo=?0;295?6=8r.9m<4=a99K6<36=4+2`395a3<3th85}#:h;1:>5G2878m4g7290/>l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=l?50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28k:7[?6c;0x 0`028;0(8h9:038yx{z3`;jo7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3bg>P61j09w);i7;32?!3a>3;:7psr}:m2`0<72-8j=7?k5:T1e6<6sE8j97?tVg296~"6m00:h85Y18a96~"2n>0=7);i6;48yx{z3th8<>4?:0394?6|,;k:6;=4H3;6?l7f83:1(?o>:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f6825>"2n?0:=6sr}|9j5d7=83.9m<4>9g9K6d6<^;k86k38p(8h8:038 0`128;0qpsr;h3bg?6=,;k:6<7i;I0b4>P5i:0:wAab9U5j:4>1:&6b3<692wvqp5f1c594?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26470:=6*:f7825>{zut1b=ol50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28hi7[?6c;0x 0`028;0(8h9:038yx{z3`;io7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6jj1]=4m52z&6b2<692.>j;4>1:~yx=n9kn1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74dc3_;2o747<,:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882f`=Q90i1>v*:f68a?!3a>3h0qpsr;h3ab?6=,;k:6<7i;I0b4>P5i:0:wAbg9U5j:4>1:&6b3<692wvqp5f1`094?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h80Z<7l:3y'1c1=>2.>j;49;|~y>o6i:0;6)P5i:0:wAa29U5j:49;%7e2?0l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=l850;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d0<^83h6?u+5g592>"2n?0=7psr}:k2e2<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m:5Y18a96~"2n>0=7);i6;48yx{z3f;o97>5$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c130?6=980;6=u+2`3926=O:0?0e69b81!3a?3;:7);i6;32?x{zu2c:m<4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9h;0Z<7l:3y'1c1=981/9k85109~yx{;|~y>o6j>0;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95g1<^83h6?u+5g5954=#=o<1=<5r}|8m4df290/>l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3ae>P61j09w);i7;32?!3a>3;:7psr}:k2fg<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751c`8R4?d2;q/9k95109'1c0=981vqps4i0``>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?mc:T2=f<5s-?m;7?>;%7e2?763twvq6g>be83>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=oj4V0;`>7}#=o=1=<5+5g4954=zutw0e4db3_;2o747<,:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882fc=Q90i1>v*:f6825>"2n?0:=6sr}|9j5d4=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l<4V0;`>7}#=o=1:6*:f785?x{zu2c:m>4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e6=Q90i1>v*:f685?!3a>3<0qpsr;h3b0?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{6=4+2`395<`<^;k86k38p(8h8:79'1c0=>2wvqp5f1`494?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h<0Z<7l:3y'1c1=>2.>j;49;|~y>o6i>0;6)P5i:0:wAa69U5j:49;%7e2?07[50z&1e4<6l=1C>4;4i0c3>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k;7[?6c;0x 0`02?1/9k856:~yx=n9h;1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`38R4?d2;q/9k956:&6b3<13twvq6g>ab83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6ij1]=4m52z&6b2<13-?m:784}|~?j7c=3:1(?o>:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo=?6;292?6=8r.9m<493:J1=0=n9h:1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`28R4?d2;q/9k95c:&6b3a083>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=l?4V0;`>7}#=o=1o6*:f78`?x{zu2c:mn4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9hi0Z<7l:3y'1c1=k2.>j;4l;|~y>o6j>0;6)P5i:0:wAb69U5j:4>2:&6b3<6:2wvqp5f1cc94?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9kk0Z<7l:3y'1c1=9;1/9k85139~yx{6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb224>5<0290;w)=2c:m=4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f68`?!3a>3i0qpsr;h3b5?6=,;k:6<7i;I0b4>P5i:0:wAa09U5j:4l;%7e2?el?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=oo50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28hj7[?6c;0x 0`02880(8h9:008yx{z3`;in7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3af>P61j09w);i7;31?!3a>3;97psr}:m2`0<72-8j=7?k5:T1e6<6sE8j97?tVg296~"6m00:h85Y18a96~"2n>0=7);i6;48yx{z3th8<54?:283>5}#:h;1=i:4H3;6?l7f83:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n0:T2=f<5s-?m;784$4d5>3=zutw0e:18'6d7=90l0Z?o<:0yO6d3=9r\m<74g63_;2o73=#=o<1:6sr}|9l5a3=83.9m<4>d49U6d5=9rF9m84>{Wd3>7}#9l31=i;4V0;`>7}#=o=1:6*:f785?x{zu2wi??o50;094?6|,;k:6n?4H3;6?l7>n3:1(?o>:0;e?>i6l<0;6)P5i:0:wAd49U5j:49;%7e2?07<729q/>l?5c09K6<35$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c100?6=>3:1l?518d8R7g428qG>l;51zT2ga<5s-;n57?6f:T2=f<5s-?m;784$4d5>3=zutw0en=50;&1e44>{M0b1?7|^8io6?u+1d;9g6=Q90i1>v*:f685?!3a>3<0qpsr;ha7>5<#:h;1o95Y2`196~J5i<0:w[?ld;0x 4c>2j>0Z<7l:3y'1c1=02.>j;47;|~H7g32=q]>4<53zTfa?4|,6n:4$200>0`03t\nj74?a3-99?7;i7:'225=m2w]>4<53zTfa?4|,6n:4$200>0`03t\nj74?a3-99?7;i6:'225=m2w]>4<53zTfa?4|,6n:4$200>0`03t\nj7f5<,:8868h8;|&536<03t\:i54={Wgf>7}#=o?1o95+33191c1:3:a?S4f;38p@?o::0yU5fb=:r.:i44=8c9U5j:4>2:&6b3<6:2wvqA>4:f69~ 3142880qps4i3:b>5<#:h;1>5o4V3c0>7}K:h?1=vX>ce81!7b1383m6X>9b81!3a?3;97);i6;31?x{zD;k?6l?518d8R7g428qG>l;51zTe4?4|,8o26;W3:g?4|,{zut1d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a77e=83;1<7>t$3c2>7g?3A8296a>d483>!4f93;o965rb20f>5<4290;w)=2c:m=4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f68a?!3a>3h0qpsr;h3b5?6=,;k:6<7i;I0b4>P5i:0:wAa09U5j:4>1:&6b3<692wvqp5`1e794?"5i80:h85Y2`195~J5i<0:w[h?:3y'5`?=9m?0Z<7l:3y'1c1=>2.>j;49;|~y>{e;;l1<7=50;2x 7g62?90D?7:;h3b4?6=,;k:6<7i;I0b4>P5i:0:wAa19U5j:4>1:&6b3<692wvqp5f1`394?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26;W3:g?4|,477[50z&1e4<1;2B9585f1`294?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26{zut1b=l?50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d7<^83h6?u+5g59f>"2n?0i7psr}:k2ef<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751`a8R4?d2;q/9k95b:&6b3b683>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6j>1]=4m52z&6b2:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882fd=Q90i1>v*:f6825>"2n?0:=6sr}|9l5a3=83.9m<4>d49U6d5=9rF9m84>{Wd3>7}#9l31=i;4V0;`>7}#=o=1:6*:f785?x{zu2wi??j50;694?6|,;k:6o6i90;6)P5i:0:wAa19U5j:49;%7e2?0l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a764=838:6=4?{%0b5?043A8296g>a183>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i91]=4m52z&6b2:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n1:T2=f<5s-?m;7m4$4d5>f=zutw0e4gd3_;2o7f=#=o<1o6sr}|9j5g1=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=o94V0;`>7}#=o=1o6*:f78`?x{zu2c:nl4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882fd=Q90i1>v*:f68`?!3a>3i0qpsr;h3af?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3i0(8h9:b9~yx{k38p(8h8:b9'1c0=k2wvqp5f1cf94?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9kn0Z<7l:3y'1c1=k2.>j;4l;|~y>o6jl0;6)P5i:0:wAbd9U5j:4l;%7e2?el?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=l=50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d5<^83h6?u+5g59g>"2n?0h7psr}:k2e1<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m95Y18a96~"2n>0h7);i6;a8yx{z3`;j97>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b1>P61j09w);i7;a8 0`12j1vqps4i0c5>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k=7[?6c;0x 0`02j1/9k85c:~yx=n9h=1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`58R4?d2;q/9k95c:&6b3a983>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=l64V0;`>7}#=o=1=?5+5g4957=zutw0e4g>3_;2o744<,:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882ed=Q90i1>v*:f6826>"2n?0:>6sr}|9j5dd=83.9m<4>9g9K6d6<^;k86k38p(8h8:008 0`12880qpsr;h3b`?6=,;k:6<7i;I0b4>P5i:0:wAae9U5j:4>2:&6b3<6:2wvqp5f1`g94?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9ho0Z<7l:3y'1c1=9;1/9k85139~yx{k38p(8h8:79'1c0=>2wvqp5f1c294?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9k:0Z<7l:3y'1c1=>2.>j;49;|~y>o6j80;6)P5i:0:wAb09U5j:49;%7e2?0l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=o:50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95g2<^83h6?u+5g592>"2n?0=7psr}:k2f0<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:n85Y18a96~"2n>0=7);i6;48yx{z3`;i:7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3a2>P61j09w);i7;48 0`12?1vqps4i0`;>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28h37[?6c;0x 0`02?1/9k856:~yx=n9k31<7*=a082=c=Q:h91=vB=a482S`72;q/=h751c;8R4?d2;q/9k956:&6b3<13twvq6a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd4;<0;6?4?:1y'6d7=k81C>4;4i0;e>5<#:h;1=4h4;n3g1?6=,;k:669b81!3a?3<0(8h9:79~yx{56;294~"5i80:5i5G2878 4e728>0e<7i:18'6d7=90l0Z?o<:0yO6d3=9r\:oi4={%3f=?7>n2\:5n4={%7e3?0<,7}#9l31o>5Y18a96~"2n>0=7);i6;48yx{z3`i?6=4+2`39g1=Q:h91>vB=a482S7dl38p(f2<,:8868h8;|Tfb?4|,6<7i;%117?3a?2w/::=5e:U6<4=;r\ni7f2<,:8868h8;|Tfb?4|,6<7i;%117?3a>2w/::=5e:U6<4=;r\ni7f2<,:8868h8;|Tfb?4|,6n=4$200>0`03t.=;>48;|T2a=<5s_on6?u+5g79g1=#;;919k94}%447?743twv7d<7b;29 7g62;2i7[j;4>2:~yI4f<3;pZ?7n:3yUa`<5s-?m97<7b:&066<2n>1v(;9<:008yx{P61j09w);i7;31?!3a>3;97psrL3c7>4}Q:0k1>vXje;0x 0`22;2j7)==3;7e3>{#>>91=?5r}|9l5a3=83.9m<4>d498yg54>3:1?7>50z&1e4<51l1C>4;4i0c3>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?n0:T2=f<5s-?m;784$4d5>3=zutw0e:18'6d7=90l0Z?o<:0yO6d3=9r\m<74g63_;2o73=#=o<1:6sr}|9l5a3=83.9m<4>d49U6d5=9rF9m84>{Wd3>7}#9l31=i;4V0;`>7}#=o=1:6*:f785?x{zu2wi?>950;394?6|,;k:6?o7;I0:1>i6l<0;6)=zj:926=4<:183!4f93<87E<65:k2e5<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m=5Y18a96~"2n>0i7);i6;`8yx{z3`;j=7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6i81]=4m52z&6b2<692.>j;4>1:~yx=h9m?1<7*=a082`0=Q:h91=vB=a482S`72;q/=h751e78R4?d2;q/9k956:&6b3<13twvq6sm32c94?5=83:p(?o>:718L7?23`;j<7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6i91]=4m52z&6b2<692.>j;4>1:~yx=n9h;1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74g63_;2o747<,:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo=<8;297?6=8r.9m<4>d59K6<3k38p(8h8:79'1c0=>2wvqp5f1`394?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h;0Z<7l:3y'1c1=>2.>j;49;|~y>i6l<0;6)P5i:0:wAd49U5j:49;%7e2?07<729q/>l?5c09K6<35$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c170?6=:3:1N51<1b=4h50;&1e4<61o10c4b23_;2o73=#=o<1:6sr}|9~f62f290=6=4?{%0b5?7>l2B9585+1b2951=n90l1<7*=a082=c=Q:h91=vB=a482S7dl38p(k38p(8h8:79'1c0=>2wvqp5fc283>!4f93i87[7}#9l31o95Y18a96~"2n>037);i6;:8yx{K:h>18vX=9380Scb2;q/9k;5c59'775==o=0q[ki:3y'1c3=90l0(><<:4d4?x"1?:0n7pX=9380Scb2;q/9k;5c59'775==o=0q[ki:3y'1c3=90l0(><<:4d5?x"1?:0n7pX=9380Scb2;q/9k;5c59'775==o=0q[ki:3y'1c3=k:1/??=55g58y!00;3=0q[?j8;0xR`c=:r.>j84l4:&066<2n>1v(;9<:018yx{P61j09w);i7;31?!3a>3;97psrL3c7>4}Q:0k1>vXje;0x 0`22;2i7)==3;7e3>{#>>91=?5r}|9j6=g=83.9m<4=8`9U6d5=:rF9m84>{W3``?4|,8o26?6n;W3:g?4|,44l:51zT1=d<5s_on6?u+5g796=g<,:8868h8;|&536<6:2wvq6a>d483>!4f93;o965rb21f>5<4290;w)N51<1b=l>50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28k;7[?6c;0x 0`02?1/9k856:~yx=n9h;1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`38R4?d2;q/9k956:&6b3<13twvq6a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd4;o0;6<4?:1y'6d7=:h20D?7:;n3g1?6=,;k:6t$3c2>35<@;3>7d?n0;29 7g6283m7[l?518d8R7g428qG>l;51zTe4?4|,8o26;W3:g?4|,{zut1d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a714=83<1<7>t$3c2>35<@;3>7d?n0;29 7g6283m7E0i7);i6;`8yx{z3`;j=7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6i81]=4m52z&6b2:0;e?S4f;3;p@?o::0yUb5<5s-;n57?nc:T2=f<5s-?m;7?>;%7e2?763twvq6g>b683>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=o94V0;`>7}#=o=1=<5+5g4954=zutw0e69b81!3a?3;:7);i6;32?x{zu2e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f685?!3a>3<0qpsr;|`006<72>0;6=u+2`3926=O:0?0e69b81!3a?3h0(8h9:c9~yx{k38p(8h8:c9'1c0=j2wvqp5f1`a94?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9hi0Z<7l:3y'1c1=j2.>j;4m;|~y>o6j>0;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95g1<^83h6?u+5g5954=#=o<1=<5r}|8m4df290/>l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3ae>P61j09w);i7;32?!3a>3;:7psr}:k2fg<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751c`8R4?d2;q/9k95109'1c0=981vqps4o0f6>5<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zj:>;6=4;:183!4f93;o86F=949j5d6=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l>4V0;`>7}#=o=1:6*:f785?x{zu2c:m<4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e4=Q90i1>v*:f685?!3a>3<0qpsr;h3bg?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb266>5<593:1N51<1b=l>50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d6<^83h6?u+5g59g>"2n?0h7psr}:k2e4<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m<5Y18a96~"2n>0h7);i6;a8yx{z3`;jo7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6ij1]=4m52z&6b2:0;e?S4f;3;p@?o::0yUb5<5s-;n57?m7:T2=f<5s-?m;7m4$4d5>f=zutw0e69b81!3a?3i0(8h9:b9~yx{l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3ag>P61j09w);i7;a8 0`12j1vqps4i0`g>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?md:T2=f<5s-?m;7m4$4d5>f=zutw0e4db3_;2o7f=#=o<1o6sr}|9j5g`=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=oh4V0;`>7}#=o=1o6*:f78`?x{zu2c:m?4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e7=Q90i1>v*:f68`?!3a>3i0qpsr;h3b7?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3i0(8h9:b9~yx{k38p(8h8:b9'1c0=k2wvqp5f1`794?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h?0Z<7l:3y'1c1=k2.>j;4l;|~y>o6i?0;6)P5i:0:wAa79U5j:4l;%7e2?e0h7);i6;a8yx{z3`;j47>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6i11]=4m52z&6b2<6:2.>j;4>2:~yx=n9h31<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74g>3_;2o744<,:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882ed=Q90i1>v*:f6826>"2n?0:>6sr}|9j5dd=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=ll4V0;`>7}#=o=1=?5+5g4957=zutw0e69b81!3a?3;97);i6;31?x{zu2c:mh4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e`=Q90i1>v*:f685?!3a>3<0qpsr;h3bb?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{k38p(8h8:79'1c0=>2wvqp5f1c394?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9k;0Z<7l:3y'1c1=>2.>j;49;|~y>o6j;0;6)P5i:0:wAb39U5j:49;%7e2?0l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=o;50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95g3<^83h6?u+5g592>"2n?0=7psr}:k2f3<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:n;5Y18a96~"2n>0=7);i6;48yx{z3`;i47>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3a<>P61j09w);i7;48 0`12?1vqps4i0`:>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28h27[?6c;0x 0`02?1/9k856:~yx=h9m?1<7*=a082`0=Q:h91=vB=a482S`72;q/=h751e78R4?d2;q/9k956:&6b3<13twvq6sm35494?46290;w)=2c:m=4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f68`?!3a>3i0qpsr;h3b5?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3i0(8h9:b9~yx{k38p(8h8:b9'1c0=k2wvqp5f1c594?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9k=0Z<7l:3y'1c1=k2.>j;4l;|~y>o6jh0;6)P5i:0:wAb`9U5j:4l;%7e2?el?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=oj50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95gb<^83h6?u+5g59g>"2n?0h7psr}:k2f`<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:nh5Y18a96~"2n>0h7);i6;a8yx{z3`;ij7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3ab>P61j09w);i7;a8 0`12j1vqps4i0c1>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k97[?6c;0x 0`02j1/9k85c:~yx=n9h91<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`18R4?d2;q/9k95c:&6b3a583>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i=1]=4m52z&6b2:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n5:T2=f<5s-?m;7m4$4d5>f=zutw0e4g13_;2o7f=#=o<1o6sr}|9j5d1=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l94V0;`>7}#=o=1o6*:f78`?x{zu2c:m54?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9h20Z<7l:3y'1c1=9;1/9k85139~yx{k38p(8h8:008 0`12880qpsr;h3be?6=,;k:6<7i;I0b4>P5i:0:wAa`9U5j:4>2:&6b3<6:2wvqp5f1``94?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26440:>6*:f7826>{zut1b=lk50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95dc<^83h6?u+5g5957=#=o<1=?5r}|8m4ga290/>l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3bb>P61j09w);i7;31?!3a>3;97psr}:k2f5<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:n=5Y18a96~"2n>0=7);i6;48yx{z3`;i=7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3a5>P61j09w);i7;48 0`12?1vqps4i0`1>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28h97[?6c;0x 0`02?1/9k856:~yx=n9k91<7*=a082=c=Q:h91=vB=a482S`72;q/=h751c18R4?d2;q/9k956:&6b3<13twvq6g>b583>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6j=1]=4m52z&6b2<13-?m:784}|~?l7e=3:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?m5:T2=f<5s-?m;784$4d5>3=zutw0e4d13_;2o73=#=o<1:6sr}|9j5g>=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=o64V0;`>7}#=o=1:6*:f785?x{zu2c:n44?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882f<=Q90i1>v*:f685?!3a>3<0qpsr;n3g1?6=,;k:669b81!3a?3<0(8h9:79~yx{52083>5}#:h;1:>5G2878m4g7290/>l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=l?50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d7<^83h6?u+5g59g>"2n?0h7psr}:k2ef<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:mn5Y18a96~"2n>0h7);i6;a8yx{z3`;i;7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3a3>P61j09w);i7;a8 0`12j1vqps4i0`b>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28hj7[?6c;0x 0`02j1/9k85c:~yx=n9kh1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751c`8R4?d2;q/9k95c:&6b3bb83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6jj1]=4m52z&6b2:0;e?S4f;3;p@?o::0yUb5<5s-;n57?md:T2=f<5s-?m;7m4$4d5>f=zutw0e4db3_;2o7f=#=o<1o6sr}|9j5g`=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=oh4V0;`>7}#=o=1o6*:f78`?x{zu2c:m?4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e7=Q90i1>v*:f68`?!3a>3i0qpsr;h3b7?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3i0(8h9:b9~yx{k38p(8h8:b9'1c0=k2wvqp5f1`794?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h?0Z<7l:3y'1c1=k2.>j;4l;|~y>o6i?0;6)P5i:0:wAa79U5j:4l;%7e2?el?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3b<>P61j09w);i7;31?!3a>3;97psr}:k2e<<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m45Y18a96~"2n>0:>6*:f7826>{zut1b=lo50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28kj7[?6c;0x 0`02880(8h9:008yx{z3`;jn7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6ik1]=4m52z&6b2<6:2.>j;4>2:~yx=n9hn1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74gc3_;2o744<,:0;e?S4f;3;p@?o::0yUb5<5s-;n57?ne:T2=f<5s-?m;7?=;%7e2?753twvq6g>ag83>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=lh4V0;`>7}#=o=1=?5+5g4957=zutw0e4d73_;2o73=#=o<1:6sr}|9j5g7=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=o?4V0;`>7}#=o=1:6*:f785?x{zu2c:n?4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882f7=Q90i1>v*:f685?!3a>3<0qpsr;h3a7?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{k38p(8h8:79'1c0=>2wvqp5f1c794?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9k?0Z<7l:3y'1c1=>2.>j;49;|~y>o6j?0;6)P5i:0:wAb79U5j:49;%7e2?0290/>l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a71>=83>1<7>t$3c2>4b33A8296g>a183>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i91]=4m52z&6b2<13-?m:784}|~?l7f93:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n1:T2=f<5s-?m;784$4d5>3=zutw0e4gd3_;2o73=#=o<1:6sr}|9l5a3=83.9m<4>d49U6d5=9rF9m84>{Wd3>7}#9l31=i;4V0;`>7}#=o=1:6*:f785?x{zu2wi?9l50;094?6|,;k:6n?4H3;6?l7>n3:1(?o>:0;e?>i6l<0;6)P5i:0:wAd49U5j:49;%7e2?07<729q/>l?5c09K6<35$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c163:1l?518d8R7g428qG>l;51zT2ga<5s-;n57?6f:T2=f<5s-?m;784$4d5>3=zutw0en=50;&1e44>{M0b1?7|^8io6?u+1d;9g6=Q90i1>v*:f685?!3a>3<0qpsr;ha7>5<#:h;1o95Y2`196~J5i<0:w[?ld;0x 4c>2j>0Z<7l:3y'1c1=02.>j;47;|~H7g32=q]>4<53zTfa?4|,6n:4$200>0`03t\nj74?a3-99?7;i7:'225=m2w]>4<53zTfa?4|,6n:4$200>0`03t\nj74?a3-99?7;i6:'225=m2w]>4<53zTfa?4|,6n:4$200>0`03t\nj7f5<,:8868h8;|&536<03t\:i54={Wgf>7}#=o?1o95+33191c1:3:a?S4f;38p@?o::0yU5fb=:r.:i44=8c9U5j:4>2:&6b3<6:2wvqA>4:f69~ 3142880qps4i3:b>5<#:h;1>5o4V3c0>7}K:h?1=vX>ce81!7b1383m6X>9b81!3a?3;97);i6;31?x{zD;k?6l?518d8R7g428qG>l;51zTe4?4|,8o26;W3:g?4|,{zut1d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a71b=83;1<7>t$3c2>7g?3A8296a>d483>!4f93;o965rb26e>5<4290;w)=2c:m=4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f685?!3a>3<0qpsr;h3b5?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb273>5<4290;w)=2c:m=4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f68a?!3a>3h0qpsr;h3b5?6=,;k:6<7i;I0b4>P5i:0:wAa09U5j:4>1:&6b3<692wvqp5`1e794?"5i80:h85Y2`195~J5i<0:w[h?:3y'5`?=9m?0Z<7l:3y'1c1=>2.>j;49;|~y>{e;=o1<7=50;2x 7g628n?7E<65:k2e5<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m=5Y18a96~"2n>0=7);i6;48yx{z3`;j=7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b5>P61j09w);i7;48 0`12?1vqps4o0f6>5<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zj:?96=4<:183!4f93<87E<65:k2e5<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m=5Y18a96~"2n>0h7);i6;a8yx{z3`;j=7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6i81]=4m52z&6b2<6:2.>j;4>2:~yx=h9m?1<7*=a082`0=Q:h91=vB=a482S`72;q/=h751e78R4?d2;q/9k956:&6b3<13twvq6sm34194?46290;w)=2c:m=4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f68`?!3a>3i0qpsr;h3b5?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3i0(8h9:b9~yx{k38p(8h8:b9'1c0=k2wvqp5f1c594?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9k=0Z<7l:3y'1c1=k2.>j;4l;|~y>o6jh0;6)P5i:0:wAb`9U5j:4l;%7e2?el?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=oj50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28ho7[?6c;0x 0`02j1/9k85c:~yx=n9ko1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751cg8R4?d2;q/9k95c:&6b3bg83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6jo1]=4m52z&6b2:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n2:T2=f<5s-?m;7m4$4d5>f=zutw0e4g43_;2o7f=#=o<1o6sr}|9j5d2=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l:4V0;`>7}#=o=1o6*:f78`?x{zu2c:m84?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e0=Q90i1>v*:f68`?!3a>3i0qpsr;h3b2?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3i0(8h9:b9~yx{l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3b<>P61j09w);i7;31?!3a>3;97psr}:k2e<<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751`;8R4?d2;q/9k95139'1c0=9;1vqps4i0cb>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?na:T2=f<5s-?m;7?=;%7e2?753twvq6g>ac83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6ik1]=4m52z&6b2<13-?m:784}|~?l7fl3:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?nd:T2=f<5s-?m;784$4d5>3=zutw0e4gb3_;2o73=#=o<1:6sr}|9j5d`=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=lh4V0;`>7}#=o=1:6*:f785?x{zu2c:n=4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882f5=Q90i1>v*:f685?!3a>3<0qpsr;h3a5?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{k38p(8h8:79'1c0=>2wvqp5f1c194?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9k90Z<7l:3y'1c1=>2.>j;49;|~y>o6j=0;6)P5i:0:wAb59U5j:49;%7e2?0l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=o650;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95g><^83h6?u+5g592>"2n?0=7psr}:k2f<<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:n45Y18a96~"2n>0=7);i6;48yx{z3f;o97>5$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c160?6=:80;6=u+2`3926=O:0?0e4g73_;2o7f=#=o<1o6sr}|9j5d7=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l?4V0;`>7}#=o=1o6*:f78`?x{zu2c:mn4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882ef=Q90i1>v*:f68`?!3a>3i0qpsr;h3a3?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3i0(8h9:b9~yx{k38p(8h8:b9'1c0=k2wvqp5f1c`94?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9kh0Z<7l:3y'1c1=k2.>j;4l;|~y>o6jj0;6)P5i:0:wAbb9U5j:4l;%7e2?e0h7);i6;a8yx{z3`;ii7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6jl1]=4m52z&6b2:0;e?S4f;3;p@?o::0yUb5<5s-;n57?mf:T2=f<5s-?m;7m4$4d5>f=zutw0e4g53_;2o7f=#=o<1o6sr}|9j5d5=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l=4V0;`>7}#=o=1o6*:f78`?x{zu2c:m94?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e1=Q90i1>v*:f68`?!3a>3i0qpsr;h3b1?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3i0(8h9:b9~yx{k38p(8h8:b9'1c0=k2wvqp5f1`594?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26{zut1b=l650;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d><^83h6?u+5g5957=#=o<1=?5r}|8m4g>290/>l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3b=>P61j09w);i7;31?!3a>3;97psr}:k2ed<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751`c8R4?d2;q/9k95139'1c0=9;1vqps4i0ca>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28ki7[?6c;0x 0`02?1/9k856:~yx=n9hn1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`f8R4?d2;q/9k956:&6b3<13twvq6g>ad83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6il1]=4m52z&6b2<13-?m:784}|~?l7fn3:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?nf:T2=f<5s-?m;784$4d5>3=zutw0e4d73_;2o73=#=o<1:6sr}|9j5g7=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=o?4V0;`>7}#=o=1:6*:f785?x{zu2c:n?4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882f7=Q90i1>v*:f685?!3a>3<0qpsr;h3a7?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{k38p(8h8:79'1c0=>2wvqp5f1c794?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9k?0Z<7l:3y'1c1=>2.>j;49;|~y>o6j?0;6)P5i:0:wAb79U5j:49;%7e2?0290/>l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a703=838:6=4?{%0b5?043A8296g>a183>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i91]=4m52z&6b2:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n1:T2=f<5s-?m;7m4$4d5>f=zutw0e69b81!3a?3i0(8h9:b9~yx{k38p(8h8:b9'1c0=k2wvqp5f1cc94?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26{zut1b=ol50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28hi7[?6c;0x 0`02j1/9k85c:~yx=n9ki1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74dd3_;2o7f=#=o<1o6sr}|9j5gb=83.9m<4>9g9K6d6<^;k86k38p(8h8:b9'1c0=k2wvqp5f1cg94?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26{zut1b=oh50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95g`<^83h6?u+5g59g>"2n?0h7psr}:k2e7<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m?5Y18a96~"2n>0h7);i6;a8yx{z3`;j?7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b7>P61j09w);i7;a8 0`12j1vqps4i0c7>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k?7[?6c;0x 0`02j1/9k85c:~yx=n9h?1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`78R4?d2;q/9k95c:&6b3a783>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i?1]=4m52z&6b2:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882e2=Q90i1>v*:f68`?!3a>3i0qpsr;h3b69b81!3a?3;97);i6;31?x{zu2c:m44?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9h30Z<7l:3y'1c1=9;1/9k85139~yx{o6ik0;6)P5i:0:wAac9U5j:49;%7e2?0l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=lh50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d`<^83h6?u+5g592>"2n?0=7psr}:k2f5<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:n=5Y18a96~"2n>0=7);i6;48yx{z3`;i=7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3a5>P61j09w);i7;48 0`12?1vqps4i0`1>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28h97[?6c;0x 0`02?1/9k856:~yx=n9k91<7*=a082=c=Q:h91=vB=a482S`72;q/=h751c18R4?d2;q/9k956:&6b3<13twvq6g>b583>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6j=1]=4m52z&6b2<13-?m:784}|~?l7e=3:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?m5:T2=f<5s-?m;784$4d5>3=zutw0e4d13_;2o73=#=o<1:6sr}|9j5g>=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=o64V0;`>7}#=o=1:6*:f785?x{zu2c:n44?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882f<=Q90i1>v*:f685?!3a>3<0qpsr;n3g1?6=,;k:669b81!3a?3<0(8h9:79~yx{:7>55;294~"5i80:h95G2878m4g7290/>l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=l?50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d7<^83h6?u+5g592>"2n?0=7psr}:k2ef<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:mn5Y18a96~"2n>0=7);i6;48yx{z3`;i;7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3a3>P61j09w);i7;48 0`12?1vqps4o0f6>5<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zj:?26=4=:183!4f93i:7E<65:k2=c<72-8j=7?6f:9l5a3=83.9m<4>d49U6d5=9rF9m84>{Wd3>7}#9l31=i;4V0;`>7}#=o=1:6*:f785?x{zu2wi?;:50;494?6|,;k:6<7k;I0:1>"6k90:86g>9g83>!4f93;2j6X=a282I4f=3;pZ2.>j;49;|~y>od;3:1(?o>:b18R7g428qG>l;51zT2ga<5s-;n57m<;W3:g?4|,{zut1bo94?:%0b5?e33_8j?74}Q9jn1>v*>e88`0>P61j09w);i7;:8 0`1211vqpB=a587S4>:39pZhk52z&6b0>4:f69~R``=:r.>j84>9g9'775==o=0q)883;g8yS4>:39pZhk52z&6b0>4:f69~R``=:r.>j84>9g9'775==o<0q)883;g8yS4>:39pZhk52z&6b0>4:f69~R``=:r.>j84l3:&066<2n>1v(;9<:69~R4c?2;q]ih4={%7e1?e33-99?7;i7:'225=9:1vqp5f29`94?"5i8094o5Y2`196~J5i<0:w[?ld;0x 4c>2;2i7[?6c;0x 0`02880(8h9:008yx{K:h>1=vX=9`81Scb2;q/9k;529`8 6442{zu2c94l4?:%0b5?4?i2\9m>4={M0b1?7|^8io6?u+1d;96=g<^83h6?u+5g5957=#=o<1=?5r}|N1e1<6s_82m7:0f6?>{e;a083>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i81]=4m52z&6b2<13-?m:784}|~?j7c=3:1(?o>:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo=:b;295?6=8r.9m<4=a99K6<36=4+2`395a3<3th89i4?:283>5}#:h;1:>5G2878m4g7290/>l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=l?50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d7<^83h6?u+5g592>"2n?0=7psr}:m2`0<72-8j=7?k5:T1e6<6sE8j97?tVg296~"6m00:h85Y18a96~"2n>0=7);i6;48yx{z3th89h4?:3394?6|,;k:6;=4H3;6?l7f83:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n0:T2=f<5s-?m;7l4$4d5>g=zutw0e:18'6d7=90l0Z?o<:0yO6d3=9r\m<74g63_;2o7g=#=o<1n6sr}|9j5de=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=lm4V0;`>7}#=o=1n6*:f78a?x{zu2c:n:4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882f2=Q90i1>v*:f68a?!3a>3h0qpsr;h3ae?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3h0(8h9:c9~yx{k38p(8h8:c9'1c0=j2wvqp5f1ca94?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9ki0Z<7l:3y'1c1=j2.>j;4m;|~y>o6jm0;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95gb<^83h6?u+5g59f>"2n?0i7psr}:k2f`<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:nh5Y18a96~"2n>0i7);i6;`8yx{z3`;ij7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3ab>P61j09w);i7;`8 0`12k1vqps4i0c1>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k97[?6c;0x 0`02k1/9k85b:~yx=n9h91<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`18R4?d2;q/9k95b:&6b3a583>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i=1]=4m52z&6b2:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n5:T2=f<5s-?m;7l4$4d5>g=zutw0e4g13_;2o7g=#=o<1n6sr}|9j5d1=83.9m<4>9g9K6d6<^;k86k38p(8h8:c9'1c0=j2wvqp5f1`:94?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26470:=6*:f7825>{zut1b=lo50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28kj7[?6c;0x 0`028;0(8h9:038yx{z3`;jn7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3bf>P61j09w);i7;48 0`12?1vqps4i0cg>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28ko7[?6c;0x 0`02?1/9k856:~yx=n9ho1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`g8R4?d2;q/9k956:&6b3<13twvq6g>ag83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6io1]=4m52z&6b2<13-?m:784}|~?l7e83:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?m0:T2=f<5s-?m;784$4d5>3=zutw0e:18'6d7=90l0Z?o<:0yO6d3=9r\m<74d63_;2o73=#=o<1:6sr}|9j5g4=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=o<4V0;`>7}#=o=1:6*:f785?x{zu2c:n>4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882f6=Q90i1>v*:f685?!3a>3<0qpsr;h3a0?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{6=4+2`395<`<^;k86k38p(8h8:79'1c0=>2wvqp5f1c494?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9k<0Z<7l:3y'1c1=>2.>j;49;|~y>o6j10;6)P5i:0:wAb99U5j:49;%7e2?0l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn>;i:1815?6=8r.9m<493:J1=0=n9h:1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`28R4?d2;q/9k95b:&6b3a083>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i81]=4m52z&6b2:0;e?S4f;3;p@?o::0yUb5<5s-;n57?nc:T2=f<5s-?m;7l4$4d5>g=zutw0e4d03_;2o7g=#=o<1n6sr}|9j5gg=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=oo4V0;`>7}#=o=1n6*:f78a?x{zu2c:no4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882fg=Q90i1>v*:f68a?!3a>3h0qpsr;h3ag?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3h0(8h9:c9~yx{l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3aa>P61j09w);i7;`8 0`12k1vqps4i0`e>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28hm7[?6c;0x 0`02k1/9k85b:~yx=n9h81<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`08R4?d2;q/9k95b:&6b3a283>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i:1]=4m52z&6b2:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n4:T2=f<5s-?m;7l4$4d5>g=zutw0e4g23_;2o7g=#=o<1n6sr}|9j5d0=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l84V0;`>7}#=o=1n6*:f78a?x{zu2c:m:4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9h=0Z<7l:3y'1c1=j2.>j;4m;|~y>o6i10;6)P5i:0:wAa99U5j:4>1:&6b3<692wvqp5f1`;94?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26470:=6*:f7825>{zut1b=ll50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95dd<^83h6?u+5g592>"2n?0=7psr}:k2ea<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:mi5Y18a96~"2n>0=7);i6;48yx{z3`;ji7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3ba>P61j09w);i7;48 0`12?1vqps4i0ce>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28km7[?6c;0x 0`02?1/9k856:~yx=n9k:1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751c28R4?d2;q/9k956:&6b3<13twvq6g>b083>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6j81]=4m52z&6b2<13-?m:784}|~?l7e:3:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?m2:T2=f<5s-?m;784$4d5>3=zutw0e4d43_;2o73=#=o<1:6sr}|9j5g2=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=o:4V0;`>7}#=o=1:6*:f785?x{zu2c:n84?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882f0=Q90i1>v*:f685?!3a>3<0qpsr;h3a2?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{k38p(8h8:79'1c0=>2wvqp5f1c;94?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9k30Z<7l:3y'1c1=>2.>j;49;|~y>i6l<0;6)P5i:0:wAd49U5j:49;%7e2?077=83:p(?o>:718L7?23`;j<7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b4>P61j09w);i7;`8 0`12k1vqps4i0c2>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k:7[?6c;0x 0`02k1/9k85b:~yx=n9hi1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74gd3_;2o7g=#=o<1n6sr}|9j5g1=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=o94V0;`>7}#=o=1n6*:f78a?x{zu2c:nl4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9kk0Z<7l:3y'1c1=j2.>j;4m;|~y>o6jk0;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95gd<^83h6?u+5g59f>"2n?0i7psr}:k2ff<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751ca8R4?d2;q/9k95b:&6b3be83>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=oj4V0;`>7}#=o=1n6*:f78a?x{zu2c:nh4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882f`=Q90i1>v*:f68a?!3a>3h0qpsr;h3ab?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3h0(8h9:c9~yx{k38p(8h8:c9'1c0=j2wvqp5f1`194?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h90Z<7l:3y'1c1=j2.>j;4m;|~y>o6i=0;6)P5i:0:wAa59U5j:4m;%7e2?dl?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=l950;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28k<7[?6c;0x 0`02k1/9k85b:~yx=n9h21<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74g?3_;2o747<,:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882e<=Q90i1>v*:f6825>"2n?0:=6sr}|9j5dg=83.9m<4>9g9K6d6<^;k86k38p(8h8:038 0`128;0qpsr;h3bf?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{k38p(8h8:79'1c0=>2wvqp5f1`g94?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9ho0Z<7l:3y'1c1=>2.>j;49;|~y>o6io0;6)P5i:0:wAag9U5j:49;%7e2?0l?518d8R7g428qG>l;51zTe4?4|,8o26;W3:g?4|,{zut1b=o<50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95g4<^83h6?u+5g592>"2n?0=7psr}:k2f6<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:n>5Y18a96~"2n>0=7);i6;48yx{z3`;i87>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3a0>P61j09w);i7;48 0`12?1vqps4i0`6>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28h>7[?6c;0x 0`02?1/9k856:~yx=n9k<1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751c48R4?d2;q/9k956:&6b3<13twvq6g>b983>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6j11]=4m52z&6b2<13-?m:784}|~?l7e13:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?m9:T2=f<5s-?m;784$4d5>3=zutw0c4b23_;2o73=#=o<1:6sr}|9~f6062909=7>50z&1e4<1;2B9585f1`294?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h:0Z<7l:3y'1c1=j2.>j;4m;|~y>o6i80;6)P5i:0:wAa09U5j:4m;%7e2?d0i7);i6;`8yx{z3`;i;7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3a3>P61j09w);i7;`8 0`12k1vqps4i0`b>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?ma:T2=f<5s-?m;7l4$4d5>g=zutw0e69b81!3a?3h0(8h9:c9~yx{l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3a`>P61j09w);i7;`8 0`12k1vqps4i0`f>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?me:T2=f<5s-?m;7l4$4d5>g=zutw0e4da3_;2o7g=#=o<1n6sr}|9j5d4=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l<4V0;`>7}#=o=1n6*:f78a?x{zu2c:m>4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e6=Q90i1>v*:f68a?!3a>3h0qpsr;h3b0?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3h0(8h9:c9~yx{6=4+2`395<`<^;k86k38p(8h8:c9'1c0=j2wvqp5f1`494?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h<0Z<7l:3y'1c1=j2.>j;4m;|~y>o6i>0;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95d1<^83h6?u+5g59f>"2n?0i7psr}:k2e=<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m55Y18a96~"2n>0:=6*:f7825>{zut1b=l750;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28k27[?6c;0x 0`028;0(8h9:038yx{z3`;jm7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6ih1]=4m52z&6b2<692.>j;4>1:~yx=n9hh1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751``8R4?d2;q/9k956:&6b3<13twvq6g>ae83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6im1]=4m52z&6b2<13-?m:784}|~?l7fm3:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?ne:T2=f<5s-?m;784$4d5>3=zutw0e4ga3_;2o73=#=o<1:6sr}|9j5g6=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=o>4V0;`>7}#=o=1:6*:f785?x{zu2c:n<4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882f4=Q90i1>v*:f685?!3a>3<0qpsr;h3a6?6=,;k:6<7i;W0b7?7|D;k>66X>9b81!3a?3<0(8h9:79~yx{k38p(8h8:79'1c0=>2wvqp5f1c694?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9k>0Z<7l:3y'1c1=>2.>j;49;|~y>o6j<0;6)P5i:0:wAb49U5j:49;%7e2?02\:5n4={%7e3?0<,l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=o750;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95g?<^83h6?u+5g592>"2n?0=7psr}:m2`0<72-8j=7?k5:T1e6<6sE8j97?tVg296~"6m00:h85Y18a96~"2n>0=7);i6;48yx{z3th8:?4?:3394?6|,;k:6;=4H3;6?l7f83:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n0:T2=f<5s-?m;7l4$4d5>g=zutw0e:18'6d7=90l0Z?o<:0yO6d3=9r\m<74g63_;2o7g=#=o<1n6sr}|9j5de=83.9m<4>9g9K6d6<^;k86k38p(8h8:c9'1c0=j2wvqp5f1c594?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9k=0Z<7l:3y'1c1=j2.>j;4m;|~y>o6jh0;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95gg<^83h6?u+5g59f>"2n?0i7psr}:k2fg<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751c`8R4?d2;q/9k95b:&6b3bb83>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=om4V0;`>7}#=o=1n6*:f78a?x{zu2c:ni4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9kn0Z<7l:3y'1c1=j2.>j;4m;|~y>o6jl0;6)P5i:0:wAbd9U5j:4m;%7e2?dl?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=l=50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d5<^83h6?u+5g59f>"2n?0i7psr}:k2e1<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m95Y18a96~"2n>0i7);i6;`8yx{z3`;j97>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b1>P61j09w);i7;`8 0`12k1vqps4i0c5>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k=7[?6c;0x 0`02k1/9k85b:~yx=n9h=1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74g03_;2o7g=#=o<1n6sr}|9j5d>=83.9m<4>9g9K6d6<^;k86k38p(8h8:038 0`128;0qpsr;h3b=?6=,;k:6<7i;I0b4>P5i:0:wAa89U5j:4>1:&6b3<692wvqp5f1`c94?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o2647l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=lk50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95dc<^83h6?u+5g592>"2n?0=7psr}:k2ec<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:mk5Y18a96~"2n>0=7);i6;48yx{z3`;i<7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3a4>P61j09w);i7;48 0`12?1vqps4i0`2>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28h:7[?6c;0x 0`02?1/9k856:~yx=n9k81<7*=a082=c=Q:h91=vB=a482S`72;q/=h751c08R4?d2;q/9k956:&6b3<13twvq6g>b283>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6j:1]=4m52z&6b2<13-?m:784}|~?l7e<3:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?m4:T2=f<5s-?m;784$4d5>3=zutw0e4d23_;2o73=#=o<1:6sr}|9j5g0=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=o84V0;`>7}#=o=1:6*:f785?x{zu2c:n54?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882f==Q90i1>v*:f685?!3a>3<0qpsr;h3a=?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb27`>5<0290;w)N51<1b=l>50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d6<^83h6?u+5g592>"2n?0=7psr}:k2e4<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m<5Y18a96~"2n>0=7);i6;48yx{z3`;jo7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3bg>P61j09w);i7;48 0`12?1vqps4i0`4>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28h<7[?6c;0x 0`02?1/9k856:~yx=n9kk1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751cc8R4?d2;q/9k956:&6b3<13twvq6g>bc83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6jk1]=4m52z&6b2<13-?m:784}|~?j7c=3:1(?o>:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo=95;296?6=8r.9m<4l1:J1=0=n90l1<7*=a082=c=6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb252>5<1290;w)N51<1/=n>5159j5<`=83.9m<4>9g9U6d5=9rF9m84>{W3``?4|,8o26<7i;W3:g?4|,{zut1bo>4?:%0b5?e43_8j?7?tL3c6>4}Q9jn1>v*>e88`7>P61j09w);i7;48 0`12?1vqps4ib694?"5i80h86X=a281I4f=3;pZ7}#=o?1o95+33191c17}#=o?1o95+33191c17}#=o?1o95+33191c1e290/>l?529`8R7g42;qG>l;51zT2ga<5s-;n57<7b:T2=f<5s-?m;7?=;%7e2?753twv@?o;:0yU67>e3-99?7;i7:'225=9;1vqp5f29c94?"5i8094l5Y2`196~J5i<0:w[?ld;0x 4c>2;2j7[?6c;0x 0`02880(8h9:008yx{K:h>1=vX=9`81Scb2;q/9k;529c8 6442{zu2e:h84?:%0b5?7c=21vn>89:180>5<7s-8j=7<6e:J1=0=n9h:1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`28R4?d2;q/9k956:&6b3<13twvq6g>a083>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i81]=4m52z&6b2<13-?m:784}|~?j7c=3:1(?o>:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo=97;295?6=8r.9m<4=a99K6<36=4+2`395a3<3th8:44?:283>5}#:h;1:>5G2878m4g7290/>l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=l?50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d7<^83h6?u+5g592>"2n?0=7psr}:m2`0<72-8j=7?k5:T1e6<6sE8j97?tVg296~"6m00:h85Y18a96~"2n>0=7);i6;48yx{z3th8:l4?:283>5}#:h;1:>5G2878m4g7290/>l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=l?50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d7<^83h6?u+5g592>"2n?0=7psr}:m2`0<72-8j=7?k5:T1e6<6sE8j97?tVg296~"6m00:h85Y18a96~"2n>0=7);i6;48yx{z3th8:o4?:583>5}#:h;1:>5G2878m4g7290/>l?518d8R7g428qG>l;51zTe4?4|,8o2647;|~y>o6ij0;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95de<^83h6?u+5g5954=#=o<1=<5r}|8k4b2290/>l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn>8l:187>5<7s-8j=78<;I0:1>o6i90;6)P5i:0:wAa19U5j:4>1:&6b3<692wvqp5f1`394?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h;0Z<7l:3y'1c1=981/9k85109~yx{;|~y>i6l<0;6)P5i:0:wAd49U5j:49;%7e2?01<729q/>l?5629K6<3k38p(8h8:038 0`128;0qpsr;h3b5?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3;:7);i6;32?x{zu2c:mn4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9hi0Z<7l:3y'1c1=981/9k85109~yx{6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb24f>5<3290;w)=2c:m=4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9h:0Z<7l:3y'1c1=981/9k85109~yx{k38p(8h8:038 0`128;0qpsr;h3bg?6=,;k:6<7i;I0b4>P5i:0:wAab9U5j:4>1:&6b3<692wvqp5`1e794?"5i80:h85Y2`195~J5i<0:w[h?:3y'5`?=9m?0Z<7l:3y'1c1=>2.>j;49;|~y>{e;?l1<7;50;2x 7g62?90D?7:;h3b4?6=,;k:6<7i;I0b4>P5i:0:wAa19U5j:4m;%7e2?d;|~y>o6ij0;6)P5i:0:wAab9U5j:4>1:&6b3<692wvqp5f1c594?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26477[50z&1e4<6l=1C>4;4i0c3>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k;7[?6c;0x 0`02?1/9k856:~yx=n9h;1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`38R4?d2;q/9k956:&6b3<13twvq6g>ab83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6ij1]=4m52z&6b2<13-?m:784}|~?l7e?3:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?m7:T2=f<5s-?m;784$4d5>3=zutw0e4df3_;2o73=#=o<1:6sr}|9j5gd=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=ol4V0;`>7}#=o=1:6*:f785?x{zu2c:nn4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882ff=Q90i1>v*:f685?!3a>3<0qpsr;n3g1?6=,;k:669b81!3a?3<0(8h9:79~yx{i=7>52;294~"5i80h=6F=949j5<`=83.9m<4>9g98k4b2290/>l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn9l6:185>5<7s-8j=7?6d:J1=0=#9j:1=95f18d94?"5i80:5k5Y2`195~J5i<0:w[?ld;0x 4c>283m7[?6c;0x 0`02?1/9k856:~yx=nk:0;6)3=zutw0en:50;&1e44={M0b1?7|^8io6?u+1d;9g1=Q90i1>v*:f68;?!3a>320qpsC2`690~P51;08w[kj:3y'1c3=k=1/??=55g58ySca2;q/9k;518d8 6442>4:f69~ 3142890qps4i3:a>5<#:h;1>5l4V3c0>7}K:h?1=vX>ce81!7b1383n6X>9b81!3a?3;97);i6;31?x{zD;k?65o50;&1e4<50h1]>l=52zN1e0<6s_;hh77>f3_;2o744<,7}#=o?1>5o4$200>0`03t.=;>4>2:~y>i6l<0;6)=zj=h86=4<:183!4f9382i6F=949j5d6=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l>4V0;`>7}#=o=1:6*:f785?x{zu2c:m<4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e4=Q90i1>v*:f685?!3a>3<0qpsr;n3g1?6=,;k:669b81!3a?3<0(8h9:79~yx{i87>51;294~"5i809m55G2878k4b2290/>l?51e78?xd3j?0;6>4?:1y'6d7=>:1C>4;4i0c3>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k;7[?6c;0x 0`028;0(8h9:038yx{z3`;j=7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6i81]=4m52z&6b2:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo:m7;297?6=8r.9m<493:J1=0=n9h:1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74g73_;2o747<,:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n1:T2=f<5s-?m;7?>;%7e2?763twvq6a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd3j<0;6>4?:1y'6d7=9m>0D?7:;h3b4?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{k38p(8h8:79'1c0=>2wvqp5`1e794?"5i80:h85Y2`195~J5i<0:w[h?:3y'5`?=9m?0Z<7l:3y'1c1=>2.>j;49;|~y>{e69b81!3a?3;:7);i6;32?x{zu2c:m<4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9h;0Z<7l:3y'1c1=981/9k85109~yx{6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb5`b>5<5290;w)=2c:5k4?:%0b5?7>n21d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a0f6=83<1<7>t$3c2>4?c3A8296*>c1820>o61o0;6)P5i:0:wA3=#=o<1:6sr}|9jg1<72-8j=7m;;W0b7?4|D;k>69b81!3a?320(8h9:99~yxJ5i=0?w[<62;1xR`c=:r.>j84l4:&066<2n>1vZhh52z&6b0<61o1/??=55g58y!00;3o0q[<62;1xR`c=:r.>j84l4:&066<2n>1vZhh52z&6b0<61o1/??=55g48y!00;3o0q[<62;1xR`c=:r.>j84l4:&066<2n>1vZhh52z&6b0>4:f69~ 3142l1vZvB=a482S7dl38p(k38p(8h8:008 0`12880qpsC2`695~P51h09w[kj:3y'1c3=:1h0(><<:4d4?x"1?:0:>6sr}:k17}#9l31>5o4V0;`>7}#=o=1=?5+5g4957=zutF9m94>{W0:e?4|^lo1>v*:f48176sm4ca94?5=83:p(?o>:3;f?M4>=2c:m=4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f685?!3a>3<0qpsr;h3b5?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb5`g>5<6290;w)N51<1d=i;50;&1e4<6l<10qo:me;297?6=8r.9m<493:J1=0=n9h:1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74g73_;2o7g=#=o<1n6sr}|9j5d7=83.9m<4>9g9K6d6<^;k86k38p(8h8:c9'1c0=j2wvqp5`1e794?"5i80:h85Y2`195~J5i<0:w[h?:3y'5`?=9m?0Z<7l:3y'1c1=>2.>j;49;|~y>{e69b81!3a?3;:7);i6;32?x{zu2c:m<4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e4=Q90i1>v*:f6825>"2n?0:=6sr}|9l5a3=83.9m<4>d49U6d5=9rF9m84>{Wd3>7}#9l31=i;4V0;`>7}#=o=1:6*:f785?x{zu2wi8im50;094?6|,;k:6n?4H3;6?l7>n3:1(?o>:0;e?>i6l<0;6)P5i:0:wAd49U5j:49;%7e2?06<729q/>l?528g8L7?23`;j<7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b4>P61j09w);i7;48 0`12?1vqps4i0c2>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k:7[?6c;0x 0`02o1/9k85f:~yx=h9m?1<7*=a082`0=Q:h91=vB=a482S`72;q/=h751e78R4?d2;q/9k956:&6b3<13twvq6sm4d:94?0=83:p(?o>:0;g?M4>=2.:o=4>4:k2=c<72-8j=7?6f:T1e6<6sE8j97?tV0ag>7}#9l31=4h4V0;`>7}#=o=1:6*:f785?x{zu2ch?7>5$3c2>f5<^;k86k38p(8h8:79'1c0=>2wvqp5fc583>!4f93i?7[<,7=tVdg96~"2n<0h86*<2286b2=z^ll1>v*:f482=c=#;;919k94}%447?c7=tVdg96~"2n<0h86*<2286b2=z^ll1>v*:f482=c=#;;919k84}%447?c7=tVdg96~"2n<0h86*<2286b2=z^ll1>v*:f48`7>"4::0>j:5r$750>2=z^8o36?uYed81!3a=3i?7)==3;7e3>{#>>91=>5r}|9j6=d=83.9m<4=8c9U6d5=:rF9m84>{W3``?4|,8o26?6m;W3:g?4|,44l:51zT1=d<5s_on6?u+5g796=d<,:8868h8;|&536<6:2wvq6g=8`83>!4f9383m6X=a281I4f=3;pZj84=8`9'775==o=0q)883;31?x{z3f;o97>5$3c2>4b232wi8ij50;194?6|,;k:6?7j;I0:1>o6i90;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95d6<^83h6?u+5g592>"2n?0=7psr}:k2e4<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m<5Y18a96~"2n>0=7);i6;48yx{z3f;o97>5$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c6ga?6=93:16<729q/>l?5629K6<3k38p(8h8:038 0`128;0qpsr;h3b5?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3;:7);i6;32?x{zu2e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f685?!3a>3<0qpsr;|`7a4<72:0;6=u+2`3926=O:0?0e69b81!3a?3;:7);i6;32?x{zu2c:m<4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9h;0Z<7l:3y'1c1=981/9k85109~yx{6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb5g1>5<4290;w)=2c:m=4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f685?!3a>3<0qpsr;h3b5?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb5g0>5<4290;w)=2c:m=4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f685?!3a>3<0qpsr;h3b5?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb5g7>5<4290;w)=2c:m=4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f68a?!3a>3h0qpsr;h3b5?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3;:7);i6;32?x{zu2e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f685?!3a>3<0qpsr;|`7a0<72:0;6=u+2`3926=O:0?0e69b81!3a?3h0(8h9:c9~yx{;|~y>i6l<0;6)P5i:0:wAd49U5j:49;%7e2?01<729q/>l?5629K6<3l?518d8R7g428qG>l;51zTe4?4|,8o26;W3:g?4|,{zut1b=lm50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28kh7[?6c;0x 0`028;0(8h9:038yx{z3f;o97>5$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c6gb?6=03:14g73_;2o73=#=o<1:6sr}|9j5d7=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l?4V0;`>7}#=o=1:6*:f785?x{zu2c:mn4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882ef=Q90i1>v*:f685?!3a>3<0qpsr;h3a3?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{k38p(8h8:79'1c0=>2wvqp5f1c`94?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9kh0Z<7l:3y'1c1=>2.>j;49;|~y>o6jj0;6)P5i:0:wAbb9U5j:49;%7e2?07[7>50z&1e45<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zj=l96=4=:183!4f93i:7E<65:k2=c<72-8j=7?6f:9l5a3=83.9m<4>d49U6d5=9rF9m84>{Wd3>7}#9l31=i;4V0;`>7}#=o=1:6*:f785?x{zu2wi8k850;194?6|,;k:6?7j;I0:1>o6i90;6)P5i:0:wAa19U5j:49;%7e2?0l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn9h::185>5<7s-8j=7?6d:J1=0=#9j:1=95f18d94?"5i80:5k5Y2`195~J5i<0:w[?ld;0x 4c>283m7[?6c;0x 0`02?1/9k856:~yx=nk:0;6)3=zutw0en:50;&1e44={M0b1?7|^8io6?u+1d;9g1=Q90i1>v*:f68;?!3a>320qpsC2`690~P51;08w[kj:3y'1c3=k=1/??=55g58ySca2;q/9k;518d8 6442>4:f69~ 3142890qps4i3:a>5<#:h;1>5l4V3c0>7}K:h?1=vX>ce81!7b1383n6X>9b81!3a?3;97);i6;31?x{zD;k?65o50;&1e4<50h1]>l=52zN1e0<6s_;hh77>f3_;2o744<,7}#=o?1>5o4$200>0`03t.=;>4>2:~y>i6l<0;6)=zj=oi6=4<:183!4f9382i6F=949j5d6=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l>4V0;`>7}#=o=1:6*:f785?x{zu2c:m<4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e4=Q90i1>v*:f685?!3a>3<0qpsr;n3g1?6=,;k:669b81!3a?3<0(8h9:79~yx{no7>51;294~"5i809m55G2878k4b2290/>l?51e78?xd3ml0;694?:1y'6d7=>:1C>4;4i0c3>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k;7[?6c;0x 0`02k1/9k85b:~yx=n9h;1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74g63_;2o747<,:0;e?S4f;3;p@?o::0yUb5<5s-;n57?nc:T2=f<5s-?m;7?>;%7e2?763twvq6a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd3mo0;6:4?:1y'6d7=>:1C>4;4i0c3>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k;7[?6c;0x 0`028;0(8h9:038yx{z3`;j=7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6i81]=4m52z&6b2<692.>j;4>1:~yx=n9hi1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`a8R4?d2;q/9k95109'1c0=981vqps4i0`4>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?m7:T2=f<5s-?m;7?>;%7e2?763twvq6g>b`83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6jh1]=4m52z&6b2<692.>j;4>1:~yx=n9kh1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751c`8R4?d2;q/9k95109'1c0=981vqps4o0f6>5<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zj=l;6=47:183!4f93<87E<65:k2e5<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751`28R4?d2;q/9k95b:&6b3a083>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i81]=4m52z&6b2<692.>j;4>1:~yx=n9hi1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74gd3_;2o747<,:0;e?S4f;3;p@?o::0yUb5<5s-;n57?m7:T2=f<5s-?m;7?>;%7e2?763twvq6g>b`83>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=oo4V0;`>7}#=o=1=<5+5g4954=zutw0e69b81!3a?3;:7);i6;32?x{zu2c:nn4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9ki0Z<7l:3y'1c1=981/9k85109~yx{6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb5d2>5=2c:m=4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f6825>"2n?0:=6sr}|9j5d7=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l?4V0;`>7}#=o=1=<5+5g4954=zutw0e69b81!3a?3;:7);i6;32?x{zu2c:n:4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882f2=Q90i1>v*:f6825>"2n?0:=6sr}|9j5gg=83.9m<4>9g9K6d6<^;k86k38p(8h8:038 0`128;0qpsr;h3af?6=,;k:6<7i;I0b4>P5i:0:wAbc9U5j:4>1:&6b3<692wvqp5f1ca94?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26477[50z&1e4<6l=1C>4;4i0c3>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k;7[?6c;0x 0`02?1/9k856:~yx=n9h;1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`38R4?d2;q/9k956:&6b3<13twvq6g>ab83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6ij1]=4m52z&6b2<13-?m:784}|~?l7e?3:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?m7:T2=f<5s-?m;784$4d5>3=zutw0c4b23_;2o73=#=o<1:6sr}|9~f1`4290:=7>50z&1e4<1;2B9585f1`294?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26{zut1b=l?50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28k:7[?6c;0x 0`02j1/9k85c:~yx=n9hi1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74gd3_;2o744<,:0;e?S4f;3;p@?o::0yUb5<5s-;n57?m7:T2=f<5s-?m;7?=;%7e2?753twvq6g>b`83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6jh1]=4m52z&6b2<6:2.>j;4>2:~yx=n9kh1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751c`8R4?d2;q/9k95139'1c0=9;1vqps4i0``>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28hh7[?6c;0x 0`02880(8h9:008yx{z3`;ih7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3a`>P61j09w);i7;31?!3a>3;97psr}:k2f`<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:nh5Y18a96~"2n>0:>6*:f7826>{zut1b=oh50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28hm7[?6c;0x 0`02880(8h9:008yx{z3`;j>7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6i;1]=4m52z&6b2<6:2.>j;4>2:~yx=n9h91<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`18R4?d2;q/9k956:&6b3<13twvq6g>a583>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i=1]=4m52z&6b2<13-?m:784}|~?l7f=3:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n5:T2=f<5s-?m;784$4d5>3=zutw0e4g13_;2o73=#=o<1:6sr}|9j5d1=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l94V0;`>7}#=o=1:6*:f785?x{zu2e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f685?!3a>3<0qpsr;|`7b2<72;0;6=u+2`39g4=O:0?0e<7i:18'6d7=90l07b?k5;29 7g628n>7[50z&1e4<61m1C>4;4$0a3>42P61j09w);i7;48 0`12?1vqps4ib194?"5i80h?6X=a282I4f=3;pZl?5c59U6d5=:rF9m84>{W3``?4|,8o26n:4V0;`>7}#=o=146*:f78;?x{zD;k?69uY28097~Pbm38p(8h::b68 6442{#>>91i6sY28097~Pbm38p(8h::b68 6442{#>>91i6sY28097~Pbm38p(8h::b68 6442<<:4d4?x"1?:0n7pX>e981Scb2;q/9k;5c59'775==o=0q)883;30?x{z3`83n7>5$3c2>7>e3_8j?74}Q9jn1>v*>e881v*:f6826>"2n?0:>6sr}M0b0?7|^;3j6?uYed81!3a=383n6*<2286b2=z,?=86<<4}|8m7>f290/>l?529c8R7g42;qG>l;51zT2ga<5s-;n57<7a:T2=f<5s-?m;7?=;%7e2?753twv@?o;:0yU67>f3-99?7;i7:'225=9;1vqp5`1e794?"5i80:h854}c6e=?6=;3:14g73_;2o73=#=o<1:6sr}|9j5d7=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l?4V0;`>7}#=o=1:6*:f785?x{zu2e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f685?!3a>3<0qpsr;|`7bd<7280;6=u+2`396d><@;3>7b?k5;29 7g628n>76sm4ga94?5=83:p(?o>:718L7?23`;j<7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b4>P61j09w);i7;32?!3a>3;:7psr}:k2e4<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751`38R4?d2;q/9k95b:&6b3d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd3nm0;6>4?:1y'6d7=>:1C>4;4i0c3>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?n0:T2=f<5s-?m;7?>;%7e2?763twvq6g>a083>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i81]=4m52z&6b2<692.>j;4>1:~yx=h9m?1<7*=a082`0=Q:h91=vB=a482S`72;q/=h751e78R4?d2;q/9k956:&6b3<13twvq6sm4g`94?5=83:p(?o>:0f7?M4>=2c:m=4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f685?!3a>3<0qpsr;h3b5?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb5d;>5<4290;w)=2c:m=4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f6825>"2n?0:=6sr}|9j5d7=83.9m<4>9g9K6d6<^;k86k38p(8h8:038 0`128;0qpsr;n3g1?6=,;k:669b81!3a?3<0(8h9:79~yx{52;294~"5i80h=6F=949j5<`=83.9m<4>9g98k4b2290/>l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn8>9:185>5<7s-8j=7?6d:J1=0=#9j:1=95f18d94?"5i80:5k5Y2`195~J5i<0:w[?ld;0x 4c>283m7[?6c;0x 0`02?1/9k856:~yx=nk:0;6)3=zutw0en:50;&1e44={M0b1?7|^8io6?u+1d;9g1=Q90i1>v*:f68;?!3a>320qpsC2`690~P51;08w[kj:3y'1c3=k=1/??=55g58ySca2;q/9k;518d8 6442>4:f69~ 3142890qps4i3:a>5<#:h;1>5l4V3c0>7}K:h?1=vX>ce81!7b1383n6X>9b81!3a?3;97);i6;31?x{zD;k?65o50;&1e4<50h1]>l=52zN1e0<6s_;hh77>f3_;2o744<,7}#=o?1>5o4$200>0`03t.=;>4>2:~y>i6l<0;6)=zj<:96=4<:183!4f9382i6F=949j5d6=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l>4V0;`>7}#=o=1:6*:f785?x{zu2c:m<4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e4=Q90i1>v*:f685?!3a>3<0qpsr;n3g1?6=,;k:669b81!3a?3<0(8h9:79~yx{51;294~"5i809m55G2878k4b2290/>l?51e78?xd28=0;6>4?:1y'6d7=>:1C>4;4i0c3>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?n0:T2=f<5s-?m;7l4$4d5>g=zutw0e:18'6d7=90l0D?o?;W0b7?7|D;k>69b81!3a?3h0(8h9:c9~yx{6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb422>5<4290;w)=2c:m=4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f6825>"2n?0:=6sr}|9j5d7=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l?4V0;`>7}#=o=1=<5+5g4954=zutw0c4b23_;2o73=#=o<1:6sr}|9~f37029096=4?{%0b5?e63A8296g>9g83>!4f93;2j65`1e794?"5i80:h85Y2`195~J5i<0:w[h?:3y'5`?=9m?0Z<7l:3y'1c1=>2.>j;49;|~y>{e>;91<7=50;2x 7g62;3n7E<65:k2e5<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m=5Y18a96~"2n>0=7);i6;48yx{z3`;j=7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b5>P61j09w);i7;d8 0`12o1vqps4o0f6>5<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zj?896=49:183!4f93;2h6F=949'5f6=9=1b=4h50;&1e4<61o1]>l=51zN1e0<6s_;hh74?a3_;2o73=#=o<1:6sr}|9jg6<72-8j=7m<;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{1<7*=a08`0>P5i:09wAj:47;%7e2?>l:54zT1=7<4s_on6?u+5g79g1=#;;919k94}Wge>7}#=o?1=4h4$200>0`03t.=;>4j;|T1=7<4s_on6?u+5g79g1=#;;919k94}Wge>7}#=o?1=4h4$200>0`13t.=;>4j;|T1=7<4s_on6?u+5g79g1=#;;919k94}Wge>7}#=o?1o>5+33191c1v*:f48`0>"4::0>j:5r$750>454o52zTfa?4|,6?6m;%117?3a?2w/::=5139~yx=n:1k1<7*=a081vB=a482S7dl38p(k38p(8h8:008 0`12880qpsC2`695~P51h09w[kj:3y'1c3=:1k0(><<:4d4?x"1?:0:>6sr}:m2`0<72-8j=7?k5:9~f37?29086=4?{%0b5?4>m2B9585f1`294?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h:0Z<7l:3y'1c1=>2.>j;49;|~y>o6i80;6)P5i:0:wAa09U5j:49;%7e2?07[50z&1e4<5i11C>4;4o0f6>5<#:h;1=i;4;|`55g<72:0;6=u+2`3926=O:0?0e4g73_;2o73=#=o<1:6sr}|9j5d7=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l?4V0;`>7}#=o=1:6*:f785?x{zu2e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f685?!3a>3<0qpsr;|`55f<72=0;6=u+2`3926=O:0?0e4g73_;2o7g=#=o<1n6sr}|9j5d7=83.9m<4>9g9K6d6<^;k86k38p(8h8:038 0`128;0qpsr;h3bg?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3;:7);i6;32?x{zu2e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f685?!3a>3<0qpsr;|`55a<728;1<7>t$3c2>35<@;3>7d?n0;29 7g6283m7E0:=6*:f7825>{zut1b=l?50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d7<^83h6?u+5g5954=#=o<1=<5r}|8m4gd290/>l?518d8R7g428qG>l;51zTe4?4|,8o2647;|~y>o6jh0;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95gg<^83h6?u+5g59f>"2n?0i7psr}:k2fg<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:no5Y18a96~"2n>0:=6*:f7825>{zut1b=om50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95ge<^83h6?u+5g5954=#=o<1=<5r}|8m4dc290/>l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3a`>P61j09w);i7;32?!3a>3;:7psr}:k2f`<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751cg8R4?d2;q/9k95109'1c0=981vqps4i0`e>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?mf:T2=f<5s-?m;7?>;%7e2?763twvq6g>a383>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i;1]=4m52z&6b2<13-?m:784}|~?l7f;3:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n3:T2=f<5s-?m;784$4d5>3=zutw0e4g33_;2o73=#=o<1:6sr}|9j5d3=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l;4V0;`>7}#=o=1:6*:f785?x{zu2c:m;4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e3=Q90i1>v*:f685?!3a>3<0qpsr;h3b3?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb73f>5<693:1N51<1b=l>50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28k;7[?6c;0x 0`028;0(8h9:038yx{z3`;j=7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b5>P61j09w);i7;32?!3a>3;:7psr}:k2ef<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:mn5Y18a96~"2n>0:=6*:f7825>{zut1b=o950;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95g1<^83h6?u+5g5954=#=o<1=<5r}|8m4df290/>l?518d8R7g428qG>l;51zTe4?4|,8o2647;|~y>o6jj0;6)P5i:0:wAbb9U5j:4>1:&6b3<692wvqp5f1cf94?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26470:=6*:f7825>{zut1b=oh50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28hm7[?6c;0x 0`028;0(8h9:038yx{z3`;j>7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b6>P61j09w);i7;48 0`12?1vqps4i0c0>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k87[?6c;0x 0`02?1/9k856:~yx=n9h>1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`68R4?d2;q/9k956:&6b3<13twvq6g>a483>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i<1]=4m52z&6b2<13-?m:784}|~?l7f>3:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n6:T2=f<5s-?m;784$4d5>3=zutw0e4g03_;2o73=#=o<1:6sr}|9l5a3=83.9m<4>d49U6d5=9rF9m84>{Wd3>7}#9l31=i;4V0;`>7}#=o=1:6*:f785?x{zu2wi:5<7s-8j=78<;I0:1>o6i90;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95d6<^83h6?u+5g59f>"2n?0i7psr}:k2e4<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751`38R4?d2;q/9k95b:&6b3ab83>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=lm4V0;`>7}#=o=1=<5+5g4954=zutw0e4d03_;2o747<,:0;e?S4f;3;p@?o::0yUb5<5s-;n57?ma:T2=f<5s-?m;7?>;%7e2?763twvq6g>bc83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6jk1]=4m52z&6b2<692.>j;4>1:~yx=n9ki1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74dd3_;2o7g=#=o<1n6sr}|9j5gb=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=oj4V0;`>7}#=o=1=<5+5g4954=zutw0e4db3_;2o747<,:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882fc=Q90i1>v*:f6825>"2n?0:=6sr}|9j5d4=83.9m<4>9g9K6d6<^;k86k38p(8h8:038 0`128;0qpsr;h3b7?6=,;k:6<7i;I0b4>P5i:0:wAa29U5j:4>1:&6b3<692wvqp5f1`694?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h>0Z<7l:3y'1c1=>2.>j;49;|~y>o6i<0;6)P5i:0:wAa49U5j:49;%7e2?02\:5n4={%7e3?0<,l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a276=83;:6=4?{%0b5?043A8296g>a183>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=l>4V0;`>7}#=o=1n6*:f78a?x{zu2c:m<4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9h;0Z<7l:3y'1c1=j2.>j;4m;|~y>o6ij0;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95de<^83h6?u+5g5954=#=o<1=<5r}|8m4d0290/>l?518d8R7g428qG>l;51zTe4?4|,8o2647;|~y>o6jk0;6)P5i:0:wAbc9U5j:4>1:&6b3<692wvqp5f1ca94?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9ki0Z<7l:3y'1c1=981/9k85109~yx{k38p(8h8:038 0`128;0qpsr;h3aa?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3;:7);i6;32?x{zu2c:nk4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9kl0Z<7l:3y'1c1=981/9k85109~yx{;|~y>o6i:0;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95d5<^83h6?u+5g5954=#=o<1=<5r}|8m4g3290/>l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=l;50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d3<^83h6?u+5g592>"2n?0=7psr}:k2e3<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m;5Y18a96~"2n>0=7);i6;48yx{z3`;j;7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b3>P61j09w);i7;48 0`12?1vqps4o0f6>5<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zj?;j6=48:183!4f93;o86F=949j5d6=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l>4V0;`>7}#=o=1:6*:f785?x{zu2c:m<4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e4=Q90i1>v*:f685?!3a>3<0qpsr;h3bg?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{k38p(8h8:79'1c0=>2wvqp5f1cc94?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9kk0Z<7l:3y'1c1=>2.>j;49;|~y>o6jk0;6)P5i:0:wAbc9U5j:49;%7e2?07[7>50z&1e45<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zj:=m6=4=:183!4f93i:7E<65:k2=c<72-8j=7?6f:9l5a3=83.9m<4>d49U6d5=9rF9m84>{Wd3>7}#9l31=i;4V0;`>7}#=o=1:6*:f785?x{zu2wi?:k50;494?6|,;k:6<7k;I0:1>"6k90:86g>9g83>!4f93;2j6X=a282I4f=3;pZ2.>j;49;|~y>od;3:1(?o>:b18R7g428qG>l;51zT2ga<5s-;n57m<;W3:g?4|,{zut1bo94?:%0b5?e33_8j?74}Q9jn1>v*>e88`0>P61j09w);i7;:8 0`1211vqpB=a587S4>:39pZhk52z&6b0>4:f69~R``=:r.>j84>9g9'775==o=0q)883;g8yS4>:39pZhk52z&6b0>4:f69~R``=:r.>j84>9g9'775==o<0q)883;g8yS4>:39pZhk52z&6b0>4:f69~R``=:r.>j84l3:&066<2n>1v(;9<:69~R4c?2;q]ih4={%7e1?e33-99?7;i7:'225=9:1vqp5f29`94?"5i8094o5Y2`196~J5i<0:w[?ld;0x 4c>2;2i7[?6c;0x 0`02880(8h9:008yx{K:h>1=vX=9`81Scb2;q/9k;529`8 6442{zu2c94l4?:%0b5?4?i2\9m>4={M0b1?7|^8io6?u+1d;96=g<^83h6?u+5g5957=#=o<1=?5r}|N1e1<6s_82m7:0f6?>{e;>91<7=50;2x 7g62;3n7E<65:k2e5<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m=5Y18a96~"2n>0=7);i6;48yx{z3`;j=7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b5>P61j09w);i7;48 0`12?1vqps4o0f6>5<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zj:=?6=4>:183!4f938j46F=949l5a3=83.9m<4>d498yg50>3:1?7>50z&1e4<1;2B9585f1`294?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h:0Z<7l:3y'1c1=>2.>j;49;|~y>o6i80;6)P5i:0:wAa09U5j:49;%7e2?07[50z&1e4<1;2B9585f1`294?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h:0Z<7l:3y'1c1=>2.>j;49;|~y>o6i80;6)P5i:0:wAa09U5j:49;%7e2?07[<4?:1y'6d7=>:1C>4;4i0c3>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k;7[?6c;0x 0`02k1/9k85b:~yx=n9h;1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`38R4?d2;q/9k95b:&6b3ab83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6ij1]=4m52z&6b2:0;e?S4f;3;p@?o::0yUb5<5s-;n57?m7:T2=f<5s-?m;7l4$4d5>g=zutw0e4df3_;2o7g=#=o<1n6sr}|9j5gd=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=ol4V0;`>7}#=o=1n6*:f78a?x{zu2c:nn4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882ff=Q90i1>v*:f68a?!3a>3h0qpsr;h3a`?6=,;k:6<7i;I0b4>P5i:0:wAbe9U5j:4m;%7e2?dl?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=l<50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d4<^83h6?u+5g59f>"2n?0i7psr}:k2e6<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m>5Y18a96~"2n>0i7);i6;`8yx{z3`;j87>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b0>P61j09w);i7;`8 0`12k1vqps4i0c6>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k>7[?6c;0x 0`02k1/9k85b:~yx=n9h<1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`48R4?d2;q/9k95b:&6b3a683>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=l94V0;`>7}#=o=1n6*:f78a?x{zu2c:m54?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9h20Z<7l:3y'1c1=981/9k85109~yx{;|~y>o6ih0;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95dg<^83h6?u+5g5954=#=o<1=<5r}|8m4ge290/>l?518d8R7g428qG>l;51zTe4?4|,8o2647l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=lh50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d`<^83h6?u+5g592>"2n?0=7psr}:k2f5<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:n=5Y18a96~"2n>0=7);i6;48yx{z3`;i=7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3a5>P61j09w);i7;48 0`12?1vqps4i0`1>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28h97[?6c;0x 0`02?1/9k856:~yx=n9k91<7*=a082=c=Q:h91=vB=a482S`72;q/=h751c18R4?d2;q/9k956:&6b3<13twvq6g>b583>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6j=1]=4m52z&6b2<13-?m:784}|~?l7e=3:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?m5:T2=f<5s-?m;784$4d5>3=zutw0e4d13_;2o73=#=o<1:6sr}|9j5g>=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=o64V0;`>7}#=o=1:6*:f785?x{zu2c:n44?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882f<=Q90i1>v*:f685?!3a>3<0qpsr;n3g1?6=,;k:669b81!3a?3<0(8h9:79~yx{52083>5}#:h;1:>5G2878m4g7290/>l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=l?50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d7<^83h6?u+5g59f>"2n?0i7psr}:k2ef<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:mn5Y18a96~"2n>0i7);i6;`8yx{z3`;i;7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3a3>P61j09w);i7;`8 0`12k1vqps4i0`b>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28hj7[?6c;0x 0`02k1/9k85b:~yx=n9kh1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751c`8R4?d2;q/9k95b:&6b3bb83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6jj1]=4m52z&6b2:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882fa=Q90i1>v*:f68a?!3a>3h0qpsr;h3aa?6=,;k:6<7i;I0b4>P5i:0:wAbd9U5j:4m;%7e2?dl?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=l=50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d5<^83h6?u+5g59f>"2n?0i7psr}:k2e1<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m95Y18a96~"2n>0i7);i6;`8yx{z3`;j97>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b1>P61j09w);i7;`8 0`12k1vqps4i0c5>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k=7[?6c;0x 0`02k1/9k85b:~yx=n9h=1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74g03_;2o7g=#=o<1n6sr}|9j5d>=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l64V0;`>7}#=o=1=<5+5g4954=zutw0e69b81!3a?3;:7);i6;32?x{zu2c:ml4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9hk0Z<7l:3y'1c1=981/9k85109~yx{k38p(8h8:038 0`128;0qpsr;h3b`?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{k38p(8h8:79'1c0=>2wvqp5f1`d94?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9hl0Z<7l:3y'1c1=>2.>j;49;|~y>o6j90;6)P5i:0:wAb19U5j:49;%7e2?0l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=o=50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95g5<^83h6?u+5g592>"2n?0=7psr}:k2f1<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:n95Y18a96~"2n>0=7);i6;48yx{z3`;i97>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3a1>P61j09w);i7;48 0`12?1vqps4i0`5>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28h=7[?6c;0x 0`02?1/9k856:~yx=n9k21<7*=a082=c=Q:h91=vB=a482S`72;q/=h751c:8R4?d2;q/9k956:&6b3<13twvq6g>b883>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6j01]=4m52z&6b2<13-?m:784}|~?j7c=3:1(?o>:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo=8a;2964<729q/>l?5629K6<3k38p(8h8:c9'1c0=j2wvqp5f1`394?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h;0Z<7l:3y'1c1=j2.>j;4m;|~y>o6ij0;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95de<^83h6?u+5g59f>"2n?0i7psr}:k2f2<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:n:5Y18a96~"2n>0i7);i6;`8yx{z3`;im7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6jh1]=4m52z&6b2:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882fg=Q90i1>v*:f68a?!3a>3h0qpsr;h3ag?6=,;k:6<7i;I0b4>P5i:0:wAbb9U5j:4m;%7e2?d0i7);i6;`8yx{z3`;ii7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3aa>P61j09w);i7;`8 0`12k1vqps4i0`e>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28hm7[?6c;0x 0`02k1/9k85b:~yx=n9h81<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`08R4?d2;q/9k95b:&6b3a283>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i:1]=4m52z&6b2:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n4:T2=f<5s-?m;7l4$4d5>g=zutw0e4g23_;2o7g=#=o<1n6sr}|9j5d0=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l84V0;`>7}#=o=1n6*:f78a?x{zu2c:m:4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9h=0Z<7l:3y'1c1=j2.>j;4m;|~y>o6i10;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95d><^83h6?u+5g5954=#=o<1=<5r}|8m4g>290/>l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3b=>P61j09w);i7;32?!3a>3;:7psr}:k2ed<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751`c8R4?d2;q/9k95109'1c0=981vqps4i0ca>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28ki7[?6c;0x 0`028;0(8h9:038yx{z3`;jh7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b`>P61j09w);i7;48 0`12?1vqps4i0cf>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28kn7[?6c;0x 0`02?1/9k856:~yx=n9hl1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`d8R4?d2;q/9k956:&6b3<13twvq6g>b183>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6j91]=4m52z&6b2<13-?m:784}|~?l7e93:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?m1:T2=f<5s-?m;784$4d5>3=zutw0e4d53_;2o73=#=o<1:6sr}|9j5g5=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=o=4V0;`>7}#=o=1:6*:f785?x{zu2c:n94?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882f1=Q90i1>v*:f685?!3a>3<0qpsr;h3a1?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{k38p(8h8:79'1c0=>2wvqp5f1c:94?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9k20Z<7l:3y'1c1=>2.>j;49;|~y>o6j00;6)P5i:0:wAb89U5j:49;%7e2?07[<4?:1y'6d7=>:1C>4;4i0c3>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k;7[?6c;0x 0`02k1/9k85b:~yx=n9h;1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`38R4?d2;q/9k95b:&6b3ab83>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=lm4V0;`>7}#=o=1n6*:f78a?x{zu2c:n:4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882f2=Q90i1>v*:f68a?!3a>3h0qpsr;h3ae?6=,;k:6<7i;I0b4>P5i:0:wAb`9U5j:4m;%7e2?d0i7);i6;`8yx{z3`;io7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6jj1]=4m52z&6b2:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882fa=Q90i1>v*:f68a?!3a>3h0qpsr;h3aa?6=,;k:6<7i;I0b4>P5i:0:wAbd9U5j:4m;%7e2?dl?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=l=50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d5<^83h6?u+5g59f>"2n?0i7psr}:k2e1<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m95Y18a96~"2n>0i7);i6;`8yx{z3`;j97>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b1>P61j09w);i7;`8 0`12k1vqps4i0c5>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k=7[?6c;0x 0`02k1/9k85b:~yx=n9h=1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74g03_;2o7g=#=o<1n6sr}|9j5d>=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l64V0;`>7}#=o=1=<5+5g4954=zutw0e69b81!3a?3;:7);i6;32?x{zu2c:ml4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9hk0Z<7l:3y'1c1=981/9k85109~yx{k38p(8h8:038 0`128;0qpsr;h3b`?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{k38p(8h8:79'1c0=>2wvqp5f1`d94?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9hl0Z<7l:3y'1c1=>2.>j;49;|~y>o6j90;6)P5i:0:wAb19U5j:49;%7e2?0l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=o=50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95g5<^83h6?u+5g592>"2n?0=7psr}:k2f1<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:n95Y18a96~"2n>0=7);i6;48yx{z3`;i97>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3a1>P61j09w);i7;48 0`12?1vqps4i0`5>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28h=7[?6c;0x 0`02?1/9k856:~yx=n9k21<7*=a082=c=Q:h91=vB=a482S`72;q/=h751c:8R4?d2;q/9k956:&6b3<13twvq6g>b883>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6j01]=4m52z&6b2<13-?m:784}|~?j7c=3:1(?o>:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo=8c;2964<729q/>l?5629K6<3k38p(8h8:c9'1c0=j2wvqp5f1`394?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h;0Z<7l:3y'1c1=j2.>j;4m;|~y>o6ij0;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95de<^83h6?u+5g59f>"2n?0i7psr}:k2f2<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:n:5Y18a96~"2n>0i7);i6;`8yx{z3`;im7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6jh1]=4m52z&6b2:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882fg=Q90i1>v*:f68a?!3a>3h0qpsr;h3ag?6=,;k:6<7i;I0b4>P5i:0:wAbb9U5j:4m;%7e2?d0i7);i6;`8yx{z3`;ii7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6jl1]=4m52z&6b2:0;e?S4f;3;p@?o::0yUb5<5s-;n57?mf:T2=f<5s-?m;7l4$4d5>g=zutw0e4g53_;2o7g=#=o<1n6sr}|9j5d5=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l=4V0;`>7}#=o=1n6*:f78a?x{zu2c:m94?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e1=Q90i1>v*:f68a?!3a>3h0qpsr;h3b1?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3h0(8h9:c9~yx{k38p(8h8:c9'1c0=j2wvqp5f1`594?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26{zut1b=l650;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d><^83h6?u+5g5954=#=o<1=<5r}|8m4g>290/>l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3b=>P61j09w);i7;32?!3a>3;:7psr}:k2ed<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751`c8R4?d2;q/9k95109'1c0=981vqps4i0ca>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28ki7[?6c;0x 0`028;0(8h9:038yx{z3`;jh7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b`>P61j09w);i7;48 0`12?1vqps4i0cf>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28kn7[?6c;0x 0`02?1/9k856:~yx=n9hl1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`d8R4?d2;q/9k956:&6b3<13twvq6g>b183>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6j91]=4m52z&6b2<13-?m:784}|~?l7e93:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?m1:T2=f<5s-?m;784$4d5>3=zutw0e4d53_;2o73=#=o<1:6sr}|9j5g5=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=o=4V0;`>7}#=o=1:6*:f785?x{zu2c:n94?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882f1=Q90i1>v*:f685?!3a>3<0qpsr;h3a1?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{k38p(8h8:79'1c0=>2wvqp5f1c:94?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9k20Z<7l:3y'1c1=>2.>j;49;|~y>o6j00;6)P5i:0:wAb89U5j:49;%7e2?07[50z&1e4<6l=1C>4;4i0c3>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k;7[?6c;0x 0`02?1/9k856:~yx=n9h;1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`38R4?d2;q/9k956:&6b3<13twvq6g>ab83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6ij1]=4m52z&6b2<13-?m:784}|~?l7e?3:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?m7:T2=f<5s-?m;784$4d5>3=zutw0e4df3_;2o73=#=o<1:6sr}|9j5gd=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=ol4V0;`>7}#=o=1:6*:f785?x{zu2c:nn4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882ff=Q90i1>v*:f685?!3a>3<0qpsr;n3g1?6=,;k:669b81!3a?3<0(8h9:79~yx{52;294~"5i80h=6F=949j5<`=83.9m<4>9g98k4b2290/>l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn;:n:185>5<7s-8j=7?6d:J1=0=#9j:1=95f18d94?"5i80:5k5Y2`195~J5i<0:w[?ld;0x 4c>283m7[?6c;0x 0`02?1/9k856:~yx=nk:0;6)3=zutw0en:50;&1e44={M0b1?7|^8io6?u+1d;9g1=Q90i1>v*:f68;?!3a>320qpsC2`690~P51;08w[kj:3y'1c3=k=1/??=55g58ySca2;q/9k;518d8 6442>4:f69~ 3142890qps4i3:a>5<#:h;1>5l4V3c0>7}K:h?1=vX>ce81!7b1383n6X>9b81!3a?3;97);i6;31?x{zD;k?65o50;&1e4<50h1]>l=52zN1e0<6s_;hh77>f3_;2o744<,7}#=o?1>5o4$200>0`03t.=;>4>2:~y>i6l<0;6)=zj?9m6=4<:183!4f9382i6F=949j5d6=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l>4V0;`>7}#=o=1:6*:f785?x{zu2c:m<4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e4=Q90i1>v*:f685?!3a>3<0qpsr;n3g1?6=,;k:669b81!3a?3<0(8h9:79~yx{51;294~"5i809m55G2878k4b2290/>l?51e78?xd1<;0;6>4?:1y'6d7=>:1C>4;4i0c3>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k;7[?6c;0x 0`02?1/9k856:~yx=n9h;1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`38R4?d2;q/9k956:&6b3<13twvq6a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd1<:0;6>4?:1y'6d7=>:1C>4;4i0c3>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k;7[?6c;0x 0`02?1/9k856:~yx=n9h;1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`38R4?d2;q/9k956:&6b3<13twvq6a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd1<=0;6>4?:1y'6d7=>:1C>4;4i0c3>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k;7[?6c;0x 0`028;0(8h9:038yx{z3`;j=7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b5>P61j09w);i7;32?!3a>3;:7psr}:m2`0<72-8j=7?k5:T1e6<6sE8j97?tVg296~"6m00:h85Y18a96~"2n>0=7);i6;48yx{z3th=884?:283>5}#:h;1:>5G2878m4g7290/>l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3b4>P61j09w);i7;32?!3a>3;:7psr}:k2e4<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m<5Y18a96~"2n>0:=6*:f7825>{zut1d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a210=8321<7>t$3c2>35<@;3>7d?n0;29 7g6283m7E0:=6*:f7825>{zut1b=l?50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d7<^83h6?u+5g5954=#=o<1=<5r}|8m4gd290/>l?518d8R7g428qG>l;51zTe4?4|,8o2647;|~y>o6jh0;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95gg<^83h6?u+5g59f>"2n?0i7psr}:k2fg<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:no5Y18a96~"2n>0:=6*:f7825>{zut1b=om50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28hh7[?6c;0x 0`028;0(8h9:038yx{z3f;o97>5$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c473?6=03:1N51<1b=l>50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28k;7[?6c;0x 0`028;0(8h9:038yx{z3`;j=7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b5>P61j09w);i7;32?!3a>3;:7psr}:k2ef<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:mn5Y18a96~"2n>0:=6*:f7825>{zut1b=o950;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95g1<^83h6?u+5g5954=#=o<1=<5r}|8m4df290/>l?518d8R7g428qG>l;51zTe4?4|,8o2647;|~y>o6jj0;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95ge<^83h6?u+5g5954=#=o<1=<5r}|8k4b2290/>l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn;:7:1825?6=8r.9m<493:J1=0=n9h:1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74g73_;2o7g=#=o<1n6sr}|9j5d7=83.9m<4>9g9K6d6<^;k86k38p(8h8:c9'1c0=j2wvqp5f1`a94?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o2647;|~y>o6jh0;6)P5i:0:wAb`9U5j:4>1:&6b3<692wvqp5f1c`94?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9kh0Z<7l:3y'1c1=981/9k85109~yx{l?518d8R7g428qG>l;51zTe4?4|,8o2647;|~y>o6jo0;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95g`<^83h6?u+5g5954=#=o<1=<5r}|8m4g5290/>l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3b6>P61j09w);i7;32?!3a>3;:7psr}:k2e6<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m>5Y18a96~"2n>0=7);i6;48yx{z3`;j87>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b0>P61j09w);i7;48 0`12?1vqps4i0c6>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k>7[?6c;0x 0`02?1/9k856:~yx=n9h<1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`48R4?d2;q/9k956:&6b3<13twvq6g>a683>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i>1]=4m52z&6b2<13-?m:784}|~?j7c=3:1(?o>:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo8;1;29d59K6<3k38p(8h8:79'1c0=>2wvqp5f1`394?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h;0Z<7l:3y'1c1=>2.>j;49;|~y>o6ij0;6)P5i:0:wAab9U5j:49;%7e2?0l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=ol50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95gd<^83h6?u+5g592>"2n?0=7psr}:k2ff<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:nn5Y18a96~"2n>0=7);i6;48yx{z3f;o97>5$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c405?6=:3:1N51<1b=4h50;&1e4<61o10c4b23_;2o73=#=o<1:6sr}|9~f35c290=6=4?{%0b5?7>l2B9585+1b2951=n90l1<7*=a082=c=Q:h91=vB=a482S7dl38p(k38p(8h8:79'1c0=>2wvqp5fc283>!4f93i87[7}#9l31o95Y18a96~"2n>037);i6;:8yx{K:h>18vX=9380Scb2;q/9k;5c59'775==o=0q[ki:3y'1c3=90l0(><<:4d4?x"1?:0n7pX=9380Scb2;q/9k;5c59'775==o=0q[ki:3y'1c3=90l0(><<:4d5?x"1?:0n7pX=9380Scb2;q/9k;5c59'775==o=0q[ki:3y'1c3=k:1/??=55g58y!00;3=0q[?j8;0xR`c=:r.>j84l4:&066<2n>1v(;9<:018yx{P61j09w);i7;31?!3a>3;97psrL3c7>4}Q:0k1>vXje;0x 0`22;2i7)==3;7e3>{#>>91=?5r}|9j6=g=83.9m<4=8`9U6d5=:rF9m84>{W3``?4|,8o26?6n;W3:g?4|,44l:51zT1=d<5s_on6?u+5g796=g<,:8868h8;|&536<6:2wvq6a>d483>!4f93;o965rb711>5<4290;w)N51<1b=l>50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d6<^83h6?u+5g592>"2n?0=7psr}:k2e4<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m<5Y18a96~"2n>0=7);i6;48yx{z3f;o97>5$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c407?6=93:16<729q/>l?5629K6<3k38p(8h8:79'1c0=>2wvqp5f1`394?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h;0Z<7l:3y'1c1=>2.>j;49;|~y>i6l<0;6)P5i:0:wAd49U5j:49;%7e2?06<729q/>l?5629K6<3k38p(8h8:79'1c0=>2wvqp5f1`394?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h;0Z<7l:3y'1c1=>2.>j;49;|~y>i6l<0;6)P5i:0:wAd49U5j:49;%7e2?06<729q/>l?5629K6<3;|~y>o6i80;6)P5i:0:wAa09U5j:4>1:&6b3<692wvqp5`1e794?"5i80:h85Y2`195~J5i<0:w[h?:3y'5`?=9m?0Z<7l:3y'1c1=>2.>j;49;|~y>{e>:21<7:50;2x 7g62?90D?7:;h3b4?6=,;k:6<7i;I0b4>P5i:0:wAa19U5j:4>1:&6b3<692wvqp5f1`394?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h;0Z<7l:3y'1c1=981/9k85109~yx{;|~y>i6l<0;6)P5i:0:wAd49U5j:49;%7e2?02<729q/>l?5629K6<3k38p(8h8:c9'1c0=j2wvqp5f1`394?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26;W3:g?4|,470i7);i6;`8yx{z3`;i;7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6j>1]=4m52z&6b2<692.>j;4>1:~yx=n9kk1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74df3_;2o747<,:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882fg=Q90i1>v*:f6825>"2n?0:=6sr}|9l5a3=83.9m<4>d49U6d5=9rF9m84>{Wd3>7}#9l31=i;4V0;`>7}#=o=1:6*:f785?x{zu2wi:>o50;594?6|,;k:6;=4H3;6?l7f83:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n0:T2=f<5s-?m;7l4$4d5>g=zutw0e:18'6d7=90l0D?o?;W0b7?7|D;k>69b81!3a?3;:7);i6;32?x{zu2c:mn4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882ef=Q90i1>v*:f6825>"2n?0:=6sr}|9j5g1=83.9m<4>9g9K6d6<^;k86k38p(8h8:038 0`128;0qpsr;h3ae?6=,;k:6<7i;I0b4>P5i:0:wAb`9U5j:4>1:&6b3<692wvqp5f1c`94?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26477[50z&1e4<1;2B9585f1`294?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o2647;|~y>o6ij0;6)P5i:0:wAab9U5j:4>1:&6b3<692wvqp5f1c594?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9k=0Z<7l:3y'1c1=981/9k85109~yx{k38p(8h8:c9'1c0=j2wvqp5f1c`94?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26470:=6*:f7825>{zut1d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a262=8321<7>t$3c2>4b33A8296g>a183>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i91]=4m52z&6b2<13-?m:784}|~?l7f93:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n1:T2=f<5s-?m;784$4d5>3=zutw0e4gd3_;2o73=#=o<1:6sr}|9j5g1=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=o94V0;`>7}#=o=1:6*:f785?x{zu2c:nl4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882fd=Q90i1>v*:f685?!3a>3<0qpsr;h3af?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{k38p(8h8:79'1c0=>2wvqp5`1e794?"5i80:h85Y2`195~J5i<0:w[h?:3y'5`?=9m?0Z<7l:3y'1c1=>2.>j;49;|~y>{e>=h1<7<50;2x 7g62j;0D?7:;h3:b?6=,;k:6<7i;:m2`0<72-8j=7?k5:T1e6<6sE8j97?tVg296~"6m00:h85Y18a96~"2n>0=7);i6;48yx{z3th=9:4?:783>5}#:h;1=4j4H3;6?!7d83;?7d?6f;29 7g6283m7[l?5c29U6d5=9rF9m84>{W3``?4|,8o26n=4V0;`>7}#=o=1:6*:f785?x{zu2ch87>5$3c2>f2<^;k86?uC2`795~P6km09w)?j9;a7?S7>k38p(8h8:99'1c0=02wvqA1v(;9<:d9~R7?52:q]ih4={%7e1?e33-99?7;i7:Uac<5s-?m97?6f:&066<2n?1v(;9<:d9~R7?52:q]ih4={%7e1?e33-99?7;i7:Uac<5s-?m97m<;%117?3a?2w/::=57:U5`>=:r\ni7f2<,:8868h8;|&536<6;2wvq6g=8c83>!4f9383n6X=a281I4f=3;pZj84=8c9'775==o=0q)883;31?x{z3`83m7>5$3c2>7>f3_8j?74}Q9jn1>v*>e881v*:f6826>"2n?0:>6sr}M0b0?7|^;3j6?uYed81!3a=383m6*<2286b2=z,?=86<<4}|8k4b2290/>l?51e78?xd14?:1y'6d7=:0o0D?7:;h3b4?6=,;k:6<7i;I0b4>P5i:0:wAa19U5j:49;%7e2?0l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn;:k:182>5<7s-8j=753;294~"5i80=?6F=949j5d6=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l>4V0;`>7}#=o=1:6*:f785?x{zu2c:m<4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e4=Q90i1>v*:f685?!3a>3<0qpsr;n3g1?6=,;k:669b81!3a?3<0(8h9:79~yx{<7>53;294~"5i80=?6F=949j5d6=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l>4V0;`>7}#=o=1:6*:f785?x{zu2c:m<4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e4=Q90i1>v*:f685?!3a>3<0qpsr;n3g1?6=,;k:669b81!3a?3<0(8h9:79~yx{=7>53;294~"5i80=?6F=949j5d6=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l>4V0;`>7}#=o=1=<5+5g4954=zutw0e:18'6d7=90l0Z?o<:0yO6d3=9r\m<74g63_;2o747<,:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo8:2;290?6=8r.9m<493:J1=0=n9h:1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`28R4?d2;q/9k95109'1c0=981vqps4i0c2>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?n1:T2=f<5s-?m;7?>;%7e2?763twvq6g>ab83>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=lm4V0;`>7}#=o=1=<5+5g4954=zutw0c4b23_;2o73=#=o<1:6sr}|9~f334290?6=4?{%0b5?043A8296g>a183>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=l>4V0;`>7}#=o=1n6*:f78a?x{zu2c:m<4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e4=Q90i1>v*:f6825>"2n?0:=6sr}|9j5de=83.9m<4>9g9K6d6<^;k86k38p(8h8:038 0`128;0qpsr;n3g1?6=,;k:669b81!3a?3<0(8h9:79~yx{87>54;294~"5i80=?6F=949j5d6=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l>4V0;`>7}#=o=1=<5+5g4954=zutw0e:18'6d7=90l0Z?o<:0yO6d3=9r\m<74g63_;2o747<,:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882ef=Q90i1>v*:f6825>"2n?0:=6sr}|9l5a3=83.9m<4>d49U6d5=9rF9m84>{Wd3>7}#9l31=i;4V0;`>7}#=o=1:6*:f785?x{zu2wi:8;50;794?6|,;k:6;=4H3;6?l7f83:1(?o>:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f6825>"2n?0:=6sr}|9j5d7=83.9m<4>9g9K6d6<^;k86k38p(8h8:038 0`128;0qpsr;h3bg?6=,;k:6<7i;I0b4>P5i:0:wAab9U5j:4>1:&6b3<692wvqp5f1c594?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9k=0Z<7l:3y'1c1=981/9k85109~yx{6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb76f>5N51<1b=l>50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d6<^83h6?u+5g592>"2n?0=7psr}:k2e4<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m<5Y18a96~"2n>0=7);i6;48yx{z3`;jo7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3bg>P61j09w);i7;48 0`12?1vqps4i0`4>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28h<7[?6c;0x 0`02?1/9k856:~yx=n9kk1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751cc8R4?d2;q/9k956:&6b3<13twvq6g>bc83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6jk1]=4m52z&6b2<13-?m:784}|~?l7ek3:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?mc:T2=f<5s-?m;784$4d5>3=zutw0c4b23_;2o73=#=o<1:6sr}|9~f14c29096=4?{%0b5?e63A8296g>9g83>!4f93;2j65`1e794?"5i80:h85Y2`195~J5i<0:w[h?:3y'5`?=9m?0Z<7l:3y'1c1=>2.>j;49;|~y>{e<:?1<7850;2x 7g6283o7E<65:&2g5<6<2c:5k4?:%0b5?7>n2\9m>4>{M0b1?7|^8io6?u+1d;95<`<^83h6?u+5g592>"2n?0=7psr}:k`7?6=,;k:6n=4V3c0>4}K:h?1=vX>ce81!7b13i87[?6c;0x 0`02?1/9k856:~yx=nk=0;6)==zutF9m94;{W0:6?5|^lo1>v*:f48`0>"4::0>j:5rVdd96~"2n<0:5k5+33191c1v*:f48`0>"4::0>j:5rVdd96~"2n<0:5k5+33191c0v*:f48`0>"4::0>j:5rVdd96~"2n<0h?6*<2286b2=z,?=86:5rV0g;>7}Qml09w);i5;a7?!55;3?m;6s+661956=zut1b>5l50;&1e4<50k1]>l=52zN1e0<6s_;hh77>e3_;2o744<,7}#=o?1>5l4$200>0`03t.=;>4>2:~y>o50h0;6)P5i:09wAi38pZhk52z&6b0<50h1/??=55g58y!00;3;97psr;n3g1?6=,;k:6t$3c2>7?b3A8296g>a183>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i91]=4m52z&6b2<13-?m:784}|~?l7f93:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n1:T2=f<5s-?m;784$4d5>3=zutw0c4b23_;2o73=#=o<1:6sr}|9~f14a290:6=4?{%0b5?4f02B9585`1e794?"5i80:h854}c605?6=;3:1N51<1b=l>50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d6<^83h6?u+5g5954=#=o<1=<5r}|8m4g6290/>l?518d8R7g428qG>l;51zTe4?4|,8o26;W3:g?4|,477[50z&1e4<1;2B9585f1`294?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26470:=6*:f7825>{zut1b=lm50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95de<^83h6?u+5g5954=#=o<1=<5r}|8k4b2290/>l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn9=<:185>5<7s-8j=78<;I0:1>o6i90;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95d6<^83h6?u+5g5954=#=o<1=<5r}|8m4g6290/>l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3b5>P61j09w);i7;32?!3a>3;:7psr}:k2ef<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751`a8R4?d2;q/9k95109'1c0=981vqps4i0`4>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?m7:T2=f<5s-?m;7l4$4d5>g=zutw0e4df3_;2o747<,:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo:<0;290?6=8r.9m<4>d59K6<3k38p(8h8:79'1c0=>2wvqp5f1`394?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h;0Z<7l:3y'1c1=>2.>j;49;|~y>o6ij0;6)P5i:0:wAab9U5j:49;%7e2?07[7>50z&1e45<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zj=8;6=49:183!4f93;2h6F=949'5f6=9=1b=4h50;&1e4<61o1]>l=51zN1e0<6s_;hh74?a3_;2o73=#=o<1:6sr}|9jg6<72-8j=7m<;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{1<7*=a08`0>P5i:09wAj:47;%7e2?>l:54zT1=7<4s_on6?u+5g79g1=#;;919k94}Wge>7}#=o?1=4h4$200>0`03t.=;>4j;|T1=7<4s_on6?u+5g79g1=#;;919k94}Wge>7}#=o?1=4h4$200>0`13t.=;>4j;|T1=7<4s_on6?u+5g79g1=#;;919k94}Wge>7}#=o?1o>5+33191c1v*:f48`0>"4::0>j:5r$750>454o52zTfa?4|,6?6m;%117?3a?2w/::=5139~yx=n:1k1<7*=a081vB=a482S7dl38p(k38p(8h8:008 0`12880qpsC2`695~P51h09w[kj:3y'1c3=:1k0(><<:4d4?x"1?:0:>6sr}:m2`0<72-8j=7?k5:9~f17f29086=4?{%0b5?4>m2B9585f1`294?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h:0Z<7l:3y'1c1=>2.>j;49;|~y>o6i80;6)P5i:0:wAa09U5j:49;%7e2?07[50z&1e4<5i11C>4;4o0f6>5<#:h;1=i;4;|`75a<72:0;6=u+2`3926=O:0?0e4g73_;2o747<,:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n1:T2=f<5s-?m;7?>;%7e2?763twvq6a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd39l0;6>4?:1y'6d7=>:1C>4;4i0c3>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k;7[?6c;0x 0`028;0(8h9:038yx{z3`;j=7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6i81]=4m52z&6b2<692.>j;4>1:~yx=h9m?1<7*=a082`0=Q:h91=vB=a482S`72;q/=h751e78R4?d2;q/9k956:&6b3<13twvq6sm40a94?5=83:p(?o>:0f7?M4>=2c:m=4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f685?!3a>3<0qpsr;h3b5?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb502>5<5290;w)=2c:5k4?:%0b5?7>n21d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a07e=8391<7>t$3c2>7?b3A8296g>a183>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i91]=4m52z&6b2<13-?m:784}|~?l7f93:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n1:T2=f<5s-?m;7h4$4d5>c=zutw0c4b23_;2o73=#=o<1:6sr}|9~f14e290=6=4?{%0b5?7>l2B9585+1b2951=n90l1<7*=a082=c=Q:h91=vB=a482S7dl38p(k38p(8h8:79'1c0=>2wvqp5fc283>!4f93i87[7}#9l31o95Y18a96~"2n>037);i6;:8yx{K:h>18vX=9380Scb2;q/9k;5c59'775==o=0q[ki:3y'1c3=90l0(><<:4d4?x"1?:0n7pX=9380Scb2;q/9k;5c59'775==o=0q[ki:3y'1c3=90l0(><<:4d5?x"1?:0n7pX=9380Scb2;q/9k;5c59'775==o=0q[ki:3y'1c3=k:1/??=55g58y!00;3=0q[?j8;0xR`c=:r.>j84l4:&066<2n>1v(;9<:018yx{P61j09w);i7;31?!3a>3;97psrL3c7>4}Q:0k1>vXje;0x 0`22;2i7)==3;7e3>{#>>91=?5r}|9j6=g=83.9m<4=8`9U6d5=:rF9m84>{W3``?4|,8o26?6n;W3:g?4|,44l:51zT1=d<5s_on6?u+5g796=g<,:8868h8;|&536<6:2wvq6a>d483>!4f93;o965rb501>5<4290;w)N51<1b=l>50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d6<^83h6?u+5g592>"2n?0=7psr}:k2e4<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m<5Y18a96~"2n>0=7);i6;48yx{z3f;o97>5$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c617?6=93:11<729q/>l?5629K6<3;|~y>o6i80;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95d7<^83h6?u+5g5954=#=o<1=<5r}|8m4gd290/>l?518d8R7g428qG>l;51zTe4?4|,8o26477[3:1:7>50z&1e4<1;2B9585f1`294?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h:0Z<7l:3y'1c1=981/9k85109~yx{k38p(8h8:038 0`128;0qpsr;h3bg?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3;:7);i6;32?x{zu2c:n:4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882f2=Q90i1>v*:f68a?!3a>3h0qpsr;h3ae?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3;:7);i6;32?x{zu2e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f685?!3a>3<0qpsr;|`762<72>0;6=u+2`3926=O:0?0e4g73_;2o747<,:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n1:T2=f<5s-?m;7?>;%7e2?763twvq6g>ab83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6ij1]=4m52z&6b2<692.>j;4>1:~yx=n9k=1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751c58R4?d2;q/9k95b:&6b3b`83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6jh1]=4m52z&6b2<692.>j;4>1:~yx=n9kh1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751c`8R4?d2;q/9k95109'1c0=981vqps4o0f6>5<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zj=836=48:183!4f93<87E<65:k2e5<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m=5Y18a96~"2n>0:=6*:f7825>{zut1b=l?50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d7<^83h6?u+5g5954=#=o<1=<5r}|8m4gd290/>l?518d8R7g428qG>l;51zTe4?4|,8o2647l?518d8R7g428qG>l;51zTe4?4|,8o2647;|~y>i6l<0;6)P5i:0:wAd49U5j:49;%7e2?02<729q/>l?5629K6<3k38p(8h8:038 0`128;0qpsr;h3b5?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3;:7);i6;32?x{zu2c:mn4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882ef=Q90i1>v*:f6825>"2n?0:=6sr}|9j5g1=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=o94V0;`>7}#=o=1n6*:f78a?x{zu2c:nl4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882fd=Q90i1>v*:f6825>"2n?0:=6sr}|9j5gd=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=ol4V0;`>7}#=o=1=<5+5g4954=zutw0c4b23_;2o73=#=o<1:6sr}|9~f143290=6=4?{%0b5?7c<2B9585f1`294?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h:0Z<7l:3y'1c1=>2.>j;49;|~y>o6i80;6)P5i:0:wAa09U5j:49;%7e2?0l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=oo50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95gg<^83h6?u+5g592>"2n?0=7psr}:m2`0<72-8j=7?k5:T1e6<6sE8j97?tVg296~"6m00:h85Y18a96~"2n>0=7);i6;48yx{z3th=954?:383>5}#:h;1o<5G2878m4?a290/>l?518d8?j7c=3:1(?o>:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo894;292?6=8r.9m<4>9e9K6<3<,8i;6<:4i0;e>5<#:h;1=4h4V3c0>4}K:h?1=vX>ce81!7b13;2j6X>9b81!3a?3<0(8h9:79~yx{P5i:0:wAj:49;%7e2?0l=52zN1e0<6s_;hh7f2<^83h6?u+5g59<>"2n?037psrL3c7>1}Q:081?vXje;0x 0`22j>0(><<:4d4?xPbn38p(8h::0;e?!55;3?m;6s+6619a>{Q:081?vXje;0x 0`22j>0(><<:4d4?xPbn38p(8h::0;e?!55;3?m:6s+6619a>{Q:081?vXje;0x 0`22j>0(><<:4d4?xPbn38p(8h::b18 644260:>6*:f7826>{zuE8j87?tV3;b>7}Qml09w);i5;0;f>"4::0>j:5r$750>444o52zTfa?4|,6?6n;%117?3a?2w/::=5139~yx=h9m?1<7*=a082`0=57>53;294~"5i8095h5G2878m4g7290/>l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=l?50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d7<^83h6?u+5g592>"2n?0=7psr}:m2`0<72-8j=7?k5:T1e6<6sE8j97?tVg296~"6m00:h85Y18a96~"2n>0=7);i6;48yx{z3th=9l4?:083>5}#:h;1>l64H3;6?j7c=3:1(?o>:0f6?>{e>69b81!3a?3<0(8h9:79~yx{k38p(8h8:79'1c0=>2wvqp5`1e794?"5i80:h85Y2`195~J5i<0:w[h?:3y'5`?=9m?0Z<7l:3y'1c1=>2.>j;49;|~y>{e>69b81!3a?3<0(8h9:79~yx{k38p(8h8:79'1c0=>2wvqp5`1e794?"5i80:h85Y2`195~J5i<0:w[h?:3y'5`?=9m?0Z<7l:3y'1c1=>2.>j;49;|~y>{e>P5i:0:wAa19U5j:4>1:&6b3<692wvqp5f1`394?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h;0Z<7l:3y'1c1=981/9k85109~yx{6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb77e>5=2c:m=4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9h:0Z<7l:3y'1c1=j2.>j;4m;|~y>o6i80;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95d7<^83h6?u+5g59f>"2n?0i7psr}:k2ef<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751`a8R4?d2;q/9k95b:&6b3b683>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=o94V0;`>7}#=o=1=<5+5g4954=zutw0e4df3_;2o747<,:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882fg=Q90i1>v*:f6825>"2n?0:=6sr}|9j5ge=83.9m<4>9g9K6d6<^;k86k38p(8h8:038 0`128;0qpsr;n3g1?6=,;k:669b81!3a?3<0(8h9:79~yx{58;294~"5i80=?6F=949j5d6=83.9m<4>9g9K6d6<^;k86k38p(8h8:c9'1c0=j2wvqp5f1`394?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26;W3:g?4|,{zut1b=lm50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28kh7[?6c;0x 0`028;0(8h9:038yx{z3`;i;7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3a3>P61j09w);i7;32?!3a>3;:7psr}:k2fd<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:nl5Y18a96~"2n>0:=6*:f7825>{zut1b=ol50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28hi7[?6c;0x 0`028;0(8h9:038yx{z3`;io7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6jj1]=4m52z&6b2<692.>j;4>1:~yx=h9m?1<7*=a082`0=Q:h91=vB=a482S`72;q/=h751e78R4?d2;q/9k956:&6b3<13twvq6sm67394??=83:p(?o>:718L7?23`;j<7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6i91]=4m52z&6b2:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n1:T2=f<5s-?m;7l4$4d5>g=zutw0e4gd3_;2o7g=#=o<1n6sr}|9j5g1=83.9m<4>9g9K6d6<^;k86k38p(8h8:c9'1c0=j2wvqp5f1cc94?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26470:=6*:f7825>{zut1b=om50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28hh7[?6c;0x 0`028;0(8h9:038yx{z3`;ih7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6jm1]=4m52z&6b2<692.>j;4>1:~yx=h9m?1<7*=a082`0=Q:h91=vB=a482S`72;q/=h751e78R4?d2;q/9k956:&6b3<13twvq6sm67094??=83:p(?o>:718L7?23`;j<7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6i91]=4m52z&6b2:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n1:T2=f<5s-?m;7l4$4d5>g=zutw0e4gd3_;2o7g=#=o<1n6sr}|9j5g1=83.9m<4>9g9K6d6<^;k86k38p(8h8:038 0`128;0qpsr;h3ae?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3;:7);i6;32?x{zu2c:no4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9kh0Z<7l:3y'1c1=981/9k85109~yx{;|~y>o6jm0;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95gb<^83h6?u+5g5954=#=o<1=<5r}|8k4b2290/>l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn;;m:18;>5<7s-8j=7?k4:J1=0=n9h:1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`28R4?d2;q/9k956:&6b3<13twvq6g>a083>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i81]=4m52z&6b2<13-?m:784}|~?l7fk3:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?nc:T2=f<5s-?m;784$4d5>3=zutw0e4d03_;2o73=#=o<1:6sr}|9j5gg=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=oo4V0;`>7}#=o=1:6*:f785?x{zu2c:no4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882fg=Q90i1>v*:f685?!3a>3<0qpsr;h3ag?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb40:>5<5290;w)=2c:5k4?:%0b5?7>n21d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a163=83<1<7>t$3c2>4?c3A8296*>c1820>o61o0;6)P5i:0:wA3=#=o<1:6sr}|9jg1<72-8j=7m;;W0b7?4|D;k>69b81!3a?320(8h9:99~yxJ5i=0?w[<62;1xR`c=:r.>j84l4:&066<2n>1vZhh52z&6b0<61o1/??=55g58y!00;3o0q[<62;1xR`c=:r.>j84l4:&066<2n>1vZhh52z&6b0<61o1/??=55g48y!00;3o0q[<62;1xR`c=:r.>j84l4:&066<2n>1vZhh52z&6b0>4:f69~ 3142>1vZvB=a482S7dl38p(k38p(8h8:008 0`12880qpsC2`695~P51h09w[kj:3y'1c3=:1h0(><<:4d4?x"1?:0:>6sr}:k17}#9l31>5o4V0;`>7}#=o=1=?5+5g4957=zutF9m94>{W0:e?4|^lo1>v*:f48176sm53c94?5=83:p(?o>:3;f?M4>=2c:m=4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9h:0Z<7l:3y'1c1=>2.>j;49;|~y>o6i80;6)P5i:0:wAa09U5j:49;%7e2?07[50z&1e4<5i11C>4;4o0f6>5<#:h;1=i;4;|`66a<72:0;6=u+2`3926=O:0?0e4g73_;2o73=#=o<1:6sr}|9j5d7=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l?4V0;`>7}#=o=1:6*:f785?x{zu2e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f685?!3a>3<0qpsr;|`66`<72:0;6=u+2`3926=O:0?0e4g73_;2o73=#=o<1:6sr}|9j5d7=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l?4V0;`>7}#=o=1:6*:f785?x{zu2e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f685?!3a>3<0qpsr;|`66c<72=0;6=u+2`3926=O:0?0e4g73_;2o747<,:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n1:T2=f<5s-?m;7?>;%7e2?763twvq6g>ab83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6ij1]=4m52z&6b2<692.>j;4>1:~yx=h9m?1<7*=a082`0=Q:h91=vB=a482S`72;q/=h751e78R4?d2;q/9k956:&6b3<13twvq6sm52294?2=83:p(?o>:718L7?23`;j<7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6i91]=4m52z&6b2<692.>j;4>1:~yx=n9h;1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`38R4?d2;q/9k95109'1c0=981vqps4i0c`>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?nc:T2=f<5s-?m;7?>;%7e2?763twvq6a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd2;80;684?:1y'6d7=>:1C>4;4i0c3>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k;7[?6c;0x 0`028;0(8h9:038yx{z3`;j=7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6i81]=4m52z&6b2<692.>j;4>1:~yx=n9hi1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74gd3_;2o747<,:0;e?S4f;3;p@?o::0yUb5<5s-;n57?m7:T2=f<5s-?m;7?>;%7e2?763twvq6a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd2;;0;6;4?:1y'6d7=>:1C>4;4i0c3>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k;7[?6c;0x 0`02k1/9k85b:~yx=n9h;1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74g63_;2o747<,:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882ef=Q90i1>v*:f6825>"2n?0:=6sr}|9j5g1=83.9m<4>9g9K6d6<^;k86k38p(8h8:038 0`128;0qpsr;h3ae?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3;:7);i6;32?x{zu2e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f685?!3a>3<0qpsr;|`676<72>0;6=u+2`3926=O:0?0e69b81!3a?3h0(8h9:c9~yx{l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3bg>P61j09w);i7;32?!3a>3;:7psr}:k2f2<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751c58R4?d2;q/9k95109'1c0=981vqps4i0`b>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?ma:T2=f<5s-?m;7?>;%7e2?763twvq6g>bc83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6jk1]=4m52z&6b2<692.>j;4>1:~yx=h9m?1<7*=a082`0=Q:h91=vB=a482S`72;q/=h751e78R4?d2;q/9k956:&6b3<13twvq6sm53a94?>=83:p(?o>:0f7?M4>=2c:m=4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f685?!3a>3<0qpsr;h3b5?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{k38p(8h8:79'1c0=>2wvqp5f1c594?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9k=0Z<7l:3y'1c1=>2.>j;49;|~y>o6jh0;6)P5i:0:wAb`9U5j:49;%7e2?0l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a6fe=8381<7>t$3c2>f7<@;3>7d?6f;29 7g6283m76a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd5l;0;6>4?:1y'6d7=:0o0D?7:;h3b4?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{k38p(8h8:g9'1c0=n2wvqp5`1e794?"5i80:h85Y2`195~J5i<0:w[h?:3y'5`?=9m?0Z<7l:3y'1c1=>2.>j;49;|~y>{e:m;1<7850;2x 7g6283o7E<65:&2g5<6<2c:5k4?:%0b5?7>n2\9m>4>{M0b1?7|^8io6?u+1d;95<`<^83h6?u+5g592>"2n?0=7psr}:k`7?6=,;k:6n=4V3c0>4}K:h?1=vX>ce81!7b13i87[?6c;0x 0`02?1/9k856:~yx=nk=0;6)==zutF9m94;{W0:6?5|^lo1>v*:f48`0>"4::0>j:5rVdd96~"2n<0:5k5+33191c1v*:f48`0>"4::0>j:5rVdd96~"2n<0:5k5+33191c0v*:f48`0>"4::0>j:5rVdd96~"2n<0h?6*<2286b2=z,?=86:5rV0g;>7}Qml09w);i5;a7?!55;3?m;6s+661956=zut1b>5l50;&1e4<50k1]>l=52zN1e0<6s_;hh77>e3_;2o744<,7}#=o?1>5l4$200>0`03t.=;>4>2:~y>o50h0;6)P5i:09wAi38pZhk52z&6b0<50h1/??=55g58y!00;3;97psr;n3g1?6=,;k:6t$3c2>7?b3A8296g>a183>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i91]=4m52z&6b2<13-?m:784}|~?l7f93:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n1:T2=f<5s-?m;784$4d5>3=zutw0c4b23_;2o73=#=o<1:6sr}|9~f7eb290:6=4?{%0b5?4f02B9585`1e794?"5i80:h854}c0`b?6=>3:1N51<1b=l>50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d6<^83h6?u+5g5954=#=o<1=<5r}|8m4g6290/>l?518d8R7g428qG>l;51zTe4?4|,8o26;W3:g?4|,47;|~y>o6j>0;6)P5i:0:wAb69U5j:4>1:&6b3<692wvqp5f1cc94?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9kk0Z<7l:3y'1c1=981/9k85109~yx{6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb3f0>5<5290;w)=2c:5k4?:%0b5?7>n21d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a6a?=8391<7>t$3c2>7?b3A8296g>a183>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i91]=4m52z&6b2<13-?m:784}|~?l7f93:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n1:T2=f<5s-?m;7h4$4d5>c=zutw0c4b23_;2o73=#=o<1:6sr}|9~f7b?290=6=4?{%0b5?7>l2B9585+1b2951=n90l1<7*=a082=c=Q:h91=vB=a482S7dl38p(k38p(8h8:79'1c0=>2wvqp5fc283>!4f93i87[7}#9l31o95Y18a96~"2n>037);i6;:8yx{K:h>18vX=9380Scb2;q/9k;5c59'775==o=0q[ki:3y'1c3=90l0(><<:4d4?x"1?:0n7pX=9380Scb2;q/9k;5c59'775==o=0q[ki:3y'1c3=90l0(><<:4d5?x"1?:0n7pX=9380Scb2;q/9k;5c59'775==o=0q[ki:3y'1c3=k:1/??=55g58y!00;3=0q[?j8;0xR`c=:r.>j84l4:&066<2n>1v(;9<:018yx{P61j09w);i7;31?!3a>3;97psrL3c7>4}Q:0k1>vXje;0x 0`22;2i7)==3;7e3>{#>>91=?5r}|9j6=g=83.9m<4=8`9U6d5=:rF9m84>{W3``?4|,8o26?6n;W3:g?4|,44l:51zT1=d<5s_on6?u+5g796=g<,:8868h8;|&536<6:2wvq6a>d483>!4f93;o965rb3f7>5<4290;w)N51<1b=l>50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d6<^83h6?u+5g592>"2n?0=7psr}:k2e4<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m<5Y18a96~"2n>0=7);i6;48yx{z3f;o97>5$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c0g1?6=93:12<729q/>l?5629K6<3k38p(8h8:038 0`128;0qpsr;h3b5?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3;:7);i6;32?x{zu2c:mn4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882ef=Q90i1>v*:f6825>"2n?0:=6sr}|9j5g1=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=o94V0;`>7}#=o=1=<5+5g4954=zutw0e4df3_;2o747<,:0;e?S4f;3;p@?o::0yUb5<5s-;n57?mb:T2=f<5s-?m;7?>;%7e2?763twvq6a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd4il0;6?4?:1y'6d7=k81C>4;4i0;e>5<#:h;1=4h4;n3g1?6=,;k:669b81!3a?3<0(8h9:79~yx{53;294~"5i8095h5G2878m4g7290/>l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=l?50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d7<^83h6?u+5g59b>"2n?0m7psr}:m2`0<72-8j=7?k5:T1e6<6sE8j97?tVg296~"6m00:h85Y18a96~"2n>0=7);i6;48yx{z3th8n;4?:783>5}#:h;1=4j4H3;6?!7d83;?7d?6f;29 7g6283m7[l?5c29U6d5=9rF9m84>{W3``?4|,8o26n=4V0;`>7}#=o=1:6*:f785?x{zu2ch87>5$3c2>f2<^;k86?uC2`795~P6km09w)?j9;a7?S7>k38p(8h8:99'1c0=02wvqA1v(;9<:d9~R7?52:q]ih4={%7e1?e33-99?7;i7:Uac<5s-?m97?6f:&066<2n?1v(;9<:d9~R7?52:q]ih4={%7e1?e33-99?7;i7:Uac<5s-?m97m<;%117?3a?2w/::=57:U5`>=:r\ni7f2<,:8868h8;|&536<6;2wvq6g=8c83>!4f9383n6X=a281I4f=3;pZj84=8c9'775==o=0q)883;31?x{z3`83m7>5$3c2>7>f3_8j?74}Q9jn1>v*>e881v*:f6826>"2n?0:>6sr}M0b0?7|^;3j6?uYed81!3a=383m6*<2286b2=z,?=86<<4}|8k4b2290/>l?51e78?xd4io0;6>4?:1y'6d7=:0o0D?7:;h3b4?6=,;k:6<7i;I0b4>P5i:0:wAa19U5j:49;%7e2?0l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn>l?:182>5<7s-8j=77>54;294~"5i80=?6F=949j5d6=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l>4V0;`>7}#=o=1=<5+5g4954=zutw0e:18'6d7=90l0D?o?;W0b7?7|D;k>69b81!3a?3;:7);i6;32?x{zu2c:mn4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882ef=Q90i1>v*:f6825>"2n?0:=6sr}|9l5a3=83.9m<4>d49U6d5=9rF9m84>{Wd3>7}#9l31=i;4V0;`>7}#=o=1:6*:f785?x{zu2wi?o=50;594?6|,;k:6;=4H3;6?l7f83:1(?o>:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f6825>"2n?0:=6sr}|9j5d7=83.9m<4>9g9K6d6<^;k86k38p(8h8:038 0`128;0qpsr;h3bg?6=,;k:6<7i;I0b4>P5i:0:wAab9U5j:4>1:&6b3<692wvqp5f1c594?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26470:=6*:f7825>{zut1b=ol50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95gd<^83h6?u+5g5954=#=o<1=<5r}|8k4b2290/>l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn>l;:184>5<7s-8j=78<;I0:1>o6i90;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95d6<^83h6?u+5g5954=#=o<1=<5r}|8m4g6290/>l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3b5>P61j09w);i7;32?!3a>3;:7psr}:k2ef<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751`a8R4?d2;q/9k95109'1c0=981vqps4i0`4>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?m7:T2=f<5s-?m;7?>;%7e2?763twvq6g>b`83>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=oo4V0;`>7}#=o=1=<5+5g4954=zutw0e69b81!3a?3;:7);i6;32?x{zu2e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f685?!3a>3<0qpsr;|`0f4<72=0;6=u+2`395a2<@;3>7d?n0;29 7g6283m7[l?518d8R7g428qG>l;51zTe4?4|,8o26;W3:g?4|,{zut1b=lm50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95de<^83h6?u+5g592>"2n?0=7psr}:m2`0<72-8j=7?k5:T1e6<6sE8j97?tVg296~"6m00:h85Y18a96~"2n>0=7);i6;48yx{z3th>><4?:383>5}#:h;1o<5G2878m4?a290/>l?518d8?j7c=3:1(?o>:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo;=8;292?6=8r.9m<4>9e9K6<3<,8i;6<:4i0;e>5<#:h;1=4h4V3c0>4}K:h?1=vX>ce81!7b13;2j6X>9b81!3a?3<0(8h9:79~yx{P5i:0:wAj:49;%7e2?0l=52zN1e0<6s_;hh7f2<^83h6?u+5g59<>"2n?037psrL3c7>1}Q:081?vXje;0x 0`22j>0(><<:4d4?xPbn38p(8h::0;e?!55;3?m;6s+6619a>{Q:081?vXje;0x 0`22j>0(><<:4d4?xPbn38p(8h::0;e?!55;3?m:6s+6619a>{Q:081?vXje;0x 0`22j>0(><<:4d4?xPbn38p(8h::b18 644260:>6*:f7826>{zuE8j87?tV3;b>7}Qml09w);i5;0;f>"4::0>j:5r$750>444o52zTfa?4|,6?6n;%117?3a?2w/::=5139~yx=h9m?1<7*=a082`0=7>53;294~"5i8095h5G2878m4g7290/>l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=l?50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d7<^83h6?u+5g592>"2n?0=7psr}:m2`0<72-8j=7?k5:T1e6<6sE8j97?tVg296~"6m00:h85Y18a96~"2n>0=7);i6;48yx{z3th>>>4?:083>5}#:h;1>l64H3;6?j7c=3:1(?o>:0f6?>{e=;?1<7=50;2x 7g62?90D?7:;h3b4?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3;:7);i6;32?x{zu2c:m<4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e4=Q90i1>v*:f6825>"2n?0:=6sr}|9l5a3=83.9m<4>d49U6d5=9rF9m84>{Wd3>7}#9l31=i;4V0;`>7}#=o=1:6*:f785?x{zu2wi9?850;194?6|,;k:6;=4H3;6?l7f83:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n0:T2=f<5s-?m;7?>;%7e2?763twvq6g>a083>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i81]=4m52z&6b2<692.>j;4>1:~yx=h9m?1<7*=a082`0=Q:h91=vB=a482S`72;q/=h751e78R4?d2;q/9k956:&6b3<13twvq6sm53694?5=83:p(?o>:0f7?M4>=2c:m=4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f685?!3a>3<0qpsr;h3b5?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb2`;>5<5290;w)=2c:5k4?:%0b5?7>n21d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a7f6=83<1<7>t$3c2>4?c3A8296*>c1820>o61o0;6)P5i:0:wA3=#=o<1:6sr}|9jg1<72-8j=7m;;W0b7?4|D;k>69b81!3a?320(8h9:99~yxJ5i=0?w[<62;1xR`c=:r.>j84l4:&066<2n>1vZhh52z&6b0<61o1/??=55g58y!00;3o0q[<62;1xR`c=:r.>j84l4:&066<2n>1vZhh52z&6b0<61o1/??=55g48y!00;3o0q[<62;1xR`c=:r.>j84l4:&066<2n>1vZhh52z&6b0>4:f69~ 3142>1vZvB=a482S7dl38p(k38p(8h8:008 0`12880qpsC2`695~P51h09w[kj:3y'1c3=:1h0(><<:4d4?x"1?:0:>6sr}:k17}#9l31>5o4V0;`>7}#=o=1=?5+5g4957=zutF9m94>{W0:e?4|^lo1>v*:f48176sm3c;94?5=83:p(?o>:3;f?M4>=2c:m=4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9h:0Z<7l:3y'1c1=>2.>j;49;|~y>o6i80;6)P5i:0:wAa09U5j:49;%7e2?07[50z&1e4<5i11C>4;4o0f6>5<#:h;1=i;4;|`0ff<72:0;6=u+2`3926=O:0?0e4g73_;2o747<,:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n1:T2=f<5s-?m;7?>;%7e2?763twvq6a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd4jm0;6>4?:1y'6d7=>:1C>4;4i0c3>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?n0:T2=f<5s-?m;7?>;%7e2?763twvq6g>a083>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=l?4V0;`>7}#=o=1=<5+5g4954=zutw0c4b23_;2o73=#=o<1:6sr}|9~f6db29086=4?{%0b5?043A8296g>a183>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=l>4V0;`>7}#=o=1=<5+5g4954=zutw0e:18'6d7=90l0Z?o<:0yO6d3=9r\m<74g63_;2o747<,:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo=mb;290?6=8r.9m<4>d59K6<3k38p(8h8:79'1c0=>2wvqp5f1`394?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h;0Z<7l:3y'1c1=>2.>j;49;|~y>o6ij0;6)P5i:0:wAab9U5j:49;%7e2?07[7>50z&1e45<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zj;km6=4<:183!4f9382i6F=949j5d6=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l>4V0;`>7}#=o=1:6*:f785?x{zu2c:m<4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e4=Q90i1>v*:f68e?!3a>3l0qpsr;n3g1?6=,;k:669b81!3a?3<0(8h9:79~yx{56;294~"5i80:5i5G2878 4e728>0e<7i:18'6d7=90l0Z?o<:0yO6d3=9r\:oi4={%3f=?7>n2\:5n4={%7e3?0<,7}#9l31o>5Y18a96~"2n>0=7);i6;48yx{z3`i?6=4+2`39g1=Q:h91>vB=a482S7dl38p(f2<,:8868h8;|Tfb?4|,6<7i;%117?3a?2w/::=5e:U6<4=;r\ni7f2<,:8868h8;|Tfb?4|,6<7i;%117?3a>2w/::=5e:U6<4=;r\ni7f2<,:8868h8;|Tfb?4|,6n=4$200>0`03t.=;>48;|T2a=<5s_on6?u+5g79g1=#;;919k94}%447?743twv7d<7b;29 7g62;2i7[j;4>2:~yI4f<3;pZ?7n:3yUa`<5s-?m97<7b:&066<2n>1v(;9<:008yx{P61j09w);i7;31?!3a>3;97psrL3c7>4}Q:0k1>vXje;0x 0`22;2j7)==3;7e3>{#>>91=?5r}|9l5a3=83.9m<4>d498yg4fi3:1?7>50z&1e4<51l1C>4;4i0c3>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k;7[?6c;0x 0`02?1/9k856:~yx=n9h;1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`38R4?d2;q/9k956:&6b3<13twvq6a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd5ik0;6<4?:1y'6d7=:h20D?7:;n3g1?6=,;k:6t$3c2>4b43A8296a>d483>!4f93;o965rb3fb>5<5290;w)=2c:5k4?:%0b5?7>n21d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a6`6=8391<7>t$3c2>7?b3A8296g>a183>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i91]=4m52z&6b2<13-?m:784}|~?l7f93:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n1:T2=f<5s-?m;7h4$4d5>c=zutw0c4b23_;2o73=#=o<1:6sr}|9~f7ba290=6=4?{%0b5?7>l2B9585+1b2951=n90l1<7*=a082=c=Q:h91=vB=a482S7dl38p(k38p(8h8:79'1c0=>2wvqp5fc283>!4f93i87[7}#9l31o95Y18a96~"2n>037);i6;:8yx{K:h>18vX=9380Scb2;q/9k;5c59'775==o=0q[ki:3y'1c3=90l0(><<:4d4?x"1?:0n7pX=9380Scb2;q/9k;5c59'775==o=0q[ki:3y'1c3=90l0(><<:4d5?x"1?:0n7pX=9380Scb2;q/9k;5c59'775==o=0q[ki:3y'1c3=k:1/??=55g58y!00;3=0q[?j8;0xR`c=:r.>j84l4:&066<2n>1v(;9<:018yx{P61j09w);i7;31?!3a>3;97psrL3c7>4}Q:0k1>vXje;0x 0`22;2i7)==3;7e3>{#>>91=?5r}|9j6=g=83.9m<4=8`9U6d5=:rF9m84>{W3``?4|,8o26?6n;W3:g?4|,44l:51zT1=d<5s_on6?u+5g796=g<,:8868h8;|&536<6:2wvq6a>d483>!4f93;o965rb3fa>5<4290;w)N51<1b=l>50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d6<^83h6?u+5g592>"2n?0=7psr}:k2e4<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m<5Y18a96~"2n>0=7);i6;48yx{z3f;o97>5$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c0gg?6=93:1=<729q/>l?5629K6<3k38p(8h8:038 0`128;0qpsr;h3b5?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3;:7);i6;32?x{zu2c:mn4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882ef=Q90i1>v*:f6825>"2n?0:=6sr}|9j5g1=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=o94V0;`>7}#=o=1=<5+5g4954=zutw0e4df3_;2o747<,:0;e?S4f;3;p@?o::0yUb5<5s-;n57?mb:T2=f<5s-?m;7?>;%7e2?763twvq6g>bb83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6jj1]=4m52z&6b2<692.>j;4>1:~yx=h9m?1<7*=a082`0=Q:h91=vB=a482S`72;q/=h751e78R4?d2;q/9k956:&6b3<13twvq6sm3b394?4=83:p(?o>:b38L7?23`;2j7>5$3c2>4?a32e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f685?!3a>3<0qpsr;|`0gg<72?0;6=u+2`3957)?l0;37?l7>n3:1(?o>:0;e?S4f;3;p@?o::0yU5fb=:r.:i44>9g9U5j:49;%7e2?0l=51zN1e0<6s_;hh7f5<^83h6?u+5g592>"2n?0=7psr}:k`0?6=,;k:6n:4V3c0>7}K:h?1=vX>ce81!7b13i?7[?6c;0x 0`0211/9k858:~yI4f<3>pZ?7=:2yUa`<5s-?m97m;;%117?3a?2w]ik4={%7e1?7>n2.8>>4:f69~ 3142l1vZ?7=:2yUa`<5s-?m97m;;%117?3a?2w]ik4={%7e1?7>n2.8>>4:f79~ 3142l1vZ?7=:2yUa`<5s-?m97m;;%117?3a?2w]ik4={%7e1?e43-99?7;i7:'225=?2w]=h652zTfa?4|,6n:4$200>0`03t.=;>4>3:~y>o50k0;6)P5i:09wAi38pZhk52z&6b0<50k1/??=55g58y!00;3;97psr;h0;e?6=,;k:6?6n;W0b7?4|D;k>60:>6*:f7826>{zuE8j87?tV3;b>7}Qml09w);i5;0;e>"4::0>j:5r$750>446<729q/>l?528g8L7?23`;j<7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6i91]=4m52z&6b2<13-?m:784}|~?l7f93:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n1:T2=f<5s-?m;784$4d5>3=zutw0c4b23_;2o73=#=o<1:6sr}|9~f6e4290:6=4?{%0b5?4f02B9585`1e794?"5i80:h854}c1`1?6=;3:1N51<1b=l>50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28k;7[?6c;0x 0`028;0(8h9:038yx{z3`;j=7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6i81]=4m52z&6b2<692.>j;4>1:~yx=h9m?1<7*=a082`0=Q:h91=vB=a482S`72;q/=h751e78R4?d2;q/9k956:&6b3<13twvq6sm3b494?5=83:p(?o>:718L7?23`;j<7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6i91]=4m52z&6b2<692.>j;4>1:~yx=n9h;1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74g63_;2o747<,:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo=l7;297?6=8r.9m<493:J1=0=n9h:1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74g73_;2o747<,:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n1:T2=f<5s-?m;7?>;%7e2?763twvq6a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd4k10;694?:1y'6d7=>:1C>4;4i0c3>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k;7[?6c;0x 0`028;0(8h9:038yx{z3`;j=7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b5>P61j09w);i7;32?!3a>3;:7psr}:k2ef<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:mn5Y18a96~"2n>0:=6*:f7825>{zut1d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a7f?=83>1<7>t$3c2>35<@;3>7d?n0;29 7g6283m7E0:=6*:f7825>{zut1b=l?50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28k:7[?6c;0x 0`028;0(8h9:038yx{z3`;jo7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3bg>P61j09w);i7;32?!3a>3;:7psr}:m2`0<72-8j=7?k5:T1e6<6sE8j97?tVg296~"6m00:h85Y18a96~"2n>0=7);i6;48yx{z3th8o94?:783>5}#:h;1=i:4H3;6?l7f83:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n0:T2=f<5s-?m;784$4d5>3=zutw0e:18'6d7=90l0Z?o<:0yO6d3=9r\m<74g63_;2o73=#=o<1:6sr}|9j5de=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=lm4V0;`>7}#=o=1:6*:f785?x{zu2c:n:4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882f2=Q90i1>v*:f685?!3a>3<0qpsr;h3ae?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb2a`>5<5290;w)=2c:5k4?:%0b5?7>n21d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a7a3=8391<7>t$3c2>7?b3A8296g>a183>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i91]=4m52z&6b2<13-?m:784}|~?l7f93:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n1:T2=f<5s-?m;7h4$4d5>c=zutw0c4b23_;2o73=#=o<1:6sr}|9~f6b3290=6=4?{%0b5?7>l2B9585+1b2951=n90l1<7*=a082=c=Q:h91=vB=a482S7dl38p(k38p(8h8:79'1c0=>2wvqp5fc283>!4f93i87[7}#9l31o95Y18a96~"2n>037);i6;:8yx{K:h>18vX=9380Scb2;q/9k;5c59'775==o=0q[ki:3y'1c3=90l0(><<:4d4?x"1?:0n7pX=9380Scb2;q/9k;5c59'775==o=0q[ki:3y'1c3=90l0(><<:4d5?x"1?:0n7pX=9380Scb2;q/9k;5c59'775==o=0q[ki:3y'1c3=k:1/??=55g58y!00;3=0q[?j8;0xR`c=:r.>j84l4:&066<2n>1v(;9<:018yx{P61j09w);i7;31?!3a>3;97psrL3c7>4}Q:0k1>vXje;0x 0`22;2i7)==3;7e3>{#>>91=?5r}|9j6=g=83.9m<4=8`9U6d5=:rF9m84>{W3``?4|,8o26?6n;W3:g?4|,44l:51zT1=d<5s_on6?u+5g796=g<,:8868h8;|&536<6:2wvq6a>d483>!4f93;o965rb2ag>5<4290;w)N51<1b=l>50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d6<^83h6?u+5g592>"2n?0=7psr}:k2e4<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m<5Y18a96~"2n>0=7);i6;48yx{z3f;o97>5$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c1`a?6=93:11<729q/>l?5629K6<3k38p(8h8:038 0`128;0qpsr;h3b5?6=,;k:6<7i;I0b4>P5i:0:wAa09U5j:4>1:&6b3<692wvqp5f1`a94?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9hi0Z<7l:3y'1c1=981/9k85109~yx{6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb2f2>5<2290;w)=2c:m=4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f6825>"2n?0:=6sr}|9j5d7=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l?4V0;`>7}#=o=1=<5+5g4954=zutw0e4gd3_;2o747<,:0;e?S4f;3;p@?o::0yUb5<5s-;n57?m7:T2=f<5s-?m;7?>;%7e2?763twvq6a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd4l;0;684?:1y'6d7=>:1C>4;4i0c3>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k;7[?6c;0x 0`028;0(8h9:038yx{z3`;j=7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b5>P61j09w);i7;32?!3a>3;:7psr}:k2ef<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:mn5Y18a96~"2n>0:=6*:f7825>{zut1b=o950;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28h<7[?6c;0x 0`028;0(8h9:038yx{z3f;o97>5$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c1`b?6=<3:14g73_;2o73=#=o<1:6sr}|9j5d7=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l?4V0;`>7}#=o=1:6*:f785?x{zu2c:mn4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882ef=Q90i1>v*:f685?!3a>3<0qpsr;n3g1?6=,;k:669b81!3a?3<0(8h9:79~yx{52;294~"5i80h=6F=949j5<`=83.9m<4>9g98k4b2290/>l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn>k?:180>5<7s-8j=7<6e:J1=0=n9h:1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`28R4?d2;q/9k956:&6b3<13twvq6g>a083>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i81]=4m52z&6b2:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo=kf;292?6=8r.9m<4>9e9K6<3<,8i;6<:4i0;e>5<#:h;1=4h4V3c0>4}K:h?1=vX>ce81!7b13;2j6X>9b81!3a?3<0(8h9:79~yx{P5i:0:wAj:49;%7e2?0l=52zN1e0<6s_;hh7f2<^83h6?u+5g59<>"2n?037psrL3c7>1}Q:081?vXje;0x 0`22j>0(><<:4d4?xPbn38p(8h::0;e?!55;3?m;6s+6619a>{Q:081?vXje;0x 0`22j>0(><<:4d4?xPbn38p(8h::0;e?!55;3?m:6s+6619a>{Q:081?vXje;0x 0`22j>0(><<:4d4?xPbn38p(8h::b18 644260:>6*:f7826>{zuE8j87?tV3;b>7}Qml09w);i5;0;f>"4::0>j:5r$750>444o52zTfa?4|,6?6n;%117?3a?2w/::=5139~yx=h9m?1<7*=a082`0=53;294~"5i8095h5G2878m4g7290/>l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=l?50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d7<^83h6?u+5g592>"2n?0=7psr}:m2`0<72-8j=7?k5:T1e6<6sE8j97?tVg296~"6m00:h85Y18a96~"2n>0=7);i6;48yx{z3th8h54?:083>5}#:h;1>l64H3;6?j7c=3:1(?o>:0f6?>{e;mk1<7:50;2x 7g62?90D?7:;h3b4?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3;:7);i6;32?x{zu2c:m<4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9h;0Z<7l:3y'1c1=981/9k85109~yx{k38p(8h8:038 0`128;0qpsr;n3g1?6=,;k:669b81!3a?3<0(8h9:79~yx{56;294~"5i80=?6F=949j5d6=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l>4V0;`>7}#=o=1=<5+5g4954=zutw0e:18'6d7=90l0Z?o<:0yO6d3=9r\m<74g63_;2o747<,:0;e?S4f;3;p@?o::0yUb5<5s-;n57?nc:T2=f<5s-?m;7?>;%7e2?763twvq6g>b683>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6j>1]=4m52z&6b2<692.>j;4>1:~yx=n9kk1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751cc8R4?d2;q/9k95109'1c0=981vqps4o0f6>5<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zj:nh6=49:183!4f93<87E<65:k2e5<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m=5Y18a96~"2n>0:=6*:f7825>{zut1b=l?50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d7<^83h6?u+5g5954=#=o<1=<5r}|8m4gd290/>l?518d8R7g428qG>l;51zTe4?4|,8o2647;|~y>o6jh0;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95gg<^83h6?u+5g5954=#=o<1=<5r}|8k4b2290/>l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn>jk:185>5<7s-8j=78<;I0:1>o6i90;6)P5i:0:wAa19U5j:4>1:&6b3<692wvqp5f1`394?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h;0Z<7l:3y'1c1=981/9k85109~yx{;|~y>o6j>0;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95g1<^83h6?u+5g5954=#=o<1=<5r}|8m4df290/>l?518d8R7g428qG>l;51zTe4?4|,8o26477[50z&1e4<6l=1C>4;4i0c3>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k;7[?6c;0x 0`02?1/9k856:~yx=n9h;1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`38R4?d2;q/9k956:&6b3<13twvq6g>ab83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6ij1]=4m52z&6b2<13-?m:784}|~?l7e?3:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?m7:T2=f<5s-?m;784$4d5>3=zutw0c4b23_;2o73=#=o<1:6sr}|9~f7d729096=4?{%0b5?e63A8296g>9g83>!4f93;2j65`1e794?"5i80:h85Y2`195~J5i<0:w[h?:3y'5`?=9m?0Z<7l:3y'1c1=>2.>j;49;|~y>{e:k<1<7=50;2x 7g62;3n7E<65:k2e5<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m=5Y18a96~"2n>0=7);i6;48yx{z3`;j=7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b5>P61j09w);i7;d8 0`12o1vqps4o0f6>5<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zj;h>6=49:183!4f93;2h6F=949'5f6=9=1b=4h50;&1e4<61o1]>l=51zN1e0<6s_;hh74?a3_;2o73=#=o<1:6sr}|9jg6<72-8j=7m<;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{1<7*=a08`0>P5i:09wAj:47;%7e2?>l:54zT1=7<4s_on6?u+5g79g1=#;;919k94}Wge>7}#=o?1=4h4$200>0`03t.=;>4j;|T1=7<4s_on6?u+5g79g1=#;;919k94}Wge>7}#=o?1=4h4$200>0`13t.=;>4j;|T1=7<4s_on6?u+5g79g1=#;;919k94}Wge>7}#=o?1o>5+33191c1v*:f48`0>"4::0>j:5r$750>454o52zTfa?4|,6?6m;%117?3a?2w/::=5139~yx=n:1k1<7*=a081vB=a482S7dl38p(k38p(8h8:008 0`12880qpsC2`695~P51h09w[kj:3y'1c3=:1k0(><<:4d4?x"1?:0:>6sr}:m2`0<72-8j=7?k5:9~f7d629086=4?{%0b5?4>m2B9585f1`294?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h:0Z<7l:3y'1c1=>2.>j;49;|~y>o6i80;6)P5i:0:wAa09U5j:49;%7e2?07[50z&1e4<5i11C>4;4o0f6>5<#:h;1=i;4;|`1f6<72:0;6=u+2`3926=O:0?0e4g73_;2o747<,:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n1:T2=f<5s-?m;7?>;%7e2?763twvq6a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd5jl0;6?4?:1y'6d7=k81C>4;4i0;e>5<#:h;1=4h4;n3g1?6=,;k:669b81!3a?3<0(8h9:79~yx{53;294~"5i8095h5G2878m4g7290/>l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=l?50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d7<^83h6?u+5g59b>"2n?0m7psr}:m2`0<72-8j=7?k5:T1e6<6sE8j97?tVg296~"6m00:h85Y18a96~"2n>0=7);i6;48yx{z3th9o>4?:783>5}#:h;1=4j4H3;6?!7d83;?7d?6f;29 7g6283m7[l?5c29U6d5=9rF9m84>{W3``?4|,8o26n=4V0;`>7}#=o=1:6*:f785?x{zu2ch87>5$3c2>f2<^;k86?uC2`795~P6km09w)?j9;a7?S7>k38p(8h8:99'1c0=02wvqA1v(;9<:d9~R7?52:q]ih4={%7e1?e33-99?7;i7:Uac<5s-?m97?6f:&066<2n?1v(;9<:d9~R7?52:q]ih4={%7e1?e33-99?7;i7:Uac<5s-?m97m<;%117?3a?2w/::=57:U5`>=:r\ni7f2<,:8868h8;|&536<6;2wvq6g=8c83>!4f9383n6X=a281I4f=3;pZj84=8c9'775==o=0q)883;31?x{z3`83m7>5$3c2>7>f3_8j?74}Q9jn1>v*>e881v*:f6826>"2n?0:>6sr}M0b0?7|^;3j6?uYed81!3a=383m6*<2286b2=z,?=86<<4}|8k4b2290/>l?51e78?xd5jo0;6>4?:1y'6d7=:0o0D?7:;h3b4?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{k38p(8h8:79'1c0=>2wvqp5`1e794?"5i80:h85Y2`195~J5i<0:w[h?:3y'5`?=9m?0Z<7l:3y'1c1=>2.>j;49;|~y>{e:j:1<7?50;2x 7g62;k37E<65:m2`0<72-8j=7?k5:9~f7e6290?6=4?{%0b5?043A8296g>a183>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i91]=4m52z&6b2<692.>j;4>1:~yx=n9h;1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`38R4?d2;q/9k95109'1c0=981vqps4i0c`>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28kh7[?6c;0x 0`028;0(8h9:038yx{z3f;o97>5$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c6bf?6=:3:1N51<1b=4h50;&1e4<61o10c4b23_;2o73=#=o<1:6sr}|9~f1d7290=6=4?{%0b5?7>l2B9585+1b2951=n90l1<7*=a082=c=Q:h91=vB=a482S7dl38p(k38p(8h8:79'1c0=>2wvqp5fc283>!4f93i87[7}#9l31o95Y18a96~"2n>037);i6;:8yx{K:h>18vX=9380Scb2;q/9k;5c59'775==o=0q[ki:3y'1c3=90l0(><<:4d4?x"1?:0n7pX=9380Scb2;q/9k;5c59'775==o=0q[ki:3y'1c3=90l0(><<:4d5?x"1?:0n7pX=9380Scb2;q/9k;5c59'775==o=0q[ki:3y'1c3=k:1/??=55g58y!00;3=0q[?j8;0xR`c=:r.>j84l4:&066<2n>1v(;9<:018yx{P61j09w);i7;31?!3a>3;97psrL3c7>4}Q:0k1>vXje;0x 0`22;2i7)==3;7e3>{#>>91=?5r}|9j6=g=83.9m<4=8`9U6d5=:rF9m84>{W3``?4|,8o26?6n;W3:g?4|,44l:51zT1=d<5s_on6?u+5g796=g<,:8868h8;|&536<6:2wvq6a>d483>!4f93;o965rb5c`>5<4290;w)N51<1b=l>50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d6<^83h6?u+5g592>"2n?0=7psr}:k2e4<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m<5Y18a96~"2n>0=7);i6;48yx{z3f;o97>5$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c6b`?6=93:16<729q/>l?5629K6<3k38p(8h8:038 0`128;0qpsr;h3b5?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3;:7);i6;32?x{zu2e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f685?!3a>3<0qpsr;|`1f2<72;0;6=u+2`39g4=O:0?0e<7i:18'6d7=90l07b?k5;29 7g628n>7[50z&1e4<51l1C>4;4i0c3>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k;7[?6c;0x 0`02?1/9k856:~yx=n9h;1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`38R4?d2;q/9k95f:&6b3d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd5jj0;6;4?:1y'6d7=90n0D?7:;%3`4?733`;2j7>5$3c2>4?a3_8j?7?tL3c6>4}Q9jn1>v*>e882=c=Q90i1>v*:f685?!3a>3<0qpsr;ha0>5<#:h;1o>5Y2`195~J5i<0:w[?ld;0x 4c>2j90Z<7l:3y'1c1=>2.>j;49;|~y>od<3:1(?o>:b68R7g42;qG>l;51zT2ga<5s-;n57m;;W3:g?4|,{zuE8j87:tV3;1>6}Qml09w);i5;a7?!55;3?m;6sYeg81!3a=3;2j6*<2286b2=z,?=86h5rV3;1>6}Qml09w);i5;a7?!55;3?m;6sYeg81!3a=3;2j6*<2286b3=z,?=86h5rV3;1>6}Qml09w);i5;a7?!55;3?m;6sYeg81!3a=3i87)==3;7e3>{#>>91;6sY1d:96~Pbm38p(8h::b68 6442{zu2c94o4?:%0b5?4?j2\9m>4={M0b1?7|^8io6?u+1d;96=d<^83h6?u+5g5957=#=o<1=?5r}|N1e1<6s_82m7:3:b?S4f;38p@?o::0yU5fb=:r.:i44=8`9U5j:4>2:&6b3<6:2wvqA>4:f69~ 3142880qps4o0f6>5<#:h;1=i;4;|`1f=<72:0;6=u+2`3967d?n0;29 7g6283m7[l?518d8R7g428qG>l;51zTe4?4|,8o26;W3:g?4|,{zut1d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a6g?=83;1<7>t$3c2>7g?3A8296a>d483>!4f93;o965rb3`b>5<4290;w)=2c:m=4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f6825>"2n?0:=6sr}|9j5d7=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l?4V0;`>7}#=o=1=<5+5g4954=zutw0c4b23_;2o73=#=o<1:6sr}|9~f7e229096=4?{%0b5?e63A8296g>9g83>!4f93;2j65`1e794?"5i80:h85Y2`195~J5i<0:w[h?:3y'5`?=9m?0Z<7l:3y'1c1=>2.>j;49;|~y>{e:jh1<7=50;2x 7g62;3n7E<65:k2e5<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m=5Y18a96~"2n>0=7);i6;48yx{z3`;j=7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b5>P61j09w);i7;d8 0`12o1vqps4o0f6>5<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zj;ij6=49:183!4f93;2h6F=949'5f6=9=1b=4h50;&1e4<61o1]>l=51zN1e0<6s_;hh74?a3_;2o73=#=o<1:6sr}|9jg6<72-8j=7m<;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{1<7*=a08`0>P5i:09wAj:47;%7e2?>l:54zT1=7<4s_on6?u+5g79g1=#;;919k94}Wge>7}#=o?1=4h4$200>0`03t.=;>4j;|T1=7<4s_on6?u+5g79g1=#;;919k94}Wge>7}#=o?1=4h4$200>0`13t.=;>4j;|T1=7<4s_on6?u+5g79g1=#;;919k94}Wge>7}#=o?1o>5+33191c1v*:f48`0>"4::0>j:5r$750>454o52zTfa?4|,6?6m;%117?3a?2w/::=5139~yx=n:1k1<7*=a081vB=a482S7dl38p(k38p(8h8:008 0`12880qpsC2`695~P51h09w[kj:3y'1c3=:1k0(><<:4d4?x"1?:0:>6sr}:m2`0<72-8j=7?k5:9~f7e129086=4?{%0b5?4>m2B9585f1`294?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h:0Z<7l:3y'1c1=>2.>j;49;|~y>o6i80;6)P5i:0:wAa09U5j:49;%7e2?07[50z&1e4<5i11C>4;4o0f6>5<#:h;1=i;4;|`1g=<72<0;6=u+2`3926=O:0?0e4g73_;2o747<,:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n1:T2=f<5s-?m;7?>;%7e2?763twvq6g>ab83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6ij1]=4m52z&6b2<692.>j;4>1:~yx=n9k=1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751c58R4?d2;q/9k95109'1c0=981vqps4o0f6>5<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zj<:<6=4=:183!4f93i:7E<65:k2=c<72-8j=7?6f:9l5a3=83.9m<4>d49U6d5=9rF9m84>{Wd3>7}#9l31=i;4V0;`>7}#=o=1:6*:f785?x{zu2wi9<=50;494?6|,;k:6<7k;I0:1>"6k90:86g>9g83>!4f93;2j6X=a282I4f=3;pZ2.>j;49;|~y>od;3:1(?o>:b18R7g428qG>l;51zT2ga<5s-;n57m<;W3:g?4|,{zut1bo94?:%0b5?e33_8j?74}Q9jn1>v*>e88`0>P61j09w);i7;:8 0`1211vqpB=a587S4>:39pZhk52z&6b0>4:f69~R``=:r.>j84>9g9'775==o=0q)883;g8yS4>:39pZhk52z&6b0>4:f69~R``=:r.>j84>9g9'775==o<0q)883;g8yS4>:39pZhk52z&6b0>4:f69~R``=:r.>j84l3:&066<2n>1v(;9<:69~R4c?2;q]ih4={%7e1?e33-99?7;i7:'225=9:1vqp5f29`94?"5i8094o5Y2`196~J5i<0:w[?ld;0x 4c>2;2i7[?6c;0x 0`02880(8h9:008yx{K:h>1=vX=9`81Scb2;q/9k;529`8 6442{zu2c94l4?:%0b5?4?i2\9m>4={M0b1?7|^8io6?u+1d;96=g<^83h6?u+5g5957=#=o<1=?5r}|N1e1<6s_82m7:0f6?>{e=921<7=50;2x 7g62;3n7E<65:k2e5<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751`28R4?d2;q/9k956:&6b3<13twvq6g>a083>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i81]=4m52z&6b2<13-?m:784}|~?j7c=3:1(?o>:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo;?9;295?6=8r.9m<4=a99K6<36=4+2`395a3<3th>5}#:h;1:>5G2878m4g7290/>l?518d8R7g428qG>l;51zTe4?4|,8o2647;|~y>i6l<0;6)P5i:0:wAd49U5j:49;%7e2?06<729q/>l?5629K6<3;|~y>o6i80;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95d7<^83h6?u+5g5954=#=o<1=<5r}|8k4b2290/>l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn8>k:180>5<7s-8j=78<;I0:1>o6i90;6)P5i:0:wAa19U5j:49;%7e2?0l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn8>j:180>5<7s-8j=78<;I0:1>o6i90;6)P5i:0:wAa19U5j:49;%7e2?0l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn8>i:180>5<7s-8j=78<;I0:1>o6i90;6)P5i:0:wAa19U5j:4m;%7e2?d0:=6*:f7825>{zut1d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a146=8391<7>t$3c2>35<@;3>7d?n0;29 7g6283m7E0:=6*:f7825>{zut1b=l?50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28k:7[?6c;0x 0`028;0(8h9:038yx{z3f;o97>5$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c725?6=<3:1N51<1b=l>50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28k;7[?6c;0x 0`02k1/9k85b:~yx=n9h;1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74g63_;2o7g=#=o<1n6sr}|9j5de=83.9m<4>9g9K6d6<^;k86k38p(8h8:038 0`128;0qpsr;n3g1?6=,;k:669b81!3a?3<0(8h9:79~yx{58;294~"5i80:h95G2878m4g7290/>l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=l?50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d7<^83h6?u+5g592>"2n?0=7psr}:k2ef<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:mn5Y18a96~"2n>0=7);i6;48yx{z3`;i;7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3a3>P61j09w);i7;48 0`12?1vqps4i0`b>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28hj7[?6c;0x 0`02?1/9k856:~yx=n9kh1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751c`8R4?d2;q/9k956:&6b3<13twvq6g>bb83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6jj1]=4m52z&6b2<13-?m:784}|~?j7c=3:1(?o>:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo;i8;296?6=8r.9m<4l1:J1=0=n90l1<7*=a082=c=6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb4dg>5<1290;w)N51<1/=n>5159j5<`=83.9m<4>9g9U6d5=9rF9m84>{W3``?4|,8o26<7i;W3:g?4|,{zut1bo>4?:%0b5?e43_8j?7?tL3c6>4}Q9jn1>v*>e88`7>P61j09w);i7;48 0`12?1vqps4ib694?"5i80h86X=a281I4f=3;pZ7}#=o?1o95+33191c17}#=o?1o95+33191c17}#=o?1o95+33191c1e290/>l?529`8R7g42;qG>l;51zT2ga<5s-;n57<7b:T2=f<5s-?m;7?=;%7e2?753twv@?o;:0yU67>e3-99?7;i7:'225=9;1vqp5f29c94?"5i8094l5Y2`196~J5i<0:w[?ld;0x 4c>2;2j7[?6c;0x 0`02880(8h9:008yx{K:h>1=vX=9`81Scb2;q/9k;529c8 6442{zu2e:h84?:%0b5?7c=21vn8h6:180>5<7s-8j=7<6e:J1=0=n9h:1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74g73_;2o73=#=o<1:6sr}|9j5d7=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l?4V0;`>7}#=o=1:6*:f785?x{zu2e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f685?!3a>3<0qpsr;|`6bd<7280;6=u+2`396d><@;3>7b?k5;29 7g628n>76sm5g`94?5=83:p(?o>:718L7?23`;j<7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b4>P61j09w);i7;`8 0`12k1vqps4i0c2>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?n1:T2=f<5s-?m;7?>;%7e2?763twvq6a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd5?10;6?4?:1y'6d7=k81C>4;4i0;e>5<#:h;1=4h4;n3g1?6=,;k:669b81!3a?3<0(8h9:79~yx{56;294~"5i80:5i5G2878 4e728>0e<7i:18'6d7=90l0Z?o<:0yO6d3=9r\:oi4={%3f=?7>n2\:5n4={%7e3?0<,7}#9l31o>5Y18a96~"2n>0=7);i6;48yx{z3`i?6=4+2`39g1=Q:h91>vB=a482S7dl38p(f2<,:8868h8;|Tfb?4|,6<7i;%117?3a?2w/::=5e:U6<4=;r\ni7f2<,:8868h8;|Tfb?4|,6<7i;%117?3a>2w/::=5e:U6<4=;r\ni7f2<,:8868h8;|Tfb?4|,6n=4$200>0`03t.=;>48;|T2a=<5s_on6?u+5g79g1=#;;919k94}%447?743twv7d<7b;29 7g62;2i7[j;4>2:~yI4f<3;pZ?7n:3yUa`<5s-?m97<7b:&066<2n>1v(;9<:008yx{P61j09w);i7;31?!3a>3;97psrL3c7>4}Q:0k1>vXje;0x 0`22;2j7)==3;7e3>{#>>91=?5r}|9l5a3=83.9m<4>d498yg4013:1?7>50z&1e4<51l1C>4;4i0c3>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k;7[?6c;0x 0`02?1/9k856:~yx=n9h;1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`38R4?d2;q/9k956:&6b3<13twvq6a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd5?h0;6<4?:1y'6d7=:h20D?7:;n3g1?6=,;k:6t$3c2>35<@;3>7d?n0;29 7g6283m7E0i7);i6;`8yx{z3`;j=7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6i81]=4m52z&6b2:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo<8e;296?6=8r.9m<4l1:J1=0=n90l1<7*=a082=c=6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb3:0>5<1290;w)N51<1/=n>5159j5<`=83.9m<4>9g9U6d5=9rF9m84>{W3``?4|,8o26<7i;W3:g?4|,{zut1bo>4?:%0b5?e43_8j?7?tL3c6>4}Q9jn1>v*>e88`7>P61j09w);i7;48 0`12?1vqps4ib694?"5i80h86X=a281I4f=3;pZ7}#=o?1o95+33191c17}#=o?1o95+33191c17}#=o?1o95+33191c1e290/>l?529`8R7g42;qG>l;51zT2ga<5s-;n57<7b:T2=f<5s-?m;7?=;%7e2?753twv@?o;:0yU67>e3-99?7;i7:'225=9;1vqp5f29c94?"5i8094l5Y2`196~J5i<0:w[?ld;0x 4c>2;2j7[?6c;0x 0`02880(8h9:008yx{K:h>1=vX=9`81Scb2;q/9k;529c8 6442{zu2e:h84?:%0b5?7c=21vn?9i:180>5<7s-8j=7<6e:J1=0=n9h:1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`28R4?d2;q/9k956:&6b3<13twvq6g>a083>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i81]=4m52z&6b2<13-?m:784}|~?j7c=3:1(?o>:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo<70;295?6=8r.9m<4=a99K6<36=4+2`395a3<3th94<4?:283>5}#:h;1:>5G2878m4g7290/>l?518d8R7g428qG>l;51zTe4?4|,8o2647;|~y>i6l<0;6)P5i:0:wAd49U5j:49;%7e2?07<729q/>l?5c09K6<35$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c626?6=;3:14g73_;2o73=#=o<1:6sr}|9j5d7=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l?4V0;`>7}#=o=1j6*:f78e?x{zu2e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f685?!3a>3<0qpsr;|`754<72?0;6=u+2`3957)?l0;37?l7>n3:1(?o>:0;e?S4f;3;p@?o::0yU5fb=:r.:i44>9g9U5j:49;%7e2?0l=51zN1e0<6s_;hh7f5<^83h6?u+5g592>"2n?0=7psr}:k`0?6=,;k:6n:4V3c0>7}K:h?1=vX>ce81!7b13i?7[?6c;0x 0`0211/9k858:~yI4f<3>pZ?7=:2yUa`<5s-?m97m;;%117?3a?2w]ik4={%7e1?7>n2.8>>4:f69~ 3142l1vZ?7=:2yUa`<5s-?m97m;;%117?3a?2w]ik4={%7e1?7>n2.8>>4:f79~ 3142l1vZ?7=:2yUa`<5s-?m97m;;%117?3a?2w]ik4={%7e1?e43-99?7;i7:'225=?2w]=h652zTfa?4|,6n:4$200>0`03t.=;>4>3:~y>o50k0;6)P5i:09wAi38pZhk52z&6b0<50k1/??=55g58y!00;3;97psr;h0;e?6=,;k:6?6n;W0b7?4|D;k>60:>6*:f7826>{zuE8j87?tV3;b>7}Qml09w);i5;0;e>"4::0>j:5r$750>446<729q/>l?528g8L7?23`;j<7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b4>P61j09w);i7;48 0`12?1vqps4i0c2>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k:7[?6c;0x 0`02?1/9k856:~yx=h9m?1<7*=a082`0=Q:h91=vB=a482S`72;q/=h751e78R4?d2;q/9k956:&6b3<13twvq6sm41:94?7=83:p(?o>:3c;?M4>=2e:h84?:%0b5?7c=21vn9>n:180>5<7s-8j=78<;I0:1>o6i90;6)P5i:0:wAa19U5j:49;%7e2?0l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn9>m:186>5<7s-8j=78<;I0:1>o6i90;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95d6<^83h6?u+5g5954=#=o<1=<5r}|8m4g6290/>l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3b5>P61j09w);i7;32?!3a>3;:7psr}:k2ef<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:mn5Y18a96~"2n>0:=6*:f7825>{zut1b=o950;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95g1<^83h6?u+5g5954=#=o<1=<5r}|8k4b2290/>l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn9>l:18;>5<7s-8j=78<;I0:1>o6i90;6)P5i:0:wAa19U5j:4>1:&6b3<692wvqp5f1`394?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h;0Z<7l:3y'1c1=981/9k85109~yx{k38p(8h8:038 0`128;0qpsr;h3a3?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3;:7);i6;32?x{zu2c:nl4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882fd=Q90i1>v*:f68a?!3a>3h0qpsr;h3af?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3h0(8h9:c9~yx{k38p(8h8:038 0`128;0qpsr;n3g1?6=,;k:669b81!3a?3<0(8h9:79~yx{;h7>58;294~"5i80=?6F=949j5d6=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l>4V0;`>7}#=o=1=<5+5g4954=zutw0e:18'6d7=90l0Z?o<:0yO6d3=9r\m<74g63_;2o747<,:0;e?S4f;3;p@?o::0yUb5<5s-;n57?nc:T2=f<5s-?m;7?>;%7e2?763twvq6g>b683>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=o94V0;`>7}#=o=1=<5+5g4954=zutw0e4df3_;2o7g=#=o<1n6sr}|9j5gd=83.9m<4>9g9K6d6<^;k86k38p(8h8:c9'1c0=j2wvqp5f1ca94?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9ki0Z<7l:3y'1c1=981/9k85109~yx{6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb52f>5<>290;w)=2c:m=4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f6825>"2n?0:=6sr}|9j5d7=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l?4V0;`>7}#=o=1=<5+5g4954=zutw0e4gd3_;2o747<,:0;e?S4f;3;p@?o::0yUb5<5s-;n57?m7:T2=f<5s-?m;7?>;%7e2?763twvq6g>b`83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6jh1]=4m52z&6b2:0;e?S4f;3;p@?o::0yUb5<5s-;n57?mb:T2=f<5s-?m;7l4$4d5>g=zutw0e4dd3_;2o747<,:0;e?S4f;3;p@?o::0yUb5<5s-;n57?md:T2=f<5s-?m;7?>;%7e2?763twvq6a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd38o0;644?:1y'6d7=>:1C>4;4i0c3>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k;7[?6c;0x 0`028;0(8h9:038yx{z3`;j=7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b5>P61j09w);i7;32?!3a>3;:7psr}:k2ef<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:mn5Y18a96~"2n>0:=6*:f7825>{zut1b=o950;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95g1<^83h6?u+5g5954=#=o<1=<5r}|8m4df290/>l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=ol50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95gd<^83h6?u+5g59f>"2n?0i7psr}:k2ff<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:nn5Y18a96~"2n>0:=6*:f7825>{zut1b=oj50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95gb<^83h6?u+5g5954=#=o<1=<5r}|8k4b2290/>l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn9>6:184>5<7s-8j=7?k4:J1=0=n9h:1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`28R4?d2;q/9k956:&6b3<13twvq6g>a083>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i81]=4m52z&6b2<13-?m:784}|~?l7fk3:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?nc:T2=f<5s-?m;784$4d5>3=zutw0e4d03_;2o73=#=o<1:6sr}|9j5gg=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=oo4V0;`>7}#=o=1:6*:f785?x{zu2c:no4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882fg=Q90i1>v*:f685?!3a>3<0qpsr;n3g1?6=,;k:669b81!3a?3<0(8h9:79~yx{52;294~"5i80h=6F=949j5<`=83.9m<4>9g98k4b2290/>l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn5<7s-8j=7?6d:J1=0=#9j:1=95f18d94?"5i80:5k5Y2`195~J5i<0:w[?ld;0x 4c>283m7[?6c;0x 0`02?1/9k856:~yx=nk:0;6)3=zutw0en:50;&1e44={M0b1?7|^8io6?u+1d;9g1=Q90i1>v*:f68;?!3a>320qpsC2`690~P51;08w[kj:3y'1c3=k=1/??=55g58ySca2;q/9k;518d8 6442>4:f69~ 3142890qps4i3:a>5<#:h;1>5l4V3c0>7}K:h?1=vX>ce81!7b1383n6X>9b81!3a?3;97);i6;31?x{zD;k?65o50;&1e4<50h1]>l=52zN1e0<6s_;hh77>f3_;2o744<,7}#=o?1>5o4$200>0`03t.=;>4>2:~y>i6l<0;6)=zj8i96=4<:183!4f9382i6F=949j5d6=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l>4V0;`>7}#=o=1:6*:f785?x{zu2c:m<4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e4=Q90i1>v*:f685?!3a>3<0qpsr;n3g1?6=,;k:669b81!3a?3<0(8h9:79~yx{51;294~"5i809m55G2878k4b2290/>l?51e78?xd6k=0;6>4?:1y'6d7=>:1C>4;4i0c3>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?n0:T2=f<5s-?m;7l4$4d5>g=zutw0e:18'6d7=90l0D?o?;W0b7?7|D;k>69b81!3a?3h0(8h9:c9~yx{6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb3:7>5<5290;w)=2c:5k4?:%0b5?7>n21d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a6=?=83<1<7>t$3c2>4?c3A8296*>c1820>o61o0;6)P5i:0:wA3=#=o<1:6sr}|9jg1<72-8j=7m;;W0b7?4|D;k>69b81!3a?320(8h9:99~yxJ5i=0?w[<62;1xR`c=:r.>j84l4:&066<2n>1vZhh52z&6b0<61o1/??=55g58y!00;3o0q[<62;1xR`c=:r.>j84l4:&066<2n>1vZhh52z&6b0<61o1/??=55g48y!00;3o0q[<62;1xR`c=:r.>j84l4:&066<2n>1vZhh52z&6b0>4:f69~ 3142>1vZvB=a482S7dl38p(k38p(8h8:008 0`12880qpsC2`695~P51h09w[kj:3y'1c3=:1h0(><<:4d4?x"1?:0:>6sr}:k17}#9l31>5o4V0;`>7}#=o=1=?5+5g4957=zutF9m94>{W0:e?4|^lo1>v*:f48176sm29794?5=83:p(?o>:3;f?M4>=2c:m=4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f685?!3a>3<0qpsr;h3b5?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb3:5>5<6290;w)N51<1d=i;50;&1e4<6l<10qo<77;297?6=8r.9m<493:J1=0=n9h:1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`28R4?d2;q/9k95109'1c0=981vqps4i0c2>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k:7[?6c;0x 0`028;0(8h9:038yx{z3f;o97>5$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c1:2?6=:3:1N51<1b=4h50;&1e4<61o10c4b23_;2o73=#=o<1:6sr}|9~f6?e290=6=4?{%0b5?7>l2B9585+1b2951=n90l1<7*=a082=c=Q:h91=vB=a482S7dl38p(k38p(8h8:79'1c0=>2wvqp5fc283>!4f93i87[7}#9l31o95Y18a96~"2n>037);i6;:8yx{K:h>18vX=9380Scb2;q/9k;5c59'775==o=0q[ki:3y'1c3=90l0(><<:4d4?x"1?:0n7pX=9380Scb2;q/9k;5c59'775==o=0q[ki:3y'1c3=90l0(><<:4d5?x"1?:0n7pX=9380Scb2;q/9k;5c59'775==o=0q[ki:3y'1c3=k:1/??=55g58y!00;3=0q[?j8;0xR`c=:r.>j84l4:&066<2n>1v(;9<:018yx{P61j09w);i7;31?!3a>3;97psrL3c7>4}Q:0k1>vXje;0x 0`22;2i7)==3;7e3>{#>>91=?5r}|9j6=g=83.9m<4=8`9U6d5=:rF9m84>{W3``?4|,8o26?6n;W3:g?4|,44l:51zT1=d<5s_on6?u+5g796=g<,:8868h8;|&536<6:2wvq6a>d483>!4f93;o965rb2;4>5<4290;w)N51<1b=l>50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d6<^83h6?u+5g592>"2n?0=7psr}:k2e4<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m<5Y18a96~"2n>0=7);i6;48yx{z3f;o97>5$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c1:6<729q/>l?5629K6<3l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3b5>P61j09w);i7;`8 0`12k1vqps4o0f6>5<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zj:o:6=4=:183!4f93i:7E<65:k2=c<72-8j=7?6f:9l5a3=83.9m<4>d49U6d5=9rF9m84>{Wd3>7}#9l31=i;4V0;`>7}#=o=1:6*:f785?x{zu2wi?h;50;094?6|,;k:6n?4H3;6?l7>n3:1(?o>:0;e?>i6l<0;6)P5i:0:wAd49U5j:49;%7e2?03<729q/>l?518f8L7?23-;h<7?;;h3:b?6=,;k:6<7i;W0b7?7|D;k>60=7);i6;48yx{z3`i86=4+2`39g6=Q:h91=vB=a482S7dl38p(0Z?o<:3yO6d3=9r\:oi4={%3f=?e33_;2o7==#=o<146sr}M0b0?2|^;396>uYed81!3a=3i?7)==3;7e3>{Qmo09w);i5;3:b>"4::0>j:5r$750>`=z^;396>uYed81!3a=3i?7)==3;7e3>{Qmo09w);i5;3:b>"4::0>j;5r$750>`=z^;396>uYed81!3a=3i?7)==3;7e3>{Qmo09w);i5;a0?!55;3?m;6s+66193>{Q9l21>vXje;0x 0`22j>0(><<:4d4?x"1?:0:?6sr}:k17}#9l31>5l4V0;`>7}#=o=1=?5+5g4957=zutF9m94>{W0:e?4|^lo1>v*:f481j;4>2:~yI4f<3;pZ?7n:3yUa`<5s-?m97<7a:&066<2n>1v(;9<:008yx{6=4+2`395a3<3th8i?4?:283>5}#:h;1>4k4H3;6?l7f83:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n0:T2=f<5s-?m;784$4d5>3=zutw0e:18'6d7=90l0Z?o<:0yO6d3=9r\m<74g63_;2o73=#=o<1:6sr}|9l5a3=83.9m<4>d49U6d5=9rF9m84>{Wd3>7}#9l31=i;4V0;`>7}#=o=1:6*:f785?x{zu2wi?h=50;394?6|,;k:6?o7;I0:1>i6l<0;6)=zj:o?6=48:183!4f93<87E<65:k2e5<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751`28R4?d2;q/9k95109'1c0=981vqps4i0c2>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?n1:T2=f<5s-?m;7?>;%7e2?763twvq6g>ab83>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=lm4V0;`>7}#=o=1=<5+5g4954=zutw0e69b81!3a?3;:7);i6;32?x{zu2c:nl4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9kk0Z<7l:3y'1c1=981/9k85109~yx{k38p(8h8:038 0`128;0qpsr;n3g1?6=,;k:669b81!3a?3<0(8h9:79~yx{59;294~"5i80=?6F=949j5d6=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l>4V0;`>7}#=o=1=?5+5g4957=zutw0e:18'6d7=90l0Z?o<:0yO6d3=9r\m<74g63_;2o744<,:0;e?S4f;3;p@?o::0yUb5<5s-;n57?nc:T2=f<5s-?m;7?=;%7e2?753twvq6g>b683>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6j>1]=4m52z&6b2<6:2.>j;4>2:~yx=n9kk1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751cc8R4?d2;q/9k95c:&6b3bc83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6jk1]=4m52z&6b2:0;e?S4f;3;p@?o::0yUb5<5s-;n57?mc:T2=f<5s-?m;7?=;%7e2?753twvq6g>be83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6jm1]=4m52z&6b2<6:2.>j;4>2:~yx=h9m?1<7*=a082`0=Q:h91=vB=a482S`72;q/=h751e78R4?d2;q/9k956:&6b3<13twvq6sm3d594??=83:p(?o>:718L7?23`;j<7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b4>P61j09w);i7;31?!3a>3;97psr}:k2e4<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m<5Y18a96~"2n>0:>6*:f7826>{zut1b=lm50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95de<^83h6?u+5g5957=#=o<1=?5r}|8m4d0290/>l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3a3>P61j09w);i7;31?!3a>3;97psr}:k2fd<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:nl5Y18a96~"2n>0h7);i6;a8yx{z3`;in7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6jk1]=4m52z&6b2:0;e?S4f;3;p@?o::0yUb5<5s-;n57?mc:T2=f<5s-?m;7?=;%7e2?753twvq6g>be83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6jm1]=4m52z&6b2<6:2.>j;4>2:~yx=h9m?1<7*=a082`0=Q:h91=vB=a482S`72;q/=h751e78R4?d2;q/9k956:&6b3<13twvq6sm3d:94??=83:p(?o>:718L7?23`;j<7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b4>P61j09w);i7;31?!3a>3;97psr}:k2e4<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m<5Y18a96~"2n>0:>6*:f7826>{zut1b=lm50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95de<^83h6?u+5g5957=#=o<1=?5r}|8m4d0290/>l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3a3>P61j09w);i7;31?!3a>3;97psr}:k2fd<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:nl5Y18a96~"2n>0h7);i6;a8yx{z3`;in7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6jk1]=4m52z&6b2:0;e?S4f;3;p@?o::0yUb5<5s-;n57?mc:T2=f<5s-?m;7?=;%7e2?753twvq6g>be83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6jm1]=4m52z&6b2<6:2.>j;4>2:~yx=h9m?1<7*=a082`0=Q:h91=vB=a482S`72;q/=h751e78R4?d2;q/9k956:&6b3<13twvq6sm3d;94??=83:p(?o>:718L7?23`;j<7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b4>P61j09w);i7;31?!3a>3;97psr}:k2e4<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m<5Y18a96~"2n>0:>6*:f7826>{zut1b=lm50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95de<^83h6?u+5g5957=#=o<1=?5r}|8m4d0290/>l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3a3>P61j09w);i7;31?!3a>3;97psr}:k2fd<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:nl5Y18a96~"2n>0h7);i6;a8yx{z3`;in7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6jk1]=4m52z&6b2:0;e?S4f;3;p@?o::0yUb5<5s-;n57?mc:T2=f<5s-?m;7?=;%7e2?753twvq6g>be83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6jm1]=4m52z&6b2<6:2.>j;4>2:~yx=h9m?1<7*=a082`0=Q:h91=vB=a482S`72;q/=h751e78R4?d2;q/9k956:&6b3<13twvq6sm3dc94?3=83:p(?o>:0f7?M4>=2c:m=4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f685?!3a>3<0qpsr;h3b5?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{k38p(8h8:79'1c0=>2wvqp5f1c594?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9k=0Z<7l:3y'1c1=>2.>j;49;|~y>i6l<0;6)P5i:0:wAd49U5j:49;%7e2?07<729q/>l?5c09K6<35$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c1e6?6=>3:1l?518d8R7g428qG>l;51zT2ga<5s-;n57?6f:T2=f<5s-?m;784$4d5>3=zutw0en=50;&1e44>{M0b1?7|^8io6?u+1d;9g6=Q90i1>v*:f685?!3a>3<0qpsr;ha7>5<#:h;1o95Y2`196~J5i<0:w[?ld;0x 4c>2j>0Z<7l:3y'1c1=02.>j;47;|~H7g32=q]>4<53zTfa?4|,6n:4$200>0`03t\nj74?a3-99?7;i7:'225=m2w]>4<53zTfa?4|,6n:4$200>0`03t\nj74?a3-99?7;i6:'225=m2w]>4<53zTfa?4|,6n:4$200>0`03t\nj7f5<,:8868h8;|&536<03t\:i54={Wgf>7}#=o?1o95+33191c1:3:a?S4f;38p@?o::0yU5fb=:r.:i44=8c9U5j:4>2:&6b3<6:2wvqA>4:f69~ 3142880qps4i3:b>5<#:h;1>5o4V3c0>7}K:h?1=vX>ce81!7b1383m6X>9b81!3a?3;97);i6;31?x{zD;k?6k38p(8h8:79'1c0=>2wvqp5f1`394?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h;0Z<7l:3y'1c1=>2.>j;49;|~y>i6l<0;6)P5i:0:wAd49U5j:49;%7e2?04<729q/>l?52`:8L7?23f;o97>5$3c2>4b232wi?k>50;194?6|,;k:6;=4H3;6?l7f83:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n0:T2=f<5s-?m;7l4$4d5>g=zutw0e:18'6d7=90l0Z?o<:0yO6d3=9r\m<74g63_;2o7g=#=o<1n6sr}|9l5a3=83.9m<4>d49U6d5=9rF9m84>{Wd3>7}#9l31=i;4V0;`>7}#=o=1:6*:f785?x{zu2wi?k750;094?6|,;k:6n?4H3;6?l7>n3:1(?o>:0;e?>i6l<0;6)P5i:0:wAd49U5j:49;%7e2?03<729q/>l?518f8L7?23-;h<7?;;h3:b?6=,;k:6<7i;W0b7?7|D;k>60=7);i6;48yx{z3`i86=4+2`39g6=Q:h91=vB=a482S7dl38p(0Z?o<:3yO6d3=9r\:oi4={%3f=?e33_;2o7==#=o<146sr}M0b0?2|^;396>uYed81!3a=3i?7)==3;7e3>{Qmo09w);i5;3:b>"4::0>j:5r$750>`=z^;396>uYed81!3a=3i?7)==3;7e3>{Qmo09w);i5;3:b>"4::0>j;5r$750>`=z^;396>uYed81!3a=3i?7)==3;7e3>{Qmo09w);i5;a0?!55;3?m;6s+66193>{Q9l21>vXje;0x 0`22j>0(><<:4d4?x"1?:0:?6sr}:k17}#9l31>5l4V0;`>7}#=o=1=?5+5g4957=zutF9m94>{W0:e?4|^lo1>v*:f481j;4>2:~yI4f<3;pZ?7n:3yUa`<5s-?m97<7a:&066<2n>1v(;9<:008yx{6=4+2`395a3<3th8jl4?:283>5}#:h;1>4k4H3;6?l7f83:1(?o>:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f685?!3a>3<0qpsr;h3b5?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb2da>5<6290;w)N51<1d=i;50;&1e4<6l<10qo=id;297?6=8r.9m<493:J1=0=n9h:1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`28R4?d2;q/9k956:&6b3<13twvq6g>a083>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i81]=4m52z&6b2<13-?m:784}|~?j7c=3:1(?o>:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo=ie;297?6=8r.9m<493:J1=0=n9h:1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`28R4?d2;q/9k956:&6b3<13twvq6g>a083>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i81]=4m52z&6b2<13-?m:784}|~?j7c=3:1(?o>:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo=if;297?6=8r.9m<493:J1=0=n9h:1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`28R4?d2;q/9k95109'1c0=981vqps4i0c2>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?n1:T2=f<5s-?m;7?>;%7e2?763twvq6a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd3890;694?:1y'6d7=>:1C>4;4i0c3>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k;7[?6c;0x 0`028;0(8h9:038yx{z3`;j=7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6i81]=4m52z&6b2<692.>j;4>1:~yx=n9hi1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74gd3_;2o747<,:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo:?1;290?6=8r.9m<493:J1=0=n9h:1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74g73_;2o747<,:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882e4=Q90i1>v*:f6825>"2n?0:=6sr}|9j5de=83.9m<4>9g9K6d6<^;k86k38p(8h8:038 0`128;0qpsr;n3g1?6=,;k:669b81!3a?3<0(8h9:79~yx{;>7>55;294~"5i80=?6F=949j5d6=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l>4V0;`>7}#=o=1=<5+5g4954=zutw0e:18'6d7=90l0Z?o<:0yO6d3=9r\m<74g63_;2o747<,:0;e?S4f;3;p@?o::0yUb5<5s-;n57?nc:T2=f<5s-?m;7l4$4d5>g=zutw0e4d03_;2o747<,:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo:?3;292?6=8r.9m<493:J1=0=n9h:1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`28R4?d2;q/9k95109'1c0=981vqps4i0c2>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k:7[?6c;0x 0`028;0(8h9:038yx{z3`;jo7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3bg>P61j09w);i7;`8 0`12k1vqps4i0`4>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28h<7[?6c;0x 0`028;0(8h9:038yx{z3`;im7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3ae>P61j09w);i7;32?!3a>3;:7psr}:m2`0<72-8j=7?k5:T1e6<6sE8j97?tVg296~"6m00:h85Y18a96~"2n>0=7);i6;48yx{z3th8jn4?:983>5}#:h;1=i:4H3;6?l7f83:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n0:T2=f<5s-?m;784$4d5>3=zutw0e:18'6d7=90l0Z?o<:0yO6d3=9r\m<74g63_;2o73=#=o<1:6sr}|9j5de=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=lm4V0;`>7}#=o=1:6*:f785?x{zu2c:n:4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882f2=Q90i1>v*:f685?!3a>3<0qpsr;h3ae?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{k38p(8h8:79'1c0=>2wvqp5f1ca94?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9ki0Z<7l:3y'1c1=>2.>j;49;|~y>i6l<0;6)P5i:0:wAd49U5j:49;%7e2?07<729q/>l?5c09K6<35$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c623:1l?518d8R7g428qG>l;51zT2ga<5s-;n57?6f:T2=f<5s-?m;784$4d5>3=zutw0en=50;&1e44>{M0b1?7|^8io6?u+1d;9g6=Q90i1>v*:f685?!3a>3<0qpsr;ha7>5<#:h;1o95Y2`196~J5i<0:w[?ld;0x 4c>2j>0Z<7l:3y'1c1=02.>j;47;|~H7g32=q]>4<53zTfa?4|,6n:4$200>0`03t\nj74?a3-99?7;i7:'225=m2w]>4<53zTfa?4|,6n:4$200>0`03t\nj74?a3-99?7;i6:'225=m2w]>4<53zTfa?4|,6n:4$200>0`03t\nj7f5<,:8868h8;|&536<03t\:i54={Wgf>7}#=o?1o95+33191c1:3:a?S4f;38p@?o::0yU5fb=:r.:i44=8c9U5j:4>2:&6b3<6:2wvqA>4:f69~ 3142880qps4i3:b>5<#:h;1>5o4V3c0>7}K:h?1=vX>ce81!7b1383m6X>9b81!3a?3;97);i6;31?x{zD;k?64;297?6=8r.9m<4=9d9K6<3k38p(8h8:79'1c0=>2wvqp5f1`394?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h;0Z<7l:3y'1c1=>2.>j;49;|~y>i6l<0;6)P5i:0:wAd49U5j:49;%7e2?04<729q/>l?52`:8L7?23f;o97>5$3c2>4b232wi8<850;194?6|,;k:6;=4H3;6?l7f83:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n0:T2=f<5s-?m;7?>;%7e2?763twvq6g>a083>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i81]=4m52z&6b2<692.>j;4>1:~yx=h9m?1<7*=a082`0=Q:h91=vB=a482S`72;q/=h751e78R4?d2;q/9k956:&6b3<13twvq6sm67794?4=83:p(?o>:b38L7?23`;2j7>5$3c2>4?a32e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f685?!3a>3<0qpsr;|`52d<72?0;6=u+2`3957)?l0;37?l7>n3:1(?o>:0;e?S4f;3;p@?o::0yU5fb=:r.:i44>9g9U5j:49;%7e2?0l=51zN1e0<6s_;hh7f5<^83h6?u+5g592>"2n?0=7psr}:k`0?6=,;k:6n:4V3c0>7}K:h?1=vX>ce81!7b13i?7[?6c;0x 0`0211/9k858:~yI4f<3>pZ?7=:2yUa`<5s-?m97m;;%117?3a?2w]ik4={%7e1?7>n2.8>>4:f69~ 3142l1vZ?7=:2yUa`<5s-?m97m;;%117?3a?2w]ik4={%7e1?7>n2.8>>4:f79~ 3142l1vZ?7=:2yUa`<5s-?m97m;;%117?3a?2w]ik4={%7e1?e43-99?7;i7:'225=?2w]=h652zTfa?4|,6n:4$200>0`03t.=;>4>3:~y>o50k0;6)P5i:09wAi38pZhk52z&6b0<50k1/??=55g58y!00;3;97psr;h0;e?6=,;k:6?6n;W0b7?4|D;k>60:>6*:f7826>{zuE8j87?tV3;b>7}Qml09w);i5;0;e>"4::0>j:5r$750>446<729q/>l?528g8L7?23`;j<7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b4>P61j09w);i7;48 0`12?1vqps4i0c2>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k:7[?6c;0x 0`02?1/9k856:~yx=h9m?1<7*=a082`0=Q:h91=vB=a482S`72;q/=h751e78R4?d2;q/9k956:&6b3<13twvq6sm67594?7=83:p(?o>:3c;?M4>=2e:h84?:%0b5?7c=21vn;87:180>5<7s-8j=78<;I0:1>o6i90;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95d6<^83h6?u+5g5954=#=o<1=<5r}|8m4g6290/>l?518d8R7g428qG>l;51zTe4?4|,8o26;W3:g?4|,477[7>50z&1e45<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zj;=?6=4=:183!4f93i:7E<65:k2=c<72-8j=7?6f:9l5a3=83.9m<4>d49U6d5=9rF9m84>{Wd3>7}#9l31=i;4V0;`>7}#=o=1:6*:f785?x{zu2wi>:950;494?6|,;k:6<7k;I0:1>"6k90:86g>9g83>!4f93;2j6X=a282I4f=3;pZ2.>j;49;|~y>od;3:1(?o>:b18R7g428qG>l;51zT2ga<5s-;n57m<;W3:g?4|,{zut1bo94?:%0b5?e33_8j?74}Q9jn1>v*>e88`0>P61j09w);i7;:8 0`1211vqpB=a587S4>:39pZhk52z&6b0>4:f69~R``=:r.>j84>9g9'775==o=0q)883;g8yS4>:39pZhk52z&6b0>4:f69~R``=:r.>j84>9g9'775==o<0q)883;g8yS4>:39pZhk52z&6b0>4:f69~R``=:r.>j84l3:&066<2n>1v(;9<:d9~R4c?2;q]ih4={%7e1?e33-99?7;i7:'225=9:1vqp5f29`94?"5i8094o5Y2`196~J5i<0:w[?ld;0x 4c>2;2i7[?6c;0x 0`02880(8h9:008yx{K:h>1=vX=9`81Scb2;q/9k;529`8 6442{zu2c94l4?:%0b5?4?i2\9m>4={M0b1?7|^8io6?u+1d;96=g<^83h6?u+5g5957=#=o<1=?5r}|N1e1<6s_82m7:0f6?>{e:>;1<7=50;2x 7g62;3n7E<65:k2e5<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m=5Y18a96~"2n>0=7);i6;48yx{z3`;j=7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b5>P61j09w);i7;48 0`12?1vqps4o0f6>5<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zj;=96=4>:183!4f938j46F=949l5a3=83.9m<4>d498yg40;3:1=7>50z&1e4<6l:1C>4;4o0f6>5<#:h;1=i;4;|`130<72?0;6=u+2`3926=O:0?0e69b81!3a?3i0(8h9:b9~yx{l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3bg>P61j09w);i7;a8 0`12j1vqps4i0`4>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?m7:T2=f<5s-?m;7?=;%7e2?753twvq6g>b`83>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=oo4V0;`>7}#=o=1=?5+5g4957=zutw0c4b23_;2o73=#=o<1:6sr}|9~f717290?6=4?{%0b5?043A8296g>a183>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=l>4V0;`>7}#=o=1=<5+5g4954=zutw0e:18'6d7=90l0D?o?;W0b7?7|D;k>69b81!3a?3;:7);i6;32?x{zu2c:mn4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882ef=Q90i1>v*:f6825>"2n?0:=6sr}|9l5a3=83.9m<4>d49U6d5=9rF9m84>{Wd3>7}#9l31=i;4V0;`>7}#=o=1:6*:f785?x{zu2wi=n950;094?6|,;k:6n?4H3;6?l7>n3:1(?o>:0;e?>i6l<0;6)P5i:0:wAd49U5j:49;%7e2?0cb83>3<729q/>l?518f8L7?23-;h<7?;;h3:b?6=,;k:6<7i;W0b7?7|D;k>60=7);i6;48yx{z3`i86=4+2`39g6=Q:h91=vB=a482S7dl38p(0Z?o<:3yO6d3=9r\:oi4={%3f=?e33_;2o7==#=o<146sr}M0b0?2|^;396>uYed81!3a=3i?7)==3;7e3>{Qmo09w);i5;3:b>"4::0>j:5r$750>`=z^;396>uYed81!3a=3i?7)==3;7e3>{Qmo09w);i5;3:b>"4::0>j;5r$750>`=z^;396>uYed81!3a=3i?7)==3;7e3>{Qmo09w);i5;a0?!55;3?m;6s+66193>{Q9l21>vXje;0x 0`22j>0(><<:4d4?x"1?:0:?6sr}:k17}#9l31>5l4V0;`>7}#=o=1=?5+5g4957=zutF9m94>{W0:e?4|^lo1>v*:f481j;4>2:~yI4f<3;pZ?7n:3yUa`<5s-?m97<7a:&066<2n>1v(;9<:008yx{6=4+2`395a3<3th:o54?:283>5}#:h;1>4k4H3;6?l7f83:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n0:T2=f<5s-?m;784$4d5>3=zutw0e:18'6d7=90l0Z?o<:0yO6d3=9r\m<74g63_;2o73=#=o<1:6sr}|9l5a3=83.9m<4>d49U6d5=9rF9m84>{Wd3>7}#9l31=i;4V0;`>7}#=o=1:6*:f785?x{zu2wi=n750;394?6|,;k:6?o7;I0:1>i6l<0;6)=zj8ij6=4<:183!4f93<87E<65:k2e5<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m=5Y18a96~"2n>0:=6*:f7825>{zut1b=l?50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d7<^83h6?u+5g5954=#=o<1=<5r}|8k4b2290/>l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn><;:181>5<7s-8j=7m>;I0:1>o61o0;6)=h9m?1<7*=a082`0=Q:h91=vB=a482S`72;q/=h751e78R4?d2;q/9k956:&6b3<13twvq6sm33;94?0=83:p(?o>:0;g?M4>=2.:o=4>4:k2=c<72-8j=7?6f:T1e6<6sE8j97?tV0ag>7}#9l31=4h4V0;`>7}#=o=1:6*:f785?x{zu2ch?7>5$3c2>f5<^;k86k38p(8h8:79'1c0=>2wvqp5fc583>!4f93i?7[<,7=tVdg96~"2n<0h86*<2286b2=z^ll1>v*:f482=c=#;;919k94}%447?c7=tVdg96~"2n<0h86*<2286b2=z^ll1>v*:f482=c=#;;919k84}%447?c7=tVdg96~"2n<0h86*<2286b2=z^ll1>v*:f48`7>"4::0>j:5r$750>2=z^8o36?uYed81!3a=3i?7)==3;7e3>{#>>91=>5r}|9j6=d=83.9m<4=8c9U6d5=:rF9m84>{W3``?4|,8o26?6m;W3:g?4|,44l:51zT1=d<5s_on6?u+5g796=d<,:8868h8;|&536<6:2wvq6g=8`83>!4f9383m6X=a281I4f=3;pZj84=8`9'775==o=0q)883;31?x{z3f;o97>5$3c2>4b232wi??;50;194?6|,;k:6?7j;I0:1>o6i90;6)P5i:0:wAa19U5j:49;%7e2?0l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn><9:182>5<7s-8j=753;294~"5i80=?6F=949j5d6=83.9m<4>9g9K6d6<^;k86k38p(8h8:c9'1c0=j2wvqp5f1`394?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26;W3:g?4|,{zut1d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a7=6=8381<7>t$3c2>f7<@;3>7d?6f;29 7g6283m76a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd4010;6;4?:1y'6d7=90n0D?7:;%3`4?733`;2j7>5$3c2>4?a3_8j?7?tL3c6>4}Q9jn1>v*>e882=c=Q90i1>v*:f685?!3a>3<0qpsr;ha0>5<#:h;1o>5Y2`195~J5i<0:w[?ld;0x 4c>2j90Z<7l:3y'1c1=>2.>j;49;|~y>od<3:1(?o>:b68R7g42;qG>l;51zT2ga<5s-;n57m;;W3:g?4|,{zuE8j87:tV3;1>6}Qml09w);i5;a7?!55;3?m;6sYeg81!3a=3;2j6*<2286b2=z,?=86h5rV3;1>6}Qml09w);i5;a7?!55;3?m;6sYeg81!3a=3;2j6*<2286b3=z,?=86h5rV3;1>6}Qml09w);i5;a7?!55;3?m;6sYeg81!3a=3i87)==3;7e3>{#>>91;6sY1d:96~Pbm38p(8h::b68 6442{zu2c94o4?:%0b5?4?j2\9m>4={M0b1?7|^8io6?u+1d;96=d<^83h6?u+5g5957=#=o<1=?5r}|N1e1<6s_82m7:3:b?S4f;38p@?o::0yU5fb=:r.:i44=8`9U5j:4>2:&6b3<6:2wvqA>4:f69~ 3142880qps4o0f6>5<#:h;1=i;4;|`0<4<72:0;6=u+2`3967d?n0;29 7g6283m7[l?518d8R7g428qG>l;51zTe4?4|,8o26;W3:g?4|,{zut1d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a7=4=83;1<7>t$3c2>7g?3A8296a>d483>!4f93;o965rb2:7>5<4290;w)=2c:m=4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f6825>"2n?0:=6sr}|9j5d7=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l?4V0;`>7}#=o=1=<5+5g4954=zutw0c4b23_;2o73=#=o<1:6sr}|9~f6>229086=4?{%0b5?043A8296g>a183>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=l>4V0;`>7}#=o=1=<5+5g4954=zutw0e:18'6d7=90l0Z?o<:0yO6d3=9r\m<74g63_;2o747<,:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo=76;290?6=8r.9m<493:J1=0=n9h:1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74g73_;2o747<,:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882e4=Q90i1>v*:f6825>"2n?0:=6sr}|9j5de=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=lm4V0;`>7}#=o=1=<5+5g4954=zutw0c4b23_;2o73=#=o<1:6sr}|9~f6>4290?6=4?{%0b5?7c<2B9585f1`294?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h:0Z<7l:3y'1c1=>2.>j;49;|~y>o6i80;6)P5i:0:wAa09U5j:49;%7e2?0l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn>66:181>5<7s-8j=7m>;I0:1>o61o0;6)=h9m?1<7*=a082`0=Q:h91=vB=a482S`72;q/=h751e78R4?d2;q/9k956:&6b3<13twvq6sm39g94?0=83:p(?o>:0;g?M4>=2.:o=4>4:k2=c<72-8j=7?6f:T1e6<6sE8j97?tV0ag>7}#9l31=4h4V0;`>7}#=o=1:6*:f785?x{zu2ch?7>5$3c2>f5<^;k86k38p(8h8:79'1c0=>2wvqp5fc583>!4f93i?7[<,7=tVdg96~"2n<0h86*<2286b2=z^ll1>v*:f482=c=#;;919k94}%447?c7=tVdg96~"2n<0h86*<2286b2=z^ll1>v*:f482=c=#;;919k84}%447?c7=tVdg96~"2n<0h86*<2286b2=z^ll1>v*:f48`7>"4::0>j:5r$750>2=z^8o36?uYed81!3a=3i?7)==3;7e3>{#>>91=>5r}|9j6=d=83.9m<4=8c9U6d5=:rF9m84>{W3``?4|,8o26?6m;W3:g?4|,44l:51zT1=d<5s_on6?u+5g796=d<,:8868h8;|&536<6:2wvq6g=8`83>!4f9383m6X=a281I4f=3;pZj84=8`9'775==o=0q)883;31?x{z3f;o97>5$3c2>4b232wi?5o50;194?6|,;k:6?7j;I0:1>o6i90;6)P5i:0:wAa19U5j:49;%7e2?0l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn>6m:182>5<7s-8j=753;294~"5i80=?6F=949j5d6=83.9m<4>9g9K6d6<^;k86k38p(8h8:c9'1c0=j2wvqp5f1`394?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26;W3:g?4|,{zut1d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a7=`=8381<7>t$3c2>f7<@;3>7d?6f;29 7g6283m76a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd41=0;6;4?:1y'6d7=90n0D?7:;%3`4?733`;2j7>5$3c2>4?a3_8j?7?tL3c6>4}Q9jn1>v*>e882=c=Q90i1>v*:f685?!3a>3<0qpsr;ha0>5<#:h;1o>5Y2`195~J5i<0:w[?ld;0x 4c>2j90Z<7l:3y'1c1=>2.>j;49;|~y>od<3:1(?o>:b68R7g42;qG>l;51zT2ga<5s-;n57m;;W3:g?4|,{zuE8j87:tV3;1>6}Qml09w);i5;a7?!55;3?m;6sYeg81!3a=3;2j6*<2286b2=z,?=86h5rV3;1>6}Qml09w);i5;a7?!55;3?m;6sYeg81!3a=3;2j6*<2286b3=z,?=86h5rV3;1>6}Qml09w);i5;a7?!55;3?m;6sYeg81!3a=3i87)==3;7e3>{#>>91;6sY1d:96~Pbm38p(8h::b68 6442{zu2c94o4?:%0b5?4?j2\9m>4={M0b1?7|^8io6?u+1d;96=d<^83h6?u+5g5957=#=o<1=?5r}|N1e1<6s_82m7:3:b?S4f;38p@?o::0yU5fb=:r.:i44=8`9U5j:4>2:&6b3<6:2wvqA>4:f69~ 3142880qps4o0f6>5<#:h;1=i;4;|`0=5<72:0;6=u+2`3967d?n0;29 7g6283m7[l?518d8R7g428qG>l;51zTe4?4|,8o26;W3:g?4|,{zut1d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a7<7=83;1<7>t$3c2>7g?3A8296a>d483>!4f93;o965rb2;1>5<4290;w)=2c:m=4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9h:0Z<7l:3y'1c1=j2.>j;4m;|~y>o6i80;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95d7<^83h6?u+5g59f>"2n?0i7psr}:m2`0<72-8j=7?k5:T1e6<6sE8j97?tVg296~"6m00:h85Y18a96~"2n>0=7);i6;48yx{z3th85n4?:383>5}#:h;1o<5G2878m4?a290/>l?518d8?j7c=3:1(?o>:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo=n1;292?6=8r.9m<4>9e9K6<3<,8i;6<:4i0;e>5<#:h;1=4h4V3c0>4}K:h?1=vX>ce81!7b13;2j6X>9b81!3a?3<0(8h9:79~yx{P5i:0:wAj:49;%7e2?0l=52zN1e0<6s_;hh7f2<^83h6?u+5g59<>"2n?037psrL3c7>1}Q:081?vXje;0x 0`22j>0(><<:4d4?xPbn38p(8h::0;e?!55;3?m;6s+6619a>{Q:081?vXje;0x 0`22j>0(><<:4d4?xPbn38p(8h::0;e?!55;3?m:6s+6619a>{Q:081?vXje;0x 0`22j>0(><<:4d4?xPbn38p(8h::b18 644260:>6*:f7826>{zuE8j87?tV3;b>7}Qml09w);i5;0;f>"4::0>j:5r$750>444o52zTfa?4|,6?6n;%117?3a?2w/::=5139~yx=h9m?1<7*=a082`0=53;294~"5i8095h5G2878m4g7290/>l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=l?50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d7<^83h6?u+5g592>"2n?0=7psr}:m2`0<72-8j=7?k5:T1e6<6sE8j97?tVg296~"6m00:h85Y18a96~"2n>0=7);i6;48yx{z3th85h4?:083>5}#:h;1>l64H3;6?j7c=3:1(?o>:0f6?>{e;0l1<7=50;2x 7g62?90D?7:;h3b4?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3;:7);i6;32?x{zu2c:m<4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e4=Q90i1>v*:f6825>"2n?0:=6sr}|9l5a3=83.9m<4>d49U6d5=9rF9m84>{Wd3>7}#9l31=i;4V0;`>7}#=o=1:6*:f785?x{zu2wi?l<50;094?6|,;k:6n?4H3;6?l7>n3:1(?o>:0;e?>i6l<0;6)P5i:0:wAd49U5j:49;%7e2?03<729q/>l?518f8L7?23-;h<7?;;h3:b?6=,;k:6<7i;W0b7?7|D;k>60=7);i6;48yx{z3`i86=4+2`39g6=Q:h91=vB=a482S7dl38p(0Z?o<:3yO6d3=9r\:oi4={%3f=?e33_;2o7==#=o<146sr}M0b0?2|^;396>uYed81!3a=3i?7)==3;7e3>{Qmo09w);i5;3:b>"4::0>j:5r$750>`=z^;396>uYed81!3a=3i?7)==3;7e3>{Qmo09w);i5;3:b>"4::0>j;5r$750>`=z^;396>uYed81!3a=3i?7)==3;7e3>{Qmo09w);i5;a0?!55;3?m;6s+66193>{Q9l21>vXje;0x 0`22j>0(><<:4d4?x"1?:0:?6sr}:k17}#9l31>5l4V0;`>7}#=o=1=?5+5g4957=zutF9m94>{W0:e?4|^lo1>v*:f481j;4>2:~yI4f<3;pZ?7n:3yUa`<5s-?m97<7a:&066<2n>1v(;9<:008yx{6=4+2`395a3<3th8m>4?:283>5}#:h;1>4k4H3;6?l7f83:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n0:T2=f<5s-?m;784$4d5>3=zutw0e:18'6d7=90l0Z?o<:0yO6d3=9r\m<74g63_;2o73=#=o<1:6sr}|9l5a3=83.9m<4>d49U6d5=9rF9m84>{Wd3>7}#9l31=i;4V0;`>7}#=o=1:6*:f785?x{zu2wi?l:50;394?6|,;k:6?o7;I0:1>i6l<0;6)=zj:k>6=4<:183!4f93<87E<65:k2e5<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751`28R4?d2;q/9k95b:&6b3a083>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=l?4V0;`>7}#=o=1n6*:f78a?x{zu2e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f685?!3a>3<0qpsr;|`0e=<72;0;6=u+2`39g4=O:0?0e<7i:18'6d7=90l07b?k5;29 7g628n>7[50z&1e4<61m1C>4;4$0a3>42P61j09w);i7;48 0`12?1vqps4ib194?"5i80h?6X=a282I4f=3;pZl?5c59U6d5=:rF9m84>{W3``?4|,8o26n:4V0;`>7}#=o=146*:f78;?x{zD;k?69uY28097~Pbm38p(8h::b68 6442{#>>91i6sY28097~Pbm38p(8h::b68 6442{#>>91i6sY28097~Pbm38p(8h::b68 6442<<:4d4?x"1?:0<7pX>e981Scb2;q/9k;5c59'775==o=0q)883;30?x{z3`83n7>5$3c2>7>e3_8j?74}Q9jn1>v*>e881v*:f6826>"2n?0:>6sr}M0b0?7|^;3j6?uYed81!3a=383n6*<2286b2=z,?=86<<4}|8m7>f290/>l?529c8R7g42;qG>l;51zT2ga<5s-;n57<7a:T2=f<5s-?m;7?=;%7e2?753twv@?o;:0yU67>f3-99?7;i7:'225=9;1vqp5`1e794?"5i80:h854}c1b=?6=;3:14g73_;2o73=#=o<1:6sr}|9j5d7=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l?4V0;`>7}#=o=1:6*:f785?x{zu2e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f685?!3a>3<0qpsr;|`0ed<7280;6=u+2`396d><@;3>7b?k5;29 7g628n>76sm3``94?5=83:p(?o>:718L7?23`;j<7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6i91]=4m52z&6b2:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882e4=Q90i1>v*:f68a?!3a>3h0qpsr;n3g1?6=,;k:669b81!3a?3<0(8h9:79~yx{52;294~"5i80h=6F=949j5<`=83.9m<4>9g98k4b2290/>l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn>h7:185>5<7s-8j=7?6d:J1=0=#9j:1=95f18d94?"5i80:5k5Y2`195~J5i<0:w[?ld;0x 4c>283m7[?6c;0x 0`02?1/9k856:~yx=nk:0;6)3=zutw0en:50;&1e44={M0b1?7|^8io6?u+1d;9g1=Q90i1>v*:f68;?!3a>320qpsC2`690~P51;08w[kj:3y'1c3=k=1/??=55g58ySca2;q/9k;518d8 6442>4:f69~ 3142890qps4i3:a>5<#:h;1>5l4V3c0>7}K:h?1=vX>ce81!7b1383n6X>9b81!3a?3;97);i6;31?x{zD;k?65o50;&1e4<50h1]>l=52zN1e0<6s_;hh77>f3_;2o744<,7}#=o?1>5o4$200>0`03t.=;>4>2:~y>i6l<0;6)=zj:l?6=4<:183!4f9382i6F=949j5d6=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l>4V0;`>7}#=o=1:6*:f785?x{zu2c:m<4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e4=Q90i1>v*:f685?!3a>3<0qpsr;n3g1?6=,;k:669b81!3a?3<0(8h9:79~yx{51;294~"5i809m55G2878k4b2290/>l?51e78?xd4n?0;6>4?:1y'6d7=>:1C>4;4i0c3>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k;7[?6c;0x 0`028;0(8h9:038yx{z3`;j=7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b5>P61j09w);i7;32?!3a>3;:7psr}:m2`0<72-8j=7?k5:T1e6<6sE8j97?tVg296~"6m00:h85Y18a96~"2n>0=7);i6;48yx{z3th??;4?:383>5}#:h;1o<5G2878m4?a290/>l?518d8?j7c=3:1(?o>:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo:9e9K6<3<,8i;6<:4i0;e>5<#:h;1=4h4V3c0>4}K:h?1=vX>ce81!7b13;2j6X>9b81!3a?3<0(8h9:79~yx{P5i:0:wAj:49;%7e2?0l=52zN1e0<6s_;hh7f2<^83h6?u+5g59<>"2n?037psrL3c7>1}Q:081?vXje;0x 0`22j>0(><<:4d4?xPbn38p(8h::0;e?!55;3?m;6s+6619a>{Q:081?vXje;0x 0`22j>0(><<:4d4?xPbn38p(8h::0;e?!55;3?m:6s+6619a>{Q:081?vXje;0x 0`22j>0(><<:4d4?xPbn38p(8h::b18 644260:>6*:f7826>{zuE8j87?tV3;b>7}Qml09w);i5;0;f>"4::0>j:5r$750>444o52zTfa?4|,6?6n;%117?3a?2w/::=5139~yx=h9m?1<7*=a082`0=8;7>53;294~"5i8095h5G2878m4g7290/>l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=l?50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d7<^83h6?u+5g592>"2n?0=7psr}:m2`0<72-8j=7?k5:T1e6<6sE8j97?tVg296~"6m00:h85Y18a96~"2n>0=7);i6;48yx{z3th??54?:083>5}#:h;1>l64H3;6?j7c=3:1(?o>:0f6?>{e<:31<7=50;2x 7g62?90D?7:;h3b4?6=,;k:6<7i;I0b4>P5i:0:wAa19U5j:4m;%7e2?d0i7);i6;`8yx{z3f;o97>5$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c60g?6=:3:1N51<1b=4h50;&1e4<61o10c4b23_;2o73=#=o<1:6sr}|9~f126290=6=4?{%0b5?7>l2B9585+1b2951=n90l1<7*=a082=c=Q:h91=vB=a482S7dl38p(k38p(8h8:79'1c0=>2wvqp5fc283>!4f93i87[7}#9l31o95Y18a96~"2n>037);i6;:8yx{K:h>18vX=9380Scb2;q/9k;5c59'775==o=0q[ki:3y'1c3=90l0(><<:4d4?x"1?:0n7pX=9380Scb2;q/9k;5c59'775==o=0q[ki:3y'1c3=90l0(><<:4d5?x"1?:0n7pX=9380Scb2;q/9k;5c59'775==o=0q[ki:3y'1c3=k:1/??=55g58y!00;3=0q[?j8;0xR`c=:r.>j84l4:&066<2n>1v(;9<:018yx{P61j09w);i7;31?!3a>3;97psrL3c7>4}Q:0k1>vXje;0x 0`22;2i7)==3;7e3>{#>>91=?5r}|9j6=g=83.9m<4=8`9U6d5=:rF9m84>{W3``?4|,8o26?6n;W3:g?4|,44l:51zT1=d<5s_on6?u+5g796=g<,:8868h8;|&536<6:2wvq6a>d483>!4f93;o965rb51g>5<4290;w)N51<1b=l>50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d6<^83h6?u+5g592>"2n?0=7psr}:k2e4<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m<5Y18a96~"2n>0=7);i6;48yx{z3f;o97>5$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c60a?6=93:16<729q/>l?5629K6<3l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3b5>P61j09w);i7;`8 0`12k1vqps4o0f6>5<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zj=>96=4=:183!4f93i:7E<65:k2=c<72-8j=7?6f:9l5a3=83.9m<4>d49U6d5=9rF9m84>{Wd3>7}#9l31=i;4V0;`>7}#=o=1:6*:f785?x{zu2wi89950;494?6|,;k:6<7k;I0:1>"6k90:86g>9g83>!4f93;2j6X=a282I4f=3;pZ2.>j;49;|~y>od;3:1(?o>:b18R7g428qG>l;51zT2ga<5s-;n57m<;W3:g?4|,{zut1bo94?:%0b5?e33_8j?74}Q9jn1>v*>e88`0>P61j09w);i7;:8 0`1211vqpB=a587S4>:39pZhk52z&6b0>4:f69~R``=:r.>j84>9g9'775==o=0q)883;g8yS4>:39pZhk52z&6b0>4:f69~R``=:r.>j84>9g9'775==o<0q)883;g8yS4>:39pZhk52z&6b0>4:f69~R``=:r.>j84l3:&066<2n>1v(;9<:69~R4c?2;q]ih4={%7e1?e33-99?7;i7:'225=9:1vqp5f29`94?"5i8094o5Y2`196~J5i<0:w[?ld;0x 4c>2;2i7[?6c;0x 0`02880(8h9:008yx{K:h>1=vX=9`81Scb2;q/9k;529`8 6442{zu2c94l4?:%0b5?4?i2\9m>4={M0b1?7|^8io6?u+1d;96=g<^83h6?u+5g5957=#=o<1=?5r}|N1e1<6s_82m7:0f6?>{e<=91<7=50;2x 7g62;3n7E<65:k2e5<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m=5Y18a96~"2n>0=7);i6;48yx{z3`;j=7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b5>P61j09w);i7;48 0`12?1vqps4o0f6>5<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zj=>?6=4>:183!4f938j46F=949l5a3=83.9m<4>d498yg23=3:1?7>50z&1e4<1;2B9585f1`294?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26{zut1b=l?50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28k:7[?6c;0x 0`02k1/9k85b:~yx=h9m?1<7*=a082`0=Q:h91=vB=a482S`72;q/=h751e78R4?d2;q/9k956:&6b3<13twvq6sm45:94?4=83:p(?o>:b38L7?23`;2j7>5$3c2>4?a32e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f685?!3a>3<0qpsr;|`70a<72?0;6=u+2`3957)?l0;37?l7>n3:1(?o>:0;e?S4f;3;p@?o::0yU5fb=:r.:i44>9g9U5j:49;%7e2?0l=51zN1e0<6s_;hh7f5<^83h6?u+5g592>"2n?0=7psr}:k`0?6=,;k:6n:4V3c0>7}K:h?1=vX>ce81!7b13i?7[?6c;0x 0`0211/9k858:~yI4f<3>pZ?7=:2yUa`<5s-?m97m;;%117?3a?2w]ik4={%7e1?7>n2.8>>4:f69~ 3142l1vZ?7=:2yUa`<5s-?m97m;;%117?3a?2w]ik4={%7e1?7>n2.8>>4:f79~ 3142l1vZ?7=:2yUa`<5s-?m97m;;%117?3a?2w]ik4={%7e1?e43-99?7;i7:'225=?2w]=h652zTfa?4|,6n:4$200>0`03t.=;>4>3:~y>o50k0;6)P5i:09wAi38pZhk52z&6b0<50k1/??=55g58y!00;3;97psr;h0;e?6=,;k:6?6n;W0b7?4|D;k>60:>6*:f7826>{zuE8j87?tV3;b>7}Qml09w);i5;0;e>"4::0>j:5r$750>446<729q/>l?528g8L7?23`;j<7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b4>P61j09w);i7;48 0`12?1vqps4i0c2>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k:7[?6c;0x 0`02?1/9k856:~yx=h9m?1<7*=a082`0=Q:h91=vB=a482S`72;q/=h751e78R4?d2;q/9k956:&6b3<13twvq6sm45c94?7=83:p(?o>:3c;?M4>=2e:h84?:%0b5?7c=21vn9:m:180>5<7s-8j=78<;I0:1>o6i90;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95d6<^83h6?u+5g59f>"2n?0i7psr}:k2e4<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751`38R4?d2;q/9k95b:&6b3d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd30o0;6?4?:1y'6d7=k81C>4;4i0;e>5<#:h;1=4h4;n3g1?6=,;k:669b81!3a?3<0(8h9:79~yx{287>56;294~"5i80:5i5G2878 4e728>0e<7i:18'6d7=90l0Z?o<:0yO6d3=9r\:oi4={%3f=?7>n2\:5n4={%7e3?0<,7}#9l31o>5Y18a96~"2n>0=7);i6;48yx{z3`i?6=4+2`39g1=Q:h91>vB=a482S7dl38p(f2<,:8868h8;|Tfb?4|,6<7i;%117?3a?2w/::=5e:U6<4=;r\ni7f2<,:8868h8;|Tfb?4|,6<7i;%117?3a>2w/::=5e:U6<4=;r\ni7f2<,:8868h8;|Tfb?4|,6n=4$200>0`03t.=;>48;|T2a=<5s_on6?u+5g79g1=#;;919k94}%447?743twv7d<7b;29 7g62;2i7[j;4>2:~yI4f<3;pZ?7n:3yUa`<5s-?m97<7b:&066<2n>1v(;9<:008yx{P61j09w);i7;31?!3a>3;97psrL3c7>4}Q:0k1>vXje;0x 0`22;2j7)==3;7e3>{#>>91=?5r}|9l5a3=83.9m<4>d498yg2>83:1?7>50z&1e4<51l1C>4;4i0c3>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k;7[?6c;0x 0`02?1/9k856:~yx=n9h;1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`38R4?d2;q/9k956:&6b3<13twvq6a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd3180;6<4?:1y'6d7=:h20D?7:;n3g1?6=,;k:6t$3c2>35<@;3>7d?n0;29 7g6283m7[;|~y>o6i80;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95d7<^83h6?u+5g5954=#=o<1=<5r}|8k4b2290/>l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn9o>:181>5<7s-8j=7m>;I0:1>o61o0;6)=h9m?1<7*=a082`0=Q:h91=vB=a482S`72;q/=h751e78R4?d2;q/9k956:&6b3<13twvq6sm4`794?4=83:p(?o>:b38L7?23`;2j7>5$3c2>4?a32e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f685?!3a>3<0qpsr;|`7ed<72?0;6=u+2`3957)?l0;37?l7>n3:1(?o>:0;e?S4f;3;p@?o::0yU5fb=:r.:i44>9g9U5j:49;%7e2?0l=51zN1e0<6s_;hh7f5<^83h6?u+5g592>"2n?0=7psr}:k`0?6=,;k:6n:4V3c0>7}K:h?1=vX>ce81!7b13i?7[?6c;0x 0`0211/9k858:~yI4f<3>pZ?7=:2yUa`<5s-?m97m;;%117?3a?2w]ik4={%7e1?7>n2.8>>4:f69~ 3142l1vZ?7=:2yUa`<5s-?m97m;;%117?3a?2w]ik4={%7e1?7>n2.8>>4:f79~ 3142l1vZ?7=:2yUa`<5s-?m97m;;%117?3a?2w]ik4={%7e1?e43-99?7;i7:'225=?2w]=h652zTfa?4|,6n:4$200>0`03t.=;>4>3:~y>o50k0;6)P5i:09wAi38pZhk52z&6b0<50k1/??=55g58y!00;3;97psr;h0;e?6=,;k:6?6n;W0b7?4|D;k>60:>6*:f7826>{zuE8j87?tV3;b>7}Qml09w);i5;0;e>"4::0>j:5r$750>446<729q/>l?528g8L7?23`;j<7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b4>P61j09w);i7;48 0`12?1vqps4i0c2>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k:7[?6c;0x 0`02?1/9k856:~yx=h9m?1<7*=a082`0=Q:h91=vB=a482S`72;q/=h751e78R4?d2;q/9k956:&6b3<13twvq6sm4`194?7=83:p(?o>:3c;?M4>=2e:h84?:%0b5?7c=21vn9o;:187>5<7s-8j=78<;I0:1>o6i90;6)P5i:0:wAa19U5j:4>1:&6b3<692wvqp5f1`394?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26;W3:g?4|,470:=6*:f7825>{zut1d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a0d0=83;:6=4?{%0b5?043A8296g>a183>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=l>4V0;`>7}#=o=1o6*:f78`?x{zu2c:m<4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e4=Q90i1>v*:f68`?!3a>3i0qpsr;h3bg?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3i0(8h9:b9~yx{o6jh0;6)P5i:0:wAb`9U5j:4>2:&6b3<6:2wvqp5f1c`94?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9kh0Z<7l:3y'1c1=9;1/9k85139~yx{k38p(8h8:008 0`12880qpsr;h3a`?6=,;k:6<7i;I0b4>P5i:0:wAbe9U5j:4l;%7e2?eo6jo0;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95g`<^83h6?u+5g5957=#=o<1=?5r}|8m4g5290/>l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3b6>P61j09w);i7;31?!3a>3;97psr}:k2e6<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751`18R4?d2;q/9k95139'1c0=9;1vqps4i0c7>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k?7[?6c;0x 0`02?1/9k856:~yx=n9h?1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`78R4?d2;q/9k956:&6b3<13twvq6g>a783>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i?1]=4m52z&6b2<13-?m:784}|~?l7f?3:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n7:T2=f<5s-?m;784$4d5>3=zutw0c4b23_;2o73=#=o<1:6sr}|9~f1g0290:=7>50z&1e4<1;2B9585f1`294?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26{zut1b=l?50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d7<^83h6?u+5g59g>"2n?0h7psr}:k2ef<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:mn5Y18a96~"2n>0h7);i6;a8yx{z3`;i;7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6j>1]=4m52z&6b2<6:2.>j;4>2:~yx=n9kk1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751cc8R4?d2;q/9k95139'1c0=9;1vqps4i0`a>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28hi7[?6c;0x 0`02880(8h9:008yx{z3`;io7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3ag>P61j09w);i7;31?!3a>3;97psr}:k2fa<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:ni5Y18a96~"2n>0:>6*:f7826>{zut1b=ok50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95gc<^83h6?u+5g5957=#=o<1=?5r}|8m4da290/>l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3ab>P61j09w);i7;31?!3a>3;97psr}:k2e7<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751`08R4?d2;q/9k95139'1c0=9;1vqps4i0c0>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?n3:T2=f<5s-?m;7?=;%7e2?753twvq6g>a583>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i=1]=4m52z&6b2<13-?m:784}|~?l7f=3:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n5:T2=f<5s-?m;784$4d5>3=zutw0e4g13_;2o73=#=o<1:6sr}|9j5d1=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l94V0;`>7}#=o=1:6*:f785?x{zu2e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f685?!3a>3<0qpsr;|`7e=<72:0;6=u+2`395a2<@;3>7d?n0;29 7g6283m7[l?518d8R7g428qG>l;51zTe4?4|,8o26;W3:g?4|,{zut1d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a0f7=8381<7>t$3c2>f7<@;3>7d?6f;29 7g6283m76a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd3km0;6;4?:1y'6d7=90n0D?7:;%3`4?733`;2j7>5$3c2>4?a3_8j?7?tL3c6>4}Q9jn1>v*>e882=c=Q90i1>v*:f685?!3a>3<0qpsr;ha0>5<#:h;1o>5Y2`195~J5i<0:w[?ld;0x 4c>2j90Z<7l:3y'1c1=>2.>j;49;|~y>od<3:1(?o>:b68R7g42;qG>l;51zT2ga<5s-;n57m;;W3:g?4|,{zuE8j87:tV3;1>6}Qml09w);i5;a7?!55;3?m;6sYeg81!3a=3;2j6*<2286b2=z,?=86h5rV3;1>6}Qml09w);i5;a7?!55;3?m;6sYeg81!3a=3;2j6*<2286b3=z,?=86h5rV3;1>6}Qml09w);i5;a7?!55;3?m;6sYeg81!3a=3i87)==3;7e3>{#>>91;6sY1d:96~Pbm38p(8h::b68 6442{zu2c94o4?:%0b5?4?j2\9m>4={M0b1?7|^8io6?u+1d;96=d<^83h6?u+5g5957=#=o<1=?5r}|N1e1<6s_82m7:3:b?S4f;38p@?o::0yU5fb=:r.:i44=8`9U5j:4>2:&6b3<6:2wvqA>4:f69~ 3142880qps4o0f6>5<#:h;1=i;4;|`7g7<72:0;6=u+2`3967d?n0;29 7g6283m7E0=7);i6;48yx{z3`;j=7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b5>P61j09w);i7;48 0`12?1vqps4o0f6>5<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zj=i86=4>:183!4f938j46F=949l5a3=83.9m<4>d498yg2d=3:1?7>50z&1e4<1;2B9585f1`294?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h:0Z<7l:3y'1c1=>2.>j;49;|~y>o6i80;6)P5i:0:wAa09U5j:49;%7e2?07[3:1?7>50z&1e4<1;2B9585f1`294?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h:0Z<7l:3y'1c1=>2.>j;49;|~y>o6i80;6)P5i:0:wAa09U5j:49;%7e2?07[50z&1e4<1;2B9585f1`294?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o2647;|~y>i6l<0;6)P5i:0:wAd49U5j:49;%7e2?06<729q/>l?5629K6<3k38p(8h8:038 0`128;0qpsr;h3b5?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3;:7);i6;32?x{zu2e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f685?!3a>3<0qpsr;|`7g<<72=0;6=u+2`3926=O:0?0e4g73_;2o747<,:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882e4=Q90i1>v*:f6825>"2n?0:=6sr}|9j5de=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=lm4V0;`>7}#=o=1=<5+5g4954=zutw0c4b23_;2o73=#=o<1:6sr}|9~f1ef290>6=4?{%0b5?043A8296g>a183>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i91]=4m52z&6b2:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882e4=Q90i1>v*:f6825>"2n?0:=6sr}|9j5de=83.9m<4>9g9K6d6<^;k86k38p(8h8:038 0`128;0qpsr;h3a3?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3;:7);i6;32?x{zu2e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f685?!3a>3<0qpsr;|`7gg<72?0;6=u+2`3926=O:0?0e69b81!3a?3h0(8h9:c9~yx{l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3bg>P61j09w);i7;32?!3a>3;:7psr}:k2f2<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751c58R4?d2;q/9k95109'1c0=981vqps4i0`b>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28hj7[?6c;0x 0`028;0(8h9:038yx{z3f;o97>5$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c6`0?6=03:14g73_;2o73=#=o<1:6sr}|9j5d7=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l?4V0;`>7}#=o=1:6*:f785?x{zu2c:mn4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882ef=Q90i1>v*:f685?!3a>3<0qpsr;h3a3?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{k38p(8h8:79'1c0=>2wvqp5f1c`94?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9kh0Z<7l:3y'1c1=>2.>j;49;|~y>o6jj0;6)P5i:0:wAbb9U5j:49;%7e2?07[7>50z&1e45<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zj?;=6=49:183!4f93;2h6F=949'5f6=9=1b=4h50;&1e4<61o1]>l=51zN1e0<6s_;hh74?a3_;2o73=#=o<1:6sr}|9jg6<72-8j=7m<;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{1<7*=a08`0>P5i:09wAj:47;%7e2?>l:54zT1=7<4s_on6?u+5g79g1=#;;919k94}Wge>7}#=o?1=4h4$200>0`03t.=;>4j;|T1=7<4s_on6?u+5g79g1=#;;919k94}Wge>7}#=o?1=4h4$200>0`13t.=;>4j;|T1=7<4s_on6?u+5g79g1=#;;919k94}Wge>7}#=o?1o>5+33191c1v*:f48`0>"4::0>j:5r$750>454o52zTfa?4|,6?6m;%117?3a?2w/::=5139~yx=n:1k1<7*=a081vB=a482S7dl38p(k38p(8h8:008 0`12880qpsC2`695~P51h09w[kj:3y'1c3=:1k0(><<:4d4?x"1?:0:>6sr}:m2`0<72-8j=7?k5:9~f36e29086=4?{%0b5?4>m2B9585f1`294?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h:0Z<7l:3y'1c1=>2.>j;49;|~y>o6i80;6)P5i:0:wAa09U5j:49;%7e2?07[50z&1e4<5i11C>4;4o0f6>5<#:h;1=i;4;|`54`<72:0;6=u+2`3926=O:0?0e4g73_;2o73=#=o<1:6sr}|9j5d7=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l?4V0;`>7}#=o=1:6*:f785?x{zu2e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f685?!3a>3<0qpsr;|`54c<72:0;6=u+2`3926=O:0?0e4g73_;2o73=#=o<1:6sr}|9j5d7=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l?4V0;`>7}#=o=1:6*:f785?x{zu2e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f685?!3a>3<0qpsr;|`555<72:0;6=u+2`3926=O:0?0e4g73_;2o747<,:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n1:T2=f<5s-?m;7?>;%7e2?763twvq6a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd1980;6>4?:1y'6d7=>:1C>4;4i0c3>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?n0:T2=f<5s-?m;7?>;%7e2?763twvq6g>a083>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i81]=4m52z&6b2<692.>j;4>1:~yx=h9m?1<7*=a082`0=Q:h91=vB=a482S`72;q/=h751e78R4?d2;q/9k956:&6b3<13twvq6sm60094?1=83:p(?o>:718L7?23`;j<7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b4>P61j09w);i7;`8 0`12k1vqps4i0c2>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?n1:T2=f<5s-?m;7?>;%7e2?763twvq6g>ab83>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=lm4V0;`>7}#=o=1n6*:f78a?x{zu2c:n:4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9k=0Z<7l:3y'1c1=981/9k85109~yx{;|~y>o6jk0;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95gd<^83h6?u+5g5954=#=o<1=<5r}|8k4b2290/>l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn;?<:184>5<7s-8j=78<;I0:1>o6i90;6)P5i:0:wAa19U5j:4m;%7e2?d0:=6*:f7825>{zut1b=lm50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95de<^83h6?u+5g5954=#=o<1=<5r}|8m4d0290/>l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3a3>P61j09w);i7;32?!3a>3;:7psr}:k2fd<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751cc8R4?d2;q/9k95109'1c0=981vqps4i0`a>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?mb:T2=f<5s-?m;7?>;%7e2?763twvq6a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd19=0;6:4?:1y'6d7=>:1C>4;4i0c3>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?n0:T2=f<5s-?m;7?>;%7e2?763twvq6g>a083>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i81]=4m52z&6b2<692.>j;4>1:~yx=n9hi1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`a8R4?d2;q/9k95109'1c0=981vqps4i0`4>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28h<7[?6c;0x 0`028;0(8h9:038yx{z3`;im7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3ae>P61j09w);i7;32?!3a>3;:7psr}:k2fg<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751c`8R4?d2;q/9k95109'1c0=981vqps4o0f6>5<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zj?:o6=47:183!4f93;o86F=949j5d6=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l>4V0;`>7}#=o=1:6*:f785?x{zu2c:m<4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e4=Q90i1>v*:f685?!3a>3<0qpsr;h3bg?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{k38p(8h8:79'1c0=>2wvqp5f1cc94?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9kk0Z<7l:3y'1c1=>2.>j;49;|~y>o6jk0;6)P5i:0:wAbc9U5j:49;%7e2?0l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn;<;:181>5<7s-8j=7m>;I0:1>o61o0;6)=h9m?1<7*=a082`0=Q:h91=vB=a482S`72;q/=h751e78R4?d2;q/9k956:&6b3<13twvq6sm62294?0=83:p(?o>:0;g?M4>=2.:o=4>4:k2=c<72-8j=7?6f:T1e6<6sE8j97?tV0ag>7}#9l31=4h4V0;`>7}#=o=1:6*:f785?x{zu2ch?7>5$3c2>f5<^;k86k38p(8h8:79'1c0=>2wvqp5fc583>!4f93i?7[<,7=tVdg96~"2n<0h86*<2286b2=z^ll1>v*:f482=c=#;;919k94}%447?c7=tVdg96~"2n<0h86*<2286b2=z^ll1>v*:f482=c=#;;919k84}%447?c7=tVdg96~"2n<0h86*<2286b2=z^ll1>v*:f48`7>"4::0>j:5r$750>2=z^8o36?uYed81!3a=3i?7)==3;7e3>{#>>91=>5r}|9j6=d=83.9m<4=8c9U6d5=:rF9m84>{W3``?4|,8o26?6m;W3:g?4|,44l:51zT1=d<5s_on6?u+5g796=d<,:8868h8;|&536<6:2wvq6g=8`83>!4f9383m6X=a281I4f=3;pZj84=8`9'775==o=0q)883;31?x{z3f;o97>5$3c2>4b232wi:?;50;194?6|,;k:6?7j;I0:1>o6i90;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95d6<^83h6?u+5g592>"2n?0=7psr}:k2e4<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m<5Y18a96~"2n>0=7);i6;48yx{z3f;o97>5$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c412?6=93:16<729q/>l?5629K6<3k38p(8h8:038 0`128;0qpsr;h3b5?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3;:7);i6;32?x{zu2e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f685?!3a>3<0qpsr;|`56<<72:0;6=u+2`3926=O:0?0e4g73_;2o747<,:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n1:T2=f<5s-?m;7?>;%7e2?763twvq6a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd1:h0;6>4?:1y'6d7=>:1C>4;4i0c3>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k;7[?6c;0x 0`02?1/9k856:~yx=n9h;1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`38R4?d2;q/9k956:&6b3<13twvq6a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd1:k0;6>4?:1y'6d7=>:1C>4;4i0c3>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k;7[?6c;0x 0`02?1/9k856:~yx=n9h;1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`38R4?d2;q/9k956:&6b3<13twvq6a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd1:j0;684?:1y'6d7=>:1C>4;4i0c3>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?n0:T2=f<5s-?m;7?>;%7e2?763twvq6g>a083>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i81]=4m52z&6b2<692.>j;4>1:~yx=n9hi1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`a8R4?d2;q/9k95109'1c0=981vqps4i0`4>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28h<7[?6c;0x 0`028;0(8h9:038yx{z3f;o97>5$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c41`?6=>3:1N51<1b=l>50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d6<^83h6?u+5g59f>"2n?0i7psr}:k2e4<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751`38R4?d2;q/9k95109'1c0=981vqps4i0c`>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?nc:T2=f<5s-?m;7l4$4d5>g=zutw0e69b81!3a?3;:7);i6;32?x{zu2c:nl4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9kk0Z<7l:3y'1c1=981/9k85109~yx{6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb70f>5<1290;w)=2c:m=4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f68a?!3a>3h0qpsr;h3b5?6=,;k:6<7i;I0b4>P5i:0:wAa09U5j:4>1:&6b3<692wvqp5f1`a94?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9hi0Z<7l:3y'1c1=981/9k85109~yx{;|~y>o6jh0;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95gg<^83h6?u+5g5954=#=o<1=<5r}|8k4b2290/>l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn;<8:18;>5<7s-8j=7?k4:J1=0=n9h:1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`28R4?d2;q/9k956:&6b3<13twvq6g>a083>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i81]=4m52z&6b2<13-?m:784}|~?l7fk3:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?nc:T2=f<5s-?m;784$4d5>3=zutw0e4d03_;2o73=#=o<1:6sr}|9j5gg=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=oo4V0;`>7}#=o=1:6*:f785?x{zu2c:no4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882fg=Q90i1>v*:f685?!3a>3<0qpsr;h3ag?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb320>5<5290;w)=2c:5k4?:%0b5?7>n21d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a65?=8381<7>t$3c2>f7<@;3>7d?6f;29 7g6283m76a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b24?:1y'6d7=:0o0D?7:;h3b4?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{k38p(8h8:79'1c0=>2wvqp5`1e794?"5i80:h85Y2`195~J5i<0:w[h?:3y'5`?=9m?0Z<7l:3y'1c1=>2.>j;49;|~y>{e:9?1<7?50;2x 7g62;k37E<65:m2`0<72-8j=7?k5:9~f76029086=4?{%0b5?043A8296g>a183>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i91]=4m52z&6b2:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882e4=Q90i1>v*:f6825>"2n?0:=6sr}|9l5a3=83.9m<4>d49U6d5=9rF9m84>{Wd3>7}#9l31=i;4V0;`>7}#=o=1:6*:f785?x{zu2wi>=650;194?6|,;k:6;=4H3;6?l7f83:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n0:T2=f<5s-?m;7?>;%7e2?763twvq6g>a083>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i81]=4m52z&6b2:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qod59K6<3k38p(8h8:79'1c0=>2wvqp5f1`394?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h;0Z<7l:3y'1c1=>2.>j;49;|~y>i6l<0;6)P5i:0:wAd49U5j:49;%7e2?07<729q/>l?5c09K6<35$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c024?6=:3:1N51<1b=4h50;&1e4<61o10c4b23_;2o73=#=o<1:6sr}|9~f77329096=4?{%0b5?e63A8296g>9g83>!4f93;2j65`1e794?"5i80:h85Y2`195~J5i<0:w[h?:3y'5`?=9m?0Z<7l:3y'1c1=i2.>j;4n;|~y>{e:9h1<7=50;2x 7g62;3n7E<65:k2e5<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m=5Y18a96~"2n>0=7);i6;48yx{z3`;j=7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b5>P61j09w);i7;48 0`12?1vqps4o0f6>5<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zj;:h6=4>:183!4f938j46F=949l5a3=83.9m<4>d498yg47m3:1?7>50z&1e4<1;2B9585f1`294?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h:0Z<7l:3y'1c1=j2.>j;4m;|~y>o6i80;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95d7<^83h6?u+5g5954=#=o<1=<5r}|8k4b2290/>l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn?>i:180>5<7s-8j=78<;I0:1>o6i90;6)P5i:0:wAa19U5j:4>1:&6b3<692wvqp5f1`394?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h;0Z<7l:3y'1c1=j2.>j;4m;|~y>i6l<0;6)P5i:0:wAd49U5j:49;%7e2?06<729q/>l?51e68L7?23`;j<7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b4>P61j09w);i7;48 0`12?1vqps4i0c2>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k:7[?6c;0x 0`02?1/9k856:~yx=h9m?1<7*=a082`0=Q:h91=vB=a482S`72;q/=h751e78R4?d2;q/9k956:&6b3<13twvq6sm20394??=83:p(?o>:718L7?23`;j<7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b4>P61j09w);i7;a8 0`12j1vqps4i0c2>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?n1:T2=f<5s-?m;7?=;%7e2?753twvq6g>ab83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6ij1]=4m52z&6b2<6:2.>j;4>2:~yx=n9k=1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751c58R4?d2;q/9k95139'1c0=9;1vqps4i0`b>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28hj7[?6c;0x 0`02880(8h9:008yx{z3`;in7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3af>P61j09w);i7;31?!3a>3;97psr}:k2ff<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751ca8R4?d2;q/9k95139'1c0=9;1vqps4i0`g>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?md:T2=f<5s-?m;7?=;%7e2?753twvq6a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd59;0;644?:1y'6d7=>:1C>4;4i0c3>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?n0:T2=f<5s-?m;7m4$4d5>f=zutw0e:18'6d7=90l0D?o?;W0b7?7|D;k>69b81!3a?3;97);i6;31?x{zu2c:mn4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882ef=Q90i1>v*:f6826>"2n?0:>6sr}|9j5g1=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=o94V0;`>7}#=o=1=?5+5g4957=zutw0e4df3_;2o744<,:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882fg=Q90i1>v*:f6826>"2n?0:>6sr}|9j5ge=83.9m<4>9g9K6d6<^;k86k38p(8h8:008 0`12880qpsr;h3a`?6=,;k:6<7i;I0b4>P5i:0:wAbe9U5j:4>2:&6b3<6:2wvqp5`1e794?"5i80:h85Y2`195~J5i<0:w[h?:3y'5`?=9m?0Z<7l:3y'1c1=>2.>j;49;|~y>{e:891<7=50;2x 7g628n?7E<65:k2e5<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m=5Y18a96~"2n>0=7);i6;48yx{z3`;j=7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b5>P61j09w);i7;48 0`12?1vqps4o0f6>5<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zj;;>6=4=:183!4f93i:7E<65:k2=c<72-8j=7?6f:9l5a3=83.9m<4>d49U6d5=9rF9m84>{Wd3>7}#9l31=i;4V0;`>7}#=o=1:6*:f785?x{zu2wi><750;094?6|,;k:6n?4H3;6?l7>n3:1(?o>:0;e?>i6l<0;6)P5i:0:wAd49U5j:49;%7e2?07<729q/>l?5c09K6<35$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;c8 0`12h1vqps4}c022?6=;3:14g73_;2o73=#=o<1:6sr}|9j5d7=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l?4V0;`>7}#=o=1:6*:f785?x{zu2e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f685?!3a>3<0qpsr;|`152<7280;6=u+2`396d><@;3>7b?k5;29 7g628n>76sm20:94?5=83:p(?o>:718L7?23`;j<7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b4>P61j09w);i7;48 0`12?1vqps4i0c2>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k:7[?6c;0x 0`02?1/9k856:~yx=h9m?1<7*=a082`0=Q:h91=vB=a482S`72;q/=h751e78R4?d2;q/9k956:&6b3<13twvq6sm20c94?2=83:p(?o>:718L7?23`;j<7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6i91]=4m52z&6b2:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n1:T2=f<5s-?m;7m4$4d5>f=zutw0e69b81!3a?3i0(8h9:b9~yx{6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb33a>5<3290;w)=2c:m=4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9h:0Z<7l:3y'1c1=k2.>j;4l;|~y>o6i80;6)P5i:0:wAa09U5j:4l;%7e2?el?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn??l:187>5<7s-8j=78<;I0:1>o6i90;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95d6<^83h6?u+5g59g>"2n?0h7psr}:k2e4<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751`38R4?d2;q/9k95c:&6b3ab83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6ij1]=4m52z&6b2:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo<>d;291?6=8r.9m<493:J1=0=n9h:1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74g73_;2o7f=#=o<1o6sr}|9j5d7=83.9m<4>9g9K6d6<^;k86k38p(8h8:b9'1c0=k2wvqp5f1`a94?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26{zut1b=o950;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95g1<^83h6?u+5g59g>"2n?0h7psr}:m2`0<72-8j=7?k5:T1e6<6sE8j97?tVg296~"6m00:h85Y18a96~"2n>0=7);i6;48yx{z3th9=h4?:483>5}#:h;1:>5G2878m4g7290/>l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3b4>P61j09w);i7;a8 0`12j1vqps4i0c2>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k:7[?6c;0x 0`02j1/9k85c:~yx=n9hi1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`a8R4?d2;q/9k95c:&6b3b683>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6j>1]=4m52z&6b2<6:2.>j;4>2:~yx=h9m?1<7*=a082`0=Q:h91=vB=a482S`72;q/=h751e78R4?d2;q/9k956:&6b3<13twvq6sm20d94?0=83:p(?o>:0f7?M4>=2c:m=4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f685?!3a>3<0qpsr;h3b5?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{k38p(8h8:79'1c0=>2wvqp5f1c594?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9k=0Z<7l:3y'1c1=>2.>j;49;|~y>o6jh0;6)P5i:0:wAb`9U5j:49;%7e2?07[7>50z&1e45<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zj;8<6=4=:183!4f93i:7E<65:k2=c<72-8j=7?6f:9l5a3=83.9m<4>d49U6d5=9rF9m84>{Wd3>7}#9l31=i;4V0;`>7}#=o=1:6*:f785?x{zu2wi>?l50;094?6|,;k:6n?4H3;6?l7>n3:1(?o>:0;e?>i6l<0;6)P5i:0:wAd49U5j:4n;%7e2?g6<729q/>l?528g8L7?23`;j<7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b4>P61j09w);i7;48 0`12?1vqps4i0c2>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k:7[?6c;0x 0`02?1/9k856:~yx=h9m?1<7*=a082`0=Q:h91=vB=a482S`72;q/=h751e78R4?d2;q/9k956:&6b3<13twvq6sm23194?7=83:p(?o>:3c;?M4>=2e:h84?:%0b5?7c=21vn?<::180>5<7s-8j=78<;I0:1>o6i90;6)P5i:0:wAa19U5j:4m;%7e2?d0:=6*:f7825>{zut1d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a670=8391<7>t$3c2>35<@;3>7d?n0;29 7g6283m7[;|~y>o6i80;6)P5i:0:wAa09U5j:4m;%7e2?d7[50z&1e4<6l=1C>4;4i0c3>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k;7[?6c;0x 0`02?1/9k856:~yx=n9h;1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`38R4?d2;q/9k956:&6b3<13twvq6a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd5:10;6>4?:1y'6d7=>:1C>4;4i0c3>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k;7[?6c;0x 0`02j1/9k85c:~yx=n9h;1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74g63_;2o744<,:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo<=9;297?6=8r.9m<493:J1=0=n9h:1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`28R4?d2;q/9k95139'1c0=9;1vqps4i0c2>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k:7[?6c;0x 0`02j1/9k85c:~yx=h9m?1<7*=a082`0=Q:h91=vB=a482S`72;q/=h751e78R4?d2;q/9k956:&6b3<13twvq6sm23c94?5=83:p(?o>:0f7?M4>=2c:m=4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f685?!3a>3<0qpsr;h3b5?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb30`>5<5290;w)=2c:5k4?:%0b5?7>n21d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a664=8381<7>t$3c2>f7<@;3>7d?6f;29 7g6283m76a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd5;>0;6?4?:1y'6d7=k81C>4;4i0;e>5<#:h;1=4h4;n3g1?6=,;k:669b81!3a?3k0(8h9:`9~yx{53;294~"5i8095h5G2878m4g7290/>l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=l?50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d7<^83h6?u+5g592>"2n?0=7psr}:m2`0<72-8j=7?k5:T1e6<6sE8j97?tVg296~"6m00:h85Y18a96~"2n>0=7);i6;48yx{z3th9>h4?:083>5}#:h;1>l64H3;6?j7c=3:1(?o>:0f6?>{e:::1<7=50;2x 7g62?90D?7:;h3b4?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3h0(8h9:c9~yx{;|~y>i6l<0;6)P5i:0:wAd49U5j:49;%7e2?06<729q/>l?5629K6<3k38p(8h8:038 0`128;0qpsr;h3b5?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3h0(8h9:c9~yx{6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb30e>5<4290;w)N51<1b=l>50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d6<^83h6?u+5g592>"2n?0=7psr}:k2e4<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m<5Y18a96~"2n>0=7);i6;48yx{z3f;o97>5$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c007?6==3:1N51<1b=l>50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28k;7[?6c;0x 0`02j1/9k85c:~yx=n9h;1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74g63_;2o7f=#=o<1o6sr}|9j5de=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=lm4V0;`>7}#=o=1o6*:f78`?x{zu2c:n:4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9k=0Z<7l:3y'1c1=9;1/9k85139~yx{6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb317>5<1290;w)=2c:m=4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9h:0Z<7l:3y'1c1=k2.>j;4l;|~y>o6i80;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95d7<^83h6?u+5g59g>"2n?0h7psr}:k2ef<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751`a8R4?d2;q/9k95c:&6b3b683>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6j>1]=4m52z&6b2:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882fd=Q90i1>v*:f6826>"2n?0:>6sr}|9l5a3=83.9m<4>d49U6d5=9rF9m84>{Wd3>7}#9l31=i;4V0;`>7}#=o=1:6*:f785?x{zu2wi>>;50;494?6|,;k:6;=4H3;6?l7f83:1(?o>:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f68`?!3a>3i0qpsr;h3b5?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3i0(8h9:b9~yx{k38p(8h8:b9'1c0=k2wvqp5f1c594?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9k=0Z<7l:3y'1c1=9;1/9k85139~yx{i6l<0;6)P5i:0:wAd49U5j:49;%7e2?01<729q/>l?51e68L7?23`;j<7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b4>P61j09w);i7;48 0`12?1vqps4i0c2>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k:7[?6c;0x 0`02?1/9k856:~yx=n9hi1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`a8R4?d2;q/9k956:&6b3<13twvq6a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd5;10;6?4?:1y'6d7=k81C>4;4i0;e>5<#:h;1=4h4;n3g1?6=,;k:669b81!3a?3<0(8h9:79~yx{52;294~"5i80h=6F=949j5<`=83.9m<4>9g98k4b2290/>l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn?:<:181>5<7s-8j=7m>;I0:1>o61o0;6)=h9m?1<7*=a082`0=Q:h91=vB=a482S`72;q/=h751e78R4?d2;q/9k95a:&6b3:3;f?M4>=2c:m=4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f685?!3a>3<0qpsr;h3b5?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb31b>5<6290;w)N51<1d=i;50;&1e4<6l<10qo<a083>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i81]=4m52z&6b2<13-?m:784}|~?j7c=3:1(?o>:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo<4g73_;2o7f=#=o<1o6sr}|9j5d7=83.9m<4>9g9K6d6<^;k86k38p(8h8:b9'1c0=k2wvqp5f1`a94?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26{zut1d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a66c=83>1<7>t$3c2>35<@;3>7d?n0;29 7g6283m7E0h7);i6;a8yx{z3`;j=7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b5>P61j09w);i7;a8 0`12j1vqps4i0c`>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?nc:T2=f<5s-?m;7m4$4d5>f=zutw0c4b23_;2o73=#=o<1:6sr}|9~f75a290?6=4?{%0b5?043A8296g>a183>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=l>4V0;`>7}#=o=1o6*:f78`?x{zu2c:m<4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e4=Q90i1>v*:f68`?!3a>3i0qpsr;h3bg?6=,;k:6<7i;I0b4>P5i:0:wAab9U5j:4l;%7e2?e7[50z&1e4<1;2B9585f1`294?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26{zut1b=l?50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d7<^83h6?u+5g59g>"2n?0h7psr}:k2ef<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751`a8R4?d2;q/9k95c:&6b3d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd5<80;694?:1y'6d7=>:1C>4;4i0c3>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?n0:T2=f<5s-?m;7m4$4d5>f=zutw0e:18'6d7=90l0Z?o<:0yO6d3=9r\m<74g63_;2o7f=#=o<1o6sr}|9j5de=83.9m<4>9g9K6d6<^;k86k38p(8h8:b9'1c0=k2wvqp5`1e794?"5i80:h85Y2`195~J5i<0:w[h?:3y'5`?=9m?0Z<7l:3y'1c1=>2.>j;49;|~y>{e:=81<7850;2x 7g628n?7E<65:k2e5<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m=5Y18a96~"2n>0=7);i6;48yx{z3`;j=7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b5>P61j09w);i7;48 0`12?1vqps4i0c`>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28kh7[?6c;0x 0`02?1/9k856:~yx=n9k=1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751c58R4?d2;q/9k956:&6b3<13twvq6g>b`83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6jh1]=4m52z&6b2<13-?m:784}|~?j7c=3:1(?o>:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo<;4;296?6=8r.9m<4l1:J1=0=n90l1<7*=a082=c=6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb36b>5<5290;w)=2c:5k4?:%0b5?7>n21d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a61c=8381<7>t$3c2>f7<@;3>7d?6f;29 7g6283m76a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b24?:1y'6d7=:0o0D?7:;h3b4?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{k38p(8h8:79'1c0=>2wvqp5`1e794?"5i80:h85Y2`195~J5i<0:w[h?:3y'5`?=9m?0Z<7l:3y'1c1=>2.>j;49;|~y>{e:=<1<7?50;2x 7g62;k37E<65:m2`0<72-8j=7?k5:9~f72?29086=4?{%0b5?043A8296g>a183>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i91]=4m52z&6b2:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882e4=Q90i1>v*:f6825>"2n?0:=6sr}|9l5a3=83.9m<4>d49U6d5=9rF9m84>{Wd3>7}#9l31=i;4V0;`>7}#=o=1:6*:f785?x{zu2wi>9750;194?6|,;k:6;=4H3;6?l7f83:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n0:T2=f<5s-?m;7?>;%7e2?763twvq6g>a083>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i81]=4m52z&6b2:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo<;7;297?6=8r.9m<4>d59K6<3k38p(8h8:79'1c0=>2wvqp5f1`394?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h;0Z<7l:3y'1c1=>2.>j;49;|~y>i6l<0;6)P5i:0:wAd49U5j:49;%7e2?06<729q/>l?5629K6<3k38p(8h8:b9'1c0=k2wvqp5f1`394?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26;W3:g?4|,447[50z&1e4<1;2B9585f1`294?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h:0Z<7l:3y'1c1=9;1/9k85139~yx{k38p(8h8:b9'1c0=k2wvqp5`1e794?"5i80:h85Y2`195~J5i<0:w[h?:3y'5`?=9m?0Z<7l:3y'1c1=>2.>j;49;|~y>{e:=n1<7=50;2x 7g628n?7E<65:k2e5<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m=5Y18a96~"2n>0=7);i6;48yx{z3`;j=7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b5>P61j09w);i7;48 0`12?1vqps4o0f6>5<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zj;>m6=4=:183!4f93i:7E<65:k2=c<72-8j=7?6f:9l5a3=83.9m<4>d49U6d5=9rF9m84>{Wd3>7}#9l31=i;4V0;`>7}#=o=1:6*:f785?x{zu2wi>8;50;094?6|,;k:6n?4H3;6?l7>n3:1(?o>:0;e?>i6l<0;6)P5i:0:wAd49U5j:49;%7e2?07<729q/>l?5c09K6<35$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;c8 0`12h1vqps4}c064?6=;3:14g73_;2o73=#=o<1:6sr}|9j5d7=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l?4V0;`>7}#=o=1:6*:f785?x{zu2e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f685?!3a>3<0qpsr;|`114<7280;6=u+2`396d><@;3>7b?k5;29 7g628n>76sm24194?5=83:p(?o>:718L7?23`;j<7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b4>P61j09w);i7;`8 0`12k1vqps4i0c2>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k:7[?6c;0x 0`028;0(8h9:038yx{z3f;o97>5$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c060?6=;3:1N51<1b=l>50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d6<^83h6?u+5g59f>"2n?0i7psr}:k2e4<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751`38R4?d2;q/9k95109'1c0=981vqps4o0f6>5<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zj;?96=4<:183!4f93;o86F=949j5d6=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l>4V0;`>7}#=o=1:6*:f785?x{zu2c:m<4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e4=Q90i1>v*:f685?!3a>3<0qpsr;n3g1?6=,;k:669b81!3a?3<0(8h9:79~yx{:7>51083>5}#:h;1:>5G2878m4g7290/>l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3b4>P61j09w);i7;a8 0`12j1vqps4i0c2>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k:7[?6c;0x 0`02j1/9k85c:~yx=n9hi1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`a8R4?d2;q/9k95c:&6b3b683>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=o94V0;`>7}#=o=1=?5+5g4957=zutw0e4df3_;2o744<,:0;e?S4f;3;p@?o::0yUb5<5s-;n57?mb:T2=f<5s-?m;7?=;%7e2?753twvq6g>bb83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6jj1]=4m52z&6b2<6:2.>j;4>2:~yx=n9kn1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751cf8R4?d2;q/9k95139'1c0=9;1vqps4i0`f>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?me:T2=f<5s-?m;7?=;%7e2?753twvq6g>bg83>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=oh4V0;`>7}#=o=1=?5+5g4957=zutw0e66X>9b81!3a?3;97);i6;31?x{zu2c:m>4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e6=Q90i1>v*:f685?!3a>3<0qpsr;h3b0?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{6=4+2`395<`<^;k86k38p(8h8:79'1c0=>2wvqp5f1`494?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h<0Z<7l:3y'1c1=>2.>j;49;|~y>o6i>0;6)P5i:0:wAa69U5j:49;%7e2?07[7>50z&1e45<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zj;<96=4=:183!4f93i:7E<65:k2=c<72-8j=7?6f:9l5a3=83.9m<4>d49U6d5=9rF9m84>{Wd3>7}#9l31=i;4V0;`>7}#=o=1m6*:f78b?x{zu2wi>8750;194?6|,;k:6?7j;I0:1>o6i90;6)P5i:0:wAa19U5j:49;%7e2?0l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn?;n:182>5<7s-8j=7o7>53;294~"5i80=?6F=949j5d6=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l>4V0;`>7}#=o=1:6*:f785?x{zu2c:m<4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e4=Q90i1>v*:f685?!3a>3<0qpsr;n3g1?6=,;k:669b81!3a?3<0(8h9:79~yx{h7>53;294~"5i80=?6F=949j5d6=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l>4V0;`>7}#=o=1n6*:f78a?x{zu2c:m<4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e4=Q90i1>v*:f68a?!3a>3h0qpsr;n3g1?6=,;k:669b81!3a?3<0(8h9:79~yx{i7>53;294~"5i80=?6F=949j5d6=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l>4V0;`>7}#=o=1n6*:f78a?x{zu2c:m<4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9h;0Z<7l:3y'1c1=981/9k85109~yx{6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb37e>5<3290;w)=2c:m=4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f68a?!3a>3h0qpsr;h3b5?6=,;k:6<7i;I0b4>P5i:0:wAa09U5j:4m;%7e2?dl?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn?8?:187>5<7s-8j=78<;I0:1>o6i90;6)P5i:0:wAa19U5j:4m;%7e2?dl?518d8R7g428qG>l;51zTe4?4|,8o26477[50z&1e4<1;2B9585f1`294?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26{zut1b=l?50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d7<^83h6?u+5g59f>"2n?0i7psr}:k2ef<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751`a8R4?d2;q/9k95109'1c0=981vqps4o0f6>5<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zj;?i6=48:183!4f93;o86F=949j5d6=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l>4V0;`>7}#=o=1:6*:f785?x{zu2c:m<4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e4=Q90i1>v*:f685?!3a>3<0qpsr;h3bg?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{k38p(8h8:79'1c0=>2wvqp5f1cc94?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9kk0Z<7l:3y'1c1=>2.>j;49;|~y>o6jk0;6)P5i:0:wAbc9U5j:49;%7e2?07[7>50z&1e45<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zj;<<6=4=:183!4f93i:7E<65:k2=c<72-8j=7?6f:9l5a3=83.9m<4>d49U6d5=9rF9m84>{Wd3>7}#9l31=i;4V0;`>7}#=o=1:6*:f785?x{zu2wi>;k50;094?6|,;k:6n?4H3;6?l7>n3:1(?o>:0;e?>i6l<0;6)P5i:0:wAd49U5j:4n;%7e2?g6<729q/>l?528g8L7?23`;j<7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b4>P61j09w);i7;48 0`12?1vqps4i0c2>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k:7[?6c;0x 0`02?1/9k856:~yx=h9m?1<7*=a082`0=Q:h91=vB=a482S`72;q/=h751e78R4?d2;q/9k956:&6b3<13twvq6sm27794?7=83:p(?o>:3c;?M4>=2e:h84?:%0b5?7c=21vn?89:180>5<7s-8j=78<;I0:1>o6i90;6)P5i:0:wAa19U5j:49;%7e2?0l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn?87:185>5<7s-8j=78<;I0:1>o6i90;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95d6<^83h6?u+5g5957=#=o<1=?5r}|8m4g6290/>l?518d8R7g428qG>l;51zTe4?4|,8o26;W3:g?4|,{zut1b=lm50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28kh7[?6c;0x 0`02880(8h9:008yx{z3`;i;7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6j>1]=4m52z&6b2<6:2.>j;4>2:~yx=n9kk1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74df3_;2o744<,:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo<99;293?6=8r.9m<493:J1=0=n9h:1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74g73_;2o7f=#=o<1o6sr}|9j5d7=83.9m<4>9g9K6d6<^;k86k38p(8h8:b9'1c0=k2wvqp5f1`a94?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26{zut1b=o950;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95g1<^83h6?u+5g5957=#=o<1=?5r}|8m4df290/>l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3ae>P61j09w);i7;31?!3a>3;97psr}:k2fg<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751c`8R4?d2;q/9k95139'1c0=9;1vqps4o0f6>5<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zj;a083>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=l?4V0;`>7}#=o=1o6*:f78`?x{zu2c:mn4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882ef=Q90i1>v*:f6826>"2n?0:>6sr}|9j5g1=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=o94V0;`>7}#=o=1=?5+5g4957=zutw0e69b81!3a?3;97);i6;31?x{zu2c:no4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9kh0Z<7l:3y'1c1=9;1/9k85139~yx{6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb34a>5=2c:m=4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9h:0Z<7l:3y'1c1=k2.>j;4l;|~y>o6i80;6)P5i:0:wAa09U5j:4l;%7e2?el?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3a3>P61j09w);i7;a8 0`12j1vqps4i0`b>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?ma:T2=f<5s-?m;7?=;%7e2?753twvq6g>bc83>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=ol4V0;`>7}#=o=1=?5+5g4957=zutw0e69b81!3a?3;97);i6;31?x{zu2e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f685?!3a>3<0qpsr;|`12f<7210;6=u+2`3926=O:0?0e69b81!3a?3i0(8h9:b9~yx{k38p(8h8:b9'1c0=k2wvqp5f1`a94?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9hi0Z<7l:3y'1c1=k2.>j;4l;|~y>o6j>0;6)P5i:0:wAb69U5j:4>2:&6b3<6:2wvqp5f1cc94?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26440:>6*:f7826>{zut1b=om50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28hh7[?6c;0x 0`02880(8h9:008yx{z3f;o97>5$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c05`?6=>3:14g73_;2o73=#=o<1:6sr}|9j5d7=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l?4V0;`>7}#=o=1:6*:f785?x{zu2c:mn4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882ef=Q90i1>v*:f685?!3a>3<0qpsr;h3a3?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{k38p(8h8:79'1c0=>2wvqp5`1e794?"5i80:h85Y2`195~J5i<0:w[h?:3y'5`?=9m?0Z<7l:3y'1c1=>2.>j;49;|~y>{e=0=7);i6;48yx{z3th>:?4?:383>5}#:h;1o<5G2878m4?a290/>l?518d8?j7c=3:1(?o>:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo;97;296?6=8r.9m<4l1:J1=0=n90l1<7*=a082=c=6=4+2`395a3<^;k86k38p(8h8:`9'1c0=i2wvqp5rb47`>5<4290;w)N51<1b=l>50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d6<^83h6?u+5g592>"2n?0=7psr}:k2e4<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m<5Y18a96~"2n>0=7);i6;48yx{z3f;o97>5$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c76`?6=93:16<729q/>l?5629K6<3k38p(8h8:c9'1c0=j2wvqp5f1`394?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h;0Z<7l:3y'1c1=j2.>j;4m;|~y>i6l<0;6)P5i:0:wAd49U5j:49;%7e2?06<729q/>l?5629K6<3k38p(8h8:79'1c0=>2wvqp5f1`394?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h;0Z<7l:3y'1c1=>2.>j;49;|~y>i6l<0;6)P5i:0:wAd49U5j:49;%7e2?00<729q/>l?5629K6<3;|~y>o6i80;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95d7<^83h6?u+5g5954=#=o<1=<5r}|8m4gd290/>l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3bg>P61j09w);i7;32?!3a>3;:7psr}:k2f2<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751c58R4?d2;q/9k95109'1c0=981vqps4o0f6>5<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zj9g9U6d5=9rF9m84>{Wd3>7}#9l31=l>4V0;`>7}#=o=1:6*:f785?x{zu2c:m<4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e4=Q90i1>v*:f685?!3a>3<0qpsr;h3bg?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb440>5<3290;w)=2c:m=4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9h:0Z<7l:3y'1c1=k2.>j;4l;|~y>o6i80;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95d7<^83h6?u+5g59g>"2n?0h7psr}:k2ef<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:mn5Y18a96~"2n>0h7);i6;a8yx{z3f;o97>5$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c750?6==3:1N51<1b=l>50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28k;7[?6c;0x 0`02j1/9k85c:~yx=n9h;1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74g63_;2o7f=#=o<1o6sr}|9j5de=83.9m<4>9g9K6d6<^;k86k38p(8h8:b9'1c0=k2wvqp5f1c594?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9k=0Z<7l:3y'1c1=k2.>j;4l;|~y>i6l<0;6)P5i:0:wAd49U5j:49;%7e2?00<729q/>l?5629K6<3l?518d8R7g428qG>l;51zTe4?4|,8o26;W3:g?4|,{zut1b=lm50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95de<^83h6?u+5g59g>"2n?0h7psr}:k2f2<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:n:5Y18a96~"2n>0:>6*:f7826>{zut1d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a130=83>1<7>t$3c2>4b33A8296g>a183>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i91]=4m52z&6b2<13-?m:784}|~?l7f93:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n1:T2=f<5s-?m;784$4d5>3=zutw0e4gd3_;2o73=#=o<1:6sr}|9l5a3=83.9m<4>d49U6d5=9rF9m84>{Wd3>7}#9l31=i;4V0;`>7}#=o=1:6*:f785?x{zu2wi95h50;094?6|,;k:6n?4H3;6?l7>n3:1(?o>:0;e?>i6l<0;6)P5i:0:wAd49U5j:49;%7e2?07<729q/>l?5c09K6<35$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c7:e?6=:3:1N51<1b=4h50;&1e4<61o10c4b23_;2o7d=#=o<1m6sr}|9~f0?729086=4?{%0b5?4>m2B9585f1`294?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26{zut1b=l?50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d7<^83h6?u+5g592>"2n?0=7psr}:m2`0<72-8j=7?k5:T1e6<6sE8j97?tVg296~"6m00:h85Y18a96~"2n>0=7);i6;48yx{z3th>5<4?:083>5}#:h;1>l64H3;6?j7c=3:1(?o>:0f6?>{e=081<7=50;2x 7g62?90D?7:;h3b4?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{k38p(8h8:79'1c0=>2wvqp5`1e794?"5i80:h85Y2`195~J5i<0:w[h?:3y'5`?=9m?0Z<7l:3y'1c1=>2.>j;49;|~y>{e=0>1<7:50;2x 7g62?90D?7:;h3b4?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3i0(8h9:b9~yx{o6ij0;6)P5i:0:wAab9U5j:4>2:&6b3<6:2wvqp5`1e794?"5i80:h85Y2`195~J5i<0:w[h?:3y'5`?=9m?0Z<7l:3y'1c1=>2.>j;49;|~y>{e=0?1<7:50;2x 7g62?90D?7:;h3b4?6=,;k:6<7i;I0b4>P5i:0:wAa19U5j:4>2:&6b3<6:2wvqp5f1`394?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h;0Z<7l:3y'1c1=9;1/9k85139~yx{i6l<0;6)P5i:0:wAd49U5j:49;%7e2?00<729q/>l?5629K6<3l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3b5>P61j09w);i7;a8 0`12j1vqps4i0c`>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?nc:T2=f<5s-?m;7m4$4d5>f=zutw0e69b81!3a?3;97);i6;31?x{zu2e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f685?!3a>3<0qpsr;|`6=2<72<0;6=u+2`3926=O:0?0e69b81!3a?3i0(8h9:b9~yx{l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3bg>P61j09w);i7;31?!3a>3;97psr}:k2f2<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751c58R4?d2;q/9k95139'1c0=9;1vqps4o0f6>5<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zj<336=4::183!4f93<87E<65:k2e5<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751`28R4?d2;q/9k95c:&6b3a083>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i81]=4m52z&6b2:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882ef=Q90i1>v*:f68`?!3a>3i0qpsr;h3a3?6=,;k:6<7i;I0b4>P5i:0:wAb69U5j:4>2:&6b3<6:2wvqp5`1e794?"5i80:h85Y2`195~J5i<0:w[h?:3y'5`?=9m?0Z<7l:3y'1c1=>2.>j;49;|~y>{e=031<7850;2x 7g628n?7E<65:k2e5<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m=5Y18a96~"2n>0=7);i6;48yx{z3`;j=7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b5>P61j09w);i7;48 0`12?1vqps4i0c`>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28kh7[?6c;0x 0`02?1/9k856:~yx=n9k=1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751c58R4?d2;q/9k956:&6b3<13twvq6g>b`83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6jh1]=4m52z&6b2<13-?m:784}|~?j7c=3:1(?o>:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo;k6;296?6=8r.9m<4l1:J1=0=n90l1<7*=a082=c=6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb4fb>5<5290;w)=2c:5k4?:%0b5?7>n21d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a1`6=8381<7>t$3c2>f7<@;3>7d?6f;29 7g6283m76a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b20;6>4?:1y'6d7=:0o0D?7:;h3b4?6=,;k:6<7i;I0b4>P5i:0:wAa19U5j:49;%7e2?0l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn8j7:182>5<7s-8j=753;294~"5i80=?6F=949j5d6=83.9m<4>9g9K6d6<^;k86k38p(8h8:c9'1c0=j2wvqp5f1`394?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26;W3:g?4|,{zut1d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a1ad=83;:6=4?{%0b5?043A8296g>a183>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i91]=4m52z&6b2:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882e4=Q90i1>v*:f68`?!3a>3i0qpsr;h3bg?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3i0(8h9:b9~yx{k38p(8h8:b9'1c0=k2wvqp5f1cc94?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9kk0Z<7l:3y'1c1=k2.>j;4l;|~y>o6jk0;6)P5i:0:wAbc9U5j:4l;%7e2?el?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=ok50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28hn7[?6c;0x 0`02j1/9k85c:~yx=n9kl1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751cd8R4?d2;q/9k95139'1c0=9;1vqps4i0c1>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?n2:T2=f<5s-?m;7?=;%7e2?753twvq6g>a283>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=l=4V0;`>7}#=o=1=?5+5g4957=zutw0e69b81!3a?3;97);i6;31?x{zu2c:m84?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e0=Q90i1>v*:f6826>"2n?0:>6sr}|9j5d0=83.9m<4>9g9K6d6<^;k86k38p(8h8:008 0`12880qpsr;h3b3?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb4f`>5<693:1N51<1b=l>50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d6<^83h6?u+5g59g>"2n?0h7psr}:k2e4<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751`38R4?d2;q/9k95c:&6b3ab83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6ij1]=4m52z&6b2:0;e?S4f;3;p@?o::0yUb5<5s-;n57?m7:T2=f<5s-?m;7m4$4d5>f=zutw0e4df3_;2o7f=#=o<1o6sr}|9j5gd=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=ol4V0;`>7}#=o=1o6*:f78`?x{zu2c:nn4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882ff=Q90i1>v*:f68`?!3a>3i0qpsr;h3a`?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3i0(8h9:b9~yx{l?518d8R7g428qG>l;51zTe4?4|,8o26440:>6*:f7826>{zut1b=l=50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28k87[?6c;0x 0`02880(8h9:008yx{z3`;j87>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6i=1]=4m52z&6b2<6:2.>j;4>2:~yx=n9h?1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`78R4?d2;q/9k95139'1c0=9;1vqps4i0c5>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?n6:T2=f<5s-?m;7?=;%7e2?753twvq6g>a683>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i>1]=4m52z&6b2<13-?m:784}|~?j7c=3:1(?o>:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo;kd;2964<729q/>l?5629K6<3k38p(8h8:b9'1c0=k2wvqp5f1`394?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h;0Z<7l:3y'1c1=k2.>j;4l;|~y>o6ij0;6)P5i:0:wAab9U5j:4l;%7e2?el?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=ol50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95gd<^83h6?u+5g59g>"2n?0h7psr}:k2ff<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:nn5Y18a96~"2n>0h7);i6;a8yx{z3`;ih7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6jm1]=4m52z&6b2:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882f`=Q90i1>v*:f68`?!3a>3i0qpsr;h3ab?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3i0(8h9:b9~yx{k38p(8h8:b9'1c0=k2wvqp5f1`194?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h90Z<7l:3y'1c1=k2.>j;4l;|~y>o6i=0;6)P5i:0:wAa59U5j:4l;%7e2?el?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=l950;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28k<7[?6c;0x 0`02j1/9k85c:~yx=n9h21<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`:8R4?d2;q/9k95139'1c0=9;1vqps4i0c:>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?n9:T2=f<5s-?m;7?=;%7e2?753twvq6g>a`83>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=lo4V0;`>7}#=o=1=?5+5g4957=zutw0e4ge3_;2o744<,:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882ea=Q90i1>v*:f6826>"2n?0:>6sr}|9j5dc=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=lk4V0;`>7}#=o=1:6*:f785?x{zu2c:mk4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882ec=Q90i1>v*:f685?!3a>3<0qpsr;h3a4?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{k38p(8h8:79'1c0=>2wvqp5f1c094?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9k80Z<7l:3y'1c1=>2.>j;49;|~y>o6j:0;6)P5i:0:wAb29U5j:49;%7e2?0l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=o850;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95g0<^83h6?u+5g592>"2n?0=7psr}:k2f=<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:n55Y18a96~"2n>0=7);i6;48yx{z3`;i57>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3a=>P61j09w);i7;48 0`12?1vqps4o0f6>5<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zj9g9U6d5=9rF9m84>{Wd3>7}#9l31=l>4V0;`>7}#=o=1o6*:f78`?x{zu2c:m<4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e4=Q90i1>v*:f68`?!3a>3i0qpsr;h3bg?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3i0(8h9:b9~yx{k38p(8h8:b9'1c0=k2wvqp5f1cc94?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9kk0Z<7l:3y'1c1=k2.>j;4l;|~y>o6jk0;6)P5i:0:wAbc9U5j:4l;%7e2?el?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3a`>P61j09w);i7;a8 0`12j1vqps4i0`f>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?me:T2=f<5s-?m;7m4$4d5>f=zutw0e4da3_;2o7f=#=o<1o6sr}|9j5d4=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l<4V0;`>7}#=o=1o6*:f78`?x{zu2c:m>4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e6=Q90i1>v*:f68`?!3a>3i0qpsr;h3b0?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3i0(8h9:b9~yx{6=4+2`395<`<^;k86k38p(8h8:b9'1c0=k2wvqp5f1`494?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h<0Z<7l:3y'1c1=k2.>j;4l;|~y>o6i>0;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95d1<^83h6?u+5g59g>"2n?0h7psr}:k2e=<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m55Y18a96~"2n>0:>6*:f7826>{zut1b=l750;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28k27[?6c;0x 0`02880(8h9:008yx{z3`;jm7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6ih1]=4m52z&6b2<6:2.>j;4>2:~yx=n9hh1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751``8R4?d2;q/9k95139'1c0=9;1vqps4i0cg>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?nd:T2=f<5s-?m;7?=;%7e2?753twvq6g>ad83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6il1]=4m52z&6b2<13-?m:784}|~?l7fn3:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?nf:T2=f<5s-?m;784$4d5>3=zutw0e4d73_;2o73=#=o<1:6sr}|9j5g7=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=o?4V0;`>7}#=o=1:6*:f785?x{zu2c:n?4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882f7=Q90i1>v*:f685?!3a>3<0qpsr;h3a7?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{k38p(8h8:79'1c0=>2wvqp5f1c794?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9k?0Z<7l:3y'1c1=>2.>j;49;|~y>o6j?0;6)P5i:0:wAb79U5j:49;%7e2?0290/>l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a1a`=83?1<7>t$3c2>4b33A8296g>a183>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i91]=4m52z&6b2<13-?m:784}|~?l7f93:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n1:T2=f<5s-?m;784$4d5>3=zutw0e4gd3_;2o73=#=o<1:6sr}|9j5g1=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=o94V0;`>7}#=o=1:6*:f785?x{zu2e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f685?!3a>3<0qpsr;|`6ad<72;0;6=u+2`39g4=O:0?0e<7i:18'6d7=90l07b?k5;29 7g628n>7[7>50z&1e45<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02h1/9k85a:~yx=zj9g9K6d6<^;k86k38p(8h8:79'1c0=>2wvqp5f1`394?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h;0Z<7l:3y'1c1=>2.>j;49;|~y>i6l<0;6)P5i:0:wAd49U5j:49;%7e2?04<729q/>l?52`:8L7?23f;o97>5$3c2>4b232wi9hj50;194?6|,;k:6;=4H3;6?l7f83:1(?o>:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f68a?!3a>3h0qpsr;h3b5?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3;:7);i6;32?x{zu2e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f685?!3a>3<0qpsr;|`71d<72;0;6=u+2`39g4=O:0?0e<7i:18'6d7=90l07b?k5;29 7g628n>7[7>50z&1e45<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zj=<:6=49:183!4f93;2h6F=949'5f6=9=1b=4h50;&1e4<61o1]>l=51zN1e0<6s_;hh74?a3_;2o73=#=o<1:6sr}|9jg6<72-8j=7m<;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{1<7*=a08`0>P5i:09wAj:47;%7e2?>l:54zT1=7<4s_on6?u+5g79g1=#;;919k94}Wge>7}#=o?1=4h4$200>0`03t.=;>4j;|T1=7<4s_on6?u+5g79g1=#;;919k94}Wge>7}#=o?1=4h4$200>0`13t.=;>4j;|T1=7<4s_on6?u+5g79g1=#;;919k94}Wge>7}#=o?1o>5+33191c1v*:f48`0>"4::0>j:5r$750>454o52zTfa?4|,6?6m;%117?3a?2w/::=5139~yx=n:1k1<7*=a081vB=a482S7dl38p(k38p(8h8:008 0`12880qpsC2`695~P51h09w[kj:3y'1c3=:1k0(><<:4d4?x"1?:0:>6sr}:m2`0<72-8j=7?k5:9~f13e29086=4?{%0b5?4>m2B9585f1`294?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h:0Z<7l:3y'1c1=>2.>j;49;|~y>o6i80;6)P5i:0:wAa09U5j:49;%7e2?07[50z&1e4<5i11C>4;4o0f6>5<#:h;1=i;4;|`71a<72:0;6=u+2`3926=O:0?0e4g73_;2o747<,:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n1:T2=f<5s-?m;7?>;%7e2?763twvq6a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd3=o0;6;4?:1y'6d7=>:1C>4;4i0c3>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k;7[?6c;0x 0`02880(8h9:008yx{z3`;j=7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b5>P61j09w);i7;31?!3a>3;97psr}:k2ef<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:mn5Y18a96~"2n>0h7);i6;a8yx{z3`;i;7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3a3>P61j09w);i7;31?!3a>3;97psr}:k2fd<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:nl5Y18a96~"2n>0:>6*:f7826>{zut1d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a034=8381<7>t$3c2>f7<@;3>7d?6f;29 7g6283m76a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd3>00;6;4?:1y'6d7=90n0D?7:;%3`4?733`;2j7>5$3c2>4?a3_8j?7?tL3c6>4}Q9jn1>v*>e882=c=Q90i1>v*:f685?!3a>3<0qpsr;ha0>5<#:h;1o>5Y2`195~J5i<0:w[?ld;0x 4c>2j90Z<7l:3y'1c1=>2.>j;49;|~y>od<3:1(?o>:b68R7g42;qG>l;51zT2ga<5s-;n57m;;W3:g?4|,{zuE8j87:tV3;1>6}Qml09w);i5;a7?!55;3?m;6sYeg81!3a=3;2j6*<2286b2=z,?=86h5rV3;1>6}Qml09w);i5;a7?!55;3?m;6sYeg81!3a=3;2j6*<2286b3=z,?=86h5rV3;1>6}Qml09w);i5;a7?!55;3?m;6sYeg81!3a=3i87)==3;7e3>{#>>91;6sY1d:96~Pbm38p(8h::b68 6442{zu2c94o4?:%0b5?4?j2\9m>4={M0b1?7|^8io6?u+1d;96=d<^83h6?u+5g5957=#=o<1=?5r}|N1e1<6s_82m7:3:b?S4f;38p@?o::0yU5fb=:r.:i44=8`9U5j:4>2:&6b3<6:2wvqA>4:f69~ 3142880qps4o0f6>5<#:h;1=i;4;|`726<72:0;6=u+2`3967d?n0;29 7g6283m7[l?518d8R7g428qG>l;51zTe4?4|,8o26;W3:g?4|,{zut1d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a032=83;1<7>t$3c2>7g?3A8296a>d483>!4f93;o965rb545>5<4290;w)=2c:m=4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9h:0Z<7l:3y'1c1=981/9k85109~yx{;|~y>i6l<0;6)P5i:0:wAd49U5j:49;%7e2?06<729q/>l?5629K6<3;|~y>o6i80;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95d7<^83h6?u+5g5954=#=o<1=<5r}|8k4b2290/>l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn98::180>5<7s-8j=7?k4:J1=0=n9h:1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`28R4?d2;q/9k956:&6b3<13twvq6g>a083>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i81]=4m52z&6b2<13-?m:784}|~?j7c=3:1(?o>:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo;<8;296?6=8r.9m<4l1:J1=0=n90l1<7*=a082=c=6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb41`>5<5290;w)=2c:5k4?:%0b5?7>n21d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a16c=8381<7>t$3c2>f7<@;3>7d?6f;29 7g6283m76a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b24?:1y'6d7=:0o0D?7:;h3b4?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{k38p(8h8:79'1c0=>2wvqp5`1e794?"5i80:h85Y2`195~J5i<0:w[h?:3y'5`?=9m?0Z<7l:3y'1c1=>2.>j;49;|~y>{e=:k1<7?50;2x 7g62;k37E<65:m2`0<72-8j=7?k5:9~f05e29086=4?{%0b5?043A8296g>a183>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=l>4V0;`>7}#=o=1=<5+5g4954=zutw0e:18'6d7=90l0D?o?;W0b7?7|D;k>69b81!3a?3;:7);i6;32?x{zu2e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f685?!3a>3<0qpsr;|`67a<72?0;6=u+2`3926=O:0?0e4g73_;2o744<,:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n1:T2=f<5s-?m;7?=;%7e2?753twvq6g>ab83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6ij1]=4m52z&6b2:0;e?S4f;3;p@?o::0yUb5<5s-;n57?m7:T2=f<5s-?m;7?=;%7e2?753twvq6g>b`83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6jh1]=4m52z&6b2<6:2.>j;4>2:~yx=h9m?1<7*=a082`0=Q:h91=vB=a482S`72;q/=h751e78R4?d2;q/9k956:&6b3<13twvq6sm56`94?4=83:p(?o>:b38L7?23`;2j7>5$3c2>4?a32e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f685?!3a>3<0qpsr;|`6<7<72;0;6=u+2`39g4=O:0?0e<7i:18'6d7=90l07b?k5;29 7g628n>7[50z&1e4<51l1C>4;4i0c3>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k;7[?6c;0x 0`02?1/9k856:~yx=n9h;1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`38R4?d2;q/9k956:&6b3<13twvq6a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd2?m0;6<4?:1y'6d7=:h20D?7:;n3g1?6=,;k:61<7>t$3c2>35<@;3>7d?n0;29 7g6283m7[l?518d8R7g428qG>l;51zTe4?4|,8o26;W3:g?4|,{zut1b=lm50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28kh7[?6c;0x 0`02k1/9k85b:~yx=h9m?1<7*=a082`0=Q:h91=vB=a482S`72;q/=h751e78R4?d2;q/9k956:&6b3<13twvq6sm59294?0=83:p(?o>:718L7?23`;j<7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b4>P61j09w);i7;`8 0`12k1vqps4i0c2>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?n1:T2=f<5s-?m;7l4$4d5>g=zutw0e4gd3_;2o7g=#=o<1n6sr}|9j5g1=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=o94V0;`>7}#=o=1n6*:f78a?x{zu2c:nl4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9kk0Z<7l:3y'1c1=j2.>j;4m;|~y>i6l<0;6)P5i:0:wAd49U5j:49;%7e2?03<729q/>l?5629K6<3k38p(8h8:c9'1c0=j2wvqp5f1`394?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26;W3:g?4|,{zut1b=lm50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95de<^83h6?u+5g59f>"2n?0i7psr}:k2f2<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751c58R4?d2;q/9k95b:&6b3b`83>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=oo4V0;`>7}#=o=1n6*:f78a?x{zu2e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f685?!3a>3<0qpsr;|`63`<72=0;6=u+2`395a2<@;3>7d?n0;29 7g6283m7[l?518d8R7g428qG>l;51zTe4?4|,8o26;W3:g?4|,{zut1b=lm50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95de<^83h6?u+5g592>"2n?0=7psr}:m2`0<72-8j=7?k5:T1e6<6sE8j97?tVg296~"6m00:h85Y18a96~"2n>0=7);i6;48yx{z3th>n:4?:383>5}#:h;1o<5G2878m4?a290/>l?518d8?j7c=3:1(?o>:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo;mb;296?6=8r.9m<4l1:J1=0=n90l1<7*=a082=c=6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb4a1>5<5290;w)=2c:5k4?:%0b5?7>n21d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g59e>"2n?0j7psr}:a1g>=8391<7>t$3c2>7?b3A8296g>a183>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=l>4V0;`>7}#=o=1:6*:f785?x{zu2c:m<4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e4=Q90i1>v*:f685?!3a>3<0qpsr;n3g1?6=,;k:669b81!3a?3<0(8h9:79~yx{51;294~"5i809m55G2878k4b2290/>l?51e78?xd2jh0;6>4?:1y'6d7=>:1C>4;4i0c3>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k;7[?6c;0x 0`02?1/9k856:~yx=n9h;1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`38R4?d2;q/9k956:&6b3<13twvq6a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd2jj0;6:4?:1y'6d7=>:1C>4;4i0c3>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?n0:T2=f<5s-?m;7m4$4d5>f=zutw0e:18'6d7=90l0Z?o<:0yO6d3=9r\m<74g63_;2o7f=#=o<1o6sr}|9j5de=83.9m<4>9g9K6d6<^;k86k38p(8h8:b9'1c0=k2wvqp5f1c594?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26440:>6*:f7826>{zut1b=ol50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95gd<^83h6?u+5g5957=#=o<1=?5r}|8k4b2290/>l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn8lk:184>5<7s-8j=78<;I0:1>o6i90;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95d6<^83h6?u+5g59g>"2n?0h7psr}:k2e4<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m<5Y18a96~"2n>0h7);i6;a8yx{z3`;jo7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3bg>P61j09w);i7;a8 0`12j1vqps4i0`4>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?m7:T2=f<5s-?m;7?=;%7e2?753twvq6g>b`83>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=oo4V0;`>7}#=o=1=?5+5g4957=zutw0e4de3_;2o744<,:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo;me;293?6=8r.9m<493:J1=0=n9h:1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74g73_;2o7f=#=o<1o6sr}|9j5d7=83.9m<4>9g9K6d6<^;k86k38p(8h8:b9'1c0=k2wvqp5f1`a94?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9hi0Z<7l:3y'1c1=k2.>j;4l;|~y>o6j>0;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95g1<^83h6?u+5g5957=#=o<1=?5r}|8m4df290/>l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3ae>P61j09w);i7;31?!3a>3;97psr}:k2fg<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:no5Y18a96~"2n>0:>6*:f7826>{zut1d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a1g`=8321<7>t$3c2>35<@;3>7d?n0;29 7g6283m7E0h7);i6;a8yx{z3`;j=7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6i81]=4m52z&6b2:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882ef=Q90i1>v*:f68`?!3a>3i0qpsr;h3a3?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3i0(8h9:b9~yx{o6jk0;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95gd<^83h6?u+5g5957=#=o<1=?5r}|8m4dd290/>l?518d8R7g428qG>l;51zTe4?4|,8o26447[50z&1e4<1;2B9585f1`294?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26{zut1b=l?50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d7<^83h6?u+5g59g>"2n?0h7psr}:k2ef<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:mn5Y18a96~"2n>0h7);i6;a8yx{z3`;i;7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3a3>P61j09w);i7;31?!3a>3;97psr}:k2fd<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751cc8R4?d2;q/9k95139'1c0=9;1vqps4i0`a>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?mb:T2=f<5s-?m;7?=;%7e2?753twvq6g>bb83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6jj1]=4m52z&6b2<6:2.>j;4>2:~yx=h9m?1<7*=a082`0=Q:h91=vB=a482S`72;q/=h751e78R4?d2;q/9k956:&6b3<13twvq6sm5b394?0=83:p(?o>:0f7?M4>=2c:m=4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f685?!3a>3<0qpsr;h3b5?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{k38p(8h8:79'1c0=>2wvqp5f1c594?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9k=0Z<7l:3y'1c1=>2.>j;49;|~y>o6jh0;6)P5i:0:wAb`9U5j:49;%7e2?07[7>50z&1e45<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zj?d49U6d5=9rF9m84>{Wd3>7}#9l31=i;4V0;`>7}#=o=1:6*:f785?x{zu2wi::<50;494?6|,;k:6<7k;I0:1>"6k90:86g>9g83>!4f93;2j6X=a282I4f=3;pZ2.>j;49;|~y>od;3:1(?o>:b18R7g428qG>l;51zT2ga<5s-;n57m<;W3:g?4|,{zut1bo94?:%0b5?e33_8j?74}Q9jn1>v*>e88`0>P61j09w);i7;:8 0`1211vqpB=a587S4>:39pZhk52z&6b0>4:f69~R``=:r.>j84>9g9'775==o=0q)883;g8yS4>:39pZhk52z&6b0>4:f69~R``=:r.>j84>9g9'775==o<0q)883;g8yS4>:39pZhk52z&6b0>4:f69~R``=:r.>j84l3:&066<2n>1v(;9<:69~R4c?2;q]ih4={%7e1?e33-99?7;i7:'225=9:1vqp5f29`94?"5i8094o5Y2`196~J5i<0:w[?ld;0x 4c>2;2i7[?6c;0x 0`02880(8h9:008yx{K:h>1=vX=9`81Scb2;q/9k;529`8 6442{zu2c94l4?:%0b5?4?i2\9m>4={M0b1?7|^8io6?u+1d;96=g<^83h6?u+5g5957=#=o<1=?5r}|N1e1<6s_82m7:0f6?>{e>?i1<7=50;2x 7g62;3n7E<65:k2e5<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m=5Y18a96~"2n>0=7);i6;48yx{z3`;j=7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b5>P61j09w);i7;48 0`12?1vqps4o0f6>5<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zj?:183!4f938j46F=949l5a3=83.9m<4>d498yg01m3:1?7>50z&1e4<1;2B9585f1`294?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26470:=6*:f7825>{zut1d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a226=8391<7>t$3c2>35<@;3>7d?n0;29 7g6283m7[l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3b5>P61j09w);i7;a8 0`12j1vqps4o0f6>5<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zj0o1<7<50;2x 7g62j;0D?7:;h3:b?6=,;k:6<7i;:m2`0<72-8j=7?k5:T1e6<6sE8j97?tVg296~"6m00:h85Y18a96~"2n>0=7);i6;48yx{z3thj>7>52;294~"5i80h=6F=949j5<`=83.9m<4>9g98k4b2290/>l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vnl650;094?6|,;k:6n?4H3;6?l7>n3:1(?o>:0;e?>i6l<0;6)P5i:0:wAd49U5j:4n;%7e2?gk38p(8h8:79'1c0=>2wvqp5f1`394?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h;0Z<7l:3y'1c1=>2.>j;49;|~y>i6l<0;6)P5i:0:wAd49U5j:49;%7e2?06=4+2`395a3<3thj=7>53;294~"5i80=?6F=949j5d6=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l>4V0;`>7}#=o=1n6*:f78a?x{zu2c:m<4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e4=Q90i1>v*:f68a?!3a>3h0qpsr;n3g1?6=,;k:669b81!3a?3<0(8h9:79~yx{0:>6*:f7826>{zut1b=l?50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d7<^83h6?u+5g5957=#=o<1=?5r}|8k4b2290/>l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vnl:50;194?6|,;k:6;=4H3;6?l7f83:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n0:T2=f<5s-?m;7?=;%7e2?753twvq6g>a083>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i81]=4m52z&6b2<6:2.>j;4>2:~yx=h9m?1<7*=a082`0=Q:h91=vB=a482S`72;q/=h751e78R4?d2;q/9k956:&6b3<13twvq6sma483>6<729q/>l?5629K6<3k38p(8h8:008 0`12880qpsr;h3b5?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3;97);i6;31?x{zu2e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f685?!3a>3<0qpsr;|`b2?6=<3:1N51<1b=l>50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d6<^83h6?u+5g5957=#=o<1=?5r}|8m4g6290/>l?518d8R7g428qG>l;51zTe4?4|,8o26;W3:g?4|,{zut1b=lm50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95de<^83h6?u+5g5957=#=o<1=?5r}|8k4b2290/>l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vnl950;794?6|,;k:6o6i90;6)P5i:0:wAa19U5j:49;%7e2?0l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=o950;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95g1<^83h6?u+5g592>"2n?0=7psr}:m2`0<72-8j=7?k5:T1e6<6sE8j97?tVg296~"6m00:h85Y18a96~"2n>0=7);i6;48yx{z3thjo7>52;294~"5i80h=6F=949j5<`=83.9m<4>9g98k4b2290/>l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vno>50;094?6|,;k:6n?4H3;6?l7>n3:1(?o>:0;e?>i6l<0;6)P5i:0:wAd49U5j:49;%7e2?06=4+2`395a3<^;k86k38p(8h8:`9'1c0=i2wvqp5rb`f94?5=83:p(?o>:3;f?M4>=2c:m=4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f685?!3a>3<0qpsr;h3b5?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb`g94?7=83:p(?o>:3c;?M4>=2e:h84?:%0b5?7c=21vnlh50;194?6|,;k:6;=4H3;6?l7f83:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n0:T2=f<5s-?m;7l4$4d5>g=zutw0e:18'6d7=90l0Z?o<:0yO6d3=9r\m<74g63_;2o7g=#=o<1n6sr}|9l5a3=83.9m<4>d49U6d5=9rF9m84>{Wd3>7}#9l31=i;4V0;`>7}#=o=1:6*:f785?x{zu2win<4?:483>5}#:h;1:>5G2878m4g7290/>l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3b4>P61j09w);i7;31?!3a>3;97psr}:k2e4<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751`38R4?d2;q/9k95139'1c0=9;1vqps4i0c`>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?nc:T2=f<5s-?m;7?=;%7e2?753twvq6g>b683>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6j>1]=4m52z&6b2<6:2.>j;4>2:~yx=h9m?1<7*=a082`0=Q:h91=vB=a482S`72;q/=h751e78R4?d2;q/9k956:&6b3<13twvq6smb383>0<729q/>l?5629K6<3o6i80;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95d7<^83h6?u+5g5957=#=o<1=?5r}|8m4gd290/>l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3bg>P61j09w);i7;31?!3a>3;97psr}:k2f2<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:n:5Y18a96~"2n>0:>6*:f7826>{zut1d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:af6<72?0;6=u+2`3926=O:0?0e69b81!3a?3;97);i6;31?x{zu2c:m<4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e4=Q90i1>v*:f68`?!3a>3i0qpsr;h3bg?6=,;k:6<7i;I0b4>P5i:0:wAab9U5j:4>2:&6b3<6:2wvqp5f1c594?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26440:>6*:f7826>{zut1d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:af1<72?0;6=u+2`3926=O:0?0e69b81!3a?3;97);i6;31?x{zu2c:m<4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e4=Q90i1>v*:f68`?!3a>3i0qpsr;h3bg?6=,;k:6<7i;I0b4>P5i:0:wAab9U5j:4>2:&6b3<6:2wvqp5f1c594?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26440:>6*:f7826>{zut1d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:af0<72<0;6=u+2`395a2<@;3>7d?n0;29 7g6283m7[l?518d8R7g428qG>l;51zTe4?4|,8o26;W3:g?4|,{zut1b=lm50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95de<^83h6?u+5g592>"2n?0=7psr}:k2f2<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:n:5Y18a96~"2n>0=7);i6;48yx{z3f;o97>5$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}ca;>5<5290;w)=2c:5k4?:%0b5?7>n21d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:agf<72;0;6=u+2`39g4=O:0?0e<7i:18'6d7=90l07b?k5;29 7g628n>7[9g83>!4f93;2j65`1e794?"5i80:h85Y2`195~J5i<0:w[h?:3y'5`?=9m?0Z<7l:3y'1c1=i2.>j;4n;|~y>{ek00;6>4?:1y'6d7=:0o0D?7:;h3b4?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{k38p(8h8:79'1c0=>2wvqp5`1e794?"5i80:h85Y2`195~J5i<0:w[h?:3y'5`?=9m?0Z<7l:3y'1c1=>2.>j;49;|~y>{ekh0;6<4?:1y'6d7=:h20D?7:;n3g1?6=,;k:64g73_;2o73=#=o<1:6sr}|9j5d7=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l?4V0;`>7}#=o=1:6*:f785?x{zu2e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f685?!3a>3<0qpsr;|```?6=?3:1N51<1b=l>50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28k;7[?6c;0x 0`02j1/9k85c:~yx=n9h;1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74g63_;2o7f=#=o<1o6sr}|9j5de=83.9m<4>9g9K6d6<^;k86k38p(8h8:b9'1c0=k2wvqp5f1c594?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o2644o6jk0;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95gd<^83h6?u+5g5957=#=o<1=?5r}|8k4b2290/>l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vnnk50;594?6|,;k:6;=4H3;6?l7f83:1(?o>:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f68`?!3a>3i0qpsr;h3b5?6=,;k:6<7i;I0b4>P5i:0:wAa09U5j:4l;%7e2?e0:>6*:f7826>{zut1b=o950;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95g1<^83h6?u+5g5957=#=o<1=?5r}|8m4df290/>l?518d8R7g428qG>l;51zTe4?4|,8o26440:>6*:f7826>{zut1d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:agc<72>0;6=u+2`3926=O:0?0e4g73_;2o7f=#=o<1o6sr}|9j5d7=83.9m<4>9g9K6d6<^;k86k38p(8h8:b9'1c0=k2wvqp5f1`a94?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26440h7);i6;a8yx{z3`;im7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6jh1]=4m52z&6b2<6:2.>j;4>2:~yx=n9kh1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74de3_;2o744<,:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qoj?:184>5<7s-8j=78<;I0:1>o6i90;6)P5i:0:wAa19U5j:4l;%7e2?e0:>6*:f7826>{zut1b=lm50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28kh7[?6c;0x 0`02j1/9k85c:~yx=n9k=1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751c58R4?d2;q/9k95139'1c0=9;1vqps4i0`b>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?ma:T2=f<5s-?m;7?=;%7e2?753twvq6g>bc83>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=ol4V0;`>7}#=o=1=?5+5g4957=zutw0c4b23_;2o73=#=o<1:6sr}|9~fa7=83=1<7>t$3c2>35<@;3>7d?n0;29 7g6283m7E0h7);i6;a8yx{z3`;j=7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6i81]=4m52z&6b2<6:2.>j;4>2:~yx=n9hi1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74gd3_;2o7f=#=o<1o6sr}|9j5g1=83.9m<4>9g9K6d6<^;k86k38p(8h8:008 0`12880qpsr;h3ae?6=,;k:6<7i;I0b4>P5i:0:wAb`9U5j:4>2:&6b3<6:2wvqp5f1c`94?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26447[2.>j;49;|~y>o6i80;6)P5i:0:wAa09U5j:49;%7e2?0l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=oo50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95gg<^83h6?u+5g592>"2n?0=7psr}:m2`0<72-8j=7?k5:T1e6<6sE8j97?tVg296~"6m00:h85Y18a96~"2n>0=7);i6;48yx{z3tho:7>52;294~"5i80h=6F=949j5<`=83.9m<4>9g98k4b2290/>l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vnio50;094?6|,;k:6n?4H3;6?l7>n3:1(?o>:0;e?>i6l<0;6)P5i:0:wAd49U5j:49;%7e2?06=4+2`395a3<^;k86k38p(8h8:`9'1c0=i2wvqp5rbe594?5=83:p(?o>:3;f?M4>=2c:m=4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f685?!3a>3<0qpsr;h3b5?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rbe:94?7=83:p(?o>:3c;?M4>=2e:h84?:%0b5?7c=21vni750;194?6|,;k:6;=4H3;6?l7f83:1(?o>:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f68a?!3a>3h0qpsr;h3b5?6=,;k:6<7i;I0b4>P5i:0:wAa09U5j:4m;%7e2?d7[a183>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i91]=4m52z&6b2:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n1:T2=f<5s-?m;7?=;%7e2?753twvq6a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xdck3:1?7>50z&1e4<1;2B9585f1`294?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h:0Z<7l:3y'1c1=k2.>j;4l;|~y>o6i80;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95d7<^83h6?u+5g5957=#=o<1=?5r}|8k4b2290/>l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vnij50;194?6|,;k:6o6i90;6)P5i:0:wAa19U5j:49;%7e2?0l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn5<7s-8j=7m>;I0:1>o61o0;6)=h9m?1<7*=a082`0=Q:h91=vB=a482S`72;q/=h751e78R4?d2;q/9k956:&6b3<13twvq6smf083>7<729q/>l?5c09K6<35$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c3f4?6=:3:1N51<1b=4h50;&1e4<61o10c4b23_;2o73=#=o<1:6sr}|9~f4c0290=6=4?{%0b5?7>l2B9585+1b2950=n90l1<7*=a082=c=Q:h91=vB=a482S7dl38p(k38p(8h8:79'1c0=>2wvqp5fc283>!4f93i87[7}#9l31o95Y18a96~"2n>037);i6;:8yx{K:h>18vX=9380Scb2;q/9k;5c59'775==o=0q[ki:3y'1c3=90l0(><<:4d4?x"1?:0n7pX=9380Scb2;q/9k;5c59'775==o=0q[ki:3y'1c3=90l0(><<:4d5?x"1?:0n7pX=9380Scb2;q/9k;5c59'775==o=0q[ki:3y'1c3=k:1/??=55g58y!00;3o0q[?j8;0xR`c=:r.>j84l4:&066<2n>1v(;9<:018yx{P61j09w);i7;31?!3a>3;97psrL3c7>4}Q:0k1>vXje;0x 0`22;2i7)==3;7e3>{#>>91=?5r}|9j6=g=83.9m<4=8`9U6d5=:rF9m84>{W3``?4|,8o26?6n;W3:g?4|,44l:51zT1=d<5s_on6?u+5g796=g<,:8868h8;|&536<6:2wvq6a>d483>!4f93;o965rb0fg>5<4290;w)N51<1b=l>50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d6<^83h6?u+5g592>"2n?0=7psr}:k2e4<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m<5Y18a96~"2n>0=7);i6;48yx{z3f;o97>5$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c3ga?6=93:1dg83>4<729q/>l?52`:8L7?23f;o97>5$3c2>4b232wi=h?50;694?6|,;k:6;=4H3;6?l7f83:1(?o>:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f68`?!3a>3i0qpsr;h3b5?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3i0(8h9:b9~yx{l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn5<7s-8j=78<;I0:1>o6i90;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95d6<^83h6?u+5g59g>"2n?0h7psr}:k2e4<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m<5Y18a96~"2n>0h7);i6;a8yx{z3`;jo7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6ij1]=4m52z&6b2:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo?j3;290?6=8r.9m<493:J1=0=n9h:1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74g73_;2o7f=#=o<1o6sr}|9j5d7=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l?4V0;`>7}#=o=1o6*:f78`?x{zu2c:mn4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9hi0Z<7l:3y'1c1=k2.>j;4l;|~y>i6l<0;6)P5i:0:wAd49U5j:49;%7e2?0e583>1<729q/>l?5629K6<3l?518d8R7g428qG>l;51zTe4?4|,8o26;W3:g?4|,{zut1b=lm50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28kh7[?6c;0x 0`02j1/9k85c:~yx=h9m?1<7*=a082`0=Q:h91=vB=a482S`72;q/=h751e78R4?d2;q/9k956:&6b3<13twvq6sm1d794?3=83:p(?o>:0f7?M4>=2c:m=4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f685?!3a>3<0qpsr;h3b5?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{k38p(8h8:79'1c0=>2wvqp5f1c594?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9k=0Z<7l:3y'1c1=>2.>j;49;|~y>i6l<0;6)P5i:0:wAd49U5j:49;%7e2?0db83>2<729q/>l?5629K6<3k38p(8h8:038 0`128;0qpsr;h3b5?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3;:7);i6;32?x{zu2c:mn4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882ef=Q90i1>v*:f6825>"2n?0:=6sr}|9j5g1=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=o94V0;`>7}#=o=1=<5+5g4954=zutw0e69b81!3a?3;:7);i6;32?x{zu2c:no4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882fg=Q90i1>v*:f6825>"2n?0:=6sr}|9l5a3=83.9m<4>d49U6d5=9rF9m84>{Wd3>7}#9l31=i;4V0;`>7}#=o=1:6*:f785?x{zu2wi99?50;094?6|,;k:6n?4H3;6?l7>n3:1(?o>:0;e?>i6l<0;6)P5i:0:wAd49U5j:49;%7e2?07<729q/>l?5c09K6<35$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c773:1l?518d8R7g428qG>l;51zT2ga<5s-;n57?6f:T2=f<5s-?m;784$4d5>3=zutw0en=50;&1e44>{M0b1?7|^8io6?u+1d;9g6=Q90i1>v*:f685?!3a>3<0qpsr;ha7>5<#:h;1o95Y2`196~J5i<0:w[?ld;0x 4c>2j>0Z<7l:3y'1c1=02.>j;47;|~H7g32=q]>4<53zTfa?4|,6n:4$200>0`03t\nj74?a3-99?7;i7:'225=m2w]>4<53zTfa?4|,6n:4$200>0`03t\nj74?a3-99?7;i6:'225=m2w]>4<53zTfa?4|,6n:4$200>0`03t\nj7f5<,:8868h8;|&536<03t\:i54={Wgf>7}#=o?1o95+33191c1:3:a?S4f;38p@?o::0yU5fb=:r.:i44=8c9U5j:4>2:&6b3<6:2wvqA>4:f69~ 3142880qps4i3:b>5<#:h;1>5o4V3c0>7}K:h?1=vX>ce81!7b1383m6X>9b81!3a?3;97);i6;31?x{zD;k?6l?518d8R7g428qG>l;51zTe4?4|,8o26;W3:g?4|,{zut1d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a115=83;1<7>t$3c2>7g?3A8296a>d483>!4f93;o965rb466>5<4290;w)=2c:m=4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f6825>"2n?0:=6sr}|9j5d7=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l?4V0;`>7}#=o=1=<5+5g4954=zutw0c4b23_;2o73=#=o<1:6sr}|9~f02129086=4?{%0b5?043A8296g>a183>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=l>4V0;`>7}#=o=1=<5+5g4954=zutw0e:18'6d7=90l0D?o?;W0b7?7|D;k>69b81!3a?3;:7);i6;32?x{zu2e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f685?!3a>3<0qpsr;|`601<72:0;6=u+2`395a2<@;3>7d?n0;29 7g6283m7[l?518d8R7g428qG>l;51zTe4?4|,8o26;W3:g?4|,{zut1d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a106=8381<7>t$3c2>f7<@;3>7d?6f;29 7g6283m76a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd41<0;6?4?:1y'6d7=k81C>4;4i0;e>5<#:h;1=4h4;n3g1?6=,;k:669b81!3a?3<0(8h9:79~yx{47>56;294~"5i80:5i5G2878 4e728?0e<7i:18'6d7=90l0Z?o<:0yO6d3=9r\:oi4={%3f=?7>n2\:5n4={%7e3?0<,7}#9l31o>5Y18a96~"2n>0=7);i6;48yx{z3`i?6=4+2`39g1=Q:h91>vB=a482S7dl38p(f2<,:8868h8;|Tfb?4|,6<7i;%117?3a?2w/::=5e:U6<4=;r\ni7f2<,:8868h8;|Tfb?4|,6<7i;%117?3a>2w/::=5e:U6<4=;r\ni7f2<,:8868h8;|Tfb?4|,6n=4$200>0`03t.=;>48;|T2a=<5s_on6?u+5g79g1=#;;919k94}%447?743twv7d<7b;29 7g62;2i7[j;4>2:~yI4f<3;pZ?7n:3yUa`<5s-?m97<7b:&066<2n>1v(;9<:008yx{P61j09w);i7;31?!3a>3;97psrL3c7>4}Q:0k1>vXje;0x 0`22;2j7)==3;7e3>{#>>91=?5r}|9l5a3=83.9m<4>d498yg3293:1?7>50z&1e4<51l1C>4;4i0c3>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k;7[?6c;0x 0`02?1/9k856:~yx=n9h;1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`38R4?d2;q/9k956:&6b3<13twvq6a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd2=;0;6<4?:1y'6d7=:h20D?7:;n3g1?6=,;k:6t$3c2>35<@;3>7d?n0;29 7g6283m7[;|~y>o6i80;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95d7<^83h6?u+5g5954=#=o<1=<5r}|8k4b2290/>l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn8;::180>5<7s-8j=78<;I0:1>o6i90;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95d6<^83h6?u+5g5954=#=o<1=<5r}|8m4g6290/>l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3b5>P61j09w);i7;32?!3a>3;:7psr}:m2`0<72-8j=7?k5:T1e6<6sE8j97?tVg296~"6m00:h85Y18a96~"2n>0=7);i6;48yx{z3th>9;4?:583>5}#:h;1:>5G2878m4g7290/>l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3b4>P61j09w);i7;32?!3a>3;:7psr}:k2e4<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751`38R4?d2;q/9k95109'1c0=981vqps4i0c`>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?nc:T2=f<5s-?m;7?>;%7e2?763twvq6a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd2=:0;694?:1y'6d7=9m>0D?7:;h3b4?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{k38p(8h8:79'1c0=>2wvqp5f1`a94?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9hi0Z<7l:3y'1c1=>2.>j;49;|~y>i6l<0;6)P5i:0:wAd49U5j:49;%7e2?07<729q/>l?5c09K6<35$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c7b5?6=:3:1N51<1b=4h50;&1e4<61o10c4b23_;2o73=#=o<1:6sr}|9~f0g129096=4?{%0b5?e63A8296g>9g83>!4f93;2j65`1e794?"5i80:h85Y2`195~J5i<0:w[h?:3y'5`?=9m?0Z<7l:3y'1c1=i2.>j;4n;|~y>{e=0o1<7=50;2x 7g62;3n7E<65:k2e5<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751`28R4?d2;q/9k956:&6b3<13twvq6g>a083>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i81]=4m52z&6b2<13-?m:784}|~?j7c=3:1(?o>:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo;6f;295?6=8r.9m<4=a99K6<36=4+2`395a3<3th>m=4?:783>5}#:h;1:>5G2878m4g7290/>l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3b4>P61j09w);i7;`8 0`12k1vqps4i0c2>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?n1:T2=f<5s-?m;7l4$4d5>g=zutw0e69b81!3a?3h0(8h9:c9~yx{;|~y>o6jh0;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95gg<^83h6?u+5g5954=#=o<1=<5r}|8k4b2290/>l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn8o=:180>5<7s-8j=78<;I0:1>o6i90;6)P5i:0:wAa19U5j:4>2:&6b3<6:2wvqp5f1`394?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h;0Z<7l:3y'1c1=9;1/9k85139~yx{6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb4c0>5<0290;w)=2c:m=4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9h:0Z<7l:3y'1c1=k2.>j;4l;|~y>o6i80;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95d7<^83h6?u+5g59g>"2n?0h7psr}:k2ef<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751`a8R4?d2;q/9k95c:&6b3b683>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6j>1]=4m52z&6b2<6:2.>j;4>2:~yx=n9kk1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74df3_;2o744<,:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882fg=Q90i1>v*:f6826>"2n?0:>6sr}|9l5a3=83.9m<4>d49U6d5=9rF9m84>{Wd3>7}#9l31=i;4V0;`>7}#=o=1:6*:f785?x{zu2wi9l:50;:94?6|,;k:6;=4H3;6?l7f83:1(?o>:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f68`?!3a>3i0qpsr;h3b5?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3i0(8h9:b9~yx{k38p(8h8:b9'1c0=k2wvqp5f1c594?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26{zut1b=oo50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28hj7[?6c;0x 0`02880(8h9:008yx{z3`;in7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6jk1]=4m52z&6b2<6:2.>j;4>2:~yx=n9ki1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74dd3_;2o744<,:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo;n5;290?6=8r.9m<4>d59K6<3k38p(8h8:79'1c0=>2wvqp5f1`394?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h;0Z<7l:3y'1c1=>2.>j;49;|~y>o6ij0;6)P5i:0:wAab9U5j:49;%7e2?07[7>50z&1e45<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zjd49U6d5=9rF9m84>{Wd3>7}#9l31=i;4V0;`>7}#=o=1:6*:f785?x{zu2wi9o:50;094?6|,;k:6n?4H3;6?l7>n3:1(?o>:0;e?>i6l<0;6)P5i:0:wAd49U5j:4n;%7e2?g6<729q/>l?528g8L7?23`;j<7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6i91]=4m52z&6b2<13-?m:784}|~?l7f93:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n1:T2=f<5s-?m;784$4d5>3=zutw0c4b23_;2o73=#=o<1:6sr}|9~f0ge290:6=4?{%0b5?4f02B9585`1e794?"5i80:h854}c7bg?6=;3:1N51<1b=l>50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d6<^83h6?u+5g592>"2n?0=7psr}:k2e4<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m<5Y18a96~"2n>0=7);i6;48yx{z3f;o97>5$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c7ba?6=>3:1N51<1b=l>50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28k;7[?6c;0x 0`02j1/9k85c:~yx=n9h;1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74g63_;2o7f=#=o<1o6sr}|9j5de=83.9m<4>9g9K6d6<^;k86k38p(8h8:b9'1c0=k2wvqp5f1c594?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o2644i6l<0;6)P5i:0:wAd49U5j:49;%7e2?03<729q/>l?5629K6<3l?518d8R7g428qG>l;51zTe4?4|,8o26;W3:g?4|,{zut1b=lm50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28kh7[?6c;0x 0`02j1/9k85c:~yx=n9k=1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74d03_;2o744<,:0;e?S4f;3;p@?o::0yUb5<5s-;n57?ma:T2=f<5s-?m;7?=;%7e2?753twvq6a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd2j90;6;4?:1y'6d7=>:1C>4;4i0c3>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?n0:T2=f<5s-?m;7m4$4d5>f=zutw0e:18'6d7=90l0Z?o<:0yO6d3=9r\m<74g63_;2o7f=#=o<1o6sr}|9j5de=83.9m<4>9g9K6d6<^;k86k38p(8h8:b9'1c0=k2wvqp5f1c594?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o2644i6l<0;6)P5i:0:wAd49U5j:49;%7e2?03<729q/>l?5629K6<3l?518d8R7g428qG>l;51zTe4?4|,8o26;W3:g?4|,{zut1b=lm50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28kh7[?6c;0x 0`02j1/9k85c:~yx=n9k=1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74d03_;2o744<,:0;e?S4f;3;p@?o::0yUb5<5s-;n57?ma:T2=f<5s-?m;7?=;%7e2?753twvq6a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd2j;0;6;4?:1y'6d7=>:1C>4;4i0c3>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?n0:T2=f<5s-?m;7m4$4d5>f=zutw0e:18'6d7=90l0Z?o<:0yO6d3=9r\m<74g63_;2o7f=#=o<1o6sr}|9j5de=83.9m<4>9g9K6d6<^;k86k38p(8h8:b9'1c0=k2wvqp5f1c594?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o2644i6l<0;6)P5i:0:wAd49U5j:49;%7e2?03<729q/>l?51e68L7?23`;j<7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b4>P61j09w);i7;48 0`12?1vqps4i0c2>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k:7[?6c;0x 0`02?1/9k856:~yx=n9hi1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`a8R4?d2;q/9k956:&6b3<13twvq6g>b683>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6j>1]=4m52z&6b2<13-?m:784}|~?l7ei3:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?ma:T2=f<5s-?m;784$4d5>3=zutw0c4b23_;2o73=#=o<1:6sr}|9~f0e?29096=4?{%0b5?e63A8296g>9g83>!4f93;2j65`1e794?"5i80:h85Y2`195~J5i<0:w[h?:3y'5`?=9m?0Z<7l:3y'1c1=>2.>j;49;|~y>{e=jl1<7<50;2x 7g62j;0D?7:;h3:b?6=,;k:6<7i;:m2`0<72-8j=7?k5:T1e6<6sE8j97?tVg296~"6m00:h85Y18a96~"2n>0=7);i6;48yx{z3th>h>4?:383>5}#:h;1o<5G2878m4?a290/>l?518d8?j7c=3:1(?o>:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;7o4$4d5>d=zutw0qo;l9;297?6=8r.9m<4=9d9K6<3l?518d8R7g428qG>l;51zTe4?4|,8o26;W3:g?4|,{zut1d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a1fg=83;1<7>t$3c2>7g?3A8296a>d483>!4f93;o965rb4a`>5<1290;w)=2c:m=4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f68a?!3a>3h0qpsr;h3b5?6=,;k:6<7i;I0b4>P5i:0:wAa09U5j:4m;%7e2?d0i7);i6;`8yx{z3`;i;7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6j>1]=4m52z&6b2:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882fd=Q90i1>v*:f68a?!3a>3h0qpsr;n3g1?6=,;k:669b81!3a?3<0(8h9:79~yx{56;294~"5i80=?6F=949j5d6=83.9m<4>9g9K6d6<^;k86k38p(8h8:c9'1c0=j2wvqp5f1`394?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h;0Z<7l:3y'1c1=j2.>j;4m;|~y>o6ij0;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95de<^83h6?u+5g59f>"2n?0i7psr}:k2f2<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751c58R4?d2;q/9k95b:&6b3b`83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6jh1]=4m52z&6b2:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo;le;292?6=8r.9m<493:J1=0=n9h:1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74g73_;2o7g=#=o<1n6sr}|9j5d7=83.9m<4>9g9K6d6<^;k86k38p(8h8:c9'1c0=j2wvqp5f1`a94?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26{zut1b=o950;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28h<7[?6c;0x 0`02k1/9k85b:~yx=n9kk1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74df3_;2o747<,:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo;lb;290?6=8r.9m<4>d59K6<3k38p(8h8:79'1c0=>2wvqp5f1`394?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h;0Z<7l:3y'1c1=>2.>j;49;|~y>o6ij0;6)P5i:0:wAab9U5j:49;%7e2?07[:1C>4;4i0c3>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?n0:T2=f<5s-?m;7m4$4d5>f=zutw0e:18'6d7=90l0Z?o<:0yO6d3=9r\m<74g63_;2o7f=#=o<1o6sr}|9j5de=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=lm4V0;`>7}#=o=1o6*:f78`?x{zu2c:n:4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9k=0Z<7l:3y'1c1=9;1/9k85139~yx{k38p(8h8:008 0`12880qpsr;h3af?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3;97);i6;31?x{zu2c:nn4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882ff=Q90i1>v*:f6826>"2n?0:>6sr}|9j5gb=83.9m<4>9g9K6d6<^;k86k38p(8h8:b9'1c0=k2wvqp5f1cg94?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9ko0Z<7l:3y'1c1=9;1/9k85139~yx{o6i;0;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95d4<^83h6?u+5g5957=#=o<1=?5r}|8m4g4290/>l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3b7>P61j09w);i7;31?!3a>3;97psr}:k2e1<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751`68R4?d2;q/9k95139'1c0=9;1vqps4i0c6>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k>7[?6c;0x 0`02?1/9k856:~yx=n9h<1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`48R4?d2;q/9k956:&6b3<13twvq6g>a683>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i>1]=4m52z&6b2<13-?m:784}|~?j7c=3:1(?o>:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo;k1;2954<729q/>l?5629K6<3l?518d8R7g428qG>l;51zTe4?4|,8o26;W3:g?4|,{zut1b=lm50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95de<^83h6?u+5g59g>"2n?0h7psr}:k2f2<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751c58R4?d2;q/9k95139'1c0=9;1vqps4i0`b>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28hj7[?6c;0x 0`02880(8h9:008yx{z3`;in7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3af>P61j09w);i7;31?!3a>3;97psr}:k2ff<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:nn5Y18a96~"2n>0:>6*:f7826>{zut1b=oj50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95gb<^83h6?u+5g5957=#=o<1=?5r}|8m4db290/>l?518d8R7g428qG>l;51zTe4?4|,8o26440:>6*:f7826>{zut1b=l<50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28k97[?6c;0x 0`02880(8h9:008yx{z3`;j?7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6i:1]=4m52z&6b2<6:2.>j;4>2:~yx=n9h>1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74g33_;2o744<,:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n5:T2=f<5s-?m;784$4d5>3=zutw0e4g13_;2o73=#=o<1:6sr}|9j5d1=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l94V0;`>7}#=o=1:6*:f785?x{zu2e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f685?!3a>3<0qpsr;|`6`7<72:0;6=u+2`395a2<@;3>7d?n0;29 7g6283m7[l?518d8R7g428qG>l;51zTe4?4|,8o26;W3:g?4|,{zut1d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a0?6=:3:1N51<1b=4h50;&1e4<61o10c4b23_;2o73=#=o<1:6sr}|9~f6<72;0;6=u+2`39g4=O:0?0e<7i:18'6d7=90l07b?k5;29 7g628n>7[t$3c2>7?b3A8296g>a183>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i91]=4m52z&6b2<13-?m:784}|~?l7f93:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n1:T2=f<5s-?m;784$4d5>3=zutw0c4b23_;2o73=#=o<1:6sr}|9~f4<7280;6=u+2`396d><@;3>7b?k5;29 7g628n>76sm2;297?6=8r.9m<493:J1=0=n9h:1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`28R4?d2;q/9k95b:&6b3a083>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=l?4V0;`>7}#=o=1=<5+5g4954=zutw0c4b23_;2o73=#=o<1:6sr}|9~fc4=8381<7>t$3c2>f7<@;3>7d?6f;29 7g6283m76a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xda;3:1?7>50z&1e4<1;2B9585f1`294?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h:0Z<7l:3y'1c1=991/9k85119~yx{k38p(8h8:028 0`128:0qpsr;n3g1?6=,;k:669b81!3a?3<0(8h9:79~yx{a083>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=l?4V0;`>7}#=o=1o6*:f78`?x{zu2c:mn4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882ef=Q90i1>v*:f6826>"2n?0:>6sr}|9j5g1=83.9m<4>9g9K6d6<^;k86k38p(8h8:008 0`12880qpsr;h3ae?6=,;k:6<7i;I0b4>P5i:0:wAb`9U5j:4>2:&6b3<6:2wvqp5f1c`94?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9kh0Z<7l:3y'1c1=9;1/9k85139~yx{i6l<0;6)P5i:0:wAd49U5j:49;%7e2?0a083>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=l?4V0;`>7}#=o=1o6*:f78`?x{zu2c:mn4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9hi0Z<7l:3y'1c1=k2.>j;4l;|~y>o6j>0;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95g1<^83h6?u+5g5957=#=o<1=?5r}|8m4df290/>l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3ae>P61j09w);i7;31?!3a>3;97psr}:k2fg<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:no5Y18a96~"2n>0:>6*:f7826>{zut1b=om50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28hh7[?6c;0x 0`02880(8h9:008yx{z3f;o97>5$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}cd5>5<>290;w)=2c:m=4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9h:0Z<7l:3y'1c1=k2.>j;4l;|~y>o6i80;6)P5i:0:wAa09U5j:4l;%7e2?el?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3a3>P61j09w);i7;31?!3a>3;97psr}:k2fd<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751cc8R4?d2;q/9k95139'1c0=9;1vqps4i0`a>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?mb:T2=f<5s-?m;7?=;%7e2?753twvq6g>bb83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6jj1]=4m52z&6b2<6:2.>j;4>2:~yx=n9kn1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74dc3_;2o744<,:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qoh8:1825?6=8r.9m<493:J1=0=n9h:1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`28R4?d2;q/9k95c:&6b3a083>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i81]=4m52z&6b2:0;e?S4f;3;p@?o::0yUb5<5s-;n57?nc:T2=f<5s-?m;7m4$4d5>f=zutw0e4d03_;2o7f=#=o<1o6sr}|9j5gg=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=oo4V0;`>7}#=o=1o6*:f78`?x{zu2c:no4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882fg=Q90i1>v*:f68`?!3a>3i0qpsr;h3ag?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3i0(8h9:b9~yx{l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3aa>P61j09w);i7;31?!3a>3;97psr}:k2fc<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751cd8R4?d2;q/9k95139'1c0=9;1vqps4i0c1>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?n2:T2=f<5s-?m;7?=;%7e2?753twvq6g>a283>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i:1]=4m52z&6b2<6:2.>j;4>2:~yx=n9h>1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74g33_;2o744<,:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n5:T2=f<5s-?m;784$4d5>3=zutw0e4g13_;2o73=#=o<1:6sr}|9j5d1=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l94V0;`>7}#=o=1:6*:f785?x{zu2e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f685?!3a>3<0qpsr;|`e4g73_;2o7f=#=o<1o6sr}|9j5d7=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l?4V0;`>7}#=o=1o6*:f78`?x{zu2c:mn4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882ef=Q90i1>v*:f68`?!3a>3i0qpsr;h3a3?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3i0(8h9:b9~yx{k38p(8h8:b9'1c0=k2wvqp5f1c`94?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9kh0Z<7l:3y'1c1=k2.>j;4l;|~y>o6jj0;6)P5i:0:wAbb9U5j:4l;%7e2?e0h7);i6;a8yx{z3`;ii7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6jl1]=4m52z&6b2<6:2.>j;4>2:~yx=n9kl1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74da3_;2o744<,:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882e7=Q90i1>v*:f6826>"2n?0:>6sr}|9j5d5=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l=4V0;`>7}#=o=1=?5+5g4957=zutw0e69b81!3a?3;97);i6;31?x{zu2c:m84?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e0=Q90i1>v*:f685?!3a>3<0qpsr;h3b2?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{k38p(8h8:79'1c0=>2wvqp5`1e794?"5i80:h85Y2`195~J5i<0:w[h?:3y'5`?=9m?0Z<7l:3y'1c1=>2.>j;49;|~y>{en00;6:4?:1y'6d7=9m>0D?7:;h3b4?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{k38p(8h8:79'1c0=>2wvqp5f1`a94?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9hi0Z<7l:3y'1c1=>2.>j;49;|~y>o6j>0;6)P5i:0:wAb69U5j:49;%7e2?0l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:abd<72;0;6=u+2`39g4=O:0?0e<7i:18'6d7=90l07b?k5;29 7g628n>7[a183>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i91]=4m52z&6b2<682.>j;4>0:~yx=n9h;1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`38R4?d2;q/9k95119'1c0=991vqps4o0f6>5<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zjoi1<7<>:183!4f93<87E<65:k2e5<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m=5Y18a96~"2n>0h7);i6;a8yx{z3`;j=7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b5>P61j09w);i7;a8 0`12j1vqps4i0c`>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28kh7[?6c;0x 0`02j1/9k85c:~yx=n9k=1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751c58R4?d2;q/9k95c:&6b3b`83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6jh1]=4m52z&6b2:0;e?S4f;3;p@?o::0yUb5<5s-;n57?mb:T2=f<5s-?m;7m4$4d5>f=zutw0e4dd3_;2o7f=#=o<1o6sr}|9j5gb=83.9m<4>9g9K6d6<^;k86k38p(8h8:b9'1c0=k2wvqp5f1cg94?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9ko0Z<7l:3y'1c1=k2.>j;4l;|~y>o6jo0;6)P5i:0:wAbg9U5j:4l;%7e2?el?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=l:50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d2<^83h6?u+5g59g>"2n?0h7psr}:k2e0<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m85Y18a96~"2n>0h7);i6;a8yx{z3`;j:7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b2>P61j09w);i7;a8 0`12j1vqps4i0c4>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?n7:T2=f<5s-?m;7m4$4d5>f=zutw0e69b81!3a?3;97);i6;31?x{zu2c:m44?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9h30Z<7l:3y'1c1=9;1/9k85139~yx{o6ik0;6)P5i:0:wAac9U5j:4>2:&6b3<6:2wvqp5f1`f94?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o2644l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=o>50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95g6<^83h6?u+5g592>"2n?0=7psr}:k2f4<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:n<5Y18a96~"2n>0=7);i6;48yx{z3`;i>7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3a6>P61j09w);i7;48 0`12?1vqps4i0`0>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28h87[?6c;0x 0`02?1/9k856:~yx=n9k>1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751c68R4?d2;q/9k956:&6b3<13twvq6g>b483>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6j<1]=4m52z&6b2<13-?m:784}|~?l7e>3:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?m6:T2=f<5s-?m;784$4d5>3=zutw0e4d?3_;2o73=#=o<1:6sr}|9j5g?=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=o74V0;`>7}#=o=1:6*:f785?x{zu2e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f685?!3a>3<0qpsr;|`e`?6=:80;6=u+2`3926=O:0?0e4g73_;2o7f=#=o<1o6sr}|9j5d7=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l?4V0;`>7}#=o=1o6*:f78`?x{zu2c:mn4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9hi0Z<7l:3y'1c1=k2.>j;4l;|~y>o6j>0;6)P5i:0:wAb69U5j:4l;%7e2?e0h7);i6;a8yx{z3`;in7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6jk1]=4m52z&6b2:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882ff=Q90i1>v*:f68`?!3a>3i0qpsr;h3a`?6=,;k:6<7i;I0b4>P5i:0:wAbe9U5j:4l;%7e2?el?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=l<50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d4<^83h6?u+5g59g>"2n?0h7psr}:k2e6<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m>5Y18a96~"2n>0h7);i6;a8yx{z3`;j87>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b0>P61j09w);i7;a8 0`12j1vqps4i0c6>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k>7[?6c;0x 0`02j1/9k85c:~yx=n9h<1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`48R4?d2;q/9k95c:&6b3a683>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=l94V0;`>7}#=o=1o6*:f78`?x{zu2c:m54?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9h20Z<7l:3y'1c1=9;1/9k85139~yx{o6ih0;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95dg<^83h6?u+5g5957=#=o<1=?5r}|8m4ge290/>l?518d8R7g428qG>l;51zTe4?4|,8o26440:>6*:f7826>{zut1b=lk50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95dc<^83h6?u+5g592>"2n?0=7psr}:k2ec<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:mk5Y18a96~"2n>0=7);i6;48yx{z3`;i<7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3a4>P61j09w);i7;48 0`12?1vqps4i0`2>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28h:7[?6c;0x 0`02?1/9k856:~yx=n9k81<7*=a082=c=Q:h91=vB=a482S`72;q/=h751c08R4?d2;q/9k956:&6b3<13twvq6g>b283>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6j:1]=4m52z&6b2<13-?m:784}|~?l7e<3:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?m4:T2=f<5s-?m;784$4d5>3=zutw0e4d23_;2o73=#=o<1:6sr}|9j5g0=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=o84V0;`>7}#=o=1:6*:f785?x{zu2c:n54?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882f==Q90i1>v*:f685?!3a>3<0qpsr;h3a=?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rbgg94?46290;w)=2c:m=4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f68`?!3a>3i0qpsr;h3b5?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3i0(8h9:b9~yx{l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=oo50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28hj7[?6c;0x 0`02j1/9k85c:~yx=n9kh1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74de3_;2o7f=#=o<1o6sr}|9j5ge=83.9m<4>9g9K6d6<^;k86k38p(8h8:b9'1c0=k2wvqp5f1cf94?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26{zut1b=ok50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28hn7[?6c;0x 0`02j1/9k85c:~yx=n9kl1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751cd8R4?d2;q/9k95c:&6b3a383>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i;1]=4m52z&6b2:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n3:T2=f<5s-?m;7m4$4d5>f=zutw0e4g33_;2o7f=#=o<1o6sr}|9j5d3=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l;4V0;`>7}#=o=1o6*:f78`?x{zu2c:m;4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e3=Q90i1>v*:f68`?!3a>3i0qpsr;h3b3?6=,;k:6<7i;I0b4>P5i:0:wAa69U5j:4l;%7e2?eo6i00;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95d?<^83h6?u+5g5957=#=o<1=?5r}|8m4gf290/>l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3be>P61j09w);i7;31?!3a>3;97psr}:k2eg<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:mo5Y18a96~"2n>0:>6*:f7826>{zut1b=lj50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28ko7[?6c;0x 0`02880(8h9:008yx{z3`;ji7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3ba>P61j09w);i7;48 0`12?1vqps4i0ce>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28km7[?6c;0x 0`02?1/9k856:~yx=n9k:1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751c28R4?d2;q/9k956:&6b3<13twvq6g>b083>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6j81]=4m52z&6b2<13-?m:784}|~?l7e:3:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?m2:T2=f<5s-?m;784$4d5>3=zutw0e4d43_;2o73=#=o<1:6sr}|9j5g2=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=o:4V0;`>7}#=o=1:6*:f785?x{zu2c:n84?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882f0=Q90i1>v*:f685?!3a>3<0qpsr;h3a2?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{k38p(8h8:79'1c0=>2wvqp5f1c;94?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9k30Z<7l:3y'1c1=>2.>j;49;|~y>i6l<0;6)P5i:0:wAd49U5j:49;%7e2?0l?5629K6<3k38p(8h8:b9'1c0=k2wvqp5f1`394?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h;0Z<7l:3y'1c1=k2.>j;4l;|~y>o6ij0;6)P5i:0:wAab9U5j:4l;%7e2?el?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=ol50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95gd<^83h6?u+5g59g>"2n?0h7psr}:k2ff<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:nn5Y18a96~"2n>0h7);i6;a8yx{z3`;ih7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6jm1]=4m52z&6b2:0;e?S4f;3;p@?o::0yUb5<5s-;n57?me:T2=f<5s-?m;7m4$4d5>f=zutw0e4da3_;2o7f=#=o<1o6sr}|9j5d4=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l<4V0;`>7}#=o=1o6*:f78`?x{zu2c:m>4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e6=Q90i1>v*:f68`?!3a>3i0qpsr;h3b0?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3i0(8h9:b9~yx{6=4+2`395<`<^;k86k38p(8h8:b9'1c0=k2wvqp5f1`494?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h<0Z<7l:3y'1c1=k2.>j;4l;|~y>o6i>0;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95d1<^83h6?u+5g59g>"2n?0h7psr}:k2e=<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751`:8R4?d2;q/9k95139'1c0=9;1vqps4i0c:>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?n9:T2=f<5s-?m;7?=;%7e2?753twvq6g>a`83>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=lo4V0;`>7}#=o=1=?5+5g4957=zutw0e4ge3_;2o744<,:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882ea=Q90i1>v*:f6826>"2n?0:>6sr}|9j5dc=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=lk4V0;`>7}#=o=1:6*:f785?x{zu2c:mk4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882ec=Q90i1>v*:f685?!3a>3<0qpsr;h3a4?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{k38p(8h8:79'1c0=>2wvqp5f1c094?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9k80Z<7l:3y'1c1=>2.>j;49;|~y>o6j:0;6)P5i:0:wAb29U5j:49;%7e2?0l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=o850;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95g0<^83h6?u+5g592>"2n?0=7psr}:k2f=<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:n55Y18a96~"2n>0=7);i6;48yx{z3`;i57>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3a=>P61j09w);i7;48 0`12?1vqps4o0f6>5<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zj8:;6=4=1;294~"5i80=?6F=949j5d6=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l>4V0;`>7}#=o=1o6*:f78`?x{zu2c:m<4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e4=Q90i1>v*:f68`?!3a>3i0qpsr;h3bg?6=,;k:6<7i;I0b4>P5i:0:wAab9U5j:4l;%7e2?el?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3ae>P61j09w);i7;a8 0`12j1vqps4i0`a>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?mb:T2=f<5s-?m;7m4$4d5>f=zutw0e69b81!3a?3i0(8h9:b9~yx{l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3aa>P61j09w);i7;a8 0`12j1vqps4i0`e>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28hm7[?6c;0x 0`02j1/9k85c:~yx=n9h81<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`08R4?d2;q/9k95c:&6b3a283>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i:1]=4m52z&6b2:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n4:T2=f<5s-?m;7m4$4d5>f=zutw0e4g23_;2o7f=#=o<1o6sr}|9j5d0=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l84V0;`>7}#=o=1o6*:f78`?x{zu2c:m:4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9h=0Z<7l:3y'1c1=k2.>j;4l;|~y>o6i10;6)P5i:0:wAa99U5j:4>2:&6b3<6:2wvqp5f1`;94?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26440:>6*:f7826>{zut1b=ll50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95dd<^83h6?u+5g5957=#=o<1=?5r}|8m4gc290/>l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3b`>P61j09w);i7;31?!3a>3;97psr}:k2e`<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:mh5Y18a96~"2n>0=7);i6;48yx{z3`;jj7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3bb>P61j09w);i7;48 0`12?1vqps4i0`3>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28h;7[?6c;0x 0`02?1/9k856:~yx=n9k;1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751c38R4?d2;q/9k956:&6b3<13twvq6g>b383>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6j;1]=4m52z&6b2<13-?m:784}|~?l7e;3:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?m3:T2=f<5s-?m;784$4d5>3=zutw0e4d33_;2o73=#=o<1:6sr}|9j5g3=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=o;4V0;`>7}#=o=1:6*:f785?x{zu2c:n;4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882f3=Q90i1>v*:f685?!3a>3<0qpsr;h3a69b81!3a?3<0(8h9:79~yx{k38p(8h8:79'1c0=>2wvqp5`1e794?"5i80:h85Y2`195~J5i<0:w[h?:3y'5`?=9m?0Z<7l:3y'1c1=>2.>j;49;|~y>{e99;1<7950;2x 7g628n?7E<65:k2e5<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m=5Y18a96~"2n>0=7);i6;48yx{z3`;j=7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b5>P61j09w);i7;48 0`12?1vqps4i0c`>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28kh7[?6c;0x 0`02?1/9k856:~yx=n9k=1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751c58R4?d2;q/9k956:&6b3<13twvq6g>b`83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6jh1]=4m52z&6b2<13-?m:784}|~?l7ej3:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?mb:T2=f<5s-?m;784$4d5>3=zutw0c4b23_;2o73=#=o<1:6sr}|9~f46529096=4?{%0b5?e63A8296g>9g83>!4f93;2j65`1e794?"5i80:h85Y2`195~J5i<0:w[h?:3y'5`?=9m?0Z<7l:3y'1c1=>2.>j;49;|~y>{e9991<7=50;2x 7g62?90D?7:;h3b4?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3i0(8h9:b9~yx{i6l<0;6)P5i:0:wAd49U5j:49;%7e2?00583>7<729q/>l?5c09K6<35$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c331?6=;3:1N51<1b=l>50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d6<^83h6?u+5g59g>"2n?0h7psr}:k2e4<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751`38R4?d2;q/9k95139'1c0=9;1vqps4o0f6>5<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zj8:=6=4=1;294~"5i80=?6F=949j5d6=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l>4V0;`>7}#=o=1o6*:f78`?x{zu2c:m<4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e4=Q90i1>v*:f68`?!3a>3i0qpsr;h3bg?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3i0(8h9:b9~yx{k38p(8h8:b9'1c0=k2wvqp5f1cc94?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9kk0Z<7l:3y'1c1=k2.>j;4l;|~y>o6jk0;6)P5i:0:wAbc9U5j:4l;%7e2?el?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=ok50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95gc<^83h6?u+5g59g>"2n?0h7psr}:k2fc<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:nk5Y18a96~"2n>0h7);i6;a8yx{z3`;j>7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b6>P61j09w);i7;a8 0`12j1vqps4i0c0>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k87[?6c;0x 0`02j1/9k85c:~yx=n9h>1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`68R4?d2;q/9k95c:&6b3a483>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i<1]=4m52z&6b23:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n6:T2=f<5s-?m;7m4$4d5>f=zutw0e4g03_;2o7f=#=o<1o6sr}|9j5d>=83.9m<4>9g9K6d6<^;k86k38p(8h8:008 0`12880qpsr;h3b=?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3;97);i6;31?x{zu2c:ml4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9hk0Z<7l:3y'1c1=9;1/9k85139~yx{o6im0;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95db<^83h6?u+5g5957=#=o<1=?5r}|8m4gb290/>l?518d8R7g428qG>l;51zTe4?4|,8o2644l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=o?50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95g7<^83h6?u+5g592>"2n?0=7psr}:k2f7<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:n?5Y18a96~"2n>0=7);i6;48yx{z3`;i?7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3a7>P61j09w);i7;48 0`12?1vqps4i0`7>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28h?7[?6c;0x 0`02?1/9k856:~yx=n9k?1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751c78R4?d2;q/9k956:&6b3<13twvq6g>b783>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6j?1]=4m52z&6b2<13-?m:784}|~?l7e03:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?m8:T2=f<5s-?m;784$4d5>3=zutw0e4d>3_;2o73=#=o<1:6sr}|9l5a3=83.9m<4>d49U6d5=9rF9m84>{Wd3>7}#9l31=i;4V0;`>7}#=o=1:6*:f785?x{zu2wi==950;194?6|,;k:6o6i90;6)P5i:0:wAa19U5j:49;%7e2?0l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn<>7:181>5<7s-8j=7m>;I0:1>o61o0;6)=h9m?1<7*=a082`0=Q:h91=vB=a482S`72;q/=h751e78R4?d2;q/9k956:&6b3<13twvq6sm11;94?5=83:p(?o>:718L7?23`;j<7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b4>P61j09w);i7;33?!3a>3;;7psr}:k2e4<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m<5Y18a96~"2n>0:<6*:f7824>{zut1d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a55g=83>1<7>t$3c2>35<@;3>7d?n0;29 7g6283m7[l?518d8R7g428qG>l;51zTe4?4|,8o26;W3:g?4|,44i6l<0;6)P5i:0:wAd49U5j:49;%7e2?00c83>1<729q/>l?5629K6<3l?518d8R7g428qG>l;51zTe4?4|,8o26;W3:g?4|,44i6l<0;6)P5i:0:wAd49U5j:49;%7e2?00b83>1<729q/>l?5629K6<3l?518d8R7g428qG>l;51zTe4?4|,8o26;W3:g?4|,44i6l<0;6)P5i:0:wAd49U5j:49;%7e2?00e83>0<729q/>l?5629K6<3k38p(8h8:b9'1c0=k2wvqp5f1`394?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26;W3:g?4|,440:>6*:f7826>{zut1b=o950;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95g1<^83h6?u+5g5957=#=o<1=?5r}|8k4b2290/>l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn<>j:184>5<7s-8j=78<;I0:1>o6i90;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95d6<^83h6?u+5g59g>"2n?0h7psr}:k2e4<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751`38R4?d2;q/9k95c:&6b3ab83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6ij1]=4m52z&6b2<6:2.>j;4>2:~yx=n9k=1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74d03_;2o744<,:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882fd=Q90i1>v*:f6826>"2n?0:>6sr}|9j5gd=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=ol4V0;`>7}#=o=1=?5+5g4957=zutw0c4b23_;2o73=#=o<1:6sr}|9~f46a290<6=4?{%0b5?7c<2B9585f1`294?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h:0Z<7l:3y'1c1=>2.>j;49;|~y>o6i80;6)P5i:0:wAa09U5j:49;%7e2?0l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=oo50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95gg<^83h6?u+5g592>"2n?0=7psr}:k2fg<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:no5Y18a96~"2n>0=7);i6;48yx{z3f;o97>5$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c324?6=:3:1N51<1b=4h50;&1e4<61o10c4b23_;2o73=#=o<1:6sr}|9~f47629086=4?{%0b5?043A8296g>a183>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i91]=4m52z&6b2<682.>j;4>0:~yx=n9h;1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`38R4?d2;q/9k95119'1c0=991vqps4o0f6>5<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zj8;96=4>1;294~"5i80=?6F=949j5d6=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l>4V0;`>7}#=o=1o6*:f78`?x{zu2c:m<4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e4=Q90i1>v*:f68`?!3a>3i0qpsr;h3bg?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3i0(8h9:b9~yx{k38p(8h8:b9'1c0=k2wvqp5f1cc94?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9kk0Z<7l:3y'1c1=k2.>j;4l;|~y>o6jk0;6)P5i:0:wAbc9U5j:4l;%7e2?el?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3a`>P61j09w);i7;a8 0`12j1vqps4i0`f>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?me:T2=f<5s-?m;7?=;%7e2?753twvq6g>bg83>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=oh4V0;`>7}#=o=1=?5+5g4957=zutw0e66X>9b81!3a?3;97);i6;31?x{zu2c:m>4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e6=Q90i1>v*:f6826>"2n?0:>6sr}|9j5d2=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l:4V0;`>7}#=o=1:6*:f785?x{zu2c:m84?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e0=Q90i1>v*:f685?!3a>3<0qpsr;h3b2?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{k38p(8h8:79'1c0=>2wvqp5`1e794?"5i80:h85Y2`195~J5i<0:w[h?:3y'5`?=9m?0Z<7l:3y'1c1=>2.>j;49;|~y>{e9891<7?>:183!4f93<87E<65:k2e5<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m=5Y18a96~"2n>0h7);i6;a8yx{z3`;j=7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6i81]=4m52z&6b2:0;e?S4f;3;p@?o::0yUb5<5s-;n57?nc:T2=f<5s-?m;7m4$4d5>f=zutw0e4d03_;2o7f=#=o<1o6sr}|9j5gg=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=oo4V0;`>7}#=o=1o6*:f78`?x{zu2c:no4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882fg=Q90i1>v*:f68`?!3a>3i0qpsr;h3ag?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3i0(8h9:b9~yx{k38p(8h8:b9'1c0=k2wvqp5f1cg94?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26{zut1b=oh50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95g`<^83h6?u+5g5957=#=o<1=?5r}|8m4g5290/>l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3b6>P61j09w);i7;31?!3a>3;97psr}:k2e6<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751`18R4?d2;q/9k95139'1c0=9;1vqps4i0c7>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?n4:T2=f<5s-?m;7?=;%7e2?753twvq6g>a483>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i<1]=4m52z&6b2<6:2.>j;4>2:~yx=n9h<1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`48R4?d2;q/9k956:&6b3<13twvq6g>a683>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i>1]=4m52z&6b2<13-?m:784}|~?j7c=3:1(?o>:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo?>4;2964<729q/>l?5629K6<3k38p(8h8:b9'1c0=k2wvqp5f1`394?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h;0Z<7l:3y'1c1=k2.>j;4l;|~y>o6ij0;6)P5i:0:wAab9U5j:4l;%7e2?el?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=ol50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95gd<^83h6?u+5g59g>"2n?0h7psr}:k2ff<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:nn5Y18a96~"2n>0h7);i6;a8yx{z3`;ih7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6jm1]=4m52z&6b2:0;e?S4f;3;p@?o::0yUb5<5s-;n57?me:T2=f<5s-?m;7m4$4d5>f=zutw0e4da3_;2o7f=#=o<1o6sr}|9j5d4=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l<4V0;`>7}#=o=1o6*:f78`?x{zu2c:m>4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e6=Q90i1>v*:f68`?!3a>3i0qpsr;h3b0?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3i0(8h9:b9~yx{6=4+2`395<`<^;k86k38p(8h8:b9'1c0=k2wvqp5f1`494?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h<0Z<7l:3y'1c1=k2.>j;4l;|~y>o6i>0;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95d1<^83h6?u+5g59g>"2n?0h7psr}:k2e=<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751`:8R4?d2;q/9k95139'1c0=9;1vqps4i0c:>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?n9:T2=f<5s-?m;7?=;%7e2?753twvq6g>a`83>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=lo4V0;`>7}#=o=1=?5+5g4957=zutw0e4ge3_;2o744<,:0;e?S4f;3;p@?o::0yUb5<5s-;n57?nd:T2=f<5s-?m;784$4d5>3=zutw0e4gb3_;2o73=#=o<1:6sr}|9j5d`=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=lh4V0;`>7}#=o=1:6*:f785?x{zu2c:n=4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882f5=Q90i1>v*:f685?!3a>3<0qpsr;h3a5?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{k38p(8h8:79'1c0=>2wvqp5f1c194?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9k90Z<7l:3y'1c1=>2.>j;49;|~y>o6j=0;6)P5i:0:wAb59U5j:49;%7e2?0l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=o650;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95g><^83h6?u+5g592>"2n?0=7psr}:k2f<<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:n45Y18a96~"2n>0=7);i6;48yx{z3f;o97>5$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c321?6=:80;6=u+2`3926=O:0?0e4g73_;2o7f=#=o<1o6sr}|9j5d7=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l?4V0;`>7}#=o=1o6*:f78`?x{zu2c:mn4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882ef=Q90i1>v*:f68`?!3a>3i0qpsr;h3a3?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3i0(8h9:b9~yx{k38p(8h8:b9'1c0=k2wvqp5f1c`94?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9kh0Z<7l:3y'1c1=k2.>j;4l;|~y>o6jj0;6)P5i:0:wAbb9U5j:4l;%7e2?e0h7);i6;a8yx{z3`;ii7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6jl1]=4m52z&6b2:0;e?S4f;3;p@?o::0yUb5<5s-;n57?mf:T2=f<5s-?m;7m4$4d5>f=zutw0e4g53_;2o7f=#=o<1o6sr}|9j5d5=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l=4V0;`>7}#=o=1o6*:f78`?x{zu2c:m94?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e1=Q90i1>v*:f68`?!3a>3i0qpsr;h3b1?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3i0(8h9:b9~yx{k38p(8h8:b9'1c0=k2wvqp5f1`594?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26{zut1b=l650;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d><^83h6?u+5g5957=#=o<1=?5r}|8m4g>290/>l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3b=>P61j09w);i7;31?!3a>3;97psr}:k2ed<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751`c8R4?d2;q/9k95139'1c0=9;1vqps4i0ca>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28ki7[?6c;0x 0`02880(8h9:008yx{z3`;jh7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b`>P61j09w);i7;48 0`12?1vqps4i0cf>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28kn7[?6c;0x 0`02?1/9k856:~yx=n9hl1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`d8R4?d2;q/9k956:&6b3<13twvq6g>b183>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6j91]=4m52z&6b2<13-?m:784}|~?l7e93:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?m1:T2=f<5s-?m;784$4d5>3=zutw0e4d53_;2o73=#=o<1:6sr}|9j5g5=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=o=4V0;`>7}#=o=1:6*:f785?x{zu2c:n94?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882f1=Q90i1>v*:f685?!3a>3<0qpsr;h3a1?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{k38p(8h8:79'1c0=>2wvqp5f1c:94?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9k20Z<7l:3y'1c1=>2.>j;49;|~y>o6j00;6)P5i:0:wAb89U5j:49;%7e2?07[3:1><4?:1y'6d7=>:1C>4;4i0c3>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k;7[?6c;0x 0`02j1/9k85c:~yx=n9h;1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`38R4?d2;q/9k95c:&6b3ab83>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=lm4V0;`>7}#=o=1o6*:f78`?x{zu2c:n:4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882f2=Q90i1>v*:f68`?!3a>3i0qpsr;h3ae?6=,;k:6<7i;I0b4>P5i:0:wAb`9U5j:4l;%7e2?e0h7);i6;a8yx{z3`;io7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6jj1]=4m52z&6b2:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882fa=Q90i1>v*:f68`?!3a>3i0qpsr;h3aa?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3i0(8h9:b9~yx{k38p(8h8:b9'1c0=k2wvqp5f1`094?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h80Z<7l:3y'1c1=k2.>j;4l;|~y>o6i:0;6)P5i:0:wAa29U5j:4l;%7e2?el?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=l850;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d0<^83h6?u+5g59g>"2n?0h7psr}:k2e2<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751`58R4?d2;q/9k95c:&6b3a983>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=l64V0;`>7}#=o=1=?5+5g4957=zutw0e69b81!3a?3;97);i6;31?x{zu2c:ml4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9hk0Z<7l:3y'1c1=9;1/9k85139~yx{k38p(8h8:008 0`12880qpsr;h3b`?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{k38p(8h8:79'1c0=>2wvqp5f1`d94?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9hl0Z<7l:3y'1c1=>2.>j;49;|~y>o6j90;6)P5i:0:wAb19U5j:49;%7e2?0l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=o=50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95g5<^83h6?u+5g592>"2n?0=7psr}:k2f1<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:n95Y18a96~"2n>0=7);i6;48yx{z3`;i97>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3a1>P61j09w);i7;48 0`12?1vqps4i0`5>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28h=7[?6c;0x 0`02?1/9k856:~yx=n9k21<7*=a082=c=Q:h91=vB=a482S`72;q/=h751c:8R4?d2;q/9k956:&6b3<13twvq6g>b883>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6j01]=4m52z&6b2<13-?m:784}|~?j7c=3:1(?o>:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo?>7;293?6=8r.9m<4>d59K6<3k38p(8h8:79'1c0=>2wvqp5f1`394?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h;0Z<7l:3y'1c1=>2.>j;49;|~y>o6ij0;6)P5i:0:wAab9U5j:49;%7e2?0l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=ol50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95gd<^83h6?u+5g592>"2n?0=7psr}:m2`0<72-8j=7?k5:T1e6<6sE8j97?tVg296~"6m00:h85Y18a96~"2n>0=7);i6;48yx{z3th:=54?:383>5}#:h;1o<5G2878m4?a290/>l?518d8?j7c=3:1(?o>:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo?>9;297?6=8r.9m<493:J1=0=n9h:1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`28R4?d2;q/9k95119'1c0=991vqps4i0c2>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k:7[?6c;0x 0`028:0(8h9:028yx{z3f;o97>5$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c32e?6=?3:1N51<1b=l>50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d6<^83h6?u+5g59g>"2n?0h7psr}:k2e4<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751`38R4?d2;q/9k95c:&6b3ab83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6ij1]=4m52z&6b2:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882f2=Q90i1>v*:f6826>"2n?0:>6sr}|9j5gg=83.9m<4>9g9K6d6<^;k86k38p(8h8:008 0`12880qpsr;h3af?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3;97);i6;31?x{zu2e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f685?!3a>3<0qpsr;|`25g<72>0;6=u+2`3926=O:0?0e4g73_;2o7f=#=o<1o6sr}|9j5d7=83.9m<4>9g9K6d6<^;k86k38p(8h8:b9'1c0=k2wvqp5f1`a94?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26{zut1b=o950;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28h<7[?6c;0x 0`02880(8h9:008yx{z3`;im7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6jh1]=4m52z&6b2<6:2.>j;4>2:~yx=n9kh1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751c`8R4?d2;q/9k95139'1c0=9;1vqps4o0f6>5<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zj8;h6=47:183!4f93<87E<65:k2e5<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751`28R4?d2;q/9k95c:&6b3a083>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i81]=4m52z&6b2:0;e?S4f;3;p@?o::0yUb5<5s-;n57?nc:T2=f<5s-?m;7m4$4d5>f=zutw0e69b81!3a?3;97);i6;31?x{zu2c:nl4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9kk0Z<7l:3y'1c1=9;1/9k85139~yx{o6jj0;6)P5i:0:wAbb9U5j:4>2:&6b3<6:2wvqp5`1e794?"5i80:h85Y2`195~J5i<0:w[h?:3y'5`?=9m?0Z<7l:3y'1c1=>2.>j;49;|~y>{e98n1<7?>:183!4f93<87E<65:k2e5<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m=5Y18a96~"2n>0h7);i6;a8yx{z3`;j=7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b5>P61j09w);i7;a8 0`12j1vqps4i0c`>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28kh7[?6c;0x 0`02j1/9k85c:~yx=n9k=1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751c58R4?d2;q/9k95c:&6b3b`83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6jh1]=4m52z&6b2:0;e?S4f;3;p@?o::0yUb5<5s-;n57?mb:T2=f<5s-?m;7m4$4d5>f=zutw0e4dd3_;2o7f=#=o<1o6sr}|9j5gb=83.9m<4>9g9K6d6<^;k86k38p(8h8:b9'1c0=k2wvqp5f1cg94?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26440:>6*:f7826>{zut1b=l<50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28k97[?6c;0x 0`02880(8h9:008yx{z3`;j?7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b7>P61j09w);i7;31?!3a>3;97psr}:k2e1<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m95Y18a96~"2n>0=7);i6;48yx{z3`;j97>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b1>P61j09w);i7;48 0`12?1vqps4i0c5>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k=7[?6c;0x 0`02?1/9k856:~yx=n9h=1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`58R4?d2;q/9k956:&6b3<13twvq6a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd69l0;669b81!3a?3i0(8h9:b9~yx{l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=o950;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95g1<^83h6?u+5g59g>"2n?0h7psr}:k2fd<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:nl5Y18a96~"2n>0h7);i6;a8yx{z3`;in7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3af>P61j09w);i7;a8 0`12j1vqps4i0``>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28hh7[?6c;0x 0`02j1/9k85c:~yx=n9kn1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751cf8R4?d2;q/9k95c:&6b3bd83>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=ok4V0;`>7}#=o=1o6*:f78`?x{zu2c:nk4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882fc=Q90i1>v*:f6826>"2n?0:>6sr}|9j5d4=83.9m<4>9g9K6d6<^;k86k38p(8h8:008 0`12880qpsr;h3b7?6=,;k:6<7i;I0b4>P5i:0:wAa29U5j:4>2:&6b3<6:2wvqp5f1`694?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o2644o6i?0;6)P5i:0:wAa79U5j:49;%7e2?0l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn5<7s-8j=7?k4:J1=0=n9h:1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`28R4?d2;q/9k956:&6b3<13twvq6g>a083>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i81]=4m52z&6b2<13-?m:784}|~?l7fk3:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?nc:T2=f<5s-?m;784$4d5>3=zutw0e4d03_;2o73=#=o<1:6sr}|9j5gg=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=oo4V0;`>7}#=o=1:6*:f785?x{zu2c:no4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882fg=Q90i1>v*:f685?!3a>3<0qpsr;n3g1?6=,;k:669b81!3a?3<0(8h9:79~yx{52;294~"5i80h=6F=949j5<`=83.9m<4>9g98k4b2290/>l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn<<>:180>5<7s-8j=78<;I0:1>o6i90;6)P5i:0:wAa19U5j:4>0:&6b3<682wvqp5f1`394?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h;0Z<7l:3y'1c1=991/9k85119~yx{6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb001>5<4290;w)=2c:m=4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f6826>"2n?0:>6sr}|9j5d7=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l?4V0;`>7}#=o=1=?5+5g4957=zutw0c4b23_;2o73=#=o<1:6sr}|9~f44429086=4?{%0b5?043A8296g>a183>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i91]=4m52z&6b2<6:2.>j;4>2:~yx=n9h;1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`38R4?d2;q/9k95139'1c0=9;1vqps4o0f6>5<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zj88?6=4<:183!4f93<87E<65:k2e5<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m=5Y18a96~"2n>0:>6*:f7826>{zut1b=l?50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d7<^83h6?u+5g5957=#=o<1=?5r}|8k4b2290/>l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn<<::180>5<7s-8j=78<;I0:1>o6i90;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95d6<^83h6?u+5g5957=#=o<1=?5r}|8m4g6290/>l?518d8R7g428qG>l;51zTe4?4|,8o26;W3:g?4|,447[3:187>50z&1e4<1;2B9585f1`294?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h:0Z<7l:3y'1c1=k2.>j;4l;|~y>o6i80;6)P5i:0:wAa09U5j:4>2:&6b3<6:2wvqp5f1`a94?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9hi0Z<7l:3y'1c1=9;1/9k85139~yx{6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb004>5<0290;w)N51<1b=l>50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d6<^83h6?u+5g592>"2n?0=7psr}:k2e4<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m<5Y18a96~"2n>0=7);i6;48yx{z3`;jo7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3bg>P61j09w);i7;48 0`12?1vqps4i0`4>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28h<7[?6c;0x 0`02?1/9k856:~yx=n9kk1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751cc8R4?d2;q/9k956:&6b3<13twvq6g>bc83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6jk1]=4m52z&6b2<13-?m:784}|~?j7c=3:1(?o>:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo?=8;296?6=8r.9m<4l1:J1=0=n90l1<7*=a082=c=6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb00:>5<4290;w)=2c:m=4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f6824>"2n?0:<6sr}|9j5d7=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l?4V0;`>7}#=o=1==5+5g4955=zutw0c4b23_;2o73=#=o<1:6sr}|9~f44f290?6=4?{%0b5?043A8296g>a183>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i91]=4m52z&6b2:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882e4=Q90i1>v*:f6826>"2n?0:>6sr}|9j5de=83.9m<4>9g9K6d6<^;k86k38p(8h8:008 0`12880qpsr;n3g1?6=,;k:669b81!3a?3<0(8h9:79~yx{56;294~"5i80=?6F=949j5d6=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l>4V0;`>7}#=o=1o6*:f78`?x{zu2c:m<4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9h;0Z<7l:3y'1c1=k2.>j;4l;|~y>o6ij0;6)P5i:0:wAab9U5j:4l;%7e2?e0:>6*:f7826>{zut1b=oo50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28hj7[?6c;0x 0`02880(8h9:008yx{z3f;o97>5$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c31g?6=>3:1N51<1b=l>50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d6<^83h6?u+5g59g>"2n?0h7psr}:k2e4<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751`38R4?d2;q/9k95c:&6b3ab83>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=lm4V0;`>7}#=o=1o6*:f78`?x{zu2c:n:4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9k=0Z<7l:3y'1c1=9;1/9k85139~yx{i6l<0;6)P5i:0:wAd49U5j:49;%7e2?02e83>47=83:p(?o>:718L7?23`;j<7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b4>P61j09w);i7;a8 0`12j1vqps4i0c2>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k:7[?6c;0x 0`02j1/9k85c:~yx=n9hi1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`a8R4?d2;q/9k95c:&6b3b683>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6j>1]=4m52z&6b2:0;e?S4f;3;p@?o::0yUb5<5s-;n57?ma:T2=f<5s-?m;7m4$4d5>f=zutw0e4de3_;2o7f=#=o<1o6sr}|9j5ge=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=om4V0;`>7}#=o=1o6*:f78`?x{zu2c:ni4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9kn0Z<7l:3y'1c1=k2.>j;4l;|~y>o6jl0;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95gc<^83h6?u+5g5957=#=o<1=?5r}|8m4da290/>l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3ab>P61j09w);i7;31?!3a>3;97psr}:k2e7<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751`08R4?d2;q/9k95139'1c0=9;1vqps4i0c0>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k87[?6c;0x 0`02?1/9k856:~yx=n9h>1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`68R4?d2;q/9k956:&6b3<13twvq6g>a483>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i<1]=4m52z&6b2<13-?m:784}|~?l7f>3:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n6:T2=f<5s-?m;784$4d5>3=zutw0e4g03_;2o73=#=o<1:6sr}|9l5a3=83.9m<4>d49U6d5=9rF9m84>{Wd3>7}#9l31=i;4V0;`>7}#=o=1:6*:f785?x{zu2wi=?k50;32>5<7s-8j=78<;I0:1>o6i90;6)P5i:0:wAa19U5j:4l;%7e2?el?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=o950;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95g1<^83h6?u+5g59g>"2n?0h7psr}:k2fd<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:nl5Y18a96~"2n>0h7);i6;a8yx{z3`;in7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3af>P61j09w);i7;a8 0`12j1vqps4i0``>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28hh7[?6c;0x 0`02j1/9k85c:~yx=n9kn1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74dc3_;2o7f=#=o<1o6sr}|9j5gc=83.9m<4>9g9K6d6<^;k86k38p(8h8:008 0`12880qpsr;h3ab?6=,;k:6<7i;I0b4>P5i:0:wAbg9U5j:4>2:&6b3<6:2wvqp5f1`094?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o2644l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=l;50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d3<^83h6?u+5g592>"2n?0=7psr}:k2e3<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m;5Y18a96~"2n>0=7);i6;48yx{z3`;j;7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b3>P61j09w);i7;48 0`12?1vqps4o0f6>5<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zj88m6=48:183!4f93;o86F=949j5d6=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l>4V0;`>7}#=o=1:6*:f785?x{zu2c:m<4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e4=Q90i1>v*:f685?!3a>3<0qpsr;h3bg?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{k38p(8h8:79'1c0=>2wvqp5f1cc94?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9kk0Z<7l:3y'1c1=>2.>j;49;|~y>o6jk0;6)P5i:0:wAbc9U5j:49;%7e2?07[7>50z&1e45<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zj89:6=4<:183!4f93<87E<65:k2e5<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m=5Y18a96~"2n>0:<6*:f7824>{zut1b=l?50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d7<^83h6?u+5g5955=#=o<1==5r}|8k4b2290/>l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn<==:186>5<7s-8j=78<;I0:1>o6i90;6)P5i:0:wAa19U5j:4l;%7e2?eo6ij0;6)P5i:0:wAab9U5j:4>2:&6b3<6:2wvqp5f1c594?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26447[50z&1e4<1;2B9585f1`294?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26{zut1b=l?50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d7<^83h6?u+5g5957=#=o<1=?5r}|8m4gd290/>l?518d8R7g428qG>l;51zTe4?4|,8o26440:>6*:f7826>{zut1d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a562=83?1<7>t$3c2>35<@;3>7d?n0;29 7g6283m7[l?518d8R7g428qG>l;51zTe4?4|,8o26;W3:g?4|,44o6j>0;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95g1<^83h6?u+5g5957=#=o<1=?5r}|8k4b2290/>l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn<=::185>5<7s-8j=78<;I0:1>o6i90;6)P5i:0:wAa19U5j:4l;%7e2?e0:>6*:f7826>{zut1b=lm50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28kh7[?6c;0x 0`02880(8h9:008yx{z3`;i;7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3a3>P61j09w);i7;31?!3a>3;97psr}:k2fd<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751cc8R4?d2;q/9k95139'1c0=9;1vqps4o0f6>5<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zj89=6=47:183!4f93<87E<65:k2e5<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m=5Y18a96~"2n>0h7);i6;a8yx{z3`;j=7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6i81]=4m52z&6b2:0;e?S4f;3;p@?o::0yUb5<5s-;n57?nc:T2=f<5s-?m;7m4$4d5>f=zutw0e69b81!3a?3;97);i6;31?x{zu2c:nl4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9kk0Z<7l:3y'1c1=9;1/9k85139~yx{k38p(8h8:008 0`12880qpsr;h3ag?6=,;k:6<7i;I0b4>P5i:0:wAbb9U5j:4>2:&6b3<6:2wvqp5`1e794?"5i80:h85Y2`195~J5i<0:w[h?:3y'5`?=9m?0Z<7l:3y'1c1=>2.>j;49;|~y>{e9:=1<7950;2x 7g628n?7E<65:k2e5<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m=5Y18a96~"2n>0=7);i6;48yx{z3`;j=7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b5>P61j09w);i7;48 0`12?1vqps4i0c`>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28kh7[?6c;0x 0`02?1/9k856:~yx=n9k=1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751c58R4?d2;q/9k956:&6b3<13twvq6g>b`83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6jh1]=4m52z&6b2<13-?m:784}|~?l7ej3:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?mb:T2=f<5s-?m;784$4d5>3=zutw0c4b23_;2o73=#=o<1:6sr}|9~f45?29096=4?{%0b5?e63A8296g>9g83>!4f93;2j65`1e794?"5i80:h85Y2`195~J5i<0:w[h?:3y'5`?=9m?0Z<7l:3y'1c1=>2.>j;49;|~y>{e9:31<7650;2x 7g62?90D?7:;h3b4?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3i0(8h9:b9~yx{o6ij0;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95de<^83h6?u+5g59g>"2n?0h7psr}:k2f2<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:n:5Y18a96~"2n>0:>6*:f7826>{zut1b=oo50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28hj7[?6c;0x 0`02880(8h9:008yx{z3`;in7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6jk1]=4m52z&6b2<6:2.>j;4>2:~yx=n9ki1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74dd3_;2o744<,:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo?a083>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=l?4V0;`>7}#=o=1=?5+5g4957=zutw0e4gd3_;2o744<,:0;e?S4f;3;p@?o::0yUb5<5s-;n57?m7:T2=f<5s-?m;7?=;%7e2?753twvq6g>b`83>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=oo4V0;`>7}#=o=1=?5+5g4957=zutw0e69b81!3a?3;97);i6;31?x{zu2c:nn4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9ki0Z<7l:3y'1c1=9;1/9k85139~yx{6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb01a>5=2c:m=4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9h:0Z<7l:3y'1c1=k2.>j;4l;|~y>o6i80;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95d7<^83h6?u+5g5957=#=o<1=?5r}|8m4gd290/>l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3bg>P61j09w);i7;a8 0`12j1vqps4i0`4>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?m7:T2=f<5s-?m;7?=;%7e2?753twvq6g>b`83>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=oo4V0;`>7}#=o=1=?5+5g4957=zutw0e69b81!3a?3;97);i6;31?x{zu2c:nn4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9ki0Z<7l:3y'1c1=9;1/9k85139~yx{6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb01`>5=2c:m=4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9h:0Z<7l:3y'1c1=k2.>j;4l;|~y>o6i80;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95d7<^83h6?u+5g5957=#=o<1=?5r}|8m4gd290/>l?518d8R7g428qG>l;51zTe4?4|,8o26440:>6*:f7826>{zut1b=oo50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28hj7[?6c;0x 0`02880(8h9:008yx{z3`;in7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6jk1]=4m52z&6b2<6:2.>j;4>2:~yx=n9ki1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74dd3_;2o744<,:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo?a083>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=l?4V0;`>7}#=o=1o6*:f78`?x{zu2c:mn4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9hi0Z<7l:3y'1c1=9;1/9k85139~yx{k38p(8h8:008 0`12880qpsr;h3ae?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3;97);i6;31?x{zu2c:no4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882fg=Q90i1>v*:f6826>"2n?0:>6sr}|9j5ge=83.9m<4>9g9K6d6<^;k86k38p(8h8:008 0`12880qpsr;h3a`?6=,;k:6<7i;I0b4>P5i:0:wAbe9U5j:4>2:&6b3<6:2wvqp5`1e794?"5i80:h85Y2`195~J5i<0:w[h?:3y'5`?=9m?0Z<7l:3y'1c1=>2.>j;49;|~y>{e9:o1<7850;2x 7g628n?7E<65:k2e5<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m=5Y18a96~"2n>0=7);i6;48yx{z3`;j=7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b5>P61j09w);i7;48 0`12?1vqps4i0c`>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28kh7[?6c;0x 0`02?1/9k856:~yx=n9k=1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751c58R4?d2;q/9k956:&6b3<13twvq6g>b`83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6jh1]=4m52z&6b2<13-?m:784}|~?j7c=3:1(?o>:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo?6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb063>5<4290;w)=2c:m=4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f6824>"2n?0:<6sr}|9j5d7=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l?4V0;`>7}#=o=1==5+5g4955=zutw0c4b23_;2o73=#=o<1:6sr}|9~f426290>6=4?{%0b5?043A8296g>a183>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=l>4V0;`>7}#=o=1o6*:f78`?x{zu2c:m<4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e4=Q90i1>v*:f68`?!3a>3i0qpsr;h3bg?6=,;k:6<7i;I0b4>P5i:0:wAab9U5j:4l;%7e2?e0:>6*:f7826>{zut1d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a514=83?1<7>t$3c2>35<@;3>7d?n0;29 7g6283m7E0h7);i6;a8yx{z3`;j=7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b5>P61j09w);i7;a8 0`12j1vqps4i0c`>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?nc:T2=f<5s-?m;7m4$4d5>f=zutw0e69b81!3a?3;97);i6;31?x{zu2e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f685?!3a>3<0qpsr;|`206<72<0;6=u+2`3926=O:0?0e69b81!3a?3i0(8h9:b9~yx{k38p(8h8:b9'1c0=k2wvqp5f1`a94?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26{zut1b=o950;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28h<7[?6c;0x 0`02880(8h9:008yx{z3f;o97>5$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c370?6==3:1N51<1b=l>50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28k;7[?6c;0x 0`02j1/9k85c:~yx=n9h;1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`38R4?d2;q/9k95c:&6b3ab83>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=lm4V0;`>7}#=o=1o6*:f78`?x{zu2c:n:4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9k=0Z<7l:3y'1c1=9;1/9k85139~yx{6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb066>5<2290;w)=2c:m=4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9h:0Z<7l:3y'1c1=k2.>j;4l;|~y>o6i80;6)P5i:0:wAa09U5j:4l;%7e2?el?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3a3>P61j09w);i7;31?!3a>3;97psr}:m2`0<72-8j=7?k5:T1e6<6sE8j97?tVg296~"6m00:h85Y18a96~"2n>0=7);i6;48yx{z3th:8;4?:683>5}#:h;1=i:4H3;6?l7f83:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n0:T2=f<5s-?m;784$4d5>3=zutw0e:18'6d7=90l0Z?o<:0yO6d3=9r\m<74g63_;2o73=#=o<1:6sr}|9j5de=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=lm4V0;`>7}#=o=1:6*:f785?x{zu2c:n:4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882f2=Q90i1>v*:f685?!3a>3<0qpsr;h3ae?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{k38p(8h8:79'1c0=>2wvqp5`1e794?"5i80:h85Y2`195~J5i<0:w[h?:3y'5`?=9m?0Z<7l:3y'1c1=>2.>j;49;|~y>{e9==1<7<50;2x 7g62j;0D?7:;h3:b?6=,;k:6<7i;:m2`0<72-8j=7?k5:T1e6<6sE8j97?tVg296~"6m00:h85Y18a96~"2n>0=7);i6;48yx{z3th:854?:883>5}#:h;1:>5G2878m4g7290/>l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3b4>P61j09w);i7;a8 0`12j1vqps4i0c2>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k:7[?6c;0x 0`02j1/9k85c:~yx=n9hi1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`a8R4?d2;q/9k95c:&6b3b683>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=o94V0;`>7}#=o=1o6*:f78`?x{zu2c:nl4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9kk0Z<7l:3y'1c1=9;1/9k85139~yx{o6jj0;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95ge<^83h6?u+5g5957=#=o<1=?5r}|8m4dc290/>l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3a`>P61j09w);i7;31?!3a>3;97psr}:m2`0<72-8j=7?k5:T1e6<6sE8j97?tVg296~"6m00:h85Y18a96~"2n>0=7);i6;48yx{z3th:844?:883>5}#:h;1:>5G2878m4g7290/>l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3b4>P61j09w);i7;a8 0`12j1vqps4i0c2>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k:7[?6c;0x 0`02j1/9k85c:~yx=n9hi1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`a8R4?d2;q/9k95c:&6b3b683>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=o94V0;`>7}#=o=1=?5+5g4957=zutw0e4df3_;2o744<,:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882fg=Q90i1>v*:f6826>"2n?0:>6sr}|9j5ge=83.9m<4>9g9K6d6<^;k86k38p(8h8:008 0`12880qpsr;h3a`?6=,;k:6<7i;I0b4>P5i:0:wAbe9U5j:4>2:&6b3<6:2wvqp5`1e794?"5i80:h85Y2`195~J5i<0:w[h?:3y'5`?=9m?0Z<7l:3y'1c1=>2.>j;49;|~y>{e9=k1<7750;2x 7g62?90D?7:;h3b4?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3i0(8h9:b9~yx{o6ij0;6)P5i:0:wAab9U5j:4>2:&6b3<6:2wvqp5f1c594?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9k=0Z<7l:3y'1c1=9;1/9k85139~yx{k38p(8h8:008 0`12880qpsr;h3af?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3;97);i6;31?x{zu2c:nn4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9ki0Z<7l:3y'1c1=9;1/9k85139~yx{i6l<0;6)P5i:0:wAd49U5j:49;%7e2?04c83><<729q/>l?5629K6<3l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3b5>P61j09w);i7;31?!3a>3;97psr}:k2ef<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:mn5Y18a96~"2n>0:>6*:f7826>{zut1b=o950;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95g1<^83h6?u+5g5957=#=o<1=?5r}|8m4df290/>l?518d8R7g428qG>l;51zTe4?4|,8o26440:>6*:f7826>{zut1b=om50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28hh7[?6c;0x 0`02880(8h9:008yx{z3`;ih7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6jm1]=4m52z&6b2<6:2.>j;4>2:~yx=h9m?1<7*=a082`0=Q:h91=vB=a482S`72;q/=h751e78R4?d2;q/9k956:&6b3<13twvq6sm15a94?3=83:p(?o>:0f7?M4>=2c:m=4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f685?!3a>3<0qpsr;h3b5?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{k38p(8h8:79'1c0=>2wvqp5f1c594?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9k=0Z<7l:3y'1c1=>2.>j;49;|~y>i6l<0;6)P5i:0:wAd49U5j:49;%7e2?04e83>7<729q/>l?5c09K6<35$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c37a?6=;3:1N51<1b=l>50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d6<^83h6?u+5g5955=#=o<1==5r}|8m4g6290/>l?518d8R7g428qG>l;51zTe4?4|,8o26;W3:g?4|,4$4d5>467[50z&1e4<1;2B9585f1`294?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h:0Z<7l:3y'1c1=k2.>j;4l;|~y>o6i80;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95d7<^83h6?u+5g5957=#=o<1=?5r}|8m4gd290/>l?518d8R7g428qG>l;51zTe4?4|,8o2644o6jh0;6)P5i:0:wAb`9U5j:4>2:&6b3<6:2wvqp5f1c`94?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26440:>6*:f7826>{zut1d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a506=8321<7>t$3c2>35<@;3>7d?n0;29 7g6283m7E0h7);i6;a8yx{z3`;j=7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6i81]=4m52z&6b2:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882ef=Q90i1>v*:f68`?!3a>3i0qpsr;h3a3?6=,;k:6<7i;I0b4>P5i:0:wAb69U5j:4>2:&6b3<6:2wvqp5f1cc94?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9kk0Z<7l:3y'1c1=9;1/9k85139~yx{o6jj0;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95ge<^83h6?u+5g5957=#=o<1=?5r}|8k4b2290/>l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn<;>:18;>5<7s-8j=78<;I0:1>o6i90;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95d6<^83h6?u+5g59g>"2n?0h7psr}:k2e4<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751`38R4?d2;q/9k95c:&6b3ab83>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=lm4V0;`>7}#=o=1=?5+5g4957=zutw0e4d03_;2o744<,:0;e?S4f;3;p@?o::0yUb5<5s-;n57?ma:T2=f<5s-?m;7?=;%7e2?753twvq6g>bc83>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=ol4V0;`>7}#=o=1=?5+5g4957=zutw0e69b81!3a?3;97);i6;31?x{zu2e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f685?!3a>3<0qpsr;|`217<7210;6=u+2`3926=O:0?0e4g73_;2o7f=#=o<1o6sr}|9j5d7=83.9m<4>9g9K6d6<^;k86k38p(8h8:b9'1c0=k2wvqp5f1`a94?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26440h7);i6;a8yx{z3`;im7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6jh1]=4m52z&6b2<6:2.>j;4>2:~yx=n9kh1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74de3_;2o744<,:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882ff=Q90i1>v*:f6826>"2n?0:>6sr}|9l5a3=83.9m<4>d49U6d5=9rF9m84>{Wd3>7}#9l31=i;4V0;`>7}#=o=1:6*:f785?x{zu2wi=8=50;:94?6|,;k:6;=4H3;6?l7f83:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n0:T2=f<5s-?m;7m4$4d5>f=zutw0e:18'6d7=90l0D?o?;W0b7?7|D;k>69b81!3a?3i0(8h9:b9~yx{o6j>0;6)P5i:0:wAb69U5j:4>2:&6b3<6:2wvqp5f1cc94?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26440:>6*:f7826>{zut1b=om50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28hh7[?6c;0x 0`02880(8h9:008yx{z3f;o97>5$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c360?6=?3:14g73_;2o73=#=o<1:6sr}|9j5d7=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l?4V0;`>7}#=o=1:6*:f785?x{zu2c:mn4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882ef=Q90i1>v*:f685?!3a>3<0qpsr;h3a3?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{k38p(8h8:79'1c0=>2wvqp5f1c`94?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9kh0Z<7l:3y'1c1=>2.>j;49;|~y>i6l<0;6)P5i:0:wAd49U5j:49;%7e2?05483>7<729q/>l?5c09K6<35$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c362?6=;3:1N51<1b=l>50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d6<^83h6?u+5g5955=#=o<1==5r}|8m4g6290/>l?518d8R7g428qG>l;51zTe4?4|,8o26;W3:g?4|,4$4d5>467[50z&1e4<1;2B9585f1`294?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h:0Z<7l:3y'1c1=k2.>j;4l;|~y>o6i80;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95d7<^83h6?u+5g5957=#=o<1=?5r}|8m4gd290/>l?518d8R7g428qG>l;51zTe4?4|,8o2644o6jh0;6)P5i:0:wAb`9U5j:4>2:&6b3<6:2wvqp5f1c`94?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26440:>6*:f7826>{zut1d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a50>=8321<7>t$3c2>35<@;3>7d?n0;29 7g6283m7E0h7);i6;a8yx{z3`;j=7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6i81]=4m52z&6b2<6:2.>j;4>2:~yx=n9hi1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`a8R4?d2;q/9k95139'1c0=9;1vqps4i0`4>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?m7:T2=f<5s-?m;7?=;%7e2?753twvq6g>b`83>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=oo4V0;`>7}#=o=1=?5+5g4957=zutw0e69b81!3a?3;97);i6;31?x{zu2c:nn4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9ki0Z<7l:3y'1c1=9;1/9k85139~yx{6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb07:>5=2c:m=4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9h:0Z<7l:3y'1c1=9;1/9k85139~yx{k38p(8h8:008 0`12880qpsr;h3bg?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3;97);i6;31?x{zu2c:n:4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882f2=Q90i1>v*:f6826>"2n?0:>6sr}|9j5gg=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=oo4V0;`>7}#=o=1=?5+5g4957=zutw0e69b81!3a?3;97);i6;31?x{zu2c:nn4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9ki0Z<7l:3y'1c1=9;1/9k85139~yx{6=4+2`395a3<^;k86k38p(8h8:79'1c0=>2wvqp5rb07b>5<>290;w)=2c:m=4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f68`?!3a>3i0qpsr;h3b5?6=,;k:6<7i;I0b4>P5i:0:wAa09U5j:4l;%7e2?e0:>6*:f7826>{zut1b=o950;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95g1<^83h6?u+5g5957=#=o<1=?5r}|8m4df290/>l?518d8R7g428qG>l;51zTe4?4|,8o2644o6jj0;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95ge<^83h6?u+5g5957=#=o<1=?5r}|8m4dc290/>l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3a`>P61j09w);i7;31?!3a>3;97psr}:m2`0<72-8j=7?k5:T1e6<6sE8j97?tVg296~"6m00:h85Y18a96~"2n>0=7);i6;48yx{z3th:9o4?:883>5}#:h;1:>5G2878m4g7290/>l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3b4>P61j09w);i7;31?!3a>3;97psr}:k2e4<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m<5Y18a96~"2n>0:>6*:f7826>{zut1b=lm50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95de<^83h6?u+5g5957=#=o<1=?5r}|8m4d0290/>l?518d8R7g428qG>l;51zTe4?4|,8o2644l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3af>P61j09w);i7;31?!3a>3;97psr}:k2ff<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751ca8R4?d2;q/9k95139'1c0=9;1vqps4i0`g>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?md:T2=f<5s-?m;7?=;%7e2?753twvq6a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd6=j0;6:4?:1y'6d7=9m>0D?7:;h3b4?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{k38p(8h8:79'1c0=>2wvqp5f1`a94?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9hi0Z<7l:3y'1c1=>2.>j;49;|~y>o6j>0;6)P5i:0:wAb69U5j:49;%7e2?0l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a50b=8381<7>t$3c2>f7<@;3>7d?6f;29 7g6283m76a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd6=l0;644?:1y'6d7=>:1C>4;4i0c3>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?n0:T2=f<5s-?m;7?=;%7e2?753twvq6g>a083>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i81]=4m52z&6b2<6:2.>j;4>2:~yx=n9hi1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`a8R4?d2;q/9k95139'1c0=9;1vqps4i0`4>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28h<7[?6c;0x 0`02880(8h9:008yx{z3`;im7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6jh1]=4m52z&6b2:0;e?S4f;3;p@?o::0yUb5<5s-;n57?mb:T2=f<5s-?m;7?=;%7e2?753twvq6g>bb83>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=om4V0;`>7}#=o=1=?5+5g4957=zutw0e69b81!3a?3;97);i6;31?x{zu2e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f685?!3a>3<0qpsr;|`21c<7200;6=u+2`3926=O:0?0e69b81!3a?3;97);i6;31?x{zu2c:m<4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e4=Q90i1>v*:f6826>"2n?0:>6sr}|9j5de=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=lm4V0;`>7}#=o=1=?5+5g4957=zutw0e4d03_;2o744<,:0;e?S4f;3;p@?o::0yUb5<5s-;n57?ma:T2=f<5s-?m;7?=;%7e2?753twvq6g>bc83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6jk1]=4m52z&6b2<6:2.>j;4>2:~yx=n9ki1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74dd3_;2o744<,:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882fa=Q90i1>v*:f6826>"2n?0:>6sr}|9l5a3=83.9m<4>d49U6d5=9rF9m84>{Wd3>7}#9l31=i;4V0;`>7}#=o=1:6*:f785?x{zu2wi=;>50;32>5<7s-8j=78<;I0:1>o6i90;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95d6<^83h6?u+5g59g>"2n?0h7psr}:k2e4<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751`38R4?d2;q/9k95c:&6b3ab83>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=lm4V0;`>7}#=o=1=?5+5g4957=zutw0e4d03_;2o744<,:0;e?S4f;3;p@?o::0yUb5<5s-;n57?ma:T2=f<5s-?m;7?=;%7e2?753twvq6g>bc83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6jk1]=4m52z&6b2<6:2.>j;4>2:~yx=n9ki1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74dd3_;2o7f=#=o<1o6sr}|9j5gb=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=oj4V0;`>7}#=o=1=?5+5g4957=zutw0e69b81!3a?3;97);i6;31?x{zu2c:nk4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9kl0Z<7l:3y'1c1=9;1/9k85139~yx{k38p(8h8:79'1c0=>2wvqp5f1`194?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h90Z<7l:3y'1c1=>2.>j;49;|~y>o6i=0;6)P5i:0:wAa59U5j:49;%7e2?0l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=l950;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d1<^83h6?u+5g592>"2n?0=7psr}:m2`0<72-8j=7?k5:T1e6<6sE8j97?tVg296~"6m00:h85Y18a96~"2n>0=7);i6;48yx{z3th::<4?:0394?6|,;k:6;=4H3;6?l7f83:1(?o>:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f68`?!3a>3i0qpsr;h3b5?6=,;k:6<7i;I0b4>P5i:0:wAa09U5j:4l;%7e2?e0:>6*:f7826>{zut1b=o950;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95g1<^83h6?u+5g5957=#=o<1=?5r}|8m4df290/>l?518d8R7g428qG>l;51zTe4?4|,8o2644o6jj0;6)P5i:0:wAbb9U5j:4>2:&6b3<6:2wvqp5f1cf94?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9kn0Z<7l:3y'1c1=9;1/9k85139~yx{o6jo0;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95g`<^83h6?u+5g5957=#=o<1=?5r}|8m4g5290/>l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=l=50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d5<^83h6?u+5g592>"2n?0=7psr}:k2e1<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m95Y18a96~"2n>0=7);i6;48yx{z3`;j97>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b1>P61j09w);i7;48 0`12?1vqps4i0c5>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k=7[?6c;0x 0`02?1/9k856:~yx=n9h=1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`58R4?d2;q/9k956:&6b3<13twvq6a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd6>;0;6P5i:0:wAa19U5j:4l;%7e2?el?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=o950;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28h<7[?6c;0x 0`02880(8h9:008yx{z3`;im7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3ae>P61j09w);i7;31?!3a>3;97psr}:k2fg<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:no5Y18a96~"2n>0:>6*:f7826>{zut1b=om50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95ge<^83h6?u+5g5957=#=o<1=?5r}|8m4dc290/>l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3a`>P61j09w);i7;a8 0`12j1vqps4i0`f>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?me:T2=f<5s-?m;7?=;%7e2?753twvq6g>bg83>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=oh4V0;`>7}#=o=1=?5+5g4957=zutw0e66X>9b81!3a?3;97);i6;31?x{zu2c:m>4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882e6=Q90i1>v*:f685?!3a>3<0qpsr;h3b0?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{6=4+2`395<`<^;k86k38p(8h8:79'1c0=>2wvqp5f1`494?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h<0Z<7l:3y'1c1=>2.>j;49;|~y>o6i>0;6)P5i:0:wAa69U5j:49;%7e2?07[50z&1e4<6l=1C>4;4i0c3>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k;7[?6c;0x 0`02?1/9k856:~yx=n9h;1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`38R4?d2;q/9k956:&6b3<13twvq6g>ab83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6ij1]=4m52z&6b2<13-?m:784}|~?l7e?3:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?m7:T2=f<5s-?m;784$4d5>3=zutw0e4df3_;2o73=#=o<1:6sr}|9l5a3=83.9m<4>d49U6d5=9rF9m84>{Wd3>7}#9l31=i;4V0;`>7}#=o=1:6*:f785?x{zu2wi=;:50;094?6|,;k:6n?4H3;6?l7>n3:1(?o>:0;e?>i6l<0;6)P5i:0:wAd49U5j:49;%7e2?06483>2<729q/>l?5629K6<3k38p(8h8:b9'1c0=k2wvqp5f1`394?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26;W3:g?4|,{zut1b=lm50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28kh7[?6c;0x 0`02880(8h9:008yx{z3`;i;7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3a3>P61j09w);i7;31?!3a>3;97psr}:k2fd<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751cc8R4?d2;q/9k95139'1c0=9;1vqps4i0`a>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?mb:T2=f<5s-?m;7?=;%7e2?753twvq6a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd6>?0;6:4?:1y'6d7=>:1C>4;4i0c3>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k;7[?6c;0x 0`02j1/9k85c:~yx=n9h;1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74g63_;2o744<,:0;e?S4f;3;p@?o::0yUb5<5s-;n57?nc:T2=f<5s-?m;7?=;%7e2?753twvq6g>b683>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6j>1]=4m52z&6b2<6:2.>j;4>2:~yx=n9kk1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74df3_;2o744<,:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882fg=Q90i1>v*:f6826>"2n?0:>6sr}|9l5a3=83.9m<4>d49U6d5=9rF9m84>{Wd3>7}#9l31=i;4V0;`>7}#=o=1:6*:f785?x{zu2wi=;950;594?6|,;k:6;=4H3;6?l7f83:1(?o>:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f68`?!3a>3i0qpsr;h3b5?6=,;k:6<7i;I0b4>P5i:0:wAa09U5j:4>2:&6b3<6:2wvqp5f1`a94?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9hi0Z<7l:3y'1c1=9;1/9k85139~yx{o6jh0;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95gg<^83h6?u+5g5957=#=o<1=?5r}|8m4de290/>l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3af>P61j09w);i7;31?!3a>3;97psr}:m2`0<72-8j=7?k5:T1e6<6sE8j97?tVg296~"6m00:h85Y18a96~"2n>0=7);i6;48yx{z3th::54?:983>5}#:h;1:>5G2878m4g7290/>l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3b4>P61j09w);i7;a8 0`12j1vqps4i0c2>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k:7[?6c;0x 0`02j1/9k85c:~yx=n9hi1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`a8R4?d2;q/9k95c:&6b3b683>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=o94V0;`>7}#=o=1o6*:f78`?x{zu2c:nl4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9kk0Z<7l:3y'1c1=9;1/9k85139~yx{o6jj0;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95ge<^83h6?u+5g5957=#=o<1=?5r}|8k4b2290/>l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn<86:18;>5<7s-8j=78<;I0:1>o6i90;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95d6<^83h6?u+5g59g>"2n?0h7psr}:k2e4<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m<5Y18a96~"2n>0h7);i6;a8yx{z3`;jo7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3bg>P61j09w);i7;a8 0`12j1vqps4i0`4>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?m7:T2=f<5s-?m;7?=;%7e2?753twvq6g>b`83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6jh1]=4m52z&6b2<6:2.>j;4>2:~yx=n9kh1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74de3_;2o744<,:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882ff=Q90i1>v*:f6826>"2n?0:>6sr}|9l5a3=83.9m<4>d49U6d5=9rF9m84>{Wd3>7}#9l31=i;4V0;`>7}#=o=1:6*:f785?x{zu2wi=;o50;494?6|,;k:6o6i90;6)P5i:0:wAa19U5j:49;%7e2?0l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=o950;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95g1<^83h6?u+5g592>"2n?0=7psr}:k2fd<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:nl5Y18a96~"2n>0=7);i6;48yx{z3f;o97>5$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c35f?6=:3:1N51<1b=4h50;&1e4<61o10c4b23_;2o73=#=o<1:6sr}|9~f40d29036=4?{%0b5?043A8296g>a183>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i91]=4m52z&6b2:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882e4=Q90i1>v*:f68`?!3a>3i0qpsr;h3bg?6=,;k:6<7i;I0b4>P5i:0:wAab9U5j:4>2:&6b3<6:2wvqp5f1c594?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26{zut1b=oo50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28hj7[?6c;0x 0`02880(8h9:008yx{z3`;in7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6jk1]=4m52z&6b2<6:2.>j;4>2:~yx=n9ki1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74dd3_;2o744<,:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo?9d;29a083>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=l?4V0;`>7}#=o=1o6*:f78`?x{zu2c:mn4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9hi0Z<7l:3y'1c1=9;1/9k85139~yx{k38p(8h8:008 0`12880qpsr;h3ae?6=,;k:6<7i;I0b4>P5i:0:wAb`9U5j:4>2:&6b3<6:2wvqp5f1c`94?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26440:>6*:f7826>{zut1d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a53c=8321<7>t$3c2>35<@;3>7d?n0;29 7g6283m7[l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3b5>P61j09w);i7;31?!3a>3;97psr}:k2ef<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751`a8R4?d2;q/9k95c:&6b3b683>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6j>1]=4m52z&6b2<6:2.>j;4>2:~yx=n9kk1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74df3_;2o744<,:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882fg=Q90i1>v*:f6826>"2n?0:>6sr}|9j5ge=83.9m<4>9g9K6d6<^;k86k38p(8h8:008 0`12880qpsr;n3g1?6=,;k:669b81!3a?3<0(8h9:79~yx{58;294~"5i80=?6F=949j5d6=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l>4V0;`>7}#=o=1o6*:f78`?x{zu2c:m<4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9h;0Z<7l:3y'1c1=9;1/9k85139~yx{k38p(8h8:008 0`12880qpsr;h3a3?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3;97);i6;31?x{zu2c:nl4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9kk0Z<7l:3y'1c1=9;1/9k85139~yx{o6jj0;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95ge<^83h6?u+5g5957=#=o<1=?5r}|8k4b2290/>l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn<9?:18;>5<7s-8j=78<;I0:1>o6i90;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95d6<^83h6?u+5g59g>"2n?0h7psr}:k2e4<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751`38R4?d2;q/9k95139'1c0=9;1vqps4i0c`>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?nc:T2=f<5s-?m;7m4$4d5>f=zutw0e69b81!3a?3;97);i6;31?x{zu2c:nl4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9kk0Z<7l:3y'1c1=9;1/9k85139~yx{o6jj0;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95ge<^83h6?u+5g5957=#=o<1=?5r}|8k4b2290/>l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn<9>:185>5<7s-8j=7?k4:J1=0=n9h:1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`28R4?d2;q/9k956:&6b3<13twvq6g>a083>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6i81]=4m52z&6b2<13-?m:784}|~?l7fk3:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?nc:T2=f<5s-?m;784$4d5>3=zutw0e4d03_;2o73=#=o<1:6sr}|9j5gg=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=oo4V0;`>7}#=o=1:6*:f785?x{zu2e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f685?!3a>3<0qpsr;|`237<72;0;6=u+2`39g4=O:0?0e<7i:18'6d7=90l07b?k5;29 7g628n>7[50z&1e4<1;2B9585f1`294?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h:0Z<7l:3y'1c1=991/9k85119~yx{k38p(8h8:028 0`128:0qpsr;n3g1?6=,;k:669b81!3a?3<0(8h9:79~yx{54;294~"5i80=?6F=949j5d6=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l>4V0;`>7}#=o=1=?5+5g4957=zutw0e:18'6d7=90l0D?o?;W0b7?7|D;k>69b81!3a?3;97);i6;31?x{zu2c:mn4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882ef=Q90i1>v*:f6826>"2n?0:>6sr}|9l5a3=83.9m<4>d49U6d5=9rF9m84>{Wd3>7}#9l31=i;4V0;`>7}#=o=1:6*:f785?x{zu2wi=:;50;694?6|,;k:6;=4H3;6?l7f83:1(?o>:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f6826>"2n?0:>6sr}|9j5d7=83.9m<4>9g9K6d6<^;k86k38p(8h8:008 0`12880qpsr;h3bg?6=,;k:6<7i;I0b4>P5i:0:wAab9U5j:4>2:&6b3<6:2wvqp5`1e794?"5i80:h85Y2`195~J5i<0:w[h?:3y'5`?=9m?0Z<7l:3y'1c1=>2.>j;49;|~y>{e9><1<7:50;2x 7g62?90D?7:;h3b4?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3i0(8h9:b9~yx{o6ij0;6)P5i:0:wAab9U5j:4>2:&6b3<6:2wvqp5`1e794?"5i80:h85Y2`195~J5i<0:w[h?:3y'5`?=9m?0Z<7l:3y'1c1=>2.>j;49;|~y>{e9>=1<7;50;2x 7g62?90D?7:;h3b4?6=,;k:6<7i;I0b4>P5i:0:wAa19U5j:4l;%7e2?e0h7);i6;a8yx{z3`;jo7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6ij1]=4m52z&6b2:0;e?S4f;3;p@?o::0yUb5<5s-;n57?m7:T2=f<5s-?m;7?=;%7e2?753twvq6a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd6?10;684?:1y'6d7=>:1C>4;4i0c3>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?n0:T2=f<5s-?m;7m4$4d5>f=zutw0e:18'6d7=90l0Z?o<:0yO6d3=9r\m<74g63_;2o7f=#=o<1o6sr}|9j5de=83.9m<4>9g9K6d6<^;k86k38p(8h8:008 0`12880qpsr;h3a3?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3;97);i6;31?x{zu2e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f685?!3a>3<0qpsr;|`23<<72>0;6=u+2`395a2<@;3>7d?n0;29 7g6283m7[l?518d8R7g428qG>l;51zTe4?4|,8o26;W3:g?4|,{zut1b=lm50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95de<^83h6?u+5g592>"2n?0=7psr}:k2f2<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:n:5Y18a96~"2n>0=7);i6;48yx{z3`;im7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3ae>P61j09w);i7;48 0`12?1vqps4i0`a>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28hi7[?6c;0x 0`02?1/9k856:~yx=h9m?1<7*=a082`0=Q:h91=vB=a482S`72;q/=h751e78R4?d2;q/9k956:&6b3<13twvq6sm16c94?4=83:p(?o>:b38L7?23`;2j7>5$3c2>4?a32e:h84?:%0b5?7c=2\9m>4>{M0b1?7|^o:1>v*>e882`0=Q90i1>v*:f685?!3a>3<0qpsr;|`23g<72>0;6=u+2`3926=O:0?0e69b81!3a?3i0(8h9:b9~yx{l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3bg>P61j09w);i7;a8 0`12j1vqps4i0`4>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?m7:T2=f<5s-?m;7?=;%7e2?753twvq6g>b`83>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=oo4V0;`>7}#=o=1=?5+5g4957=zutw0e4de3_;2o744<,:0f6?S4f;3;p@?o::0yUb5<5s-;n57?k5:T2=f<5s-?m;784$4d5>3=zutw0qo?8c;293?6=8r.9m<493:J1=0=n9h:1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74g73_;2o7f=#=o<1o6sr}|9j5d7=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l?4V0;`>7}#=o=1o6*:f78`?x{zu2c:mn4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9hi0Z<7l:3y'1c1=k2.>j;4l;|~y>o6j>0;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95g1<^83h6?u+5g5957=#=o<1=?5r}|8m4df290/>l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3ae>P61j09w);i7;31?!3a>3;97psr}:k2fg<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:no5Y18a96~"2n>0:>6*:f7826>{zut1d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a52b=83=1<7>t$3c2>35<@;3>7d?n0;29 7g6283m7E0h7);i6;a8yx{z3`;j=7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b5>P61j09w);i7;a8 0`12j1vqps4i0c`>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?nc:T2=f<5s-?m;7m4$4d5>f=zutw0e69b81!3a?3;97);i6;31?x{zu2c:nl4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9kk0Z<7l:3y'1c1=9;1/9k85139~yx{k38p(8h8:008 0`12880qpsr;n3g1?6=,;k:669b81!3a?3<0(8h9:79~yx{57;294~"5i80=?6F=949j5d6=83.9m<4>9g9K6d6<^;k86k38p(8h8:b9'1c0=k2wvqp5f1`394?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9h;0Z<7l:3y'1c1=k2.>j;4l;|~y>o6ij0;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95de<^83h6?u+5g59g>"2n?0h7psr}:k2f2<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751c58R4?d2;q/9k95139'1c0=9;1vqps4i0`b>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?ma:T2=f<5s-?m;7?=;%7e2?753twvq6g>bc83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6jk1]=4m52z&6b2<6:2.>j;4>2:~yx=h9m?1<7*=a082`0=Q:h91=vB=a482S`72;q/=h751e78R4?d2;q/9k956:&6b3<13twvq6sm16d94?1=83:p(?o>:718L7?23`;j<7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6i91]=4m52z&6b2:0;e?S4f;3;p@?o::0yUb5<5s-;n57?n1:T2=f<5s-?m;7m4$4d5>f=zutw0e69b81!3a?3i0(8h9:b9~yx{o6jh0;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95gg<^83h6?u+5g5957=#=o<1=?5r}|8m4de290/>l?518d8R7g428qG>l;51zTe4?4|,8o26447[50z&1e4<6l=1C>4;4i0c3>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k;7[?6c;0x 0`02?1/9k856:~yx=n9h;1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`38R4?d2;q/9k956:&6b3<13twvq6g>ab83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6ij1]=4m52z&6b2<13-?m:784}|~?l7e?3:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?m7:T2=f<5s-?m;784$4d5>3=zutw0e4df3_;2o73=#=o<1:6sr}|9l5a3=83.9m<4>d49U6d5=9rF9m84>{Wd3>7}#9l31=i;4V0;`>7}#=o=1:6*:f785?x{zu2wi=5?50;094?6|,;k:6n?4H3;6?l7>n3:1(?o>:0;e?>i6l<0;6)P5i:0:wAd49U5j:49;%7e2?08383>3<729q/>l?5629K6<3l?518d8R7g428qG>l;51zTe4?4|,8o26;W3:g?4|,{zut1b=lm50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28kh7[?6c;0x 0`02j1/9k85c:~yx=n9k=1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74d03_;2o744<,:0;e?S4f;3;p@?o::0yUb5<5s-;n57?ma:T2=f<5s-?m;7?=;%7e2?753twvq6a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd60:0;6;4?:1y'6d7=>:1C>4;4i0c3>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?n0:T2=f<5s-?m;7m4$4d5>f=zutw0e:18'6d7=90l0Z?o<:0yO6d3=9r\m<74g63_;2o7f=#=o<1o6sr}|9j5de=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=lm4V0;`>7}#=o=1o6*:f78`?x{zu2c:n:4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9k=0Z<7l:3y'1c1=9;1/9k85139~yx{k38p(8h8:008 0`12880qpsr;n3g1?6=,;k:669b81!3a?3<0(8h9:79~yx{56;294~"5i80=?6F=949j5d6=83.9m<4>9g9K6d6<^;k86k38p(8h8:b9'1c0=k2wvqp5f1`394?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26;W3:g?4|,{zut1b=lm50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95de<^83h6?u+5g59g>"2n?0h7psr}:k2f2<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751c58R4?d2;q/9k95139'1c0=9;1vqps4i0`b>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28hj7[?6c;0x 0`02880(8h9:008yx{z3f;o97>5$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c3;1?6=?3:1N51<1b=l>50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28k;7[?6c;0x 0`02j1/9k85c:~yx=n9h;1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74g63_;2o7f=#=o<1o6sr}|9j5de=83.9m<4>9g9K6d6<^;k86k38p(8h8:b9'1c0=k2wvqp5f1c594?"5i80:5k5Y2`195~J5i<0:w[h?:3y'5`?=9k=0Z<7l:3y'1c1=k2.>j;4l;|~y>o6jh0;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95gg<^83h6?u+5g5957=#=o<1=?5r}|8m4de290/>l?518d8R7g428qG>l;51zTe4?4|,8o26447[3:1;7>50z&1e4<1;2B9585f1`294?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26{zut1b=l?50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d7<^83h6?u+5g59g>"2n?0h7psr}:k2ef<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:mn5Y18a96~"2n>0h7);i6;a8yx{z3`;i;7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3a3>P61j09w);i7;31?!3a>3;97psr}:k2fd<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751cc8R4?d2;q/9k95139'1c0=9;1vqps4i0`a>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28hi7[?6c;0x 0`02880(8h9:008yx{z3f;o97>5$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c3;3?6=>3:14g73_;2o73=#=o<1:6sr}|9j5d7=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=l?4V0;`>7}#=o=1:6*:f785?x{zu2c:mn4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882ef=Q90i1>v*:f685?!3a>3<0qpsr;h3a3?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3<0(8h9:79~yx{k38p(8h8:79'1c0=>2wvqp5`1e794?"5i80:h85Y2`195~J5i<0:w[h?:3y'5`?=9m?0Z<7l:3y'1c1=>2.>j;49;|~y>{e9121<7<50;2x 7g62j;0D?7:;h3:b?6=,;k:6<7i;:m2`0<72-8j=7?k5:T1e6<6sE8j97?tVg296~"6m00:h85Y18a96~"2n>0=7);i6;48yx{z3th:444?:983>5}#:h;1:>5G2878m4g7290/>l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3b4>P61j09w);i7;a8 0`12j1vqps4i0c2>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28k:7[?6c;0x 0`02j1/9k85c:~yx=n9hi1<7*=a082=c=O:h:0Z?o<:0yO6d3=9r\m<74gd3_;2o7f=#=o<1o6sr}|9j5g1=83.9m<4>9g9U6d5=9rF9m84>{Wd3>7}#9l31=o94V0;`>7}#=o=1o6*:f78`?x{zu2c:nl4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9kk0Z<7l:3y'1c1=9;1/9k85139~yx{o6jj0;6)N5i91]>l=51zN1e0<6s_l;6?u+1d;95ge<^83h6?u+5g5957=#=o<1=?5r}|8k4b2290/>l?51e78R7g428qG>l;51zTe4?4|,8o26{zut1vn<6n:181>5<7s-8j=7m>;I0:1>o61o0;6)=h9m?1<7*=a082`0=Q:h91=vB=a482S`72;q/=h751e78R4?d2;q/9k956:&6b3<13twvq6sm19`94?5=83:p(?o>:718L7?23`;j<7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b4>P61j09w);i7;33?!3a>3;;7psr}:k2e4<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m<5Y18a96~"2n>0:<6*:f7824>{zut1d=i;50;&1e4<6l<1]>l=51zN1e0<6s_l;6?u+1d;95a3<^83h6?u+5g592>"2n?0=7psr}:a5=e=8391<7>t$3c2>35<@;3>7d?n0;29 7g6283m7[l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3b5>P61j09w);i7;31?!3a>3;97psr}:m2`0<72-8j=7?k5:T1e6<6sE8j97?tVg296~"6m00:h85Y18a96~"2n>0=7);i6;48yx{z3th:4i4?:283>5}#:h;1:>5G2878m4g7290/>l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3b4>P61j09w);i7;31?!3a>3;97psr}:k2e4<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751`38R4?d2;q/9k95139'1c0=9;1vqps4o0f6>5<#:h;1=i;4V3c0>4}K:h?1=vXi0;0x 4c>28n>7[?6c;0x 0`02?1/9k856:~yx=zj82n6=4;:183!4f93<87E<65:k2e5<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751`28R4?d2;q/9k95c:&6b3a083>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=l?4V0;`>7}#=o=1o6*:f78`?x{zu2c:mn4?:%0b5?7>n2B9m=5Y2`195~J5i<0:w[h?:3y'5`?=9hi0Z<7l:3y'1c1=k2.>j;4l;|~y>i6l<0;6)P5i:0:wAd49U5j:49;%7e2?08g83>1<729q/>l?5629K6<3l?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3b5>P61j09w);i7;a8 0`12j1vqps4i0c`>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?nc:T2=f<5s-?m;7?=;%7e2?753twvq6a>d483>!4f93;o96X=a282I4f=3;pZk>52z&2a<<6l<1]=4m52z&6b2<13-?m:784}|~?xd6190;694?:1y'6d7=>:1C>4;4i0c3>5<#:h;1=4h4H3c3?S4f;3;p@?o::0yUb5<5s-;n57?n0:T2=f<5s-?m;7m4$4d5>f=zutw0e:18'6d7=90l0Z?o<:0yO6d3=9r\m<74g63_;2o7f=#=o<1o6sr}|9j5de=83.9m<4>9g9K6d6<^;k86k38p(8h8:b9'1c0=k2wvqp5`1e794?"5i80:h85Y2`195~J5i<0:w[h?:3y'5`?=9m?0Z<7l:3y'1c1=>2.>j;49;|~y>{e90;1<7950;2x 7g628n?7E<65:k2e5<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:m=5Y18a96~"2n>0=7);i6;48yx{z3`;j=7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3b5>P61j09w);i7;48 0`12?1vqps4i0c`>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28kh7[?6c;0x 0`02?1/9k856:~yx=n9k=1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751c58R4?d2;q/9k956:&6b3<13twvq6g>b`83>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6jh1]=4m52z&6b2<13-?m:784}|~?l7ej3:1(?o>:0;e?S4f;3;p@?o::0yUb5<5s-;n57?mb:T2=f<5s-?m;784$4d5>3=zutw0c4b23_;2o73=#=o<1:6sr}|9~f4?529096=4?{%0b5?e63A8296g>9g83>!4f93;2j65`1e794?"5i80:h85Y2`195~J5i<0:w[h?:3y'5`?=9m?0Z<7l:3y'1c1=>2.>j;49;|~y>{e9091<7;50;2x 7g62?90D?7:;h3b4?6=,;k:6<7i;I0b4>P5i:0:wAa19U5j:4l;%7e2?el?518d8L7g73_8j?7?tL3c6>4}Qn909w)?j9;3bg>P61j09w);i7;a8 0`12j1vqps4i0`4>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28h<7[?6c;0x 0`02880(8h9:008yx{z3f;o97>5$3c2>4b23_8j?7?tL3c6>4}Qn909w)?j9;3g1>P61j09w);i7;48 0`12?1vqps4}c3:0?6==3:1N51<1b=l>50;&1e4<61o1C>l>4V3c0>4}K:h?1=vXi0;0x 4c>28k;7[?6c;0x 0`02j1/9k85c:~yx=n9h;1<7*=a082=c=Q:h91=vB=a482S`72;q/=h751`38R4?d2;q/9k95c:&6b3ab83>!4f93;2j6F=a19U6d5=9rF9m84>{Wd3>7}#9l31=lm4V0;`>7}#=o=1o6*:f78`?x{zu2c:n:4?:%0b5?7>n2\9m>4>{M0b1?7|^o:1>v*>e882f2=Q90i1>v*:f6826>"2n?0:>6sr}|9l5a3=83.9m<4>d49U6d5=9rF9m84>{Wd3>7}#9l31=i;4V0;`>7}#=o=1:6*:f785?x{zu2wi=4;50;794?6|,;k:6;=4H3;6?l7f83:1(?o>:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882e5=Q90i1>v*:f68`?!3a>3i0qpsr;h3b5?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3i0(8h9:b9~yx{l?518d8R7g428qG>l;51zTe4?4|,8o26447[>3:197>50z&1e4<1;2B9585f1`294?"5i80:5k5G2`28R7g428qG>l;51zTe4?4|,8o26{zut1b=l?50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d7<^83h6?u+5g59g>"2n?0h7psr}:k2ef<72-8j=7?6f:J1e5=Q:h91=vB=a482S`72;q/=h751`a8R4?d2;q/9k95c:&6b3b683>!4f93;2j6X=a282I4f=3;pZk>52z&2a<<6j>1]=4m52z&6b2<6:2.>j;4>2:~yx=h9m?1<7*=a082`0=Q:h91=vB=a482S`72;q/=h751e78R4?d2;q/9k956:&6b3<13twvq6sm18594?3=83:p(?o>:718L7?23`;j<7>5$3c2>4?a3A8j<6X=a282I4f=3;pZk>52z&2a<<6i91]=4m52z&6b2:0;e?M4f82\9m>4>{M0b1?7|^o:1>v*>e882e4=Q90i1>v*:f68`?!3a>3i0qpsr;h3bg?6=,;k:6<7i;W0b7?7|D;k>69b81!3a?3i0(8h9:b9~yx{k38p(8h8:008 0`12880qpsr;n3g1?6=,;k:669b81!3a?3<0(8h9:79~yx{56;294~"5i80:h95G2878m4g7290/>l?518d8R7g428qG>l;51zTe4?4|,8o26{zut1b=l?50;&1e4<61o1]>l=51zN1e0<6s_l;6?u+1d;95d7<^83h6?u+5g592>"2n?0=7psr}:k2ef<72-8j=7?6f:T1e6<6sE8j97?tVg296~"6m00:mn5Y18a96~"2n>0=7);i6;48yx{z3`;i;7>5$3c2>4?a3_8j?7?tL3c6>4}Qn909w)?j9;3a3>P61j09w);i7;48 0`12?1vqps4i0`b>5<#:h;1=4h4V3c0>4}K:h?1=vXi0;0x 4c>28hj7[?6c;0x 0`02?1/9k856:~yx=h9m?1<7*=a082`0=Q:h91=vB=a482S`72;q/=h751e78R4?d2;q/9k956:&6b3<13twvq6s|9383>6}:1:0:h;5Q939>g5<61o1vn>50;308e728n>70=?6;3b4>;48>0:m=5232095d6<5:>=6a19>70`=9h:01>8?:0c3?85193;j<63<7982e5=:;>31=l>4=25b>4g7349a19>ba<6i916jh4>a19>550=9h:0q~7?:1808?628n=7S7?;<`e>4?a3tyij7>513y>fc<6l<16?><51`38962228k;70=;6;3b5>;4<>0:m<5234195d6<5:??6a19>72e=9h:01?;<:0c3?83cm3;j<63if;3b4>;6890:m=5211495d7<58;?6a19~w3`=839p1:>51e48Z3`<5031=4h4}r;:>5<6mr7257?k5:?077<6ij16?9;51`38962128kh70=;7;3bg>;4=:0:m<5234695d7<5:?>6;<16a?7f92789k4>a09>736=9h;01>8>:0c2?851:3;j=63<7982e4=:;>31=l?4=25b>4g6349?651`2890bc28k:70;ke;3b5>;ak3;j=63id;3b5>;am3;j=63if;3b5>;6890:m<5211495de<58;?6;<321?7f927:=;4>a09~w3b=839p1;k51e48Z3b<5021=4h4}r;;>5<5ir7247?k5:?7`2<6i916?<:51`28965528h<70=;5;3bg>;4ab9>70c=9hi01>;i:0c`?85183;jo63<6082ef=:;?81=lm4=25;>4gd349<57?nc:?03d<6ij16?:l51`a8961d28kh70<>d;3b4>;5;90:m=5222695d6<5<hi4>ab9>1ac=9hi018li:0c3?8`028k;70hl:0c`?8`c28kh70hj:0c`?8`a28kh70??0;3bg>;68?0:n:5210095d6<58;86ab9>540=9hi01<6}:>j0:h;5Q6c9>=2<61o1v4950;0b8?028n>70:k7;3b5>;49<0:m=5232095gg<5:>>6b`9>705=9k=01>;;:0`4?852=3;i;63<5d82f2=:;4d0349==7?m7:?027<6j>16?:651c58961>28h<70=8a;3a3>;4?k0:n:5236a95g1<5;;o6;<011?7f8279?94>a09>132=9h;018jl:0c3?83cl3;i;63:dd82f2=:=kl1=l?4=g:95d6<5oi1=o94=gf95g1<5oo1=o94=gd95g1<58:;6b69>543=9k=011d82e5=:9;o1=l>4=0:6>4g63ty=57>53z?5e?7c>2T=56366;3:b>{t1?0;6bc9>711=9kh01>;<:0`b?852<3;im63<5482fd=:;4df349=<7?ma:?024<6jh16?;<51cc8961?28hj70=89;3ae>;4?h0:nl5236`95gg<5:=h6hi4>b`9>1ac=9kk01km51cc89cb=9kk01kk51cc89c`=9kk01<>?:0`b?877>3;in63>1582fd=:98?1=oo4=035>4df3ty=;7>53z?52T=;6365;3:b>{t1<0;6bb9>711=9ki01>;<:0`a?852<3;in63<5482fg=:;4de349=<7?mb:?024<6jk16?;<51c`8961?28hi70=89;3af>;4?h0:no5236`95gd<5:=h6hi4>bc9>1ac=9kh01km51c`89cb=9kh01kk51c`89c`=9kh01<>?:0`a?877>3;io63>1582fg=:98?1=ol4=035>4de3ty=97>53z?52?7c>2T=96364;3:b>{t1=0;6be9>711=9kn01>;<:0``?852<3;io63<5482ff=:;4dd349=<7?mc:?024<6jj16?;<51ca8961?28hh70=89;3ag>;4?h0:nn5236`95ge<5:=h6hi4>bb9>1ac=9ki01km51ca89cb=9ki01kk51ca89c`=9ki01<>?:0``?877>3;ih63>1582ff=:98?1=om4=035>4dd3ty3>7>53z?;7?7c>2T3>63m8;3:b>{tj10;6l?t=c:95a3<5?:86a19>250=9h:018?m:0c3?82c=3;j<63;d782e5=:4g7349:=7?n0:?057<6i916?=851`38966028k:70=<0;3b4>;4;;0:nh5235095d6<5:>86bd9>711=9ko01>;<:0`g?852<3;ih63<5482fa=:;4dc349=<7?md:?024<6jm16?;<51cf891c128k;70:i3;3b4>;19o0:m=5263295d6<5:=36be9>72d=9kn01>9l:0`g?80303;j<6393882e5=:>:k1=l>4=77e>4g734<=<7?n0:?524<6i916:;<51`28905428k;70;>1;3b4>;3i?0:m=524`595d6<5=ii64>a19>27b=9h:01;4=33g>4gd348:i7?n0:?176<6i916>>:51`a8975228k;70<;5;l0:m=5222d95d6<5;>;6a19>60b=9h:01?86:0c3?841i3;j<63=6c82e5=::?i1=l>4=440>4g734?=87?nc:?620<6i91694:51`2890?128k;70;67;3b4>;2110:m=525ef95gb<5nn4>a19>1gb=9h:018lj:0c3?83en3;jo63:c182e5=:km0:m=52cd82e5=:9l;1=l>4=0g1>4g734;n?7?n0:?2a1<6i9169l=51`2890g328k;70;ne;3b4>;2io0:m=525c295d6<5on4>a19>1fb=9h:018mj:0c3?83c83;j<63:d082e5=:n=0:m=52f782e5=:nj0:ni52fe82fa=:nl0:ni52fg82fa=:99:1=oj4=025>4db34;;m7?n0:?24a<6i916==k51`28947328ho70?>5;3a`>;69?0:ni5210a95d6<588j6a19>517=9h:01<:=:0c3?873;3;j<63>4582e5=:9=?1=l>4=06;>4g734;?57?n0:?20c<6i916=8>51`28943628k;70?:7;3b4>;6>90:m=5217395d6<58<96a19>521=9h:01<97:0c3?870j3;j<63>7b82e5=:9>n1=l>4=05f>4g734;328k;70?75;3bg>;60?0:m=5219;95d6<582n6a19>5<5=9h:01<7;:0c3?87>=3;j<63>9782e5=:90=1=l>4}r5e>5<4s42;60:h85261195d7<5?:?6;<431?7f927=<;4>a09>14e=9h:018?k:0c3?82c=3;j=63;d982e4=:;8;1=l?4=231>4g6349:87?n1:?050<6i816?=851`a8966028kh70=<0;3b5>;4;;0:nk5235095d7<5:>86;<171?7em2788;4>bg9>711=9kl01>;<:0`f?852<3;ii63<5482f`=:;4db349=<7?me:?024<6jl16?;<51cg891`428k:708>f;3b5>;1:90:m<5236:95gc<5:=26bd9>72e=9ko01;:7:0c2?802n3;j=6396182e4=:>?;1=l?4=741>4g634?8?7?n1:?654<6i8168l851`3891g028k:70:lb;3b5>;5980:m=5220095d6<5;;n6;<001?7f9279?i4>a09>600=9h;01?;i:0c3?84183;j<63=6082e5=::?31=l?4=34b>4g6348=n7?n1:?12f<6i8169;;51`3890?128k:70;67;3b5>;2lk0:m<525ea95d7<54=4>a19>1=7=9h:018m?:0c2?8ec28k:70mj:0c2?8ea28k;70j?:0c3?8b628k;70;n3;3b5>;2i=0:m<525`g95d7<5;<7``?7f927>oh4>a09>1a6=9h;018j>:0c2?8`328k:70h::0c3?8`128k:70h8:0c2?8`?28k:70hl:0`f?8`c28hn70hj:0`f?8`a28hn70??0;3aa>;68?0:nk5211`95d6<58:n6;<326?7f927:=>4>a09>542=9ko013;ii63>1`82e5=:98h1=l>4=03`>4g634;:h7?n1:?25`<6i816=?l51`28944d28k;70?=d;3b5>;6:l0:m<5212195d6<589=6a19>56d=9h:01<=l:0c3?874l3;j<63>4982e4=:9=31=l?4=06b>4g734;?n7?n0:?215<6i816=8?51`38943528k;70?:3;3b4>;6=10:m=5214c95d6<58<;6;<355?7f927::?4>a09>533=9h:01<89:0c3?871?3;j<63>6982e4=:9?31=l?4=04`>4g734;=h7?n0:?22`<6i916=;h51`28941728k;70?87;3b5>;6?k0:m<5219495d7<58226;<3;a?7f927:4k4>a09~w2b=839p1:k51e48Z2b<5hh1=4h4}rca>5;3l10:mn5230395de<5:;96ab9>751=9k=01>=?:0c`?854:3;j>63<4282ef=:;=?1=oh4=265>4g5349?;7?n2:?016<6jo16?8:51cd8963228hm70=:e;3ab>;4=o0:nk5237295g`<5:<:6a09>72>=9kl01>96:0`e?850i3;ij63<7c82fc=:;>i1=oh4=742>4gd34<=>7?nc:?7e3<6ij168l951`a8977f28k:70<>b;3b5>;59m0:n:5220g95de<5;9?6ab9>66c=9h;01?=i:0c2?84383;j=63=4082e4=::<<1=lm4=37e>4g6348=n7?nc:?12f<6ij169;:51c58900228kh70;66;3bg>;2110:m<525e`95de<5hh4>bg9>1=6=9h;0186>:0c2?83ek3;j=63:be82e4=:=kl1=o94=4a3>4gd34km64g634im6;<3f5?7f927:i?4>a09>5`5=9h;014g634?i=7?n1:?6f7<6i8169nm51`a890ec28kh70;le;3bg>;2l90:mn525e395de<5o?1=l?4=g495de<5oi1=oh4=gf95g`<5oo1=oh4=gd95g`<58:;64>ab9>542=9kl013;ij63>1`82e4=:98h1=l?4=03`>4gd34;:i7?nc:?263<6i916=?l51`38944d28k:70?<4;3b4>;6;?0:m<5212f95d7<58>:6;<376?7f927:8>4>a09>512=9h;01<:::0c2?87303;jo63>4882ef=:9<81=l?4=070>4g634;>m7?n1:?227<6ij16=;;51`38940?28kh70?99;3bg>;6>j0:m<5217f95d7<58=<6ab9>52e=9h;01<9k:0c2?870m3;j=63>7g82e4=:9181=l?4=0:0>4g634;397?m7:?2<3<6ij16=5751`a894>b28kh70?60;3b5>;61:0:m<5218695d7<583>6;<3:2?7f92wx;o4?:2y>3f<6l?1U;o52a`82=c=z{hk1<7:<{4b234>o:7?nc:?054<6j>16?<<51c58967328h<70=>5;3a3>;4;90:n:5232095d5<5:>>6a29>705=9h801>;;:0c1?852=3;j>63<5d82e7=:;4g5349==7?n2:?027<6i;16?;h51`28961?28k970=89;3b6>;4?h0:m?5236`95d4<5:=h64>a09>66c=9hi0188<:0c2?83>03;jo63:dc82f2=:=mi1=o94=4fg>4g534?oi7?n2:?6<5<6ij169ok51`389ad=9h:018oi:0c`?83dk3;i;63:ce82f2=:=jo1=o94=g595de<5o21=lm4=ga95d4<5on1=l<4=gg95d4<5ol1=l<4=023>4g534;;:7?n3:?24f<6i916=<<51`a8947428h<70?>4;3b6>;69<0:m?5210495d4<58;j6b69>57d=9hi01<3782ef=:9>i1=lm4=0:7>4g634;357?m7:?2=5<6ij16=4951`38yv102908w097:0f5?[10343o6<7i;|q:`?6=;;q65i4>d49>742=9kk01>?::0`b?854:3;j863<4482e6=:;=<1=l:4=264>4g3349>?7?n3:?011<6i:16?8;51`18963b28k870=:f;3b7>;4>90:m>5237395d5<5:<96a29>72g=9h901>9m:0c0?850k3;j?63=3g82ef=:=mh1=oo4=4f`>4df34?oh7?n3:?6``<6i:16m<4>a19>e4<6i816hn4>a19>5`7=9hi018l?:0c`?8`028h<70h7:0`4?8`d28k870hk:0c0?8`b28k870hi:0c0?87783;j?63>0782e1=:9881=o94=030>4df34;:87?n3:?250<6i:16=<851`18947c28h<70?>e;3ae>;6:m0:n:5213g95g1<58>:64>ab9~w23=839p1:851e48Z23<50i1=4h4}r;`>5<470=;5;3b0>;4a59>70c=9h>01>;i:0c7?85183;j863<6082e1=:;?81=l:4=25;>4g3349<57?n4:?03d<6i=16?:l51`68961d28k?70<>a;3bg>;5=m0:m<5224g95d6<5;?m6a09>1ad=9kh018jl:0`a?83cl3;j863:dd82e1=:=ki1=lm4=0g1>4gd34l<64df34lh64g334ln64g334;;<7?n4:?243<6i<16=<<51cc8947428hi70?>4;3b0>;69<0:m95210495d2<58;o6i4>b`9>57c=9kk01<:=:0c`?87?:3;jo63>9582ef=z{>91<7=t=6695a09014l518d8yv?e2908;49=0:nn5230795ge<5:996a79>711=9h<01>;<:0c6?852<3;j963<5482e0=:;4g2349=<7?n5:?024<6i<16?;<51`78961?28k>70=89;3b1>;4?h0:m85236`95d3<5:=h6a19>1ad=9ki018jl:0``?83cl3;j963:dd82e0=:9l91=lm4=4`2>4gd34l<64de34lh64g234ln64g234;;<7?n5:?243<6i?16=<<51c`8947428hh70?>4;3b1>;69<0:m85210495d3<58;o6i4>bc9>57c=9kh01<:<:0c`?870m3;jo63>9482ef=z{>;1<7=t=6095a0;014o518d8yv?f2908;49=0:ni5230795gb<5:9962788;4>a69>711=9h=01>;<:0c5?852<3;j:63<5482e3=:;4g1349=<7?n6:?024<6i?16?;<51`48961?28k=70=89;3b2>;4?h0:m;5236`95d0<5:=h6a19>1ad=9kn018jl:0`g?83cl3;j:63:dd82e3=:9l>1=lm4=4`1>4gd34l<64dd34lh64g134ln64g134;;<7?n6:?243<6i>16=<<51ca8947428ho70?>4;3b2>;69<0:m;5210495d0<58;o6i4>bb9>57c=9ki01<:;:0c`?870n3;jo63>9782ef=z{ml1<7:t=d295a0:1823~;b93;o963;8b8`0>;3;<0h863;218`0>;3:k0h863;4k90h863;4l=0h863;3980h863<9c8`0>;4mj0h863;048`0>;4010h863<958`0>;4i80h863;4n10h863;408`0>;3;2=10h86s|e383>d}:m;0:h85244:9g1=:<>>1o9524929g1=:<821o95239g9g1=:;h=1o95242`9g1=:<==1o9524739g1=z{2T>j?525g695<`535y>1c2=9m?019j;:0c2?856<3;ii63<1482f`=:;=?1=l94=270>4g0349>87?n7:?010<6i>16?8k51`58963a28k<70=90;3b3>;4>80:m:5237095d1<5=o?6a69>72?=9h=01>9n:0c4?850j3;j;63<7b82e2=::>?1=l>4=33a>4gd34?o57?n0:?6`g<6jl169im51cg890bc28k<70;ke;3b3>;2?o0:m<5259295g1<5<2:6a19>e3<6i8169l>51`289c1=9kn01k651cf89ce=9h=01kj51`589cc=9h=01kh51`58946728k<70?>2;3a`>;69:0:nh5210695d1<58;>6be9>54c=9ko01<4482ef=:9191=lm4}rg0>5<3s4o?61o95232a9g1=:;=k1o95234:9g1=:;?>1o9523639g1=:;81o95236g9g1=:>=k1o95262f9g1=:><=1o9526769g1=:=:?1o9522e39g1=::m21o95253:9g1=::ho1o9522ed9g1=::k?1o9522b19g1=:=1o9521ba9g1=:<0>1o9524`c9g1=:::1o9521d59g1=:==21o95rsd494?5|5l<1=i;4=20:>f2<5?=96n:4}r77=?6=;r7>8l4>d79]11?<5<>i6<7i;|q60g<72<:p18:m:0f6?807:3;j<6390282ef=:>9?1=o94=43b>4g7349:h7?n0:?7=d<6i91684m51`28967628hj70;5ml0:ni522g595d6<5;l364>bd9>77c=9h:01>=6:0c3?85283;j<63<5382e5=:4=5d3>4g734<:o7?n0:?55a<6jh16:;1;00:mn5262`95gg<5??86b69>164=9h:018>i:0c3?83aj3;j<63=7482e4=:;;=1=l>4=204>4g634>j:7?md:?7gd<6i916:<<51`a8934c28kh70<98;3b5>;5>00:mn5227`95g1<5h44>a09>1`b=9h:0189i:0c`?83?83;im63:8082f2=:>>:1=l?4=c195d7<5k>1=l?4=bf95de<5jl1=o94=e295de<5m;1=lm4=4c3>4g634?j?7?nc:?6e1<6j>169i>51cf897<6i916===51`28946228k;70?<9;3bg>;6;k0:mn5215:95g1<58?;6b`9>50c=9kk01<8?:0``?871:3;ih63>6982f2=:9?i1=o94=04f>4gd34;<<7?nc:?233<6i916=5m51`28yv4?m3:1?v3=8g82`3=Y:1o01?7>:0;e?xu5180;6iu228395a3<5;;h6:>4>ab9>1=7=9kk018lj:0c`?83dk3;im63:ce82fd=:n<0:mn5210`95de<588h6ab9~w0e42908w0;l4;3g2>X2k:169n;518d8yv3d=3:15v3:c482`0=:;831=l>4=23:>4g6348751`28915>28k:70:;3;o0:m<5rs4:;>5<4s4?357?k6:\6<==:=1k1=4h4}r7;e?6==r7>4l4>d49>0g0=9h;019lj:0c3?82em3;j=63:a182ef=z{2T>i<525d195<`55z?6a6<6l<168km51`38906328k;70;?4;3b5>;5?<0:mn5rsd594?2|5l21=i84^d589`?=90l01ho518d8yvc>290:>v3j9;3g1>;3;:0:n:5243495g1<5=8<644>b69>05e=9kk019>k:0`b?827m3;im63;0g82fd=:;l<1=oo4=2g4>4df349n47?ma:?0a<<6jh168=<51`a8916428kh70::f;3bg>;2;m0:mn5rsdc94?4|5lk1=i;4=2d1>f253z?62<<6l?1U9;64=44b>4?a3ty>:l4?:ey>13g=9m?019>l:0`a?827l3;in63;0d82fg=:<9l1=ol4=2g5>4de349n;7?mb:?0a=<6jk16?h751c`896>d28k;70=7c;3b5>;41;0:m=5238095d753z?6<3<6l?1U95;4=4:4>4?a3ty>4:4?:2y>1=1=9m?01;2>m0:5k5rs44g>5<4s4?=h7?k5:?0=<<6i916?4751`38yv7>13:1?v3>9`82`3=Y90301<7m:0;e?xu61k0;6>u218`95a3<5:l;63;o9634g7349jn7?n1:p1``=839p18h?:0f5?[3bn27>j<4>9g9~w0`6290>w0;i1;3g1>;3<<0:m=5245795d7<5=>i63d<6l?1U;452a882=c=z{h31<7;|q;0?6=;r7397?k6:\;0>;e13;2j6s|b883>7}:j00:h85221d95d74b13W2=70ln:0;e?xuei3:1>v3ma;3g1>;570<=6;3b5>{t0h0;6>u28c82`3=Y0h16nn4>9g9~wge=838p1om51e78975628k:7p}7c;297~;?l3;o:6P7c:?a`?7>n2wxni4?:3y>fa<6l<16>?751`38yv>b2908w06i:0f5?[>b34hn6<7i;|qaa?6=:r7ii7?k5:?10<<6i81v?6l:18084?l3;o:6P=8b9>g2<61o1vn950;7x9f1=9m?01?hm:0c3?84aj3;j=63k9;3b4>;c13;j=6s|5d494?5|5;2m?0:h85rs5:7>5<5s4?n:7?6f:?7<1<6l<1v96<:18183b>3oo70:73;3g1>{t==i1<7=t=46g>4b13W??o63:4b82`0=z{=?;6=4={<77g?7>n27?9=4>d49~w12a2909w0;;c;gg?823n3;o96s|59`94?5|5<2h6;20k0:h85rs54b>5<5s4?3n7?6f:?72d<6l<1v98m:18183?j3oo70:9b;3g1>{t=l>1<7=t=4g6>4b13W?n863:e582`0=z{===6=4={<7f0?7>n27?;;4>d49~w1102909w0;j4;gg?820?3;o96s|56094?5|5<=86;2?;0:h85rs251>5<5s4?<>7?6f:?037<6l<1ve`9>5`g=9m?0q~53z?2b7<6l?1U=k?4=0d2>4b23ty95c7=90l01?>n:0f6?xu6n:0;6>u21g695a0{t:8?1<74?a348:97?k5:p5c3=839p1d49~w7462909w0?i5;3:b>;5:80:h85rs0d4>5<4s4;m47?k6:\2b2=:9o=1=i;4}r01g?6=:r7:j:4>9g9>67e=9m?0q~?i9;297~;6nh0:h;5Q1g;894`>28n>7p}=3983>7}:9o31=4h4=31;>4b23ty:jo4?:2y>5ce=9m<0R9:50;0x94`e283m70<;4;3g1>{t9on1<7=t=0df>4b13W;mh63>fe82`0=z{;>m6=4={<3e`?7>n2798k4>d49~w4`a2908w0X6no16=kh51e78yv4203:1>v3>fg82=c=::<21=i;4}r035?6=;r79d79]657<5;::6=?518d8970428n>7p}:5883>6}:=b28n=7S;7d:?62T>h9525e695a352z?6`1<61o169i851e78yv3b03:1?v3:e882`3=Y=l2018k7:0f6?xu2mh0;6?u25d:95<`<5;20:0:h85rs541>5<5s4?3?7?6f:?727<6l<1v8=9:180834?3;o:6P:379>160=9m?0q~;<8;296~;2;?0:5k5252:95a353z?63d<6l?1U9:74=45:>4b23ty>;o4?:3y>12?=90l0189m:0f6?xu2j<0;6>u25c495a070;m5;3g1>{t=k=1<74?a34?i;7?k5:p126=839p189>:0f5?[30827>;=4>d49~w30e2909w0;80;3:b>;1>k0:h85rs0ge>5<4s4;m<7?k6:\2ac=:9ll1=i;4}r;f>5<5s4;nj7?6f:?:a?7c=2wx=hm50;1x94cc28n=7S?jc:?2af<6l<1vlm50;0x94cd283m70ol:0f6?xud=3:1?v3l6;3g2>Xd=27h97?k5:pg=<72;q6o84>9g9>g=<6l<1vi:50;1x9a3=9m<0Ri:4=e695a34?a34n=6;6;2;o0:h85rs462>5<5s4?8j7?6f:?604<6l<1v8:j:180833n3;o:6P:4d9>11c=9m?0q~;:0;296~;253z?6=f<6l?1U94l4=4;a>4b23ty>5i4?:3y>10;6>u25`:95a0{t=h31<74?a34?j57?k5:p1f0=839p18m8:0f5?[3d>27>o;4>d49~w0e?2909w0;l6;3:b>;2k10:h85rs5:a>5<3s4>387?6f:?7<7<61o1685k51`3891>d28n>7p};8383>1}:<181=i;4=5::>4g734>3m7?n0:?0a1<6i91v96::18182?;3;2j63;8482`0=z{=2o6=4={<6;1?7>n27?4i4>d49~w1>12909w0:7e;3b4>;30?0:h85rs5:f>5<5s4>3i7?k5:?7022=:1h0199;:3:b?82?8383n63;81815l4=3g;>7>f34<;47<7b:?54=<50h169?>529`890472;2j70==2;0;f>;4:;094l5248d96=d<5=3m6?6n;<6ge?4?j27?hl4=8`9>74g=9h;01>?7:3:a?84a9383n63=f0815l4=3dg>7>f349;m7<7b:?04d<50h16?>:529`896532;2j70=;4;j094l5235c96=d<5:>j6?6n;<16732=:1h01>8;:3:b?8509383n63<70815l4=5`:>7>f34>h<7<7b:?7g5<50h168h6529`891c?2;2j70:i5;0;f>;3n<094l524gd96=d<5=lm6?6n;<732?4?j27><;4=8`9>274=:1h01;<=:3:b?850m383n63<7d81=k1>5l4=76b>7>f34<8h7<7b:?57a<50h16:89529`893302;2j70:<5;0;f>;3;<094l5243296=d<5=8;6?6n;<61f?4?j27?>o4=8`9>232=:1h01;8;:3:b?834=383n63:34815l4=3f2>7>f348o47<7b:?1`=<50h16?o8529`896d12;2j70;=8;0;f>;2:1094l523b296=d<5:i;6?6n;<0ba?4?j279mh4=8`9>6a`=:1h01?ji:3:b?85dj383n631>5l4=2f7>7>f349oj7<7b:?0`c<50h16>o;529`897d22;2j70;5k:094l524c296=d<5=h;6?6n;<0ag?4?j279nn4=8`9>6fg=:1h01?mn:3:b?836;383n63:12815l4=4dg>7>f3485=529`897>42;2j70:>1;0;f>;398094l521b496=d<58i=6?6n;<0;=?4?j279444=8`9>77m:3:b?85bk383n635l4=2d1>7>f34>;97<7b:?740<50h168<6529`8917?2;2j7089a;0;f>;1>h094l5226596=d<5;=<6?6n;<3`g?4?j27:on4=8`9>77?=:1h01><6:3:b?85?0383n63<89815l4=2:f>7>f349287<7b:?0=1<50h16?l?529`896g62;2j70=n7;0;f>;4i>094l523`f96=d<5:ko6?6n;<1e06d=:1h019=m:3:b?8239383n63;40815l4=564>7>f34>?h7<7b:?70a<50h1684:529`891?32;2j70:na;0;f>;3ih094l524bf96=d<5=io6?6n;<422?4?j27==;4=8`9>266=:1h01;=?:3:b?8219383n63;60815l4=54:>7>f34<<>7<7b:?537<50h16=h9529`894c02;2j70;;8;0;f>;2<1094l5254:96=d<5;30j0h?63;8`82fc=:<1k1=l<4=5:b>4g434>3m7?n4:?7f28k<70::8;a0?820<3i870:70;a0?84b03i8708?8;a0?807=3;ij6390482e7=:>9?1=l=4=726>4g334<;97?n5:?540<6i?16:=;51`58936128hm708?6;3b6>;18?0:m>5261495d2<5?:=627=<;4>a69>176=k:1684h5c29>0ag=k:16?<65c29>742=9h?01>?;:0c5?856<3;j;63<1482e0=:;8?1=l84=236>4g0348m=7m<;<0fa?7en279ih4>a39>6`c=9h901?kj:0c7?84bm3;j963=ed82e3=::lo1=l94=3ge>4da348nj7?n2:?1ac<6i:16>hh51`6897ca28k>70;5mo0:m:522gf9g6=::o21=l=4=3d;>4g3348m47?n5:?1b=<6i?16>k651`5897`>28k870;5n00:m8522g;95d0<5;l2670=?3;3b2>;48:0:m:5231695d4<5::?6a49>752=9h<01>>;:0c4?854<3i870=<2;3bb>;4;;0:n=5232095g7<5:996b59>764=9k?01>==:0`5?854:3;i463<3382f<=:;:i1o>5235c9g6=:;=?1=lk4=266>4ga349?97?m0:?000<6j816?9;51c08962228h870=;5;3a0>;4<<0:n85235795g0<5:>>6b19>710=9k;01>:9:0`1?853>3;i?63<4782f1=:;=<1=o;4=265>4d1349?:7?m8:?003<6j016?9951c28962028h:70=;7;3a6>;4<>0:n>5235595g2<5:><62788:4>b99>711=9k301>;7:b18963428ki70=:3;3b`>;4=:0:mh5234195d`<5:?864>b39>705=9k901>;<:0`7?852;3;i963<5282f3=:;<91=o64=270>4d>349>87?nb:?011<6im16?8:51`g8963328km70=:4;3a4>;4==0:n<5234695g4<5:??6b49>702=9k<01>;;:0`;?852<3;i563<5482eg=:;4gb349>97?nf:?010<6j916?8;51c38963228h970=:5;3a7>;4=<0:n95234795g3<5:?>6b89>732=k:16?8k51``8963b28ko70=:e;3ba>;4=l0:mk5234g95g6<5:?n6;<16a?7e:2789h4>b29>70c=9k>01>;j:0`6?852m3;i:63<5d82f==:;4ge349>j7?nd:?01c<6il16?8h51`d8963a28h;70=:f;3a5>;4=o0:n?5234d95g5<5:?m6b79>70`=9k201>;i:0`:?85183;jn63<6182ea=:;?:1=lk4=243>4ga349=<7?m0:?025<6j816?;>51c08960728h870=90;3a0>;4>90:n85237295g0<5:<;6ac9>737=9hn01>8>:0cf?85193;jj63<6082f5=:;?;1=o?4=242>4d5349==7?m3:?024<6j=16?;?51c78960628h=70=91;3a<>;4>80:n45237095dd<5:<96ag9>734=9k:01>8=:0`2?851:3;i>63<6382f6=:;?81=o:4=241>4d2349=>7?m6:?027<6j116?;<51c;896162j9019k7:b1891`22j9019h<:0c0?82a;3;j863;f282e0=:4g034<9>7m<;<42`?7f:27==i4>a29>24b=9h>01;?k:0c6?806l3;j:6391e82e2=:>8o1=l<4=73f>4g434<:i7?n4:?55`<6i<16:f;3b0>;19o0:m85260d95d0<5?;m6=4>a49>276=9h<01;;4?10:mh5236:95d`<5:=36b39>72>=9k901>97:0`7?85003;i963<7982f3=:;>21=o64=25;>4d>349<57?nd:?03<<6il16?:751`d8961>28h;70=89;3a5>;4?00:n?5236;95g5<5:=26b79>72?=9k201>96:0`:?850i3;jh63<7`82e`=:;>k1=lh4=25b>4d7349;4?h0:n;5236c95g><5:=j6ad9>72d=9hl01>9m:0`3?850j3;i=63<7c82f7=:;>h1=o=4=25a>4d3349;4?j0:mh5236a95d`<5:=h6b39>72e=9k901>9l:0`7?850k3;i963<7b82f3=:;>i1=o64=25`>4d>34a59>21>=9h?01;:7:0c5?80303;j;6393e8`7>;1=>0h?63;348`7>;3:90h?63;2c8`7>;1>=0h?63:348`7>;5l80h?63=d98`7>;4j?0h?63:298`7>;4k90h?63=ad8`7>;5lo0h?63;4l=0h?63;5j<0h?63=c28`7>;3j90h?63=bb8`7>;5kh0h?63:128`7>;2nm0h?63=7e8`7>;50:0h?63;108`7>;6k?0h?63=888`7>;41k0h?63;4n;0h?63;048`7>;3910h?6396`8`7>;6kj0h?63<288`7>;4010h?63<8d8`7>;41=0h?63;4i>0h?63;4n10h?63;3c8`7>;3<80h?63;468`7>;3;3ih0h?63;a782e1=:4g134>j:7?n7:?7e2<6i=168l951`7891g028k=70:n7;3b3>;3km0h?639178`7>;1;90h?63=5782e6=::<<1=l:4=375>4g2348>:7?n6:?113<6i>169il51`5890bd28k<70;kd;3ba>;2lm0:mk525ef95g6<5;<7g`?7e:27>hi4>b29>1ab=9k>018jk:0`6?83cl3;i:63:de82f==:=mn1=o74=4ff>4gb34?oi7?nf:?6``<6j9169ik51c3890bb28h970;ke;3a7>;2ll0:n9525eg95g3<5hh4>b89>037=k:168;75c29>224=k:169965c29>10>=k:169i>51`7890b728k=70;k0;3b3>;2l80:m8525e395d0<54g234l<64g034l364g134l364gb34lh64d734lh6;4d534lh64d334lh64d134lh64d>34lo64ga34lo64d634lo64d434lo64d234lo64d?34lo64gb34ln64d734ln6;4d534ln64d334ln64d134ln64d>34lm64ga34lm64d634lm64d434lm64d234lm64d?34lm6ag9>556=9k:01<>?:0`2?87783;i>63>0182f6=:99:1=o:4=023>4d234;;<7?m6:?245<6j116==>51c;8946128km70??6;3a4>;68?0:n<5211495g4<58:=6b49>550=9k<01<>9:0`;?877>3;i563>1382e1=:9881=l;4=031>4g134;:>7?n7:?256<6i?16=<=51`58947328ko70?>4;3ba>;69=0:mk5210695g6<58;?6;<320?7e:27:=94>b29>542=9k>011582f==:98>1=o74=036>4gc34;:97?ne:?250<6io16=<;51c28947228h:70?>5;3a6>;69<0:n>5210795g2<58;>627:=84>b99>543=9k3013;ji63>1782ec=:98<1=o>4=035>4d634;::7?m2:?253<6j:16=<851c68947128h>70?>6;3a2>;69?0:n55210495g?<58;o6a79>54b=9h=012e82e6=:9;n1=l:4=00g>4g234;9h7?n6:?26a<6i>16=?k51`18944b28k?70?=e;3b1>;6:l0:m;5213g95d1<58<;6a59>536=9h?01<8?:0c5?87183;j;63>6082e7=:9?;1=l=4=042>4g334;==7?n5:?224<6i?16=;?51`58940528k870?92;3b0>;6>;0:m85217095d0<58<96028n>7p};8983>7}:<1<1=l?4=5:;>4b23ty8mh4?:02x91>>28k:70:7a;3b5>;4il0:h8523c095d6<5:h86a19>7gb=9h:01>m::0c3?85d03;j<634=2fa>4g7349oo7?n0:?0`a<6i916?h:51`38yv5e03:1jv3;8882ef=:<1k1=lm4=2`0>4g6349i87?n1:?0f=<6l<16?om51`3896dc28k:70=l6;3b4>;4k10:m<523e395d7<5:n96;<1gf?7f9278hn4>a09>7ab=9h;01>k;:0c`?xu4k80;6ku249;95g1<5=2j6ab9>7f7=9m?01>m::0c2?85d>3;j=634=2f2>4gd349o>7?nc:?0`g<6ij16?im51`a896bc28kh70=j4;3a3>{t;ji1<7lt=5::>4df34>3m7?ma:?0f6<6j>16?o:51c5896e>28k:70=lc;3g1>;4l90:m=523e`95g1<5:nh6b`9~w6b12902w0:79;3af>;30h0:no523c195gg<5:h?6d49>7ag=9h:01>jk:0`b?85b<3;in6s|49;94?4|5=226f28hh70:ne;3b4>;3il0:m<523g;95a3?>7>52z?7v3;8`82f`=:<=21=i;4}r6;e?6=:r7?4l4>d49>0=>=9h;0q~=65;29a~;30m0:m=5249f95d7<5=?26a19>023=9h;0196>:0c3?82?93;j=63;3282e5=:<9:1=l>4=2:5>4g7349297?k5:?611<6i91698851`28yv22?3:1?v3;5182=c=:<=o1=4h4=57;>4b23ty?8h4?:3y>01c=9m?018;9:0c2?xu3=80;6?u245d95<`<5=?:628n>7p};5383>7}:<<21=4h4=571>4b23ty?9>4?:3y>004=9h:019;<:0f6?xu3==0;6?u244095d7<5=??6i1=l>4=55f>4g734>8=7?n0:?777<6i9168>=51`38917>28n>70:>d;3b4>;3:<0:m=5243495d6<5=8<644>a19>05d=9h:019>l:0c3?827l3;j<63;0d82e5=:<9l1=l>4=2g5>4g7349n;7?n0:?0a=<6i916?h751`2896`a28k;70:?2;3b4>;38:0:m=5239795d6<5:2=6;<66`?7f827?9k4>a19>16b=9h:0q~:=1;2965}:<4g734><<7?n1:?734<6i9168:m51`38911c28k;70:<1;3b5>;3;;0:m<5242195de<5=;n684>a09>070=9h;019<8:0c2?82503;j=63;2882e4=:<9h1=l?4=52`>4g634>;h7?n1:?74`<6i8168=h51`3896c128k:70=j7;3b5>;4m10:m<523d;95d7<5:lm6;<634?7f927?<<4>a19>7=2=9h:01>6;:0c2?822l3;j=63;6682e5=z{=?>6=4={<661?7c=27?994>a19~w14c290:jv3;5782e4=:<>;1=l?4=551>4g634>d;3b5>;39l0:m<5243795de<5=8=654>ab9>07?=9hi019>m:0c`?827k3;jo63;0e82ef=:<9o1=lm4=52e>4gd349n:7?nc:?0a2<6ij16?h651`a896c>28kh70:?0;3bg>;3880:m<5241095d7<5=:86;<1;1?7f927?9k4>a09>031=9h;018=k:0c2?xu3=?0;6?u244495a3<5=??6;|q736<72;q68;o518d8911328n>7p};6b83>7}:4b23ty?;84?:3y>03e=90l0199::0f6?xu3>m0;6?u246695<`<5=7p};6g83>7}:4b23ty?n<4?:5y>026=9hi0199>:0c`?820:3;jo63;b082`0=z{==;6=4={<644?7c=27?:k4>a19~w1162909w0:81;3g1>;3>o0:m<5rs5af>5<>7?m7:?73`<6ij168nk51e7891b328k;70:96;3b4>;3>?0:m<52a782e5=:9>>1=l>4=056>4g734;<47?nc:p024=838p199=:0f6?821n3;jo6s|46d94?4|5===6<7i;<6;4?7c=2wx8:650;0x9110283m70:88;3g1>{t<1;1<74?a34>3=7?k5:p02?=838p196?:0;e?82013;o96s|46c94?4|5==2628k:70:8b;3g1>{t4gd34>168k951e78yv20k3:1>v3;7b82`0=:<>h1=l>4}r64`?6=:r7?;i4>d49>02d=9h;0q~:8e;296~;3?l0:h85246`95de52z?1a4<61o16>h651e78yv4b93:1?lu22d395a3<5;o>6a19>24c=9h:01;?i:0c`?80583;jo6394782e5=:>==1=l>4=76;>4gd34<857?n1:?57d<6i816:>l51`28933528k;70:n6;3a3>;3i>0:n:5260095d7<5?;86;<420?7f827=>n4>a19>27b=9h;01;a09>56g=9h;01<=m:0c2?874k3;j=63>3e82ef=:9=k1=l?4=06a>4g634;?j7?n1:?217<6ij16=8=51`a8943028k:70?:8;3b5>;6=00:m=5214c95de<58?i6a19>536=9hi01<8>:0c`?871:3;i;63>6482ef=:9?<1=l?4=044>4g634;=o7?nc:?22a<6ij16=;k51`38940a28k:70?80;3b5>{t:l81<74?a348n>7?k5:p6`5=838p1?k=:0c3?84b;3;o96s|2d694?4|5;o96;<0f0?7c=2wx:;;50;6x97c228k:70895;3g1>;2i;0:m=525`095d752z?1a0<6l<16>h:51`28yv4dk3:1>ou22d495d6<5;on6a19>6c?=9h:01>><:0c3?857<3;j<63;f282f2=:>8n1=l?4=73f>4g634<:j7?m7:?565<6j>16:9851`38932028k:708;8;3a3>;1;k0:m<522ba95a3<5;n=6b`9>0d1=9kk01;?;:0c2?805k3;j=63=1082ef=::881=lm4=375>4df34h:64g734?o<7?ma:?6`4<6jh16=>j51c58942f28kh70?;b;3bg>;6;<36e?7e?27:9o4>a09>50c=9h;01<;i:0c2?87183;i;63>6082f2=:9?81=oo4}r0g7?6=:hq6>h851`3897cb28k:70;5n10:m<522g;95d7<5::86;<130?7f927?j>4>b`9>24b=9hi01;?j:0c`?806n3;im6392182fd=:>=<1=lm4=764>4gd34i=51e7897bc28k:70:n6;3af>;3i>0:no5260695de<5?8h6b69>600=9kh01?87:0c3?8d528k;70;k0;3af>;2l80:no5212f95gg<58>j6b69>501=9k=01<;6:0c`?872i3;im63>5c82ef=:94gd34;=<7?ma:?224<6jh16=;<51c`8yv4f13:1==u22d495de<5;on6ab9>6c?=9hi01>><:0c`?857<3;jo63=cg82e5=::m<1=l?4=3c:>4b2348oh7?nc:?1f6<6i916>o=51`3897e628k;70;5k10:m=5rs3fb>5<5?r79i;4>b69>6c>=9k=01?h6:0`4?857;3;i;63<0582f2=:4d034<:i7?m7:?55c<6jk16:?>51c`8932128h<708;7;3a3>;1<10:no5262`95g1<5??>6bb9>0d1=9ki01;?;:0`4?805k3;i;63=1082fd=::881=oo4=375>4dd34h?6h<4>bb9>56b=9kh01<:n:0`b?873j3;im63>4g82fd=:9<=1=oo4=07:>4d034;>m7?mb:?21g<6j>16=8k51c58943a28h<70?90;3af>;6>80:no5217095ge5ez?1a3<6jh16>hk51c5897ca28h<70;5n00:nl5231195gg<5::?6ab9>6ab=9k=01?l?:0f6?84d93;j=63=b`82e4=::j21=l?4}r0aa?6=kr79i;4>bc9>6`c=9kk01?ki:0`b?84a03;in63=f882fg=:;991=ol4=227>4de348hj7?nc:?1`3<6j>16>ij51cc897db28n>70{t:k=1<7jt=3g5>4dd348ni7?mb:?1ac<6jk16>k651ca897`>28hh70=?3;3ag>;48=0:nn522bd95g1<5;n=6ab9>6g1=9m?01?m7:0`4?xu5k<0;6ou22d495gb<5;on6be9>6c?=9kn01>><:0`g?857<3;ih63=cg82fd=::m<1=ol4=3fg>4dd348h97?k5:p6`0=838p1?k9:0f6?84b<3;j=6s|61594?5|5d49~w0`b290:hv3:fd82`0=:>981=l?4=720>4d034<;87?nc:?540<6jh16:=851c5891`428hh708>d;3af>;19l0:nl5260d95gb<5?8;6b69>236=9hi01;8>:0`b?801:3;i;63;a782f`=:4db34?o=7?md:?20=<6jh16=9751c58943728h<70?:1;3bg>;6=00:nl5217:95gg<58<267p}90883>7}:>931=i;4=72;>4?a3ty=<=4?:3y>1c`=9h:01;>?:0f6?xu1880;6?u25gd95d7<5?::6=:0c`?807<3;i;6390782fd=:=8k1=l?4=23g>4g634>2m7?n1:?7=a<6i916?<<51cc897cc28k:70;5n>0:m<522g;95gc<5::96;<130?7em278>h4>a09>76?=9h;01>;?:0c2?852:3;j=63;ed82e4=:4=5d0>4dc34<:o7?n1:?55`<6jk16:?>51cf8932028hj708;1;k0:no5264695d6<5?<;6??4>a09>15`=9h;018hm:0c2?855<3;o963;a682f`=:4gd34<9i7?nc:?113<6jm16>;651`a8970f28kh70<9c;3a3>;e;3;jo63m4;3bg>;dm3;i;63:d082f`=::3;j=63>0282e4=:99?1=l?4=01b>4gd34;8o7?nc:?20<<6jh16=8?51c58943428h<70?:8;3bg>;6=k0:no5214d95gg<58<:6ab9>531=9hi01<86:0`b?871l3;i;63>6g82ef=:9><1=l?4=0:`>4g63ty=254=9m?01;>>:0c3?xu49j0;6;kt=720>4df34<;87?ma:?540<6jk16:=851c`8967d28n>70:k5;3bg>;3l10:n:5230695g`<5:;>6b`9>714=9hi01>:<:0`4?853=3;j463<5282e==:;<>1=l64=276>4g?349>i7?n8:?01c<6i116?;>51`:8960628k370=92;3b<>;3n:0:nh5260d95gc<5?8;6a99>72g=9h201>9m:0c;?850k3;j46394982f`=:>4df34<==7?mb:?527<6jk169>=51`a8907628kh70:n6;3ab>;3i>0:nk524b`95de<5;;:6b69>663=9k=01?;9:0`f?84183;jo63=6082ef=::?31=o94=34b>4d0348=n7?ma:?12f<6jh169;;51c5890?028kh70;kb;3ab>;2lj0:nk525ef95d><5m>4>b69>1d2=9kk018mj:0`b?83c83;ij63:d082fc=:n=0:mn52f782f2=:n>0:nh52f982f`=:nj0:m552fe82e==:nl0:m552fg82e==:99:1=l64=02f>4gd34;:>7?me:?256<6jo16=<:51`:8947228k370?>6;3b<>;69j0:n:5210f95gc<58;n6h4>bd9>56?=9k=01<=n:0`4?874j3;i;63>3b82f2=:9=21=ol4=06:>4de34;?m7?mb:?20g<6jk16=8>51cc8943628hj70?:8;3a3>;6>90:ni5217395gb<58<96b69>53>=9kh01<86:0`a?871m3;i;63>6g82f2=:9>:1=o94=0:5>4d034;3j7?nc:p26c=83>hw08?3;3af>;18=0:no5261795ge<5?:=6bb9>24c=9ki01;=j:0f6?803<3;j<6394482e5=:>=<1=ol4=764>4de34751c58935f28h<708:1;3b4>;1=:0:m<5264695d7<5??n6bc9>237=9ki01;8=:0``?80103;j<63;a782e7=:4g734<:=7?n0:?557<6j>16:<=51c58937328hj708=d;3a3>;1:l0:n:5220395ge<5;;96o51cc8945e28hj70?;6;m0:nn5215:95ge<58>26bb9>51`=9kh01<;?:0`a?87293;in63>5382fd=:9<91=oo4=074>4de34;>47?ma:?21<<6jk16=8o51ca8943e28hh70?:e;3af>;6=o0:no5217295gc<58<:6b`9>530=9kk01<88:0`b?87103;io63>6882ff=:9?i1=oo4=04g>4df34;=i7?ma:?22c<6jh16=:>51cc8yv03j3:1?:u261195ge<5?:?6be9>0c5=9h801;?k:0`g?806m3;ih6391g82fc=:>;:1=oh4=767>4g634651`38935>28hj708;1;k0:nn5265`95a3<5??:6;<466?7f927=984>a09>20c=9h;01;87:0c2?82f>3;j?63;a682e6=:>8;1=l?4=737>4de34<947?n0:?56=<6i816>;651c58970>28hj70<9a;3ae>;5>k0:no5227a95gd<5k;1=l?4=c095d7<5k91=o94=c695g1<5bc9>56g=9kh01<=m:0`a?874k3;in63>3e82fa=:9=21=oj4=06:>4dc34;?m7?md:?20g<6jm16=9h51ca8943728hh70?:1;3ag>;6=;0:no5214195gd<58?n69?1=ok4=725>4db34<:h7?me:?55`<6jl16:;1;<40ab9>205=9hi01;;;:0c`?802=3;jo6395982`0=:>4dd34<==7?md:?527<6jm16:<>51`38937528hj708>3;3ae>;1:00:m=5263;95d7<5;;:6a39>63>=9kk01?86:0`a?841i3;in63=6c82ff=::?i1=om4=c395de<5k81=lm4=c195gg<5k>1=oo4=4f3>4g434?o=7?n3:?212<6jj16=8651c`8943>28hh70?:a;3a`>;6=k0:ni5214g95gb<58?m6bg9>534=9h801<8l:0`a?871l3;in63>6d82fg=:9?l1=ol4=053>4de3ty=<>4?:3y>255=9m?01;>>:0c2?xu18=0;6?u261695a3<5?::67}:>9<1=i;4=722>4df3ty>=k4?:3y>142=90l0184b234?:n7?n1:?65f<6i8169;31j0:m<5248f95d7<5=o;6a19>0c6=9h;019h>:0c2?83493;j<63:0c82e5=:=9h1=l?4=5a:>4g73488?7?m7:?171<6jh16>>;51cc890?328k:70;65;3b4>;21?0:n:5258595g1<5<3364g734;?=7?m7:?207<6j>16=9=51c58942328h<70?;5;3a3>{t=8?1<74?a34?:97?k5:p140=838p18?::0c3?836>3;o96s|50594?4|5<;>6;<723?7c=2wx94=50;1x907?28k;70;>8;3b5>;21:0:h85rs43;>5<5s4?:47?k5:?652<6i91v<=i:18083613;j<63:1882e4=:9:l1=i;4}r72=?6=:r7>=44>d49>141=9h;0q~;>a;296~;29h0:h85250595de530y>14d=9hi018?l:0c`?836m3;j=63;9c82e4=:<0i1=lm4=5;g>4gd34>i>7?n0:?7ac<6i8168k>51`a891`628kh70:i8;3b4>;2:00:h85253d95d6<5<9;6??4>ab9>165=9k=018<::0c3?835=3;j=63:1182e5=:<081=l>4=5c7>4g734>h;7?n0:?7g<<6i8168no51`a891ee28h<70;mc;3a3>;2jm0:n:525cg95g1<5mh4>b69>1d`=9k=018l?:0`4?83e93;i;63:b382f2=:9>h1=o94=05`>4d034;16=:h51c5894>528h<70?73;3a3>;60=0:n:5219795gg<582=670;>7;3a3>{t=8i1<74b234?:;7?ma:p177=83;nw0;>d;3bg>;3j;0:m<524g:95d7<5<8m6;<704?7f927>?<4>ab9>164=9k=018=<:0`b?83593;o963:2782e5=:=8:1=l?4=5;1>4g634>j87?n1:?7g2<6i8168n651`2890?328kh70;65;3b5>;2jj0:nl525cf95gg<5o=4>bc9>e1<6i916m94>a09>52d=9kk01<9l:0`b?870l3;im63>7d82fd=:9>l1=oo4=0:g>4g63ty>=i4?:3y>14b=9m?018?8:0`a?xu31<0;69:t=43f>4gd34>2n7?nc:?7=f<6j>1684j51c5891b328kh70:k5;3a3>;3l?0:n:524e595gg<5=n36a39>0g0=9h:019l8:0c3?82b93;j<63;e082e4=:4d034>m=7?m7:?7bf<6i9168kj51`28905628h<70;<2;3ae>;2;:0:no5251a95d6<5<:h6;<6b0?7fk27?o44>ab9>0fg=9k=019mm:0`b?83>=3;jo63:dc82e7=:=mi1=l<4=4``>4de34?ih7?mb:?6f`<6jk169oh51ca890e728hh70o::0c2?8g128kh70:65;3g1>;2n<4>b`9>1g4=9kk0163>7582e4=:9>?1=l?4=055>4gd34;<;7?m7:?23=<6j>16=:l51c`8941d28hi70?8d;3af>;6?l0:no5216d95gd<58296b`9>5=3=9kh01<69:0`a?87>;3;i;63>9582f2=:90?1=o94=0;5>4d034;2;7?m7:p14c=838p18?j:0f6?836?3;io6s|33394?4|5:;h6<7i;<116?7c=2wx?e;3g1>{t;8n1<7f5<5:;o67p}<2183>7}:;8o1=l?4=203>4b23ty8776=9h:01>9g9>0d6=9h;0197i:0f6?xu31?0;6hu248495a3<5=3j6b`9>0==:0c;?854i3;j<63<4782e==:;==1=l64=24a>4g734?==7?n0:?243<6i116=?<51`2894>>28hj7p};9683>7}:4=5;4>4b23ty?m=4?:3y>0d6=9m?0197i:0;e?xu3110;6?u248595d6<5=33628n>7p};9`83>7}:<0k1=i;4=5;:>4g73ty?mo4?:cy>0l3;in63;eg82f2=:4df34?9j7?nc:?675<6ij169?851`3891ge28n>70:l8;3b5>{t<9<1<7??{<6:f?7ej27?5n4>bb9>04d034>;o7?m7:?74a<6j>168=k51c58916a28h<70=j6;3a3>;4m>0:n:523d:95g1<5:o2628k:7p};9b83>7}:<0i1=i;4=5;:>4gd3ty?5i4?:3y>0u24bg95<`<5=ni6;<6ge?7c=2wx8nh50;0x91be28k;70:lf;3g1>{t4b234>om7?6f:p0a6=838p19mi:0c3?82c83;o96s|4e394?4|5=im6;<6g5?7c=2wx=:<50;1x91b528k;70:k2;3b5>;6?;0:h85rs5f1>5<5s4>o>7?k5:?7`4<6i91v<7=:18082c;3;j<63;d282e4=:9081=i;4}r6g7?6=:r7?h>4>d49>0a7=9h;0q~:k4;296~;3l=0:h8524e395deo97>52z?7`0<6l<168i?51c58yv2c>3:1>v3;d782`0=:d49>0a7=9kh0q~:k8;296~;3l10:h8524e395ge53z?04a<61o16?7p}<1783>7}:;891=4h4=235>4b23ty8=>4?:2y>745=9m?01<<6:0c3?87513;j=6s|31g94?4|5:;i670=>8;3:b>{t;831<74g7349:57?k5:p74g=838p1>?n:0f6?8560383m6s|31d94?4|5::n650;0x966b28k:70=>0;3g1>{t;8;1<74b2349:<7?n0:p744=838p1>?=:0f6?85683;j=6s|35`94?7?s49:87?n3:?050<6i:16?><51`;8962128k270=;b;3g1>;2lk0:m>525ea95d5<5o?1=o94=g595g`<5o21=oh4=02g>4g634;:>7?mf:?256<6i:16=d;3ab>;69l0:m>5213c95d7<588i6i4>bg9>57c=9kl01<=::0c2?874>3;i;6s|4dc94?7ds49:87?n4:?050<6i=16?9951`;891cf28n>70:je;3bg>;3mo0:no524g295ge<5=l:6hn4>a59>b0<6jh16j:4>a39>b=<6i;16==851`;8946c28kh70?>2;3b6>;69:0:m95210c95gg<58;i6a59>57g=9hi01<2e82e7=:9;o1=l<4=016>4gd34;8:7?ma:p742=838p1>?;:0f6?856>3;j<6s|30794?4|5:;>6k>50;1x97c>283m70;5n80:h85rs3g:>5<6kr79i44>d49>6`b=9hi01?kj:0`f?84bn3;ii63<4482e<=:;<91=l74=277>4g>349>97?n9:?01`<6i016?8h51`;8960728k270=91;3b=>;4>;0:m45236:95d?<5:=26a89>72e=9h3018jk:0c:?83cm3;j563ic;3b=>;al3;j563ie;3b=>;an3;j563>0182e<=:98>1=l74=036>4g>34;::7?n9:p6`g=838p1?h=:0c3?84bi3;o96s|2g094?4|5;l96n2wx>hl50;0x97cf28k;70{t:li1<74g6348no7?k5:p6`b=838p1?kk:0f6?84bk3;j<6s|2dg94?4|5;on6hh50;0x97ca28n>70{t:oi1<7=t=3d0>4?a349;o7?n1:?1ba<6l<1v?h<:1826~;5n:0:h8522g595de<5;l36k4>a19>764=9hk01>:9:0cb?853?3;jm63<6g82e4=:=?;1=l?4=025>4gf34;;m7?n1:?24g<6i816==m51`38944128k:70?<2;3b5>;6;:0:m<5212695d752z?1bd<61o16>kl51e78yv4ai3:1?v3=f`82`0=:kk0:m=52cc82e4=z{;l?6=4={<13g?7f8279j94>d49~w66d2909w0=?c;3g1>;5nm0:5k5rs3d6>5<5s48m87?n0:?1b0<6l<1v?h9:18184a<3;j=63=f782`0=z{;l<6=4={<0e3?7c=279j;4>a19~w7`b290=w0;5n00:m?522gg95a3<5::96bg9~w7`?2909w0;5n?0:m<5rs3d:>5<5s48m57?k5:?1b3<6ij1v>>6:18084am3;2j63<0c82e4=:;9k1=i;4}r139g9>75>=9m?0q~=?5;297~;48<0:h85224a95d6<5;?h6;|q1bc<72;q6?=l51`2897`a28n>7p}<0c83>7}:;9h1=i;4=22b>4?a3ty8<=4?:3y>6c`=9h:01>>?:0f6?xu4880;6?u22gd95d7<5:::67}:;991=i;4=222>4g63ty8<94?:3y>752=9m?01>>>:0c`?xu1;80;6<6t=225>4df349;;7?mb:?574<6l<16>=951`38976?28k;70;58o0:m=5223795d7<5;8=644>a19>666=9h;01?=>:0c3?84303;j=63=4882e5=::=h1=l?4=36`>4g7348>?7?n1:?111<6i816>8k51`38930b28k;7089e;3b5>;cj3;j=63kc;3b5>{t;9<1<74b2349;47?n0:p751=838p1>>8:0f6?85703;j=6s|32194?4|5:8j6<7i;<100?7c=2wx??o50;`x964f28n>70==f;3b5>;4;90:nl5232095dd<5:>=6a19>137=9hi01<>9:0ca?875;3;j<63>8882fg=z{:996=4={<105?7>n278??4>d49~w6562908w0=<1;3g1>;6:80:m=5213395d752z?071<61o16??l51e78yv55k3:1>v3<2c82e5=:;;i1=i;4}r11`?6=:r78>o4>a09>77b=9m?0q~==e;296~;4:l0:h85233f95d652z?06c<6l<16??j51`38yv5483:1>v3<3182`0=:;;n1=lm4}r101?6=ir78??4>ae9>763=9m?01>=n:0c2?853>3;jh63<4682ea=:;?n1=l>4=442>4d034;;:7?nd:?261<6i916=5751ca8yv`6290;44b234;;:7?ne:?260<6i91v>=m:181854=3;2j63<3b82`0=z{:9=6=4={<10g?7>n278?;4>d49~w6502909w0=<6;3b4>;4;>0:h85rs21;>5<5s498:7?n1:?07=<6l<1v>=6:18185413;o963<3982e5=z{:9j6=4={<10e?7c=278?54>a09~w62>2909w0=;45<0s498h7?k5:?007<6j>16?9=51cc89c2=9k=01k851cc8946b28h<70?>c;3ae>{t;=21<74?a349?47?k5:p712=839p1>:;:0f6?8`e28k;70hm:0c2?xu4;l0;6?u235c95<`<5:9n6k51`28965a28n>7p}<4183>7}:;:o1=l?4=263>4b23ty:717=9h:01>:>:0c2?877:3;o96s|35394?4|5:>:6;1:=0:h852f582fd=:n?0:no5211g95gg<58;h67}:;=91=i;4=263>4gd3ty8944?:0;x962228kj70=:3;3be>;4==0:ml5234795dg<5:?26a`9>736=9hk01>8>:0cb?851:3;jm63<7982ed=:;>31=lo4=25b>4gf349;69=0:ml5210795dg<58;=6:::0ca?851=3;o963<6c82e4=:;?i1=l?4=24g>4g6349=i7?n1:?02c<6ij169il51`7890bd28k>70;kd;3bf>;2ll0:mo525df95d7<5o>1=ol4=g795gd<5o<1=om4=g595d5<5o21=l=4=ga95dd<5on1=ll4=gg95dd<5ol1=ll4=023>4ge34;8>7?nc:?276<6ij16=>:51`a8945228h<70?<6;3af>{t<3:1=nu235795db<5:>=6ab9>73e=9hi01>8k:0c`?851m3;jo63<6g82f2=:=mh1=l84=4f`>4g134?oh7?nd:?6``<6im1687?k5:?e0?7ek27m97?mc:?e2?7el27m;7?n4:?eae9>564=9k=01<=<:0`4?874<3;i;63>3482fd=:9:<1=om4}r171?6=:r78884>d49>71>=9h:0q~=;6;296~;452z?002<6l<16?9651`a8yv52?3:1>v3<4c82=c=:;<21=i;4}r162?6=:r789<4>9g9>700=9m?0q~=:1;297~;4=80:h85234f95d6<5:?o6;|q00f<72;q6?86518d8962d28n>7p}<4e83>7}:;=i1=l>4=26g>4b23ty88h4?:3y>71e=9h;01>:j:0f6?xu6:10;6>u235d95d6<5:>m6;<3170=;e;3b4>{t;<:1<74b2349?i7?n1:p704=838p1>;=:0f6?852>3;j<6s|34194?4|5:?8670=:6;3bg>{t;4b2349>:7?m7:p735=838p1>;6:0;e?851<3;o96s|34c94?4|5:{t;4g6349>o7?k5:p70b=838p1>;k:0f6?852k3;j<6s|34g94?4|5:?n670=:c;3bg>{t;?:1<74b2349>o7?m7:p737=838p1>8>:0f6?852k3;im6s|37094?4|5:<9650;0x9602283m70=81;3g1>{t;?<1<74?a349=:7?k5:p731=838p1>89:0c3?851?3;o96s|37:94?4|5:<=6;<1573?=9h:01>86:0c2?8`528n>7p}<6883>7}:;?31=i;4=24;>4g73ty:?=4?:2y>73g=9h:01>8n:0c2?87483;o96s|37c94?4|5:70=98;3bg>{t;?i1<74b2349=47?m7:p73b=838p1>8k:0f6?85103;im6s|37g94?4|5:70=98;3ag>{t4?a34>i57?k5:p0g5=838p19l6:0;e?82e;3;o96s|4c094?4|5=h26n=4=5`1>4b23ty?n94?:3y>0g5=9h:019l;:0f6?xu3j<0;6?u24c195d7<5=h>67}:4b23ty?n:4?:3y>0g1=9m?019l::0c2?xu3jo0;6?u24cc95<`<5=i;6518d891dd28n>7p};bc83>7}:524c`95a3ih7>52z?7ff<6i9168oj51e78yv2em3:1>v3;bb82e4=:a19>0gd=9h;018>>:0c3?83793;j=63:0682`0=:==?1=l>4=466>4g63ty?i:4?:2y>0ae=90l019k6:0c2?82b03;o96s|4ea94?0|5=nh6a09>0`0=9hi01<9;:0c`?870=3;jo6s|4ef94?4|5=o2628n>70:j8;3:b>{t4g734>oi7?k5:p0a`=838p19jk:0c2?82cn3;o96s|4d294?4|5=o;670:kf;3b5>{t91k1<7=t=5g1>4g734>n>7?n1:?24>a09>5`6=9m?0q~:j3;296~;3m:0:h8524ed95g1n87>52z?7a1<6l<168ih51cc8yv2b=3:1>v3;e482`0=:d49>0a`=9ki0q~:i4;297~;3mh0:5k524g495d7<5=l>67p};f383>6}:4g7347?n1:p0`d=838p19h9:0c3?82bj3;o96s|4g494?4|5=l=6n2wx8hm50;0x91ce28k;70:jc;3g1>{t4g634>nh7?k5:p0`c=838p19kj:0f6?82bl3;j<6s|4dd94?4|5=om650;0x91`728n>70:jd;3bg>{t4b234>nh7?m7:p0cc=838p19h8:0;e?82an3;o96s|4g;94?4|5=lm6<7i;<6e=?7c=2wx8k650;0x91`a2j9019h7:0f6?xu3nh0;6?u24g;95d6<5=lj67p};fb83>7}:4g73ty><=4?:3y>0cb=9h;018>?:0f6?xu3nm0;6?u24gf95a3<5=li6;|q640<72;q69=>518d8906128n>7p}:0383>7}:=9<1=4h4=421>4b23ty><<4?:3y>150=k:169=?51e78yv37;3:1>v3:0382e5=:=991=i;4}r730?6=:r7>a09>152=9m?0q~8=1;297~;19>0:5k5263195d7<5?896d;3ab>;19l0:nk5260d95d5<5?8;6m=4>b69>1d5=9kk018o;:0`a?83c83;j863:d082e1=z{?;36=4={<417?7f827==54>d49~w3442909w08=3;3g1>;1:;0:5k5rs73:>5<5s4<:47?n0:?55<<6l<1v;?n:18180603;j=6391`82`0=z{a09>1f`=9m?0q~8>b;296~;19k0:h85260c95d652z?55f<6l<16:v391e82`0=:>8k1=lm4}r42a?6=:r7==h4>d49>24g=9k=0q~8>f;296~;19o0:h85260c95gg52z?565<6l<16:l1=4h4=25f>4b23ty8;k4?:0cx961a28n>70=88;3bf>;4?00:mo5236c95dd<5:=i6ab9>55d=9hi01<>l:0c`?877l3;i;63>0d82fg=:9881=l=4=030>4g234;:87?nb:?250<6ik16=<851``8947f28hi70?>b;3af>;69j0:nn5210f95d5<58;n6>4>a09>572=9h;01<<::0c2?875>3;jo6s|36194?4|5:=n6<7i;<147?7c=2wx?::50;0x961428k;70=84;3g1>{t;>?1<74g6349<97?k5:p546=839p1>99:0c3?850>3;j=63>1182`0=z{:==6=4={<142?7c=278;84>a19~w47?2908w0=87;3b4>;4?>0:m<5210:95a352z?032<6l<16?:;51`38yv5003:1>v3<7982`0=:;>?1=lm4}r14=?6=:r78;44>d49>723=9k=0q~=8a;296~;4?h0:h85236795gg52z?03g<6l<16?:;51c`8yv50k3:1>v3<7b82`0=:;>?1=om4}r47=?6=:r7=?h4>9g9>21g=9m?0q~852z?57c<6i916:9>51e78yv0393:1>v393g82e4=:>=;1=i;4}r476?6=:r7=8?4>d49>217=9h:0q~:n5;297~;1<:0:m=5265195d7<5=k>67}:>=>1=i;4=762>4gd3ty=884?:3y>213=9m?01;:>:0`4?xu1:67}:>=21=i;4=762>4dd3ty=?n4?:3y>267=90l01;=k:0f6?xu1;;0;6?u262f95<`<5?996<51`28935428n>7p}93583>7}:>:81=l?4=717>4b23ty:?54?:2y>263=9h:01;=::0c2?87403;o96s|62794?4|5?9>6;65<5s4<8:7?k5:?571<6i81v;=8:181804?3;o96393582ef=z{?936=4={<40b69~w0`?290:ov393882fg=:>:k1=ol4=776>4d034?m47?k5:?557<6jk16:<=51c`8934c28hj708=e;3ae>;e93;i;63m2;3a3>;dn3;in63k0;3af>;c93;in63>3882ff=:9:k1=om4=01a>4dd34;8o7?mc:?217<6jj16=8=51ca8943?28hh70?95;3af>;6>?0:no5217595gd<58bb9>53`=9ki01<9?:0``?xu1;00;6?u262;95a3<5?9?6o51e78935328hi7p}93c83>7}:>:h1=i;4=717>4dd3ty=9;4?:3y>21d=90l01;;8:0f6?xu1h67p}94d83>7}:>=i1=l?4=76f>4b23tyi<7>53z?50c<6i916:9h51`389g6=9m?0q~8;f;296~;153z?515<6i916:8>51`38970028n>7p}95183>7}:><:1=i;4=76f>4g63ty=9<4?:3y>207=9m?01;:j:0c`?xu1=;0;6?u264095a3<5?>n67}:><>1=i;4=76f>4de3ty=984?:3y>203=9m?01;:j:0``?xu3;=0;6?u243f95<`<5=9>6;518d8914b28n>7p};2g83>7}:<;o1=l>4=50e>4b23ty??=4?:3y>07c=9h;019=?:0f6?xu3;80;6?u242395a3<5=9;6<51e78915728k:7p};1283>1}:<:91=oo4=522>4gd34>:?7?k5:?0<3<6ij1v9=<:181824;3;o963;3182ef=z{=;m6=4={<62=?7>n27?>=4>d49~w17f2909w0:=0;3:b>;39h0:h85rs53a>5<5s4>:m7?n0:?75g<6l<1v9?l:181826i3;j=63;1b82`0=z{=;o6=4={<62`?7c=27?=n4>a19~w17b2909w0:>e;3g1>;39j0:m<5rs50b>5<4s4>9=7?6f:?76f<6i8168?l51e78yv25:3:1>v3;2b82e5=:<;81=i;4}r61g?6=:r7?>n4>d49>07d=90l0q~:=3;296~;3:;0:m=5243195a3987>52z?767<6i8168?:51e78yv25=3:1>v3;2482`0=:<;>1=l>4}r1f5?6==r7?>;4>b`9>05e=9ki019>k:0``?85b93;o963;0382f2=z{=8=6=4={<612?7c=27?>94>a09~w6>>290>w0:=7;3ae>;38l0:nn523d595ge<5=:86;4m>0:ni5241195gg<5:2m60}:<;21=oo4=52e>4dd349n47?mc:?0e7<6l<169>j51c58yv5f03:19v3;2982fg=:<9l1=oj4=2g;>4dc349j47?k5:?67a<6jh1v9<7:18182503;o963;2582f2=z{=9=6=4:{<61=?7ei278i;4>bb9>7`?=9ki019=9:0f6?822n3;i;6s|42a94?3|5=826be9>06e=9m?019;i:0`b?xu3:00;6?u243;95a3<5=8?67p}95883>7}:>?>1=4h4=77:>4b23ty=9l4?:3y>20?=9h:01;;n:0f6?xu1=k0;6?u264;95d7<5??i6{t>4b234<>n7?n0:p603=839p1;;k:0c3?802l3;j=63=5482`0=z{??o6=4={<46`?7c=27=9o4>a09~w33b2909w08:e;3g1>;1=k0:mn5rs77e>5<5s4<>j7?k5:?51g<6j>1v;8?:18180183;o96395c82fd=z{?<:6=4={<455?7c=27=9o4>bc9~w3052909w0892;3g1>;1=k0:nn5rs417>5<5s4?957?6f:?670<6l<1v8>o4>d49~w04d2909w0;=a;3b5>;2:j0:h85rs05b>5<4s4?9h7?n0:?66a<6i816=:o51e78yv35l3:1>v3:2e82`0=:=;i1=l>4}r7af?6=;r7>>h4>a19>17c=9h;018lm:0f6?xu2:l0;6?u253g95a3<5<8h6;|q66c<72;q69?h51e78904d28kh7p}:3183>7}:=::1=i;4=40`>4d03ty>?<4?:3y>167=9m?018=51e78904d28hh7p}=d183>6}::ji1=4h4=3f1>4g6348o=7?k5:p6fb=838p1?j=:0c3?84dl3;o96s|2e094?4|5;n96n2wx>nk50;0x97ec28k;70{t:jl1<74g6348hj7?k5:p6a1=839p1?j<:0;e?84c13;j=63=d982`0=z{;n?6=4={<0g=?7f8279h94>d49~w7b>2909w0;5l10:5k5rs3f6>5<5s48o87?n0:?1`0<6l<1v?j9:18184c<3;j=63=d782`0=z{:h>6=4<{<1ba?7>n278n:4>a09>7g0=9m?0q~=nf;296~;4j>0:m=523`d95a352z?0f2<6l<16?o8518d8yv5e83:1>v3a09>7g7=9m?0q~=jd;29f~;4j;0:m<523c195gd<5:hn6a09>7a7=9k=01>jn:0c2?85cj3;im634=2d5>4g63ty8j>4?:8y>7g4=9hi01>l;:0`a?85em3;j=634d0349om7?nc:?0`f<6jh16?k=51e78yv5e:3:1>v34}r1a7?6=:r78n>4>d49>7g7=9h;0q~=m4;296~;4j=0:h8523c395de52z?664<61o169?651e78yv35:3:1>v3:2982=c=:=;81=i;4}r717?6=:r7>>?4>a19>175=9m?0q~;=4;296~;2:;0:m<5253695a352z?660<6l<169?:51`28yv35>3:1>v3:2782`0=:=;>1=l?4}r1ab?6=:r78n54>9g9>7f6=9m?0q~=m9;296~;4k90:5k523c;95a352z?0f<<6i916?oo51e78yv5ej3:1>v3d49>7gd=9h:0q~=md;296~;4jm0:h8523c`95d752z?0f`<6l<16?ol51`a8yv4fl3:1?v3=a882=c=::hl1=l?4=3cf>4b23ty9ml4?:3y>6d`=9h:01?on:0f6?xu5io0;6?u22`d95a3<5;kn6<7i;|q1eg<72;q6>lo51`2897ge28n>7p}=ab83>7}::hk1=l?4=3c`>4b23ty9hh4?:2y>6ag=90l01?k?:0c2?84cn3;o96s|2e`94?4|5;o;6h>50;0x97c728n>70{t:mi1<74g7348oo7?k5:p6ab=838p1?jm:0c2?84cl3;o96s|3bc94?4|5:i:6<7i;<1`f?7c=2wx?n<50;0x96ee283m70=l2;3g1>{t;j91<74g7349h?7?k5:p7f2=838p1>m=:0c2?85d<3;o96s|3b794?4|5:i>670=l4;3b5>{t;j=1<74b2349h87?nc:p7f>=838p1>m7:0f6?85d<3;i;6s|3b;94?4|5:i26;4l=0:h85rs2ag>5<5s49o97?n0:?0ga<6l<1v>j::18185c=3;o963d49~w6ea2909w0=ld;3b5>;4ko0:h85rs2f3>5<5s49o<7?k5:?0gc<6i91v>j>:18185c93;o963ab9~w6bb2908w0=k6;3:b>;4m90:m<523ed95a352z?0a5<6i916?i951e78yv5b83:1>v3a19>7a>=9m?0q~=k9;296~;4l>0:m<523e;95a352z?0`d<6l<16?i751`28yv5cj3:1>v3d49>7a?=9hi0q~=kd;296~;4lm0:h8523e;95g153z?1f5<61o16>o851`3897d228n>7p}=b083>7}::k<1=l>4=3`2>4b23ty9n;4?:3y>6g0=9m?01?l::0;e?xu5j;0;6?u22c395d6<5;h96o?51`3897d428n>7p}=c383>6}::ko1=4h4=3a7>4g6348h?7?k5:p6g`=838p1?m;:0c3?84en3;o96s|2b694?4|5;i?6n2wx>n>50;0x97da28k;70{t:j;1<74g6348h=7?k5:p0d`=838p19om:0;e?82e83;o96s|4`a94?4|5=h;6<7i;<6bg?7c=2wx8lj50;0x91gd28k;70:nd;3g1>{t4g634>ji7?k5:p6gd=839p1?l8:0;e?84el3;j=63=bb82`0=z{;h36=4={<0a`?7f8279n54>d49~w7dc2909w0;5jj0:5k5rs3`:>5<5s48i47?n0:?1f<<6l<1v?ln:18184e03;j=63=b`82`0=z{;i26=4<{<0`1?7>n279oo4>a09>6fg=9m?0q~52z?1gg<6l<16>no518d8yv4d?3:1>v3=c782e5=::j=1=i;4}r0`a09>6f>=9m?0q~;>2;296~;28>0:5k5250195a352z?656<61o169=651e78yv3713:1>v3:0982e5=:=931=i;4}r73e?6=:r7><54>a09>15g=9m?0q~;?b;296~;28k0:h85251c95d652z?64f<6l<169=o51`38yv44k3:1?v3:0e82e5=:=9n1=l?4=31`>4b23ty>15b=9m?018>n:0c`?xu5900;6>u251g95d6<5<:n6;<02=?7c=2wx9=k50;0x906b28n>70;?a;3a3>{t=9l1<74b234?;m7?ma:p146=838p18??:0f6?837i3;in6s|50394?4|5<;:6{t=o31<74?a34?m57?k5:p1cg=838p18h6:0c3?83ai3;o96s|5g`94?4|5;<7ef?7c=2wx>:m50;0x971?283m70<8d;3g1>{t:>21<7;t=35;>4b23483=7?n0:?1<4<6i816>:>51`2894bd28k;7p}=7883>7}::>n1=4h4=35:>4b23ty9;l4?:3y>62?=9h:01?9n:0f6?xu5?k0;6?u226;95d7<5;=i6:k518d897>428n>7p}=7d83>0}::>o1=i;4=3:4>4g73483;7?n1:?135<6i816=im51`38yv40n3:1>v3=8282=c=::>l1=i;4}r0;4?6=:r79;k4>a19>6=6=9m?0q~<71;296~;5?o0:m<5229395a3:<7>53z?743<61o168<<51`38917628n>7p};0683>7}:<881=l>4=524>4b23ty?=?4?:3y>044=9m?019?>:0;e?xu3810;6?u241595d6<5=:3628n>7p}6}:<9k1=l>4=52b>4g6349n97?k5:p05g=838p19>n:0f6?82713;j<6s|41`94?4|5=:i670:?9;3bg>{t<9n1<74b234>;57?m7:p05c=838p19>j:0f6?82713;im6s|41d94?4|5=:m6{t9j;1<7:t=0a2>4b234;hm7?n0:?2gd<6i816=im51`a8yv7d:3:1>v3>c782=c=:9j81=i;4}r3`7?6=:r7:o?4>a19>5f5=9m?0q~?l4;296~;6k;0:m<521b695a352z?1<1<61o16>5751e78yv4?<3:1?v3=8582`0=::>:1=lm4=0f`>4d03ty9484?:3y>6=?=90l01?6::0f6?xu50?0;6?u229795d6<5;2=65;51`3897>028n>7p}<9`83>7}:;0<1=4h4=2;a>4b23ty85;4?:4y>7<0=9m?01>7i:0c3?85>n3;j=63:5482e5=:=<<1=lm4}r1:3?6=:r785o4>9g9>7<1=9m?0q~=68;296~;41>0:m=5238:95a352z?0=2<6i816?4751e78yv5bj3:1>v39g9>7`g=9m?0q~=j2;296~;4mj0:5k523d095a352z?0a7<6i916?h=51e78yv5b<3:1>v31=i;4}r1f2?6=:r78i;4>d49>7`g=9h:0q~=j7;296~;4m>0:h8523dc95d752z?0a=<6l<16?ho51`a8yv5b13:1>v39g9>7c4=9m?0q~=je;296~;4n;0:5k523dg95a352z?0a`<6i916?hh51e78yv5a83:1>v39g9>053=9m?0q~=ia;296~;38<0:5k523gc95a352z?0bd<6i916?kl51e78yv5ak3:1>v3a19>7cb=9h;018=l:0f6?xu4nm0;6?u23gf95a3<5:lh6{t;oo1<74b2349mo7?n1:p7c`=838p1>hi:0f6?85ak3;jo6s|41294?4|5=:;670=ic;3ae>{t<981<74b2349mo7?mb:p055=838p19><:0f6?85ak3;io6s|40594?4|5=;86<7i;<624;3g1>{t<8?1<74g734>:97?k5:p040=838p19?;:0c2?826>3;o96s|4b394?5|5=;=6d49~w30>2909w0895;3:b>;1>h0:h85rs745>5<5s4<=m7?6f:?523<6l<1v;88:181801>3;j<6396682`0=z{?<36=4={<452?7f927=:54>d49~w7112909w0<9f;3:b>;5?>0:h85rs34e>5<5s48=j7?k5:?2`f<6jh1v?9::181840<3;2j63=7482`0=z{;=?6=4<{<040?7c=27>mn4>a19>1de=9h;0q~<81;296~;5?>0:5k5226395a352z?132d49~w7152909w0<81;3b4>;5?;0:h85rs350>5<5s48<=7?n1:?136<6l<1v;>n:186840=3;im6390`82`0=:=h:1=oo4=4c0>4de34?j87?mc:p5fd=838p1{t9j31<74g734;h57?k5:p5fg=838p1283m70==5;3g1>{t;;<1<74g73499:7?k5:p771=838p1><::0c2?855?3;o96s|39594?4|5:2;6<7i;<1;50;0x96>728n>70;{t;1;1<74?a3493=7?k5:p7=4=838p1>6>:0c3?85?:3;o96s|39194?4|5:2:6;<1;7?7c=2wx?5:50;0x96>328n>70=73;3b4>{t;1?1<74b23493?7?n1:p7=0=838p1>69:0f6?85?;3;jo6s|39f94?4|5:226<7i;<1;a?7c=2wx?5o50;0x96>b283m70=7a;3g1>{t;1h1<74g73493n7?k5:p7=e=838p1>6n:0c2?85?k3;o96s|38194?4|5:2m6<7i;<1:0?7c=2wx?4>50;0x96?3283m70=60;3g1>{t;0;1<74g73492=7?k5:p7<4=838p1>7?:0c2?85>:3;o96s|3`294?4|5:3h6<7i;<1b5?7c=2wx?4m50;1x96?d28n>70;:4;3b5>;2=<0:m<5rs2;g>5<5s49j=7?6f:?0=a<6l<1v>7j:18185>l3;j<63<9d82`0=z{:3m6=4={<1:`?7f92785k4>d49~w6g12909w0=n2;3:b>;4i>0:h85rs2c0>5<5s49j;7?6f:?0e6<6l<1v>o;:18185f;3;j<636=4={<1b7?7f9278m84>d49~w6gd2909w0=n8;3:b>;4im0:h85rs2c:>5<5s49jh7?6f:?0e<<6l<1v>on:18185f13;j<63d49~w6`02909w0=i3;3:b>;4n10:h85rs2d7>5<5s49m47?6f:?0b1<6l<1v>h::18185a<3;j<63d49~w15f2909w0:<6;3:b>;3;k0:h85rs514>5<5s4>8n7?6f:?772<6l<1v9=7:181824?3;j<63;3982`0=z{=926=4={<603?7f927??44>d49~w1272909w0:;3<80:h85rs51g>5<5s4>?=7?6f:?77a<6l<1v9=j:181824l3;j<63;3d82`0=z{=9m6=4={<60`?7f927??k4>d49~w1212909w0:;2;3:b>;3<>0:h85rs560>5<5s4>?;7?6f:?706<6l<1v9:;:181823;3;j<63;4582`0=z{=>>6=4={<677?7f927?884>d49~w12d2909w0:;8;3:b>;35<5s4>?h7?6f:?70<<6l<1v9:n:18182313;j<63;4`82`0=z{=>i6=4={<67=?7f927?8o4>d49~w1?42909w0:7f;3:b>;31=0:h85rs5:e>5<5s4>3j7?k5:?67g<6i81v97?:18182><3;2j63;9182`0=z{=3:6=4={<6:4?7f827?5<4>d49~w1?52909w0:60;3b5>;31;0:h85rs5c:>5<5s4>j=7?6f:?7ed<6l<1v9o>:18182f93;o963:4782e4=z{=k36=4={<6b1?7>n27?m54>d49~w1g52909w0:na;3:b>;3i;0:h85rs5c0>5<5s4>j>7?n0:?7e6<6l<1v9o;:18182f:3;j=63;a582`0=z{=k=6=4={<6b2?7c=27?m54>a19~w1g02909w0:n7;3g1>;3i10:m<5rs5a`>5<5s4>h=7?6f:?7ga<6l<1v9m=:18182dl3;2j63;c382`0=z{=i86=4={<6`6?7f827?o>4>d49~w1e32909w0:l2;3b5>;3k=0:h85rs4cg>5<4s4>h97?n0:?7g0<6i8169lj51e78yv2d=3:1>v3;c482`0=:1=l>4}r3;5?6=;r7?o;4>a19>0f0=9h;01<6>:0f6?xu3k?0;6?u24b495a3<5=i?6;|q7g2<72;q68n951e7891e328kh7p};c983>7}:4d03ty?o44?:3y>0f?=9m?019m;:0`b?xu3kh0;6?u24bc95a3<5=i?67}:>9k1=4h4=735>4b23ty=240=90l01;>m:0f6?xu18j0;6?u261`95d6<5?:h67p}>5483>6}:>9o1=l>4=72f>4g634;>97?k5:p25c=838p1;>j:0f6?807l3;j<6s|17`94?5|5?:m6d49~w36a2909w08?f;3g1>;18m0:m<5rs733>5<5s4<:<7?k5:?54a<6ij1v;?>:18180693;o96390e82f2=z{?;96=4={<426?7c=27=b`9~w3742909w08>3;3g1>;18m0:no5rs737>5<5s4<:87?k5:?54a<6jj1v;6=4={<404?7>n27=>84>d49~w3412909w08=5;3b4>;1:?0:h85rs704>5<5s4<997?n1:?562<6l<1v;<7:18180503;o96392682e5=z{?826=4={<41=?7c=27=>:4>a09~wfe=839p1;{t>;k1<74b234<9;7?nc:p532=839p1;6582`0=z{?8i6=4={<41f?7c=27=>:4>b69~w34d2909w08=c;3g1>;1:>0:nl5rs70g>5<5s4<9h7?k5:?562<6jk1v;n279<44>d49~w7632909w0;58=0:h85rs326>5<5s48;87?n0:?140<6l<1v?>9:181847<3;j=63=0782`0=z{;:<6=4={<033?7c=279<;4>a19~w76?2909w0;58?0:m<5rs337>5<5s48;m7?6f:?151<6l<1v??<:18184683;2j63=1282`0=z{;;;6=4<{<024?7c=27:9;4>a19>500=9h;0q~52z?14g<6i916>=m51e78yv47l3:1>v3=0c82e4=::9n1=i;4}r03a?6=:r79

d49>65b=9h:0q~52z?154<6l<16><=51`28yv46:3:1>v3=1382`0=::891=l?4}r014?6=:r79=84>9g9>676=9m?0q~<>f;296~;5900:5k5220d95a352z?165<61o16><851e78yv46?3:1>v3=1782e5=::8=1=i;4}r02a09>64>=9m?0q~<;a;297~;5910:m=5220:95d7<5;>j67}::8h1=i;4=33e>4g63ty9=n4?:3y>64e=9m?01??i:0c`?xu59m0;6?u220f95a3<5;;m67}::;;1=4h4=30a>4b23ty9>l4?:3y>671=90l01?0;6>u223595a3<5;9i6?<50;0x974e283m70<=2;3g1>{t:;91<74g73489?7?k5:p672=838p1?<=:0c2?845<3;o96s|23794?4|5;8>6?850;0x974128n>70<=4;3b5>{t:;21<74b23489m7?n0:p67?=838p1?<6:0f6?845i3;j=6s|22594?4|5;8h6<7i;<003?7c=2wx>>850;0x9755283m70<<6;3g1>{t::81<7=t=311>4b234;?<7?n0:?205<6i81v?h4>d49~w74a2909w0<=d;3b5>;5:o0:h85rs313>5<5s488<7?k5:?16c<6i91v?=>:18184493;o963=2g82e4=z{;986=4={<007?7c=279?;4>a19~w7532909w0<<4;3g1>;5;?0:m<5rs316>5<5s48897?k5:?173<6ij1v?:<:18184403;2j63=4282`0=z{;>96=4={<00g?7>n2798?4>d49~w75>2909w0<;3;3:b>;5;00:h85rs31b>5<5s48857?n0:?17d<6l<1v?=m:18184413;j=63=3c82`0=z{;9o6=4={<00`?7c=2798?4>a19~w75b2909w0<;5<;0:m<5rs31e>5<5s488j7?k5:?107<6ij1v?:?:18184383;o963=4382f2=z{;>:6=4={<075?7c=2798?4>b`9~w72b2909w0<;4;3:b>;55<5s48?m7?6f:?10a<6l<1v?:::181843m3;2j63=4482`0=z{;>=6=4={<071?7f82798;4>d49~w7202909w0<;5;3b5>;5<>0:h85rs36;>5<5s48?47?k5:?102<6i91v?:6:18184313;o963=4682e4=z{;>i6=4={<07f?7c=2798i4>a19~w72d2909w0<;c;3g1>;55<5s48?j7?6f:?112<6l<1v?;9:181842=3;2j63=5782`0=z{;?;6=4={<063?7>n2799=4>d49~w7362909w0<:0;3b4>;5=80:h85rs371>5<5s48><7?n1:?117<6l<1v?;<:181842;3;o963=5382e5=z{;??6=4={<060?7c=2799?4>a09~w7052909w0<:8;3:b>;5>;0:h85rs37:>5<5s48=>7?6f:?11<<6l<1v?;n:18184213;j<63=5`82`0=z{;?i6=4={<06=?7f92799o4>d49~w73d2909w0<:c;3g1>;5=k0:m=5rs37g>5<5s48>h7?k5:?11g<6i81v?;j:181842m3;o963=5c82ef=z{;?m6=4={<06b?7c=2799o4>b69~w7072909w0<90;3g1>;5=k0:nl5rs342>5<5s48==7?k5:?11g<6jk1v?8j:181841;3;2j63=6d82`0=z{;n279:i4>d49~w7032909w0<9e;3:b>;5>=0:h85rs346>5<5s48=87?n0:?120<6l<1v?89:181841<3;j=63=6782`0=z{mk1<7=t=345>4g7348=:7?n1:?ge?7c=2wx>;650;0x970?28n>70<9d;3b4>{t:?31<74b2348=h7?n1:p63g=838p1?8n:0f6?841l3;jo6s|27`94?4|5;;m50;0x970d28n>70<9d;3ae>{t=?=1<74?a34?=;7?k5:p130=838p188=:0;e?831>3;o96s|57094?5|5<<96a09~w03d2909w0;97;3:b>;2=j0:h85rs47g>5<5s4?>o7?n0:?61a<6l<1v8;j:181832k3;j=63:5d82`0=z{9h4>a19~w4>?2908w0;90;3b4>;2>90:m<5219:95a352z?625<6l<1698k51`38yv3193:1>v3:6082`0=:=:>4>d49>130=9h:0q~;94;296~;2>=0:h85257495d752z?620<6l<169;851`a8yv3>i3:1>v3:8g82=c=:=0k1=i;4}r7:=?6=:r7>5>4>9g9>152z?6=5<6i91694?51e78yv3>:3:1>v3:9182e4=:=081=i;4}r45b?6=;r7>5?4>a19>1<4=9h;01;8i:0f6?xu21=0;6?u258695a3<5<32628k:7p}:9783>7}:=0<1=i;4=4;:>4gd3ty>5:4?:3y>1<1=9m?01876:0`4?xu2110;6?u258:95a3<5<3267p}:dg83>7}:=mk1=4h4=4fe>4b23ty>hl4?:2y>1ag=9m?01<=>:0c3?87493;j=6s|5e594?4|5{t=m31<74g634?o57?k5:p1ad=838p18jm:0f6?83cn3;j<6s|5ea94?4|570;kf;3bg>{t=mo1<74b234?oj7?m7:p1`c=838p18kn:0;e?83bm3;o96s|5d`94?4|5{t=ln1<74g634?nh7?k5:p036=838p19;n:0;e?82193;o96s|44d94?4|5=?n6<7i;<66b?7c=2wx88l50;0x9106283m70::b;3g1>{t<4g734>>o7?k5:p00b=838p19;m:0c2?822l3;o96s|47:94?4|5=<96<7i;<65=?7c=2wx8;=50;0x910>283m70:93;3g1>{t1<74g734>=87?k5:p033=838p198<:0c2?821=3;o96s|47494?4|5=<=670:95;3b5>{t=:o1<74?a34?8i7?k5:p16b=838p18=l:0;e?834l3;o96s|52;94?4|5<9n6<7i;<70=?7c=2wx9>o50;0x905>28k;70;{t=:h1<74g634?8n7?k5:p1=4=838p189m:0;e?83?:3;o96s|56a94?4|5<296<7i;<74g?7c=2wx9:j50;0x901d28k;70;8d;3g1>{t=>o1<74g634?628n>70;8e;3bg>{t=j81<74?a34?h>7?k5:p1f7=838p18lm:0;e?83d93;o96s|5c:94?4|5{t=kk1<74g634?im7?k5:p1d7=839p18ln:0c3?83ei3;j=63:a082`0=z{o<4>a19~w0dc2909w0;md;3g1>;2k80:m<5rs4`f>5<5s4?ii7?k5:?6g4<6ij1v8li:18183en3;o963:c082f2=z{o<4>b`9~w3162909w089b;3:b>;1?;0:h85rs753>5<5s4<=j7?6f:?535<6l<1v;8l:181800:3;2j6396b82`0=z{?d49~w30b2909w089c;3b5>;1>l0:h85rs`:94?4|50o1=4h4=`:95a34?a34k<67?k5:?236<6i916=:=51`38yv?a2909w0o7:0;e?8?a28n>7p}n0;296~;>n3;j<63n0;3g1>{ti80;6?u29g82e4=:i80:h85rs`194?4|5h91=i;4=`595d64b234k<6;|qb1?6=:r7j97?k5:?b3?7fk2wxm;4?:3y>e3<6l<16m:4>b69~wg0=838p1lm518d89g0=9m?0q~l::1818d7283m70l::0f6?xufl3:1>v3m6;3:b>;fl3;o96s|ad83>7}:im0:m=52ad82`0=z{hl1<75<5s4h:64g73tyi>7>52z?a6?7c=27i97?n1:pf6<72;q6n>4>d49>f0<6ij1vo:50;0x9g2=9m?01o;51c58yvb42909w0m7:0;e?8b428n>7p}k2;296~;dk3;2j63k2;3g1>{tk00;6?u2d282=c=:k00:h85rsbc94?4|5j31=l>4=bc95a34g634ii6g`<6l<16h?4>a09~wf`=838p1nh51e789a4=9hi0q~j?:1818b728n>70j=:0`4?xuc93:1>v3k1;3g1>;c:3;im6s|dd83>7}:l?0:5k52dd82`0=z{mn1<75<5s4nn6<7i;4b23tyo47>52z?g3?7f827o47?k5:p`<<72;q6h:4>a09>`<<6l<1vil50;0x9ad=9m?01ij51`28yvbd2909w0jl:0f6?8bc28k:7p}>e783>6}:9mh1=4h4=g395<`<58o<6518d894c228n>7p}>de83>7}:9l=1=4h4=0fg>4b23ty:hn4?:3y>5`1=k:16=im51e78yv7cm3:1>v3>de82e5=:9mo1=i;4}r3gb?6=:r7:hi4>a09>5a`=9m?0q~?j1;296~;6m80:h8521d795d67>52z?2a7<6l<16=h;51`38yv7b;3:1>v3>e282`0=:9l?1=lm4}r3f0?6=:r7:i94>d49>5`3=9k=0q~;;7;297~;2<80:5k5248795<`<5<>367p}:4283>7}:==81=l>4=460>4b23ty>894?:3y>114=9h;018:;:0f6?xu2<<0;6?u255795a3<5<>?66}:=<:1=4h4=2;6>4?a34?>47?k5:p107=838p18;7:0;e?83293;o96s|54094?4|5{t=<>1<74b234?>?7?n0:p103=838p18;::0f6?832;3;j=6s|54494?4|5{t=h?1<74?a34?j97?k5:p1m3;o96s|58d94?4|5<3n650;0x90?b28k:70;n0;3g1>{t=h81<74b234?j97?n0:p1d5=838p18o<:0f6?83f=3;j=6s|5`694?4|5283m70;m4;3g1>{t=k91<74?a34?i?7?k5:p1dg=838p18l;:0;e?83fi3;o96s|5``94?4|5{t=ho1<74b234?i?7?n0:p1d`=838p18oi:0f6?83e;3;j=6s|5c294?4|570;m3;3a3>{t=k81<74b234?i?7?ma:p1a5=838p18m7:0;e?83c;3;o96s|5e094?4|5{t=jk1<74g734?hm7?k5:p1fd=838p18m6:0c2?83dj3;o96s|5ba94?4|570;lb;3b5>{t=jo1<74b234?hn7?nc:p1a6=838p18j?:0f6?83c:3;j<6s|5e394?4|552z?7>4?a3491=i;4}r294?4|5:0:5k520;3g1>{t93:1>v3?:0c3?87=9m?0q~<50;0x95<6i816>7?k5:pb<<72;q6j?4>9g9>b<<6l<1vko50;1x9c5=9h:01k=51`389cg=9m?0q~h<:1818`428n>70h6:0c3?xua<3:1>v3i4;3g1>;a13;j=6s|f483>7}:n<0:h852f882ef=z{o<1<75<5s4l<64df3tym47>52z?e7p}ib;296~;aj3;o963>0082e5=z{oi1<7;|qe`?6=:r7mh7?k5:?244<6ij1vkk50;0x9cc=9m?01<>>:0`4?xuan3:1>v3if;3g1>;6880:nl5rs023>5<5s4;;<7?k5:?244<6jk1v<><:181877:3;2j63>0282`0=z{8:<6=4={<330?7>n27:<:4>d49~w4632908w0??4;3g1>;6800:m=5211;95d752z?240<6l<16==951`28yv77>3:1>v3>0782`0=:99=1=l?4}r33b?6=:r7:<54>9g9>55`=9m?0q~??8;297~;6810:h85210395d6<58;:6;|q24<<72;q6==751e78946a28k;7p}>0`83>7}:99k1=i;4=02e>4g63ty:55d=9m?01<>i:0c`?xu68j0;6?u211a95a3<58:m60d83>7}:99o1=i;4=02e>4de3ty:=:4?:3y>546=90l011283>7}:9891=i;4=034>4gd3ty:=94?:3y>542=9m?011g83>7}:9821=4h4=03e>4b23ty:>=4?:2y>54?=9h:0170?>f;3b5>{t98h1<74b234;:j7?nc:p54e=838p170?>f;3af>{t9;=1<74?a34;9;7?k5:p577=838p1<<>:0f6?875?3;j<6s|13094?4|5889670?=7;3bg>{t9;>1<74b234;9;7?m7:p573=838p1<<::0f6?875?3;im6s|13494?4|588=6{t9;31<74b234;9j7?n0:p57g=838p1<70?=f;3a3>{t9;n1<74b234;9j7?ma:p57c=838p1<?50;0x945628n>70?<7;3b4>{t9:81<74b234;8;7?n1:p565=838p1<=<:0f6?874?3;jo6s|12694?4|589?6;50;0x945228n>70?<7;3ae>{t9:<1<74b234;8;7?mb:p56c=838p1<=7:0;e?874m3;o96s|12;94?4|58926o50;0x945f28n>70?{t9:h1<74b234;8i7?nc:p56e=838p1<=l:0f6?874m3;i;6s|12f94?4|589o6{t9=:1<74b234;?:7?n0:p517=838p1<:>:0f6?873>3;j=6s|15094?4|58>9670?;6;3a3>{t9=>1<74b234;?:7?ma:p513=838p1<:::0f6?873>3;in6s|15a94?4|58><6<7i;<37g?7c=2wx=9950;1x942028n>70?;e;3b4>;65<5s4;?47?k5:?20f<6i91v<:6:18187313;o963>4b82e4=z{8>j6=4={<37e?7c=27:8n4>ab9~w42e2909w0?;b;3g1>;65<5s4;?h7?6f:?211<6l<1v<:j:181873m3;o963>5582e5=z{8>m6=4={<37b?7c=27:994>a09~w4372909w0?:0;3g1>;6==0:mn5rs072>5<5s4;>=7?k5:?211<6j>1v<;=:181872:3;o963>5582fd=z{8?86=4={<367?7c=27:994>bc9~w43d2909w0?:5;3:b>;6=j0:h85rs075>5<5s4;>:7?k5:?21f<6i91v<;8:181872?3;o963>5b82e4=z{8?36=4={<36ab9~w43>2909w0?:9;3g1>;6=j0:n:5rs07b>5<5s4;>m7?k5:?21f<6jh1v<;m:181872j3;o963>5b82fg=z{8<86=4={<36`?7>n27::>4>d49~w43b2909w0?:e;3g1>;6>:0:m=5rs07e>5<5s4;>j7?k5:?226<6i81v<8?:18187183;o963>6282ef=z{8<:6=4={<355?7c=27::>4>b69~w4052909w0?92;3g1>;6>:0:nl5rs04b>5<5s4;=87?6f:?22d<6l<1v<8::181871=3;o963>6`82e5=z{8<=6=4={<352?7c=27::l4>a09~w4002909w0?97;3g1>;6>h0:mn5rs04;>5<5s4;=47?k5:?22d<6j>1v<86:18187113;o963>6`82fd=z{8=:6=4={<35f?7>n27:;<4>d49~w40d2909w0?9c;3g1>;6?80:m=5rs04g>5<5s4;=h7?k5:?234<6i81v<8j:181871m3;o963>7082ef=z{8b69~w4172909w0?80;3g1>;6?80:nl5rs05:>5<5s4;<>7?6f:?23<<6l<1v<9<:181870;3;o963>7882e5=z{8=?6=4={<340?7c=27:;44>a09~w4122909w0?85;3g1>;6?00:mn5rs055>5<5s4;<:7?k5:?23<<6j>1v<98:181870?3;o963>7882fd=z{8=36=4={<34bc9~w4>72909w0?8a;3:b>;6090:h85rs05a>5<5s4;8182e4=z{8=o6=4={<34`?7c=27:4=4>ab9~w41b2909w0?8e;3g1>;6090:n:5rs05e>5<5s4;8682`0=z{8296=4={<3;6?7c=27:4:4>a19~w4>42909w0?73;3g1>;60>0:m<5rs0:7>5<5s4;387?k5:?2<2<6ij1v<6::18187?=3;o963>8682f2=z{82=6=4={<3;2?7c=27:4:4>b`9~w4>>2909w0?78;3:b>;6000:h85rs0;2>5<5s4;3m7?6f:?2=4<6l<1v<6m:18187?j3;o963>9082e5=z{82h6=4={<3;g?7c=27:5<4>a09~w4>c2909w0?7d;3g1>;6180:mn5rs0:f>5<5s4;3i7?k5:?2=4<6j>1v<6i:18187?n3;o963>9082fd=z{83;6=4={<3:4?7c=27:5<4>bc9~w4??2909w0?62;3:b>;6110:h85rs0;0>5<5s4;2?7?k5:?2==<6i91v<7;:18187><3;o963>9982e4=z{83>6=4={<3:1?7c=27:554>ab9~w4?12909w0?66;3g1>;6110:n:5rs0;4>5<5s4;2;7?k5:?2==<6jh1vqc987e83>4}zf>=51zm321a290:wp`879294?7|ug=<4<4?:0y~j21?:3:1=vsa76:0>5<6std<;5:50;3xyk100<0;62i6=4>{|l43=e=83;pqc988e83>4}zf>=3i7>51zm32>a290:wp`878294?7|ug=<5<4?:0y~j21>:3:1=vsa76;0>5<6std<;4:50;3xyk101<0;63i6=4>{|l434}zf>=2i7>51zm32?a290:wp`87`294?7|ug=5<6std<;l:50;3xyk10i<0;6ki6=4>{|l43de=83;pqc98ae83>4}zf>=ji7>51zm32ga290:wp`87c294?7|ug=5<6std<;o:50;3xyk10j<0;6hi6=4>{|l43ge=83;pqc98be83>4}zf>=ii7>51zm32da290:wp`87b294?7|ug=5<6std<;n:50;3xyk10k<0;6ii6=4>{|l43fe=83;pqc98ce83>4}zf>=hi7>51zm32ea290:wp`87e294?7|ug=5<6std<;i:50;3xyk10l<0;6ni6=4>{|l43ae=83;pqc98de83>4}zf>=oi7>51zm32ba290:wp`87d294?7|ug=5<6std<;h:50;3xyk10m<0;6oi6=4>{|l43`e=83;pqc98ee83>4}zf>=ni7>51zm32ca290:wp`87g294?7|ug=5<6std<;k:50;3xyk10n<0;6li6=4>{|l43ce=83;pqc98fe83>4}zf>=mi7>51zm32`a290:wp`881294?7|ug=3<<4?:0y~j2>7:3:1=vsa7920>5<6std<4=:50;3xyk1?8<0;68:182xh00921<7?t}o5;4<<728qvb:6?a;295~{i?1:i6=4>{|l4<5e=83;pqc970e83>4}zf>2;i7>51zm3=6a290:wp`880294?7|ug=3=<4?:0y~j2>6:3:1=vsa7930>5<6std<4<:50;3xyk1?9<0;6a;295~{i?1;i6=4>{|l4<4e=83;pqc971e83>4}zf>2:i7>51zm3=7a290:wp`883294?7|ug=3><4?:0y~j2>5:3:1=vsa7900>5<6std<4?:50;3xyk1?:<0;6{|l4<7e=83;pqc972e83>4}zf>29i7>51zm3=4a290:wp`882294?7|ug=3?<4?:0y~j2>4:3:1=vsa7910>5<6std<4>:50;3xyk1?;<0;6{|l4<6e=83;pqc973e83>4}zf>28i7>51zm3=5a290:wp`885294?7|ug=38<4?:0y~j2>3:3:1=vsa7960>5<6std<49:50;3xyk1?<<0;6i6=4>{|l4<1e=83;pqc974e83>4}zf>2?i7>51zm3=2a290:wp`884294?7|ug=39<4?:0y~j2>2:3:1=vsa7970>5<6std<48:50;3xyk1?=<0;6{|l4<0e=83;pqc975e83>4}zf>2>i7>51zm3=3a290:wp`887294?7|ug=3:<4?:0y~j2>1:3:1=vsa7940>5<6std<4;:50;3xyk1?><0;6{|l4<3e=83;pqc976e83>4}zf>2=i7>51zm3=0a290:wp`886294?7|ug=3;<4?:0y~j2>0:3:1=vsa7950>5<6std<4::50;3xyk1??<0;621<7?t}o5;3<<728qvb:68a;295~{i?1=i6=4>{|l4<2e=83;pqc977e83>4}zf>251zm3=1a290:wp`889294?7|ug=34<4?:0y~j2>?:3:1=vsa79:0>5<6std<45:50;3xyk1?0<0;6{|l4<=e=83;pqc978e83>4}zf>23i7>51zm3=>a290:wp`888294?7|ug=35<4?:0y~j2>>:3:1=vsa79;0>5<6std<44:50;3xyk1?1<0;6{|l4<4}zf>22i7>51zm3=?a290:wp`88`294?7|ug=3m<4?:0y~j2>f:3:1=vsa79c0>5<6std<4l:50;3xyk1?i<0;6{|l44}zf>2ji7>51zm3=ga290:wp`88c294?7|ug=3n<4?:0y~j2>e:3:1=vsa79`0>5<6std<4o:50;3xyk1?j<0;6{|l44}zf>2ii7>51zm3=da290:wp`88b294?7|ug=3o<4?:0y~j2>d:3:1=vsa79a0>5<6std<4n:50;3xyk1?k<0;6{|l44}zf>2hi7>51zm3=ea290:wp`88e294?7|ug=3h<4?:0y~j2>c:3:1=vsa79f0>5<6std<4i:50;3xyk1?l<0;6{|l44}zf>2oi7>51zm3=ba290:wp`88d294?7|ug=3i<4?:0y~j2>b:3:1=vsa79g0>5<6std<4h:50;3xyk1?m<0;6{|l4<`e=83;pqc97ee83>4}zf>2ni7>51zm3=ca290:wp`88g294?7|ug=3j<4?:0y~j2>a:3:1=vsa79d0>5<6std<4k:50;3xyk1?n<0;6{|l44}zf>2mi7>51zm3=`a290:wp`891294?7|ug=2<<4?:0y~j2?7:3:1=vsa7820>5<6std<5=:50;3xyk1>8<0;68:182xh01921<7?t}o5:4<<728qvb:7?a;295~{i?0:i6=4>{|l4=5e=83;pqc960e83>4}zf>3;i7>51zm3<6a290:wp`890294?7|ug=2=<4?:0y~j2?6:3:1=vsa7830>5<6std<5<:50;3xyk1>9<0;6a;295~{i?0;i6=4>{|l4=4e=83;pqc961e83>4}zf>3:i7>51zm3<7a290:wp`893294?7|ug=2><4?:0y~j2?5:3:1=vsa7800>5<6std<5?:50;3xyk1>:<0;6{|l4=7e=83;pqc962e83>4}zf>39i7>51zm3<4a290:wp`892294?7|ug=2?<4?:0y~j2?4:3:1=vsa7810>5<6std<5>:50;3xyk1>;<0;6{|l4=6e=83;pqc963e83>4}zf>38i7>51zm3<5a290:wp`895294?7|ug=28<4?:0y~j2?3:3:1=vsa7860>5<6std<59:50;3xyk1><<0;6i6=4>{|l4=1e=83;pqc964e83>4}zf>3?i7>51zm3<2a290:wp`894294?7|ug=29<4?:0y~j2?2:3:1=vsa7870>5<6std<58:50;3xyk1>=<0;6{|l4=0e=83;pqc965e83>4}zf>3>i7>51zm3<3a290:wp`897294?7|ug=2:<4?:0y~j2?1:3:1=vsa7840>5<6std<5;:50;3xyk1>><0;6{|l4=3e=83;pqc966e83>4}zf>3=i7>51zm3<0a290:wp`896294?7|ug=2;<4?:0y~j2?0:3:1=vsa7850>5<6std<5::50;3xyk1>?<0;621<7?t}o5:3<<728qvb:78a;295~{i?0=i6=4>{|l4=2e=83;pqc967e83>4}zf>351zm3<1a290:wp`899294?7|ug=24<4?:0y~j2??:3:1=vsa78:0>5<6std<55:50;3xyk1>0<0;6{|l4==e=83;pqc968e83>4}zf>33i7>51zm3<>a290:wp`898294?7|ug=25<4?:0y~j2?>:3:1=vsa78;0>5<6std<54:50;3xyk1>1<0;6{|l4=4}zf>32i7>51zm35<6std<5l:50;3xyk1>i<0;6{|l4=de=83;pqc96ae83>4}zf>3ji7>51zm35<6std<5o:50;3xyk1>j<0;6{|l4=ge=83;pqc96be83>4}zf>3ii7>51zm35<6std<5n:50;3xyk1>k<0;6{|l4=fe=83;pqc96ce83>4}zf>3hi7>51zm35<6std<5i:50;3xyk1>l<0;6{|l4=ae=83;pqc96de83>4}zf>3oi7>51zm35<6std<5h:50;3xyk1>m<0;6{|l4=`e=83;pqc96ee83>4}zf>3ni7>51zm35<6std<5k:50;3xyk1>n<0;6{|l4=ce=83;pqc96fe83>4}zf>3mi7>51zm3<`a290:wp`8a1294?7|ug=j<<4?:0y~j2g7:3:1=vsa7`20>5<6std8:182xh0i921<7?t}o5b4<<728qvb:o?a;295~{i?h:i6=4>{|l4e5e=83;pqc9n0e83>4}zf>k;i7>51zm3d6a290:wp`8a0294?7|ug=j=<4?:0y~j2g6:3:1=vsa7`30>5<6stda;295~{i?h;i6=4>{|l4e4e=83;pqc9n1e83>4}zf>k:i7>51zm3d7a290:wp`8a3294?7|ug=j><4?:0y~j2g5:3:1=vsa7`00>5<6std{|l4e7e=83;pqc9n2e83>4}zf>k9i7>51zm3d4a290:wp`8a2294?7|ug=j?<4?:0y~j2g4:3:1=vsa7`10>5<6std:50;3xyk1f;<0;6{|l4e6e=83;pqc9n3e83>4}zf>k8i7>51zm3d5a290:wp`8a5294?7|ug=j8<4?:0y~j2g3:3:1=vsa7`60>5<6stdi6=4>{|l4e1e=83;pqc9n4e83>4}zf>k?i7>51zm3d2a290:wp`8a4294?7|ug=j9<4?:0y~j2g2:3:1=vsa7`70>5<6std{|l4e0e=83;pqc9n5e83>4}zf>k>i7>51zm3d3a290:wp`8a7294?7|ug=j:<4?:0y~j2g1:3:1=vsa7`40>5<6std<0;6{|l4e3e=83;pqc9n6e83>4}zf>k=i7>51zm3d0a290:wp`8a6294?7|ug=j;<4?:0y~j2g0:3:1=vsa7`50>5<6std21<7?t}o5b3<<728qvb:o8a;295~{i?h=i6=4>{|l4e2e=83;pqc9n7e83>4}zf>k51zm3d1a290:wp`8a9294?7|ug=j4<4?:0y~j2g?:3:1=vsa7`:0>5<6std{|l4e=e=83;pqc9n8e83>4}zf>k3i7>51zm3d>a290:wp`8a8294?7|ug=j5<4?:0y~j2g>:3:1=vsa7`;0>5<6std{|l4e4}zf>k2i7>51zm3d?a290:wp`8a`294?7|ug=jm<4?:0y~j2gf:3:1=vsa7`c0>5<6std{|l4ede=83;pqc9nae83>4}zf>kji7>51zm3dga290:wp`8ac294?7|ug=jn<4?:0y~j2ge:3:1=vsa7``0>5<6std{|l4ege=83;pqc9nbe83>4}zf>kii7>51zm3dda290:wp`8ab294?7|ug=jo<4?:0y~j2gd:3:1=vsa7`a0>5<6std{|l4efe=83;pqc9nce83>4}zf>khi7>51zm3dea290:wp`8ae294?7|ug=jh<4?:0y~j2gc:3:1=vsa7`f0>5<6std{|l4eae=83;pqc9nde83>4}zf>koi7>51zm3dba290:wp`8ad294?7|ug=ji<4?:0y~j2gb:3:1=vsa7`g0>5<6std{|l4e`e=83;pqc9nee83>4}zf>kni7>51zm3dca290:wp`8ag294?7|ug=jj<4?:0y~j2ga:3:1=vsa7`d0>5<6std{|l4ece=83;pqc9nfe83>4}zf>kmi7>51zm3d`a290:wp`8b1294?7|ug=i<<4?:0y~j2d7:3:1=vsa7c20>5<6std8:182xh0j921<7?t}o5a4<<728qvb:l?a;295~{i?k:i6=4>{|l4f5e=83;pqc9m0e83>4}zf>h;i7>51zm3g6a290:wp`8b0294?7|ug=i=<4?:0y~j2d6:3:1=vsa7c30>5<6stda;295~{i?k;i6=4>{|l4f4e=83;pqc9m1e83>4}zf>h:i7>51zm3g7a290:wp`8b3294?7|ug=i><4?:0y~j2d5:3:1=vsa7c00>5<6std{|l4f7e=83;pqc9m2e83>4}zf>h9i7>51zm3g4a290:wp`8b2294?7|ug=i?<4?:0y~j2d4:3:1=vsa7c10>5<6std:50;3xyk1e;<0;6{|l4f6e=83;pqc9m3e83>4}zf>h8i7>51zm3g5a290:wp`8b5294?7|ug=i8<4?:0y~j2d3:3:1=vsa7c60>5<6stdi6=4>{|l4f1e=83;pqc9m4e83>4}zf>h?i7>51zm3g2a290:wp`8b4294?7|ug=i9<4?:0y~j2d2:3:1=vsa7c70>5<6std{|l4f0e=83;pqc9m5e83>4}zf>h>i7>51zm3g3a290:wp`8b7294?7|ug=i:<4?:0y~j2d1:3:1=vsa7c40>5<6std<0;6{|l4f3e=83;pqc9m6e83>4}zf>h=i7>51zm3g0a290:wp`8b6294?7|ug=i;<4?:0y~j2d0:3:1=vsa7c50>5<6std21<7?t}o5a3<<728qvb:l8a;295~{i?k=i6=4>{|l4f2e=83;pqc9m7e83>4}zf>h51zm3g1a290:wp`8b9294?7|ug=i4<4?:0y~j2d?:3:1=vsa7c:0>5<6std{|l4f=e=83;pqc9m8e83>4}zf>h3i7>51zm3g>a290:wp`8b8294?7|ug=i5<4?:0y~j2d>:3:1=vsa7c;0>5<6std{|l4f4}zf>h2i7>51zm3g?a290:wp`8b`294?7|ug=im<4?:0y~j2df:3:1=vsa7cc0>5<6std{|l4fde=83;pqc9mae83>4}zf>hji7>51zm3gga290:wp`8bc294?7|ug=in<4?:0y~j2de:3:1=vsa7c`0>5<6std{|l4fge=83;pqc9mbe83>4}zf>hii7>51zm3gda290:wp`8bb294?7|ug=io<4?:0y~j2dd:3:1=vsa7ca0>5<6std{|l4ffe=83;pqc9mce83>4}zf>hhi7>51zm3gea290:wp`8be294?7|ug=ih<4?:0y~j2dc:3:1=vsa7cf0>5<6std{|l4fae=83;pqc9mde83>4}zf>hoi7>51zm3gba290:wp`8bd294?7|ug=ii<4?:0y~j2db:3:1=vsa7cg0>5<6std{|l4f`e=83;pqc9mee83>4}zf>hni7>51zm3gca290:wp`8bg294?7|ug=ij<4?:0y~j2da:3:1=vsa7cd0>5<6std{|l4fce=83;pqc9mfe83>4}zf>hmi7>51zm3g`a290:wp`8c1294?7|ug=h<<4?:0y~j2e7:3:1=vsa7b20>5<6std8:182xh0k921<7?t}o5`4<<728qvb:m?a;295~{i?j:i6=4>{|l4g5e=83;pqc9l0e83>4}zf>i;i7>51zm3f6a290:wp`8c0294?7|ug=h=<4?:0y~j2e6:3:1=vsa7b30>5<6stda;295~{i?j;i6=4>{|l4g4e=83;pqc9l1e83>4}zf>i:i7>51zm3f7a290:wp`8c3294?7|ug=h><4?:0y~j2e5:3:1=vsa7b00>5<6std{|l4g7e=83;pqc9l2e83>4}zf>i9i7>51zm3f4a290:wp`8c2294?7|ug=h?<4?:0y~j2e4:3:1=vsa7b10>5<6std:50;3xyk1d;<0;6{|l4g6e=83;pqc9l3e83>4}zf>i8i7>51zm3f5a290:wp`8c5294?7|ug=h8<4?:0y~j2e3:3:1=vsa7b60>5<6stdi6=4>{|l4g1e=83;pqc9l4e83>4}zf>i?i7>51zm3f2a290:wp`8c4294?7|ug=h9<4?:0y~j2e2:3:1=vsa7b70>5<6std{|l4g0e=83;pqc9l5e83>4}zf>i>i7>51zm3f3a290:wp`8c7294?7|ug=h:<4?:0y~j2e1:3:1=vsa7b40>5<6std<0;6{|l4g3e=83;pqc9l6e83>4}zf>i=i7>51zm3f0a290:wp`8c6294?7|ug=h;<4?:0y~j2e0:3:1=vsa7b50>5<6std21<7?t}o5`3<<728qvb:m8a;295~{i?j=i6=4>{|l4g2e=83;pqc9l7e83>4}zf>i51zm3f1a290:wp`8c9294?7|ug=h4<4?:0y~j2e?:3:1=vsa7b:0>5<6std{|l4g=e=83;pqc9l8e83>4}zf>i3i7>51zm3f>a290:wpsr}AB@=7f;3ohj?m?08CDG}7uIJ[wpNO \ No newline at end of file +$7420=#Zl|/;6&?:183-c=/83:1<$.'0;294,773!;;<=4>01295567!890$3:*2056728>;<=4>4123-46< 8=;<7?80182356.991#=5>?:0:34?7?89#:<6&=712962672;=;<$??;)0;45<509:1>5>?)028,667839;<=4<012*64=/;9:;6>>?0;1345/' :?;<7=:0180156.991#?8>?:2734?5289#o7%:?0;634?278 ;;7%8?0185456=>9:;%<>4(6234?17890<<=>&119+=56720:;<77?01+2?57<9;1:1392644<9;0DYY^ZT;PB847=8780=><41508504<9?80=:<419085<7<:;196<;2:066>40:283>6<61:11?6653:897>==;261?6353:<97>9>;508054<<8808?<44208034<=9809?<45208114<=<809;<459081<7<>;1=??59439517=1>81<>69?2:516>14:2=?>6992:546>1?:2=2=66=;921?=75318975;=;941?=15313:74<49108=44<1;805><49508=04<1?805:=4AOF:?DHIG]K_II74A^DPF9776m1JSK]M<02=*UGC12KTJ^L3103?,SEA?e9B[CUE48>5"]OK9:C\BVD;9<4o7LQISC>21;(WIM30MRH\B=35:a=FWOYI0<81.QCG=>GXNZH7=:0k;@]EWG:6?7$[MI74A^DPF97?6m1JSK]M<0:=*UGC12KTJ^L3181.QCG=>GXNZH7><0k;@]EWG:597$[MI74A^DPF9456m1JSK]M<30=*UGCj2KTJ^L32282:<=FWOYI0?=1d:C\BVD;::4%\LJ7;@]EWG:56j1JSK]M<3<-TDB?3HUM_O2<>b9B[CUE4:4%\LJ7;@]EWG:36j1JSK]M<5<-TDB?3HUM_O2:>b9B[CUE4<4%\LJ7;@]EWG:16j1JSK]M<7<-TDB?3HUM_O28>b9B[CUE4>4%\LJ7;@]EWG:?6j1JSK]M<9<-TDB?3HUM_O26>b9B[CUE404%\LJk;@]EWGY68VCIYKj4A^DPFZ76W@H^Ji5N_GQA[44XAK_Mh6OPFR@\56YNJ\Lo7LQISC]20ZOE]On0MRH\B^36[LDRNm1JSK]M_04\MGSAl2KTJ^LP16]JFP@c3HUM_OQ>8^KAQCb!D078EZ@TJV;2SDLZF%@VB5(C98?0MRH\B^3:[LDRN-H^J= K2048EZ@TJV;2SDLZF%@VB5(BPY;i7LQISC]2=ZOE]O.IYK>!EYR\TQY79k1JSK]M_0;\MGSA,K_M<#KWP^RW[47e3HUM_OQ>9^KAQC"E]O:%IU^PPU]15g=FWOYIS<7PICWE GSA8'OS\R^[_204?DYA[KU:5RGMUG&AQC6)MQZTx~gbrdlbi`bXfl~T=95N_GQA[4?XAK_M(O[I0/S`?DYA[KU:SDLZFe9B[CUEW;:TEO[Id:C\BVDX:8UBNXH>2:C\BVDX:8UBNXH+BTD351=FWOYIS??PICWE GSA8'N:96OPFR@\64YNJ\L/NXH?.E321>GXNZHT>GXNZHT>>QFBTD`?DYA[KU9SDLZFb9B[CUEW:UBNXHl;@]EWGY3W@H^Jn5N_GQA[0YNJ\Lh7LQISC]5[LDRNj1JSK]M_6]JFP@d3HUM_OQ7_H@VBf=FWOYIS4QFBTD0?GSA02H^_RGAFN68G40J02I::@ _AEg8G40JW@H^J"HLLJd8G40JW@H^J"HLLJ/5?F47ELDj7NAH@n;B06I@H)XHN87N6B7:A;I+VFL11H4@QFBTDf?F>JW@H^J"HLLJ/1?FC43JF@56M@MLKWP@B03JXNMYKK3:AWH<=Ddfi`_}kl8:FbpdUwmj?0Hhbny09F1>C)XHNj7HV_15]-A]Va3LR[=9Q!EYR\TQY7n2OS\<:P.DZS[URX9o1NT]?;_/G[TZVSW;l0IU^>4^,F\UYW\V9m7HV_15]-A]VXX]U?j6KWP06\*@^WWY^T95^,F\UYW\V9m7HV_14]-A]VXX]U?=o5JXQ36[+C_XV~xe`|jn`of`Zhb|Vk0IU^>6^,F\U`6^,F\UYW\V>m7HV_17]-A]VXX]U>=o5JXQ35[+C_XV~xe`|jn`of`Zhb|Vk0IU^>7^,F\U`7^,F\UYW\V>m7HV_16]-A]VXX]U>=o5JXQ34[+C_XV~xe`|jn`of`Zhb|Vk0IU^>8^,F\U`8^,F\UYW\V>:n6KWP0:\*@^WW}ybakaalgg[kcsWh1NT]?6_/G[Tc=BPY;2S#KWP^RW[5`P.DZSb>C_X;:T"HV__QV\4c=BPY8;S#KWP^RW[4`=Q!EYR\TQY4n2OS\?>P.DZS[URXC_X;;T"HV__QV\4c=BPY8:S#KWP^RW[4`?Q!EYRe?@^W:;U%IU^PPU]3b>C_X;8T"HV__QV\5c=BPY89S#KWP^RW[7`R JXQ]SPZ5a3LR[>?Q!EYR\TQY3n2OS\?>Q!EYRe?@^W::U%IU^PPU]3b>C_X;9T"HV__QV\5c=BPY88S#KWP^RW[7`>Q!EYR\TQY3n2OS\?=P.DZS[URX=8h0IU^=3^,F\UYs{`gyicobee]maqYf3LR[>9Q!EYRe?@^W:=U%IU^PPU]3b>C_X;>T"HV__QV\5c=BPY8?S#KWP^RW[7`S#KWPg9F\U42W'OS\R^[_1d8A]V5=V$NT]Q_T^3e?@^W:C_X;?T"HV__QV\7c=BPY8>S#KWP^RW[17e3LR[>8Q!EYR\pvojzldjahjPndv\e>C_X;V$NT]Q_T^0e?@^W:?U%IU^PPU]0b>C_X;;Q!EYR\pvojzldjahjPndv\e>C_X;=T"HV_f:G[T71X&LR[S]ZP0g9F\U40W'OS\R^[_0d8A]V5?V$NT]Q_T^0e?@^W:>U%IU^PPU]0b>C_X;=T"HV__QV\04dX&LR[S]ZP1g9F\U4?W'OS\R^[_3d8A]V50V$NT]Q_T^1e?@^W:1U%IU^PPU]7b>C_X;2T"HV__QV\14d@DDB20JNBD.QCGa>@DDBUBNXH FBNHb>@DDBUBNXH FBNH)7=AN:1Lbi<4IO18MK643@D:86GA1168MK76<2CE=?:4IO300>OI9=>0EC?:4:KM532;2CE>95FN327?LH59=1BB?<;;HL171=NF;>?7D@=559JJ7033@D9;95FN3:7?LH51:1BB>:4IO130>OI;890EC:<;HL67>OI>:1BB:=4IO:0?LH>12CEEY][AUG;?LhsLlfju>5COB58HJANKHFh7@oeosTfvvohfj1Feca}Vdppmjh23G:/CH:4N1&Rg>H7&K_MCH @UU68J5(C=2D;"I?:;O2-@72!Q59M5!W33G;%H85A1/F21>H6&M8?7C?!Q69MAQQHZB90CCK=;NP0?JSS;2ZJH95_ASV4?UOIFHGNm6^FN^@VWLB_j2ZBBRLZSOCNA3=Wm{cdh55_osvSeqn23[K7<374R@>3:+VFL?1YM1??>`9QE9776'ZJH55]A=32>5813[K7=<0n;SC?548)XHN>7_O31?;8VD:66'ZJH85]A=0==>TF4;4%\LJ:;SC?7;?/RB@0=UI5<556\N<7<-TDB23[K7;374R@>4:+VFL<1YM1619:PB8=8)XHN>7_O39?;8VD:>6'ZJH45]A^2\KGSAj2XJS=Q@BTD-@f=UIV:TCO[I.E3`?WGX8VEIYK K2038VDY7WFH^J#J=_QV\447POCWE*T?;SC\5ZIE]O$O>R^[_0`8VDY6WFH^J#_6;SC\6ZIE]Oh0^LQ=_N@VB+Bd3[KT>RAMUG,G5f=UIV8TCO[I.E025>TFW;UDNXH!D3]SPZ6692XJS?Q@BTD-@7YW\V;o7_OP2^MAQC(BPY;n7_OP2^MAQC(BPYUdc}eocnaaYim}Ui7_OP2^MAQC(V12XJS>Q@BTDa?WGX;VEIYK Kc:PB[6YHJ\L%HTFW:UDNXH!EYR26>TFW:UDNXH!EYR\TQY79;1YMR=POCWE*@^WWY^T=1:PB[1YHJ\L%H?Q_T^225>TFW=UDNXH!D3]SPZ7c3[KT8RAMUG,F\U753[KT8RAMUG,F\UYW\V::>6\N_5]LFP@)MQZT\YQ>139QEZ2XGK_M"HV__QV\6446\N_4]LFP@)MQZT\YQ?139QEZ3XGK_M"HV__QV\544SBLZF/G[TZVSW;;97_OP5^MAQC(BPYU[XR=>2:PB[0YHJ\L%IU^PPU]75`=UIV?TCO[I.DZS[qune{oem`kk_ogw[g=UIV?TCO[I.P;8VDY1WFH^Jo5]A^4\KGSA&Mi0^LQ9_N@VB+B6k2XJS;Q@BTD-@7763[KT:RAMUG,G6ZVSW9;:7_OP6^MAQC(C:VZ_SVEIYK JXQ]SPZ76:2XJS;Q@BTD-A]VXX]U9=?5]A^4\KGSA&LR[S]ZP30g8VDY1WFH^J#KWP^vpmhtbfhgnhR`jt^`8VDY1WFH^J#_6;SC\3ZIE]Oh0^LQ8_N@VB+Bd3[KT;RAMUG,G5f=UIV=TCO[I.E025>TFW>UDNXH!D3]SPZ6692XJS:Q@BTD-@7YW\V;i7_OP7^MAQC(V12XJS5Q@BTDa?WGX0VEIYK Kc:PB[=YHJ\L%HXGK_M"IXGK_M"IQfzyYN"cin5:Uj`qn5k2RH58?;4YN.55+SY8:;,%]edf#Bljb2)\n~~g`n!1-4,2^c`VZye`Xjrrklj==_laU_e`kk;Yfk[QojmJbnofj4Xej\PlkbLlfju<>4Xeo\Idlhz_oydaa119[`hYJageyZh||inl7?_CUGh1hby!NotvLAf=df}%Jcxz@E%S`?fhs'He~xBK!De9`jq)Fg|~DI#J>d:amp*Gh}}EN"I K2c9`jq)NXE8y"\j4cov,MUJ5z'XNKi5lnu-OPlkbz5:5==5lnu-OPlkbz5:5"NKi;blw+IRnelx7<3 K119`jq)K\`gn~1>1.E324>ei|&F_e`k}<1<-@7`3:+W692iex"B[ilgq858)ZLM:i6mat.NWmhcu494Tx~gbcsgbp`bXpfxTi6mat.NWmhcu48:5=<5lnu-OPlkbz5;;2#MJ119`jq)K\`gn~1??>/F25>ei|&F_e`k}<02=*A7692iex"B[ilgq8469&M8::6mat.NWmhcu48:5"I>/AF55=df}%GXdcjr=32:+B692iex"B[ilgq8479&M;:=6mat.NWmhcu48;5"I<>6:amp*JSadoy01?,G6ZVSW;;;7n`{/MVji`t;984%]<<4cov,HQojm{6:=3 ]EF3e?fhs'E^bah|310<\pvojk{ojxhjPxnp\a>ei|&F_e`k}<00=54=df}%GXdcjr=31:+EB991hby!CThofv9756'N:=6mat.NWmhcu4885"I?>1:amp*JSadoy0<<1.E022>ei|&F_e`k}<00=*A4XX]U;=;5lnu-OPlkbz5;92#J=_QV\54026;(C:VZ_S???;blw+IRnelx7=?0!Q008gkr(D]cfi2>2?,QAB7a3jd#AZfmdp?578X|zcfokntdf\|jtXm2iex"B[ilgq8459981hby!CThofv9746'IN==5lnu-OPlkbz5;82#J>1:amp*JSadoy0<=1.E325>ei|&F_e`k}<01=*A46>2iex"B[ilgq8459&M8T\YQ?179`jq)K\`gn~1?<>/F1[URX98:0ocz LUknaw:6;7$Z=?5lnu-OPlkbz5;82#\JG0d8gkr(D]cfi2>3?]wwlkdzlkiiQwos]g?fhs'E^bah|31?33?fhs'E^bah|31?,@Ac=df}%GXdcjr=3=*A773jd#AZfmdp?5;(C98:0ocz LUknaw:66'N9=85lnu-OPlkbz5;5"Iei|&F_e`k}<0<-U472:+TBOm1hby!CThofv949991hby!CThofv949&JOm7n`{/MVji`t;:7$O==5lnu-OPlkbz585"I?>0:amp*JSadoy0?0!D336?fhs'E^bah|32?,G6ZVSW9;>7n`{/MVji`t;:7$O>R^[_036?fhs'E^bah|32?,G6ZVSW;l0ocz LUknaw:56'[:=6mat.NWmhcu4;4%^HI>e:amp*JSadoy0?0Ptrkngwcf|lnTtb|Pd:amp*JSadoy0>0>0:amp*JSadoy0>0!CDd8gkr(D]cfi2<>/F24>ei|&F_e`k}<2<-@4773jd#AZfmdp?7;(C:8?0ocz LUknaw:46'N9S]ZP0078gkr(D]cfi2<>/F1[URX98?0ocz LUknaw:46'N9S]ZP2g9`jq)K\`gn~1=1.P32?fhs'E^bah|33?,QAB7b3jd#AZfmdp?7;Ys{`gh~ho{ee]{kwYc3jd#AZfmdp?0;773jd#AZfmdp?0;(DMo1hby!CThofv929&M;;7n`{/MVji`t;<7$O=<>4cov,HQojm{6?2#J=149`jq)K\`gn~1:1.E0\TQY79<1hby!CThofv929&M8T\YQ>149`jq)K\`gn~1:1.E0\TQY5n2iex"B[ilgq818)Y8;0ocz LUknaw:36'XNK2<>4cov,HQojm{6>2#MJf:amp*JSadoy080!D028gkr(D]cfi2:>/F255=df}%GXdcjr=7=*A46=2iex"B[ilgq808)L;U[XR>>5:amp*JSadoy080!D3]SPZ76=2iex"B[ilgq808)L;U[XR5:+W692iex"B[ilgq838)ZLM:i6mat.NWmhcu4?4Tx~gbcsgbp`bXpfxTh6mat.NWmhcu4>4:<6mat.NWmhcu4>4%OHh4cov,HQojm{6<2#J>0:amp*JSadoy0:0!D033?fhs'E^bah|37?,G6434:+B5WY^T<<;4cov,HQojm{6<2#J=_QV\5434:+B5WY^T>/G[TZrtadxnblcjd^lfpZ`4:+W692iex"B[ilgq828)ZLM:i6mat.NWmhcu4>4Tx~gbcsgbp`bXpfxTh6mat.NWmhcu414:<6mat.NWmhcu414%OHh4cov,HQojm{632#J>0:amp*JSadoy050!D033?fhs'E^bah|38?,G643;:+B5WY^T<<;4cov,HQojm{632#J=_QV\543;:+B5WY^T>k5lnu-OPlkbz525"\?>;blw+IRnelx743 ]EF3f?fhs'E^bah|38?]wwlkdzlkiiQwos]g?fhs'E^bah|39?33?fhs'E^bah|39?,@Ac=df}%GXdcjr=;=*A773jd#AZfmdp?=;(C98:0ocz LUknaw:>6'N9=85lnu-OPlkbz535"Iei|&F_e`k}<8<-U47::+TBO8o0ocz LUknaw:>6V~xe`m}e`vf`Z~hzV;:7n`{/QMQWZ@TEVLMhei|&ZD^^QISL]EBa7)ZLM9>6mat.RLVVYA[DUMJi?Ptrkngwcf|lnTtb|P109`jq)WG[YTJ^CPFGf156=df}%[C_]PFRO\BCb5&M;?7n`{/QMQWZ@TEVLMh? K1068gkr(XFXXSK]B_GDg6+B5901hby!_OSQ\BVKXNOn9"I129`jq)WG[YTJ^CPFGf1*T723jd#]A]S^DPIZ@Al;$YIJo4cov,V``Umxl0ocz RddQat(DDBLh7n`{/SgeV`w)Lm1hby!]egPfu+B6l2iex"\jfSgr*A46:2iex"\jfSgr*A4XX]U;=?5lnu-QacTby'N9S]ZP1008gkr(ZllYi| K2^RW[7eei|&Xnj_k~.SGD`>ei|&XnjX|heov26>ei|&XnjX|heov-GIMAn2iex"\jfTpdakr)L8:0ocz RddVvbci|'N:==5lnu-QacSuold"I<>5:amp*Tbn\xlicz!D3]SPZ66=2iex"\jfTpdakr)L;U[XR?i;blw+Wca]{mnby ^109`jq)Umo_ykh`{.SGDg>ei|&^bah|30?32?fhs']cfi2?>/AOOCc3:+B5m2iex"Zfmdp?4;(V991hby![ilgq858)ZLMh7n`{/Uknaw:668;0ocz Thofv979&JF@Jh5lnu-Wmhcu484%Hk5lnu-Wmhcu484%H4:amp*Rnelx7=3 K2^RW[5733jd#Ygbes>2:+B5WY^T=h5lnu-Wmhcu484%]<>4cov,Plkbz5;5"_KHc:amp*Rnelx7>3?>;blw+Qojm{692#MCKGg8gkr(\`gn~1<1.Ed8gkr(\`gn~1<1.E3e?fhs']cfi2=>/F151=df}%_e`k}<3<-@7YW\V::86mat.Vji`t;:7$O>R^[_0g8gkr(\`gn~1<1.P33?fhs']cfi2=>/PFC4bei|&^bah|33?,@HN@b3jd#Ygbes>0:+Ba3jd#Ygbes>0:+B6n2iex"Zfmdp?7;(C:8>0ocz Thofv959&M8T\YQ?159`jq)Sadoy0>0!D3]SPZ7b3jd#Ygbes>0:+W682iex"Zfmdp?7;(UMN;o7n`{/Uknaw:46V~xe`m}e`vf`Z~hzVh0ocz ThofvQE682iex"ZfmdpWG+EKCOn0ocz ThofvQE)Ll1hby![ilgqPF(C9l1hby![ilgqPF(C:m1hby![ilgqPF(Vn2iex"ZfmdpWG+TBOk1hby!aBS]JKGbei|&dI^RG@B/F2a>ei|&dI^RG@B/F156=df}%eN_QFOC,G6ZVSW9;87n`{/o@Q[LIE&M8T\YQ>f:amp*hEZVCDN#KWP068gkr(fKXTEBL!EYR\TQY79=1hby!aBS]JKG(BPYU[XR?>4:amp*hEZVCDN#KWP^RW[7473jd#cL]_HMA*@^WW}ybakaalgg[kcsWm1hby!aBS]JKG(Vn2iex"`MR^KLF+TBO8i0ocz nCP\MJDX|zcfokntdf\|jtXj2iex"`]ERmvpa=df}%e^H]`uu,Ga>ei|&dYI^azt/F2a>ei|&dYI^azt/F156=df}%e^H]`uu,G6ZVSW9;87n`{/oPFWjss&M8T\YQ>d:amp*hUMZe~x#_i;blw+kTB[f"_KHc:ap+kIqm{fju=k4cr-mKscudhs;"Ih4cr-mKscudhs;"I?i;bq,jJpbzekr<#J=159`w*hH~lxgmt>!D3]SPZ66<2ix#cAyesnb}5(C:VZ_S<=4fr`,V`gcq9x%H?Q_T^227>`tj&Xnmiw?r/F1[URX9890j~l Rdcg}5t)L;U[XR`tj&Xnmiw>r/F2a>`tj&Xnmiw>r/F156=a{k%Yiljv1s,G6ZVSW9;87k}m/Sgb`|7u&M8T\YQ>129ewg)Umhnr= K2^RW[7743oyi#_kndx3q*A4XX]U8=>5isc-Qadb~9{$O>R^[_5d8bvd(Zlkou<|!EYR14>`tj&Xnmiw>r/G[TZrtadxnblcjd^lfpZb`tj&\[M#J=_QV\6c=a{k%]\L K2^RW[6`01mo!YP@,Rf>`tj&\[M#\JG`9ewg)iL]KHGn5isc-m@QGDC'No7k}m/oFWEFM)L8n0j~l nEVBGN(C:880j~l nEVBGN(C:VZ_S=?=;gqa+kBSIJA%H?Q_T^326>`tj&dOXLMD.E0\TQY5m2lxn"`KT@AH*@^W9:1mo!aDUC@O+C_XVZ_S=?<;gqa+kBSIJA%IU^PPU]256=a{k%eHYOLK/G[TZVSW;;m7k}m/oFWEFM)MQZTx~gbrdlbi`bXfl~To6h|b.lGPDEL&Xo0j~l nEVBGN(UMNo0j~l nEVBGN(RAD30eblb/@NF4g=ngkg$MAK?.Ea8mjdj'HFN<#J>c:klfh)FDL:%H??>;hmai*GKM9$O>R^[_132?liee&KGI= K2^RW[4dh5foco,@QGDC{x%]<>4in`n+ARFKBxy"_KHb:klfh)Cg|~DIi5foco,@jssGL$Oi6g`bl-GkprHM'N:i6g`bl-GkprHM'N9=>5foco,@jssGL$O>R^[_130?liee&NdyyAJ.E0\TQY6l2cdn`!KotvLA+Wa3`eia"J`uuMF*WC@i2cdn`!JS=2=g>ohjd%N_1>1.Ef8mjdj'LY7<3 K1e9jkgk(MZ6;2#J=139jkgk(MZ6;2#J=_QV\444>/F2`>ohjd%N_1?1.E026>ohjd%N_1?1.E0\TQY79;1bcoc ER>2:+B5WY^T=<<4in`n+@U;97$O>R^[_3a8mjdj'LY7=3 ^e:klfh)B[5;5"_KHa:klfh)B[585o6g`bl-FW949&Mn0eblb/DQ?6;(C9m1bcoc ER>1:+B59;1bcoc ER>1:+B5WY^T<<<4in`n+@U;:7$O>R^[_031?liee&OX0?0!D3]SPZ46:2cdn`!JS=0=*A4XX]U8=?5foco,AV:56'N9S]ZP4b9jkgk(MZ692#_j;hmai*CT4;4%^HIn;hmai*CT4:4h7damm.GP868)Lm1bcoc ER>0:+B6l2cdn`!JS=1=*A46:2cdn`!JS=1=*A4XX]U;=?5foco,AV:46'N9S]ZP1008mjdj'LY7?3 K2^RW[7eohjd%N_1=1.SGD5g=ngkg$I^2<>^vpmheumh~nhRv`r^c8mjdj'LY783m4in`n+@U;<7$Oh6g`bl-FW929&M;o7damm.GP818)L;;97damm.GP818)L;U[XR>>2:klfh)B[5>5"I/F1[URX:880eblb/DQ?0;(C:VZ_S>m4in`n+@U;<7$Zi6g`bl-FW929&[OL=o5foco,AV:36V~xe`m}e`vf`Z~hzVk0eblb/DVBGNeohjd%NXLMD.E3g?liee&O_MNE!D3a8mjdj'L^JOF ^e:klfh)B\HI@"_KH7:klfh)Bz01bcoc Es,Ge>ohjd%N~#J>a:klfh)Bz'N956g`bl-Fv+We3`eia"K}.SGD<>ohjd%N~?o4in`n+@t5&Mh0eblb/Dp1*A7e3`eia"K}2/F1e>ohjd%N~? ^c:klfh)Bz;$YIJo4in`n+LIFK]i0eblb/HMBGQ(Cl2cdn`!FO@AW*A7c3`eia"G@ABV-@7753`eia"G@ABV-@7YW\V::>6g`bl-JKDES&M8T\YQ>139jkgk(AFKHX#J=_QV\6442:klfh)NGHI_"Iohjd%BCLM[.Pg8mjdj'@EJOY ]EF`8mjdj'@EII_\k;hmai*OHJLXY"Ik4in`n+LIEM[X%H5foco,MJDBZ[$O>R^[_4f8mjdj'@EII_\!Qg9jkgk(AFHN^_ ]EF3`?liee&CDNH\]_uqjiftbi}ooSua}_c9jkgk(AFXN]j4in`n+LIUMXx%Hh5foco,MJTBY{$O=h5foco,MJTBY{$O>i5foco,MJTBY{$Zj6g`bl-JKWCVz'XNKohjd%BC^QISL]EBa7)ZLM:=6g`bl-JKVYA[DUMJi<>3:klfh)NGZUM_@QIFe0-@42ohjd%BC^QISL]EBa4)L;U[XR>>9:klfh)NGZUM_@QIFe0-@7YW\V;:56g`bl-JKVYA[DUMJiohjd%BC^QISL]EBa4)L;U[XR:>3:klfh)NGZUM_@QIFe0-U43ohjd%BC^QISL]EBa5)L;;27damm.KLWZ@TEVLMh> K2^RW[57>3`eia"G@S^DPIZ@Al:$O>R^[_03:?liee&CD_RH\M^DE`6(C:VZ_S??<;hmai*OH[VLXARHId2,R50=ngkg$EB]PFRO\BCb4&[OLm6g`bl-QAVtak2cdn`!]ERpe*Abohjd%YI^|i.Pg8mjdj'[OX~k ]EFc8mjdj'[OX~m4in`n+WCTz{$Oh6g`bl-QAVtu&M;o7damm.PFWwt)L;i0eblb/SGPvw(Vm2cdn`!]ERpq*WC@i2cdn`!YP@peg>ohjd%]\L|i.Ef8mjdj'_ZJ~k K1e9jkgk(^YKyj#J=c:klfh)QXHxm"\k4in`n+SVFzo$YIJo4in`n+SVFz{i0eblb/WRBvw(Cl2cdn`!YP@pq*A7c3`eia"X_Asp-@7eohjd%]\L|}.SGDf>ohjd%eM^aztd9jkgk(fHYdyy*@Ee9jkgk(fHYdyy*^149jkgk(fHYdyy MUGMF*JSSl2cdn`!aARmvp+Bb3`eia"`NSnww*A7b3`eia"`NSnww*A46;2cdn`!aARmvp+B5WY^T<<=4in`n+kGTg|~%H?Q_T^3g?liee&dJ_b{{.Pd8mjdj'gKXcxz!RDE24>ohjd%eM^azt/VQWQ?ohjd%eHd`CE/G[TZVSW9;?7damm.lGmkJB&LR[S]ZP1068mjdj'gNbbAK!EYR\TQY59=1bcoc nEkmH@(BPYU[XR==0:klfh)iL`dGI#KWP^vpmhtbfhgnhR`jt^f8mjdj'gNbbAK!Qg9jkgk(fMce@H ]EF;8mjdj'gFO_n5foco,jIBT,FO:?6g`bl-mHAU)J\LDI#AZTc9jkgk(fENX"Im4in`n+kJC['N:o6g`bl-mHAU)L;;:7damm.lO@V(C:VZ_S=?>;hmai*hKLZ$O>R^[_032?liee&dGH^ K2^RW[7d;hmai*hRLZ$O>R^[_032?liee&d^H^ K2^RW[7dd:klfv)Ddlky=#Jj;hmaw*Ekmhx:"I?j;hmaw*Ekmhx:"I.Pd8mjdt'Jfnm?!RDEa?lie{&CDMNZ}d:klfv)NGHI_~#Jj;hmaw*OHIJ^y"I?j;hmaw*OHIJ^y"Ic:klfv)NGE;%OHl4in`p+LIK9'Nh7dams.KLH4(C9j1bco} INN2*A4e3`ei"G@L0,R`>ohjz%BCA?!RDEb?lie{&CD^H_l;hmaw*OHZL[%Hi5focq,MJTBY'N:h6g`br-JKWCV&M8:>6g`br-JKWCV&M8T\YQ?139jkgu(AFXN]#J=_QV\5442:klfv)NG[OZ"Iohjz%BC_Y?.E0\TQY49;1bco} INPT4+B5WY^T8<<4in`p+LIU_9$O>R^[_431?lie{&CD^Z>!D3]SPZ0d3`ei"G@RV2-U`=ngky$EB\X0/PFC4d6g`br-JKWQ6&M8T\YQ?139jkgu(AFX\=#J=_QV\5442:klfv)NG[]:"Iohjz%BC_kndx,F\UYW\V;:96g`br-JKWcflp$NT]Q_T^021>ohjz%BC_kndx,F\UYW\V99=6g`br-JKWcflp$NT]Q{shoqakgjmmUeiyQj;hmaw*OHZlkou#_>0:klfv)NG[ojht ]EF3g?lie{&CD^hoky^vpmheumh~nhRv`r^;8mjdt'@E^ohjz%Gclj>.E0\TQY69;1bco} Lncg5+B5WY^T><<4in`p+Iifl8$O>R^[_231?lie{&Fdmi?!D3]SPZ26:2cdn~!Co`f2*A4XX]U>=?5focq,Hjgc9'N9S]ZP6b9jkgu(Dfko=#_j;hmaw*Jhim;%^HI6;hmaw*Iiklh0ebl|/Nl`a+Bd3`ei"Aacd,G5f=ngky$Ccmj.E025>ohjz%Dbnk!D3]SPZ6692cdn~!@nbg-@7YW\V;:=6g`br-Ljfc)L;U[XR<>1:klfv)Hfjo%H?Q_T^125>ohjz%Dbnk!D3]SPZ2692cdn~!@nbg-@7YW\V?:=6g`br-Ljfc)L;U[XR8m;hmaw*Iikl$Zh6g`br-Ljfc)ZLM:<6g`br-SWZ@TEVLMhohjz%[_RH\M^DE`4(C9890ebl|/QQ\BVKXNOn:"I<>8:klfv)W[VLXARHId0,G6ZVSW9;37dams.RP[CUJWOLo=#J=_QV\5443:klfv)W[VLXARHId3,G64>R^[_731?lie{&ZXSK]B_GDg6+W6<2cdn~!_S^DPIZ@Al;$YIJk4in`p+kDBZ[UM_O??;hmaw*hEM[XTJ^L!D038mjdt'gHN^_QISC,G547R^[_135?lie{&dII_\PFR@-@7YW\V;::6g`br-mF@TUWOYI"I6g`br-mF@TUWOYI"_KH139jkgu(fKOY^RH\B/WJI4`3gKOcxzCE1f8jDBh}}FN<#^NDd9mEAir|EO;SBLZF028jDBh}}FN.QCGa>hFLf@H?POCWE55=iIMe~xAK>_N@VB+B692dJHb{{LD3\KGSA&M;:=6`NDnwwH@7XGK_M"I<>6:lB@jssDL;TCO[I.E0\TQY79?1eMIaztMG2[JDRN'N9S]ZP1028jDBh}}FN=RAMUG,R57=iIMe~xAK>_N@VB+TBO>1eM^QISC`8jDUXNZH%\LJl;oCP[CUEW@H^J:5aAR]JKGdhEM[XTJ^L!P@F:?kDBZ[UBCOj4nCGQVZOHJ'ZJHh5aBDPQ[LIEW@H^J:5aBF]JKGdhCagENm6`KioMF*UGCj2dOecAJ_N@VBa=iL`dDIRAMUG,Ga>hCagENSBLZF/F2a>hCagENSBLZF/F156=iL`dDIRAMUG,G6ZVSW9;87cJfnNG\KGSA&M8T\YQ>d:lGmkIBWFH^J#_8;oFlqqIBj2dOcxz@E/RB@f=iLfCHQ@BTDf?kBh}}ENSBLZF/Fe?kBh}}ENSBLZF/F2b>hCg|~DIRAMUG,G6`=iLfCHQ@BTD-U0=iAYF956`FPM0-TDBf3gC[@?QFBTD;?kJC[VLXNn5aLEQ\BVD)XHNo7cBKS^DPFZOE]O20bAJ\_HMAg>hKLZUBCO _AE18jJC03gEN"]OK8:lLAZIE]Ok0bBKPOCWE*Ad;oMF[JDRN'OS\R^[_132?kIBWFH^J#KWP^RW[4763gENSBLZF/G[TZVSW;;o7cAJ_N@VB+C_XV~xe`|jn`of`Zhb|Vk0bBKPOCWE*T15:lQEHJPMVEIYK JXQ]SPZ66=2dYM@BXE^MAQC(BPYU[XR?>5:lQEHJPMVEIYK JXQ]SPZ46=2dYM@BXE^MAQC(BPYU[XR=>5:lQEHJPMVEIYK JXQ]SPZ2592dYM@BXE^MAQC(BPYUdc}eocnaaYim}Un7c\NMMUF[JDRN'[<7c\NMTUFf>hUID_\I#^NDb9mVDKR_LUDNXHj;oPBIPQBWFH^J#Ji;oPBIPQBWFH^J#J>f:lQEHSPMVEIYK K2028jWGJ]^OTCO[I.DZS50=iZHG^[HQ@BTD-A]VXX]U;=85aR@OVS@YHJ\L%IU^PPU]250=iZHG^[HQ@BTD-A]VXX]U9=85aR@OVS@YHJ\L%IU^PPU]064=iZHG^[HQ@BTD-A]VX|zcf~h`nmdf\j`rXm2dYM@[XE^MAQC(V<2dYM^64nSCP*UGC12dYM^Q@BTDa?kTF[VEIYK Kc:lQEVYHJ\L%HhUGD]NSBLZF/G[TZVSW8;?7c\@MVG\KGSA&LR[S]ZP2328jWIJ_LUDNXH!EYR\pvojzldjahjPndv\`>hUGD]NSBLZF/S;?kSC[VLXNn5aUEQ\BVD)XHNo7c[KS^DPFZOE]O20bXJ\_HMAg>hRLZUBCO _AE:8jSKFW@EIo6`YM@]JKG(WIM20b[^N_GQAg>hQXHUM_O _AEf8jSVFWOYISBLZFg9mRUGXNZHTCO[I.E33?kPWIVLXNRAMUG,G546hfel=0bhijdfg4?uitmmmn56|nm.@BGQte3{kf#OOLTs,Gg>tfe&HJOY|!D0a8vdk(JHI_~#J=b:pbi*DFK]x%]i5}al-AEFRu&[OL56|nm.PBI@He3{kf#_OBEO,Gg>tfe&XJAH@!D0a8vdk(ZHGNB#J=109qeh)UIDOE"Itfe&XJA_kndxg8vdk(ZHGYiljv.Ed8vdk(ZHGYiljv.E3e?wgj'[KF^hoky/F151=uid%YM@\jae{-@7YW\V::86|nm.PBIWcflp$O>R^[_037?wgj'[KF^hoky/F1[URX:8>0~lc R@OQadb~&M8T\YQ<119qeh)UIDXnmiw!EYR21>tfe&XJA_kndx,F\UYW\V::96|nm.PBIWcflp$NT]Q_T^315>tfe&XJA_kndx,F\UYs{`gyicobee]maqYb3{kf#_OBRdcg}+W682xja"\NMSgb`|(UMN30~lc R@QFHg=uid%YM^KC.Ea8vdk(ZHYN@#J>c:pbi*TF[LF%H??>;scn+WGTME$O>R^[_132?wgj'[KXIA K2^RW[4763{kf#_O\EM,G6ZVSW;;:7ob/SCPAI(C:VZ_S>?>;scn+WGTME$O>R^[_532?wgj'[KXIA K2^RW[0763{kf#_O\EM,G6ZVSW?h0~lc R@QFH+Wc3{kf#_O\EM,QAB`6|nm.PP[CUJWOLo=#J>139qeh)U[VLXARHId0,G641>7:pbi*TTWOYFSKHk1/F1[URX98=0~lc RR]EWHYANm;%H?Q_T^023>tfe&XXSK]B_GDg5+B5WY^T?<94r`o,VVYA[DUMJi?!D3]SPZ26?2xja"\\_GQN[C@c9'N9S]ZP5038vdk(ZZUM_@QIFe3-U451ym`!]S^DPIZ@Al;$O>R^[_234?wgj'[YTJ^CPFGf1*A4XX]U?=:5}al-QWZ@TEVLMh? K2^RW[0763{kf#_]PFRO\BCb5&X;87ob/SQ\BVKXNOn9"_KHf:pbi*TTWOYFSKHk3038vdk(ZZUM_@QIFe1-@447:pbi*TTWOYFSKHk3/F1[URX88=0~lc RR]EWHYANm9%H?Q_T^323>tfe&XXSK]B_GDg7+B5WY^T><94r`o,VVYA[DUMJi=!D3]SPZ56?2xja"\\_GQN[C@c;'N9S]ZP4058vdk(ZZUM_@QIFe1-@7YW\V?:=6|nm.PP[CUJWOLo?#_>3:pbi*TTWOYFSKHk3/PFC76tfe&XnjIaae/F2a>tfe&XnjIaae/F156=uid%YikJ`nd,G6ZVSW9;87ob/Sge@jhb&M8T\YQ>f:pbi*TbnMeei#KWP068vdk(ZllOcck!EYR\TQY79=1ym`!]egFlj`(BPYU[XR?=0:pbi*TbnMeei#KWP^vpmhtbfhgnhR`jt^f8vdk(ZllOcck!Qg9qeh)UmoNdbh ]EFc8vdk(ZllYM^m4r`o,V``UIZ$Oh6|nm.PfbWGT&M;o7ob/SgeVDU)L;i0~lc RddQEV(Vm2xja"\jfSCP*WC@i2xja"\jfSgrg>tfe&Xnj_k~.Ef8vdk(ZllYi| K1e9qeh)UmoXn}#J=c:pbi*Tbn[oz"\k4r`o,V``Umx$YIJk4r`o,V``UmxYrbn??;scn+WcaZl{Xucm!D038vdk(ZllYi|]vnb,G5477ob/SgdQwabf}Yrbn ]EF:8vdk(fJKXm6|nm.l@EV(Cj2xja"`LAR,G5g=uid%eOL]!D3c8vdk(fJKX"\m4r`o,jFGT&[OL96xnltg~DEv0:090LMv=118E>7<6sZi36??<:18271d7j803m87tn33g>4=i:8o1:6*=178151=z[j=1><=50;300g6e932j9l5k20294?7=9rYh47<>3;29562e8k;14l;6;wV6a?6=93;18kjtSb:9645=83;88o>m1;:b1<=Q:831>v{>6b82?p71l3:0q)"60?09=?5m21d94?2?2<8:69hk{I03g>"58k09c=8884f>h6010376g97;29 4>>2>h0b<67:898m33=83.:4448b:l2<=5$0::>2d898`?>o2n3:1(<66:6`8j4>?2m10e:m50;&2<<<0j2d:454?;:k4=?6=,8226:l4n0:;>4==1<7*>8884f>h6010976g85;29 4>>2>h0b<67:298m27=83.:4448b:l2<=<332c=j7>5$0::>2d8985?>o1j3:1(<66:6`8j4>?2>10eo750;9j1a>=831bo<4?::k204<722c>8;4?::k617<722c>;>4?::k6g2<722c>8k4?::k``?6=3`?<<7>5;h76f?6=3`?o97>5;h50>5<#9131;o5a19:953=o1<7*>8884f>h6010:965f8183>!7?13=i7c?78;37?>o?:3:1(<66:6`8j4>?28907d6;:18'5=?=?k1e=5651398m=0=83.:4448b:l2<=<6921b454?:%3;=?1e3g;347??;:m6gf<722e>844?::m633<722e>ol4?::m61<<722e>8i4?::m60g<722e>984?::m24?:%3;=?7>82d:454n;:m2=0<72-;357?60:l2<=<>32e:5:4?:%3;=?7>82d:4547;:m2=<<72-;357?60:l2<=<032e:5o4?:%3;=?7>82d:4549;:m2=a<72-;357?60:l2<=<232e:5k4?:%3;=?7>82d:454;;:m2e4<72-;357?60:l2<=<432e:m>4?:%3;=?7>82d:454=;:m62<<722e>;54?::m6fg<722e>oh4?::m61`<722e>?>4?::m625<722e>5k4?::m612<722!>mo4?::m2=4<72-;357?60:l2<=<732e:4h4?:%3;=?7>82d:454>;:m67f<722e>494?::m6=7<722e>mi4?::mab?6=3fho6=44b9f94?7=83:p(??7:0:6?M47k2c:484?:%02i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4l;%7gg?e849K65e6=4+20:95=3<,8k4$0:4>6c<3thi97>52;294~"5910i;6F=0b9j511=83.9=54>4698k4>3290/><651968R77f28qG>{zut1vn;o50;394?6|,;;36<6:;I03g>o60<0;6)<>8;3;1>"6>h0:;6*>86823>=zj091<7<50;2x 77?2k=0D?>l;h373?6=,;;36<:8;:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0h7);kc;a8yx{z3th=47>51;294~"5910:485G21a8m4>2290/><651978 40f28?0(<68:078?xd>:3:1>7>50z&15=5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc2j1/9im5c:~yx=zj?<1<7?50;2x 77?282>7E4:9~f<7=8381<7>t$33;>g1<@;:h7d?;7;29 77?28><76a>8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a50z&15=<60<1C>=m4i0:6>5<#:821=5;4$04b>45<,82<6<=4;|`:4?6=:3:1N58j1b=9950;&15=<6<>10c<6;:18'64>=91>0Z??n:0yO64e=9r\ho74>33_;?<7f=#=mi1o6sr}|9~f34=83;1<7>t$33;>4>23A8;o6g>8483>!4603;396*>6`860>"60>0>865rb9d94?4=83:p(??7:c58L76d3`;?;7>5$33;>42032e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de8`?!3ck3i0qpsr;|`54?6=93:1=91?0(<8n:5a8 4>02=i07pl7e;296?6=8r.9=54m7:J14f=n9==1<7*=198202=i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4l;%7gg?e849K65e6=4+20:95=3<,84`<3thjh7>52;294~"5910i;6F=0b9j511=83.9=54>4698k4>3290/><651968R77f28qG>{zut1vn:650;394?6|,;;36<6:;I03g>o60<0;6)<>8;3;1>"6>h0:h6*>8682`>=zjh?1<7<50;2x 77?2k=0D?>l;h373?6=,;;36<:8;:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0h7);kc;a8yx{z3th<:7>51;294~"5910:485G21a8m4>2290/><651978 40f28i0(<68:0a8?xdf<3:1>7>50z&15=5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc2j1/9im5c:~yx=zj>81<7?50;2x 77?282>7Eb:9~f<1=8381<7>t$33;>g1<@;:h7d?;7;29 77?28><76a>8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a50z&15=<60<1C>=m4i0:6>5<#:821=5;4$04b>4g<,82<6N58j1b=9950;&15=<6<>10c<6;:18'64>=91>0Z??n:0yO64e=9r\ho74>33_;?<7f=#=mi1o6sr}|9~f3c=83;1<7>t$33;>4>23A8;o6g>8483>!4603;396*>6`82=>"60>0:565rb8794?4=83:p(??7:c58L76d3`;?;7>5$33;>42032e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de8`?!3ck3i0qpsr;|`5g?6=93:1=91?0(<8n:0:8 4>028207pl64;296?6=8r.9=54m7:J14f=n9==1<7*=198202=i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi48;%7gg?1a;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?1<,50z&15=<60<1C>=m4i0:6>5<#:821=5;4$04b>71<,82<6?94;|`6`d<72;0;6=u+20:9f2=O:9i0e<:8:18'64>=9==07b?74;29 77?282?7[<>a;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?e<,<54}ca0>5<5290;w)<>8;`4?M47k2c:8:4?:%02;6?u+5ef9g>"2lj0h7psr}:ag1<72;0;6=u+20:9f2=O:9i0e<:8:18'64>=9==07b?74;29 77?282?7E<>7:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0<7);kc;58yx{z3th:8?4?:083>5}#:821=5;4H32`?l7?=3:1(??7:0:6?!71i38>7)?77;06?>{e9=91<7<50;2x 77?2k=0D?>l;h373?6=,;;36<:8;:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0<7);kc;58yx{z3th:894?:383>5}#:821n:5G21a8m420290/><651558?j7?<3:1(??7:0:7?M46?2\9=l4>{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de84?!3ck3=0qpsr;|`602<7280;6=u+20:95=3<@;:h7d?75;29 77?282>7)?9a;0b?!7??38j76sm55:94?4=83:p(??7:c58L76d3`;?;7>5$33;>42032e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de8`?!3ck3i0qpsr;|`233<72;0;6=u+20:9f2=O:9i0e<:8:18'64>=9==07b?74;29 77?282?7[<>a;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?e<,50z&15=<60<1C>=m4i0:6>5<#:821=5;4$04b>10<,82<6984;|`611<72;0;6=u+20:9f2=O:9i0e<:8:18'64>=9==07b?74;29 77?282?7[<>a;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?e<,50z&15=<60<1C>=m4i0:6>5<#:821=5;4$04b>7?<,82<6?74;|`630<72;0;6=u+20:9f2=O:9i0e<:8:18'64>=9==07b?74;29 77?282?7[<>a;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?e<,50z&15=<60<1C>=m4i0:6>5<#:821=5;4$04b>7d<,82<6?l4;|`6g<<72;0;6=u+20:9f2=O:9i0e<:8:18'64>=9==07b?74;29 77?282?7[<>a;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?e<,50z&15=<60<1C>=m4i0:6>5<#:821=5;4$04b>1><,82<6964;|`614<72;0;6=u+20:9f2=O:9i0e<:8:18'64>=9==07b?74;29 77?282?7[<>a;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?e<,954}c30b?6=:3:1N58j1b=9950;&15=<6<>10c<6;:18'64>=91>0Z??n:0yO64e=9r\ho74>33_;?<7f=#=mi1o6sr}|9~f016290:6=4?{%02N58j1b=9950;&15=<6<>10c<6;:18'64>=91>0Z??n:0yO64e=9r\ho74>33_;?<7f=#=mi1o6sr}|9~f03d290:6=4?{%02N58j1b=9950;&15=<6<>10c<6;:18'64>=91>0Z??n:0yO64e=9r\ho74>33_;?<7f=#=mi1o6sr}|9~f0b1290:6=4?{%02N58j1b=9950;&15=<6<>10c<6;:18'64>=91>0Z??n:0yO64e=9r\ho74>33_;?<7f=#=mi1o6sr}|9~f22=83;1<7>t$33;>4>23A8;o6g>8483>!4603;396*>6`86=>"60>0>565rb`194?4=83:p(??7:c58L76d3`;?;7>5$33;>42032e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de8`?!3ck3i0qpsr;|`4b?6=93:1=91?0(<8n:4c8 4>0250;094?6|,;;36o94H32`?l73?3:1(??7:064?>i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4l;%7gg?e849K65e6=4+20:95=3<,80e<3thi=7>52;294~"5910i;6F=0b9j511=83.9=54>4698k4>3290/><651968R77f28qG>{zut1vn5;50;394?6|,;;36<6:;I03g>o60<0;6)<>8;3;1>"6>h0:i6*>8682a>=zjk81<7<50;2x 77?2k=0D?>l;h373?6=,;;36<:8;:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0h7);kc;a8yx{z3th3;7>51;294~"5910:485G21a8m4>2290/><651978 40f2;20(<68:3:8?xde;3:1>7>50z&15=5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc2j1/9im5c:~yx=zj131<7?50;2x 77?282>7Et$33;>g1<@;:h7d?;7;29 77?28><76a>8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a=91?0D?>l;n3;1?6=,;;36<6:;%35e?203-;3;7:8;:a1fe=8391<7>t$33;>76f3A8;o6g>4683>!4603;?;6X=1`82I46k3;pZnm52z&21]=9>52z&6`a290/><65c89U64g=9rF9=n4>{Wa`>7}#91h1o45Y15296~"2lm0:7);kc;38yx{z3f;387>5$33;>4>33_8:m7?tL33`>4}Qkj09w)?7b;3;0>P6<909w);kd;38 0bd281vqps4}c77e?6=93:1=91?0(<8n:5g8 4>02=o07pl:4883>6<729q/><6521c8L76d3`;?;7>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;373>P6<909w);kd;c8 0bd2h1vqps4ib;94?"5910h56X=1`82I46k3;pZnm52z&23290/><651968R77f28qG>{zut1vn898:182>5<7s-8:47?75:J14f=h91?1<7*=1982<0=#9?k18o5+19590g=53;294~"59109<651558R77f28qG>{zut1bo44?:%023_8:m7?tL33`>4}Qkj09w)?7b;a:?S73838p(8jk:09'1ae=92wvqp5`19694?"5910:495Y20c95~J59j0:w[ml:3y'5=d=91>0Z<:?:3y'1ab=92.>hn4>;|~y>{e=jh1<7?50;2x 77?282>7Ehn4n;|~y>od13:1(??7:b;8R77f28qG>7}#=mn1=6*:db82?x{zu2e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`61d<7280;6=u+20:95=3<@;:h7b?75;29 77?282>7)?9a;05?!7??38=76sm54;94?4=83:p(??7:c58L76d3`;?;7>5$33;>42032e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de8b?!3ck3k0qpsr;|`60`<7280;6=u+20:95=3<@;:h7b?75;29 77?282>7)?9a;67?!7??3>?76sm55f94?4=83:p(??7:c58L76d3`;?;7>5$33;>42032e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de8b?!3ck3k0qpsr;|`60f<7280;6=u+20:95=3<@;:h7b?75;29 77?282>7)?9a;71?!7??3?976sm55`94?4=83:p(??7:c58L76d3`;?;7>5$33;>42032e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de8b?!3ck3k0qpsr;|`613<7280;6=u+20:95=3<@;:h7b?75;29 77?282>7)?9a;66?!7??3>>76sm54794?4=83:p(??7:c58L76d3`;?;7>5$33;>42032e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de8b?!3ck3k0qpsr;|`27)?9a;1;?!7??39376sm19a94?4=83:p(??7:c58L76d3`;?;7>5$33;>42032e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de8b?!3ck3k0qpsr;|`2=1<7280;6=u+20:95=3<@;:h7b?75;29 77?282>7)?9a;15?!7??39=76sm18194?4=83:p(??7:c58L76d3`;?;7>5$33;>42032e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de8b?!3ck3k0qpsr;|`2=3<7280;6=u+20:95=3<@;:h7b?75;29 77?282>7)?9a;17?!7??39?76sm18794?4=83:p(??7:c58L76d3`;?;7>5$33;>42032e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de8b?!3ck3k0qpsr;|`2==<7280;6=u+20:95=3<@;:h7b?75;29 77?282>7)?9a;11?!7??39976sm18594?4=83:p(??7:c58L76d3`;?;7>5$33;>42032e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de8b?!3ck3k0qpsr;|`2=d<7280;6=u+20:95=3<@;:h7b?75;29 77?282>7)?9a;12?!7??39:76sm18;94?4=83:p(??7:c58L76d3`;?;7>5$33;>42032e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de8b?!3ck3k0qpsr;|`2=f<7280;6=u+20:95=3<@;:h7b?75;29 77?282>7)?9a;10?!7??39876sm18`94?4=83:p(??7:c58L76d3`;?;7>5$33;>42032e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de8b?!3ck3k0qpsr;|`2=`<7280;6=u+20:95=3<@;:h7b?75;29 77?282>7)?9a;16?!7??39>76sm18f94?4=83:p(??7:c58L76d3`;?;7>5$33;>42032e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de8b?!3ck3k0qpsr;|`2e5<7280;6=u+20:95=3<@;:h7b?75;29 77?282>7)?9a;14?!7??39<76sm18d94?4=83:p(??7:c58L76d3`;?;7>5$33;>42032e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de8b?!3ck3k0qpsr;|`2e7<7280;6=u+20:95=3<@;:h7b?75;29 77?282>7)?9a;1:?!7??39276sm1`394?4=83:p(??7:c58L76d3`;?;7>5$33;>42032e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de8b?!3ck3k0qpsr;|`2e1<7280;6=u+20:95=3<@;:h7b?75;29 77?282>7)?9a;1a?!7??39i76sm1`194?4=83:p(??7:c58L76d3`;?;7>5$33;>42032e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de8b?!3ck3k0qpsr;|`62d<7280;6=u+20:95=3<@;:h7b?75;29 77?282>7)?9a;70?!7??3?876sm57;94?4=83:p(??7:c58L76d3`;?;7>5$33;>42032e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de8b?!3ck3k0qpsr;|`63<<7280;6=u+20:95=3<@;:h7b?75;29 77?282>7)?9a;0e?!7??38m76sm56:94?4=83:p(??7:c58L76d3`;?;7>5$33;>42032e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de8b?!3ck3k0qpsr;|`6ff<7280;6=u+20:95=3<@;:h7b?75;29 77?282>7)?9a;0`?!7??38h76sm5c`94?4=83:p(??7:c58L76d3`;?;7>5$33;>42032e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de8b?!3ck3k0qpsr;|`6gc<7280;6=u+20:95=3<@;:h7b?75;29 77?282>7)?9a;7;?!7??3?376sm5bg94?4=83:p(??7:c58L76d3`;?;7>5$33;>42032e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de8b?!3ck3k0qpsr;|`61c<7280;6=u+20:95=3<@;:h7b?75;29 77?282>7)?9a;72?!7??3?:76sm54g94?4=83:p(??7:c58L76d3`;?;7>5$33;>42032e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de8b?!3ck3k0qpsr;|`671<7280;6=u+20:95=3<@;:h7b?75;29 77?282>7)?9a;73?!7??3?;76sm52194?4=83:p(??7:c58L76d3`;?;7>5$33;>42032e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de8b?!3ck3k0qpsr;|`624<7280;6=u+20:95=3<@;:h7b?75;29 77?282>7)?9a;76?!7??3?>76sm57294?4=83:p(??7:c58L76d3`;?;7>5$33;>42032e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de8b?!3ck3k0qpsr;|`6e5<7280;6=u+20:95=3<@;:h7b?75;29 77?282>7)?9a;63?!7??3>;76sm58d94?4=83:p(??7:c58L76d3`;?;7>5$33;>42032e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de8b?!3ck3k0qpsr;|`61=<7280;6=u+20:95=3<@;:h7b?75;29 77?282>7)?9a;0f?!7??38n76sm54594?4=83:p(??7:c58L76d3`;?;7>5$33;>42032e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de8b?!3ck3k0qpsr;|`6ef<7280;6=u+20:95=3<@;:h7&?75;29 77?282>7)?9a;75?!7??3?=76sm5``94?5=83:p(??7:32b?M47k2c:8:4?:%02{M02g?7|^ji1>v*>8c8202=Q9=:1>v*:de8b?!3ck3k0qpsr;ha:>5<#:821o45Y20c95~J59j0:w[ml:3y'5=d=k01]=9>52z&6`a<63-?oo7?4}|~?j7?<3:1(??7:0:7?S46i3;p@??l:0yUgf<5s-;3n7?74:T205<5s-?oh7?4$4f`>4=zutw0qo?62;295?6=8r.9=54>849K65e6=4+20:95=3<,8h4$0:4>6`<3th:5<4?:383>5}#:821n:5G21a8m420290/><651558?j7?<3:1(??7:0:7?S46i3;p@??l:0yUgf<5s-;3n7?74:T205<5s-?oh7o4$4f`>d=zutw0qo?7f;295?6=8r.9=54>849K65e6=4+20:95=3<,8o4$0:4>6g<3th:4h4?:383>5}#:821n:5G21a8m420290/><651558?j7?<3:1(??7:0:7?S46i3;p@??l:0yUgf<5s-;3n7?74:T205<5s-?oh7o4$4f`>d=zutw0qo;849K65e6=4+20:95=3<,81`<3th>?n4?:383>5}#:821n:5G21a8m420290/><651558?j7?<3:1(??7:0:7?S46i3;p@??l:0yUgf<5s-;3n7?74:T205<5s-?oh7o4$4f`>d=zutw0qo;75;295?6=8r.9=54>849K65e6=4+20:95=3<,817<3th>494?:383>5}#:821n:5G21a8m420290/><651558?j7?<3:1(??7:0:7?S46i3;p@??l:0yUgf<5s-;3n7?74:T205<5s-?oh7o4$4f`>d=zutw0qo;63;295?6=8r.9=54>849K65e6=4+20:95=3<,814<3th>5?4?:383>5}#:821n:5G21a8m420290/><651558?j7?<3:1(??7:0:7?S46i3;p@??l:0yUgf<5s-;3n7?74:T205<5s-?oh7o4$4f`>d=zutw0qo;ne;295?6=8r.9=54>849K65e6=4+20:95=3<,87b<3th>mi4?:383>5}#:821n:5G21a8m420290/><651558?j7?<3:1(??7:0:7?S46i3;p@??l:0yUgf<5s-;3n7?74:T205<5s-?oh7o4$4f`>d=zutw0qom?:182>5<7s-8:47?75:J14f=h91?1<7*=1982<0=#9?k1?n5+19597f=859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1m6*:db8b?x{zu2winh4?:083>5}#:821=5;4H32`?j7?=3:1(??7:0:6?!71i39o7)?77;1g?>{ejm0;6?4?:1y'64>=j>1C>=m4i064>5<#:821=994;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3k0(8jl:`9~yx{52;294~"5910i;6F=0b9j511=83.9=54>4698k4>3290/><651968R77f28qG>{zut1vn99l:181>5<7s-8:47l8;I03g>o6<>0;6)<>8;373>=h91>1<7*=1982<1=Q:8k1=vB=1b82Sed2;q/=5l51968R4272;q/9ij51:&6`f<63twvq6sm46f94?4=83:p(??7:c58L76d3`;?;7>5$33;>42032e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`73c<72;0;6=u+20:9f2=O:9i0e<:8:18'64>=9==07b?74;29 77?282?7[<>a;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,50z&15=<59<1C>=m4i06;>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>37[?;0;0x 0bc281/9im51:~yx=n9=31<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij5c:&6`f8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xd30?0;6;4?:1y'64>=9=?0D?>l;%355$33;>4203_8:m7?tL33`>4}Q9?31>v*>8c8202=Q9=:1>v*:de82?!3ck3;0qpsr;ha6>5<#:821o85Y20c95~J59j0:w[?99;0x 4>e2j?0Z<:?:3y'1ab=92.>hn4>;|~y>od>3:1(??7:b48R77f2;qG>5+5ea97>{zuE8:n7:tV32:>6}Qkh09w);kb;a5?!5383?oh6sYcc81!3cj3;?;6*<4186`a=z,?<;6o5rV32:>6}Qkh09w);kb;a5?!5383?oh6sYcc81!3cj3;?;6*<4186`f=z,?<;6o5rV32:>6}Qkh09w);kb;a5?!5383?oh6sYcc81!3cj3i>7)=;0;7g`>{#>?:1>6sY19c96~Pdi38p(8jm:b48 6272{zu2c9<54?:%02<^8>;6?u+5ef9b>"2lj0m7psrL33a>4}Q:8;1>vXla;0x 0be2;:37)=;0;7g`>{#>?:1j6sr}:k142<72-8:477}#91h1>=94V063>7}#=mn1j6*:db8e?x{zD;;i6{zu2e:494?:%025<7s-8:47<>f:J14f=h91>1<7*=1982<1=51;294~"5910:4?5G21a8k4>3290/><651968?xd3090;6>4?:1y'64>=:8?0D?>l;h374181!3cl3;0(8jl:09~yx{26=4+20:9511<^;;j60Z<:?:3y'1ab=92.>hn4>;|~y>{e<1;1<7?50;2x 77?2;;m7E4290<6=4?{%024983>!4603;?;6F=169U64g=9rF9=n4>{Wa`>7}#91h1=964V063>7}#=mn1i6*:db8f?x{zu2c:844?:%02hn4j;|~y>o6==0;6)<>8;373>N59>1]>;6?u+5ef9a>"2lj0n7psr}:k21c<72-8:47?;7:J152=Q:8k1=vB=1b82Sed2;q/=5l514d8R4272;q/9ij5e:&6`f6383>!4603;?;6F=169U64g=9rF9=n4>{Wa`>7}#91h1=;<4V063>7}#=mn1i6*:db8f?x{zu2c::>4?:%02hn4j;|~y>i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4>;%7gg?747=83:p(??7:4f8L76d3`;?47>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37<>P6<909w);kd;g8 0bd2l1vqps4i06:>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>27[?;0;0x 0bc2l1/9im5e:~yx=n9<>1<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l51468R4272;q/9ij5e:&6`f5g83>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a{M02g?7|^ji1>v*>8c8227=Q9=:1>v*:de8f?!3ck3o0qpsr;h357?6=,;;36<:8;I023>P59h0:wA<>c;3xRfe=:r.:4o4>629U516=:r.>hi4j;%7gg?c<7[<>a;3xH77d28q]on4={%3;f?71<2\:8=4={%7g`?c<,<651558R77f28qG>{zut1b=;850;&15=<6<>1]>;6?u+5ef9a>"2lj0n7psr}:k222<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:::5Y15296~"2lm0:7);kc;38yx{z3`;?m7>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37e>P6<909w);kd;38 0bd281vqps4i06a>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>i7[?;0;0x 0bc281/9im51:~yx=n9=i1<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515a8R4272;q/9ij51:&6`f<63twvq6g>4e83>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?l73m3:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?;e:T205<5s-?oh7?4$4f`>4=zutw0e<:i:18'64>=9==0Z??n:0yO64e=9r\ho742a3_;?<74=#=mi1=6sr}|9l5=2=83.9=54>859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1=6*:db82?x{zu2wi85<50;194?6|,;;36<6<;I03g>o6<10;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>499U516=:r.>hi4>;%7gg?7<7[<>a;3xH77d28q]on4={%3;f?7312\:8=4={%7g`?7<,3290/><651968R77f28qG>{zut1vn968:180>5<7s-8:47;k;I03g>o6<10;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>499U516=:r.>hi4;;%7gg?2<7[<>a;3xH77d28q]on4={%3;f?7312\:8=4={%7g`?2<,3290/><651968R77f28qG>{zut1vn9:7:181>5<7s-8:47l8;I03g>o6<>0;6)<>8;373>=h91>1<7*=1982<1=Q:8k1=vB=1b82Sed2;q/=5l51968R4272;q/9ij51:&6`f<63twvq6sm45494?4=83:p(??7:c58L76d3`;?;7>5$33;>42032e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`702<72;0;6=u+20:9f2=O:9i0e<:8:18'64>=9==07b?74;29 77?282?7[<>a;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,7>50z&15=5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj=?;6=49:183!4603;?96F=0b9'53>=981b=9950;&15=<6<>1]>4203_;?<74=#=mi1=6sr}|9jg0<72-8:47m:;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{P59h09wA<>c;3xR40>2;q/=5l5c79U516=:r.>hi4<;%7gg?57}#=mh1=994$263>0bc3t.=:=4m;|T14<<4s_ij6?u+5e`9g3=#;=:19ij4}Waa>7}#=mh1=994$263>0bd3t.=:=4m;|T14<<4s_ij6?u+5e`9g3=#;=:19ij4}Waa>7}#=mh1o85+35291abv*:dc8`2>"4<90>hi5r$743>467:18'64>=:920Z??n:3yO64e=9r\::44={%3;f?4702\:8=4={%7g`?`<,<652158R77f2;qG>c=zutF9=o4>{W025?4|^jk1>v*:dc8142=#;=:19ij4}%454?`=91>07pl;4`83>6<729q/><652078L76d3`;?47>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37<>P6<909w);kd;38 0bd281vqps4i06:>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>27[?;0;0x 0bc281/9im51:~yx=h91>1<7*=1982<1=Q:8k1=vB=1b82Sed2;q/=5l51968R4272;q/9ij51:&6`f<63twvq6sm45`94?7=83:p(??7:33e?M47k2e:494?:%025<7s-8:47;k;I03g>o6<10;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>499U516=:r.>hi4j;%7gg?c<7E<>7:T15d<6sE8:o7?tVba96~"60k0:845Y15296~"2lm0n7);kc;g8yx{z3f;387>5$33;>4>33_8:m7?tL33`>4}Qkj09w)?7b;3;0>P6<909w);kd;38 0bd281vqps4}c67a?6=;3:1N58j1b=9650;&15=<6<>1C><94V33b>4}K:8i1=vXlc;0x 4>e28>37[?;0;0x 0bc2l1/9im5e:~yx=n9=31<7*=198202=O:8=0Z??n:0yO64e=9r\ho742>3_;?<7`=#=mi1i6sr}|9l5=2=83.9=54>859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1=6*:db82?x{zu2wi89m50;194?6|,;;36<6<;I03g>o6<10;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>499U516=:r.>hi4>;%7gg?7<7[<>a;3xH77d28q]on4={%3;f?7312\:8=4={%7g`?7<,3290/><651968R77f28qG>{zut1vn9;>:180>5<7s-8:47;k;I03g>o6<10;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>499U516=:r.>hi4;;%7gg?2<7[<>a;3xH77d28q]on4={%3;f?7312\:8=4={%7g`?2<,3290/><651968R77f28qG>{zut1vn98;:181>5<7s-8:47l8;I03g>o6<>0;6)<>8;373>=h91>1<7*=1982<1=Q:8k1=vB=1b82Sed2;q/=5l51968R4272;q/9ij51:&6`f<63twvq6sm47794?4=83:p(??7:c58L76d3`;?;7>5$33;>42032e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`723<72;0;6=u+20:9f2=O:9i0e<:8:18'64>=9==07b?74;29 77?282?7[<>a;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,50z&15=<6<<1C>=m4$04;>47<6=4+20:9511<^;;j6009w)?7b;373>P6<909w);kd;38 0bd281vqps4ib794?"5910h96X=1`82I46k3;pZ<86:3y'5=d=k<1]=9>52z&6`a<63-?oo7?4}|~?le1290/><65c79U64g=:rF9=n4>{W35=?4|,82i6n84V063>7}#=mn1?6*:db80?x{zD;;i69uY21;97~Pdi38p(8jm:b48 6272<7)=;0;7g`>{#>?:1n6sY21;97~Pdi38p(8jm:b48 6272<7)=;0;7gg>{#>?:1n6sY21;97~Pdi38p(8jm:b48 6272:?:4fg?x"1>9097pX>8`81Sef2;q/9il5c79'716==mn0q)890;33?x{z3`8;47>5$33;>76?3_8:m74}Q9?31>v*>8c814==Q9=:1>v*:de8e?!3ck3l0qpsC20`95~P59809w[mn:3y'1ad=:920(>:?:4fg?x"1>90m7psr;h033?6=,;;36?>8;W02e?4|D;;h65$33;>4>332wi8;950;194?6|,;;36??:;I03g>o6<10;6)<>8;373>N59>1]><^8>;6?u+5ef95>"2lj0:7psr}:k20<<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:845Y15296~"2lm0:7);kc;38yx{z3f;387>5$33;>4>33_8:m7?tL33`>4}Qkj09w)?7b;3;0>P6<909w);kd;38 0bd281vqps4}c65=91>07pl;6`83>1<729q/><655e9K65e36=4+20:9511<^;;j6hn4j;|~y>o6==0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>559U516=:r.>hi4j;%7gg?ca;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,50z&15=<2l2B9hn4j;|~y>o6<00;6)<>8;373>N59>1]>;6?u+5ef9a>"2lj0n7psr}:k211<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:995Y15296~"2lm0n7);kc;g8yx{z3f;387>5$33;>4>33_8:m7?tL33`>4}Qkj09w)?7b;3;0>P6<909w);kd;38 0bd281vqps4}c65g?6==3:1N58j1b=9650;&15=<6<>1C><94V33b>4}K:8i1=vXlc;0x 4>e28>37[?;0;0x 0bc2l1/9im5e:~yx=n9=31<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij5e:&6`f5583>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a{M02g?7|^ji1>v*>8c821c=Q9=:1>v*:de8f?!3ck3o0qpsr;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{=57>54;294~"5910:4>5G21a8m42?290/><651558R77f28qG>{zut1b=9750;&15=<6<>1]>;6?u+5ef95>"2lj0:7psr}:k211<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:995Y15296~"2lm0:7);kc;38yx{z3f;387>5$33;>4>33_8:m7?tL33`>4}Qkj09w)?7b;3;0>P6<909w);kd;38 0bd281vqps4}c65b?6=;3:1N58j1b=9650;&15=<6<>1]><^8>;6?u+5ef90>"2lj0?7psr}:k20<<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:845Y15296~"2lm0?7);kc;68yx{z3f;387>5$33;>4>33_8:m7?tL33`>4}Qkj09w)?7b;3;0>P6<909w);kd;38 0bd281vqps4}c644?6=:3:1N58j1b=9950;&15=<6<>10c<6;:18'64>=91>0Z??n:0yO64e=9r\ho74>33_;?<74=#=mi1=6sr}|9~f11629096=4?{%024683>!4603;?;65`19694?"5910:495Y20c95~J59j0:w[ml:3y'5=d=91>0Z<:?:3y'1ab=92.>hn4>;|~y>{e<>81<7<50;2x 77?2k=0D?>l;h373?6=,;;36<:8;:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0:7);kc;38yx{z3th?;l4?:783>5}#:821=9;4H32`?!7103;:7d?;7;29 77?28><7[<>a;3xH77d28q]=;752z&21]=9>52z&6`a<63-?oo7?4}|~?le2290/><65c49U64g=9rF9=n4>{W35=?4|,82i6n;4V063>7}#=mn1=6*:db82?x{zu2ch:7>5$33;>f0<^;;j6?uC20a95~P6>009w)?7b;a5?S73838p(8jk:29'1ae=;2wvqA<>b;6xR76>2:q]ol4={%7gf?e13-9?<7;kd:Ugg<5s-?on7?;7:&005<2lm1v(;8?:c9~R76>2:q]ol4={%7gf?e13-9?<7;kd:Ugg<5s-?on7?;7:&005<2lj1v(;8?:c9~R76>2:q]ol4={%7gf?e13-9?<7;kd:Ugg<5s-?on7m:;%174?3cl2w/:;>52:U5=g=:r\hm7f0<,:>;68jk;|&525<682wvq6g=0983>!46038;46X=1`81I46k3;pZ<86:3y'5=d=:920Z<:?:3y'1ab=n2.>hn4i;|~H77e28q]>7;%174?3cl2w/:;>5f:~y>o58>0;6)<>8;033>P59h09wA<>c;3xR40>2;q/=5l52158R4272;q/9ij5f:&6`f7603-9?<7;kd:'236=n2wvq6a>8583>!4603;3865rb550>5<4290;w)<>8;021>N58j1b=9650;&15=<6<>1C><94V33b>4}K:8i1=vXlc;0x 4>e28>37[?;0;0x 0bc281/9im51:~yx=n9=31<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij51:&6`f<63twvq6a>8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xd3?=0;6<4?:1y'64>=:8l0D?>l;n3;0?6=,;;36<6;;:a020=83>1<7>t$33;>0b<@;:h7d?;8;29 77?28><7[<>a;3xH77d28q]on4={%3;f?7302\:8=4={%7g`?c<,290/><651558R77f28qG>{zut1b=8:50;&15=<6<>1]>;6?u+5ef9a>"2lj0n7psr}:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0:7);kc;38yx{z3th?;:4?:583>5}#:8219i5G21a8m42?290/><651558R77f28qG>{zut1b=9750;&15=<6<>1C><94V33b>4}K:8i1=vXlc;0x 4>e28>27[?;0;0x 0bc2l1/9im5e:~yx=n9<>1<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l51468R4272;q/9ij5e:&6`f8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xd3?10;684?:1y'64>==m1C>=m4i06;>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?;8:T205<5s-?oh7k4$4f`>`=zutw0e<:6:18'64>=9==0Z??n:0yO64e=9r\ho742>3_;?<7`=#=mi1i6sr}|9j502=83.9=54>469K641<^;;j6hn4j;|~y>i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4>;%7gg?71<729q/><651918L76d3`;?47>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37<>P6<909w);kd;38 0bd281vqps4i06:>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>27[?;0;0x 0bc281/9im51:~yx=n9<>1<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l51468R4272;q/9ij51:&6`f<63twvq6a>8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xd3?k0;6>4?:1y'64>==m1C>=m4i06;>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>37[?;0;0x 0bc2=1/9im54:~yx=n9=31<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij54:&6`f<33twvq6a>8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xd5:m0;6?4?:1y'64>=j>1C>=m4i064>5<#:821=994;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{53;294~"59109=85G21a8m42?290/><651558R77f28qG>{zut1b=9750;&15=<6<>1]>;6?u+5ef9g>"2lj0h7psr}:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0:7);kc;38yx{z3th9?>4?:783>5}#:821=9;4H32`?!7103;:7d?;7;29 77?28><7[<>a;3xH77d28q]=;752z&21]=9>52z&6`a<63-?oo7?4}|~?le2290/><65c49U64g=9rF9=n4>{W35=?4|,82i6n;4V063>7}#=mn1=6*:db82?x{zu2ch:7>5$33;>f0<^;;j6?uC20a95~P6>009w)?7b;a5?S73838p(8jk:29'1ae=;2wvqA<>b;6xR76>2:q]ol4={%7gf?e13-9?<7;kd:Ugg<5s-?on7?;7:&005<2lm1v(;8?:c9~R76>2:q]ol4={%7gf?e13-9?<7;kd:Ugg<5s-?on7?;7:&005<2lj1v(;8?:c9~R76>2:q]ol4={%7gf?e13-9?<7;kd:Ugg<5s-?on7m:;%174?3cl2w/:;>5b:U5=g=:r\hm7f0<,:>;68jk;|&525<682wvq6g=0983>!46038;46X=1`81I46k3;pZ<86:3y'5=d=:920Z<:?:3y'1ab=n2.>hn4i;|~H77e28q]>7;%174?3cl2w/:;>5f:~y>o58>0;6)<>8;033>P59h09wA<>c;3xR40>2;q/=5l52158R4272;q/9ij5f:&6`f7603-9?<7;kd:'236=n2wvq6a>8583>!4603;3865rb30e>5<4290;w)<>8;021>N58j1b=9650;&15=<6<>1C><94V33b>4}K:8i1=vXlc;0x 4>e28>37[?;0;0x 0bc281/9im51:~yx=n9=31<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij51:&6`f<63twvq6a>8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xd5;90;6<4?:1y'64>=:8l0D?>l;n3;0?6=,;;36<6;;:a667=83>1<7>t$33;>0b<@;:h7d?;8;29 77?28><7E<>7:T15d<6sE8:o7?tVba96~"60k0:855Y15296~"2lm0n7);kc;g8yx{z3`;?57>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37=>P6<909w);kd;g8 0bd2l1vqps4i077>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28??7[?;0;0x 0bc2l1/9im5e:~yx=h91>1<7*=1982<1=Q:8k1=vB=1b82Sed2;q/=5l51968R4272;q/9ij51:&6`f<63twvq6sm23g94?5=83:p(??7:4f8L76d3`;?47>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37<>P6<909w);kd;g8 0bd2l1vqps4i06:>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>27[?;0;0x 0bc2l1/9im5e:~yx=h91>1<7*=1982<1=Q:8k1=vB=1b82Sed2;q/=5l51968R4272;q/9ij51:&6`f<63twvq6sm24494?4=83:p(??7:c58L76d3`;?;7>5$33;>42032e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`11c<72:0;6=u+20:9643<@;:h7d?;8;29 77?28><7[<>a;3xH77d28q]on4={%3;f?7302\:8=4={%7g`?7<,290/><651558R77f28qG>{zut1d=5:50;&15=<60=1]>;6?u+5ef95>"2lj0:7psr}:a60c=83<1<7>t$33;>4223A8;o6*>69825>o6<>0;6)<>8;373>P59h0:wA<>c;3xR40>2;q/=5l51558R4272;q/9ij51:&6`f<63twvq6gl5;29 77?2j?0Z??n:0yO64e=9r\::44={%3;f?e23_;?<74=#=mi1=6sr}|9jg3<72-8:47m9;W02e?4|D;;h64181!3cl390(8jl:29~yxJ59k0?w[ho4l6:&005<2lm1vZnl52z&6`g<6<>1/?9>55ef8y!0183h0q[ho4l6:&005<2lm1vZnl52z&6`g<6<>1/?9>55ea8y!0183h0q[ho4l6:&005<2lm1vZnl52z&6`g5119~yx=n:921<7*=19814==Q:8k1>vB=1b82S71138p(<6m:32;?S73838p(8jk:g9'1ae=n2wvqA<>b;3xR7762;q]ol4={%7gf?4702.88=4:de9~ 3072o1vqp5f21594?"59109<:5Y20c96~J59j0:w[?99;0x 4>e2;:<7[?;0;0x 0bc2o1/9im5f:~yI46j3;pZ??>:3yUgd<5s-?on71<7*=1982<1=47>53;294~"59109=85G21a8m42?290/><651558R77f28qG>{zut1b=9750;&15=<6<>1]>;6?u+5ef95>"2lj0:7psr}:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0:7);kc;38yx{z3th9944?:083>5}#:821>{e:l;h374181!3cl3o0(8jl:d9~yx{26=4+20:9511<^;;j60Z<:?:3y'1ab=92.>hn4>;|~y>{e::183!4603?o7E5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37=>P6<909w);kd;g8 0bd2l1vqps4i077>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28??7[?;0;0x 0bc2l1/9im5e:~yx=n96383>!4603;?;6X=1`82I46k3;pZnm52z&2;1]=9>52z&6`a`=zutw0e<8;:18'64>=9==0Z??n:0yO64e=9r\ho74033_;?<7`=#=mi1i6sr}|9j533=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=;;4V063>7}#=mn1i6*:db8f?x{zu2c::;4?:%02{M02g?7|^ji1>v*>8c8223=Q9=:1>v*:de8f?!3ck3o0qpsr;h353?6=,;;36<:8;W02e?7|D;;h64181!3cl3o0(8jl:d9~yx{j6=4+20:9511<^;;j6hn4j;|~y>o68;373>P59h0:wA<>c;3xRfe=:r.:4o4>4b9U516=:r.>hi4j;%7gg?c<7[<>a;3xH77d28q]on4={%3;f?73l2\:8=4={%7g`?7<,<651558R77f28qG>{zut1b=9h50;&15=<6<>1]>;6?u+5ef95>"2lj0:7psr}:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0:7);kc;38yx{z3th99l4?:283>5}#:821=5=4H32`?l7303:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?;8:T205<5s-?oh7?4$4f`>4=zutw0e<:6:18'64>=9==0Z??n:0yO64e=9r\ho742>3_;?<74=#=mi1=6sr}|9l5=2=83.9=54>859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1=6*:db82?x{zu2wi>8950;194?6|,;;368j4H32`?l7303:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?;8:T205<5s-?oh7k4$4f`>`=zutw0e<:6:18'64>=9==0Z??n:0yO64e=9r\ho742>3_;?<7`=#=mi1i6sr}|9l5=2=83.9=54>859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1=6*:db82?x{zu2wi>;>50;094?6|,;;36o94H32`?l73?3:1(??7:064?>i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4>;%7gg?73<729q/><651578L76d3-;=47?>;h373?6=,;;36<:8;W02e?7|D;;h66=4+20:9g0=Q:8k1=vB=1b82S71138p(<6m:b78R4272;q/9ij51:&6`f<63twvq6gl6;29 77?2j<0Z??n:3yO64e=9r\::44={%3;f?e13_;?<76=#=mi1?6sr}M02f?2|^;:26>uYc`81!3cj3i=7)=;0;7g`>{Qkk09w);kb;373>"4<90>hi5r$743>g=z^;:26>uYc`81!3cj3i=7)=;0;7g`>{Qkk09w);kb;373>"4<90>hn5r$743>g=z^;:26>uYc`81!3cj3i=7)=;0;7g`>{Qkk09w);kb;a6?!5383?oh6s+6729f>{Q91k1>vXla;0x 0be2j<0(>:?:4fg?x"1>90:<6sr}:k14=<72-8:477}#91h1>=64V063>7}#=mn1j6*:db8e?x{zD;;i6{zu2c9<:4?:%02;6?u+5ef9b>"2lj0m7psrL33a>4}Q:8;1>vXla;0x 0be2;:<7)=;0;7g`>{#>?:1j6sr}:m2<1<72-8:47?74:9~f70529086=4?{%02{zut1b=9750;&15=<6<>1]>;6?u+5ef95>"2lj0:7psr}:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0:7);kc;38yx{z3th9:>4?:083>5}#:821>{e:??1<7=50;2x 77?2l;h374181!3cl3o0(8jl:d9~yx{26=4+20:9511<^;;j60Z<:?:3y'1ab=92.>hn4>;|~y>{e:?<1<7=50;2x 77?2l;h37P59h0:wA<>c;3xRfe=:r.:4o4>499U516=:r.>hi4j;%7gg?c<7E<>7:T15d<6sE8:o7?tVba96~"60k0:845Y15296~"2lm0n7);kc;g8yx{z3f;387>5$33;>4>33_8:m7?tL33`>4}Qkj09w)?7b;3;0>P6<909w);kd;38 0bd281vqps4}c053?6=;3:1N58j1b=9650;&15=<6<>1]><^8>;6?u+5ef9a>"2lj0n7psr}:k20<<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:845Y15296~"2lm0n7);kc;g8yx{z3f;387>5$33;>4>33_8:m7?tL33`>4}Qkj09w)?7b;3;0>P6<909w);kd;38 0bd281vqps4}c050?6=<3:1=9==0Z??n:0yO64e=9r\ho742?3_;?<74=#=mi1=6sr}|9j51?=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=974V063>7}#=mn1=6*:db82?x{zu2c:994?:%02{M02g?7|^ji1>v*>8c8211=Q9=:1>v*:de82?!3ck3;0qpsr;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{53;294~"5910>h6F=0b9j51>=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=964V063>7}#=mn1i6*:db8f?x{zu2c:844?:%02{M02g?7|^ji1>v*>8c820<=Q9=:1>v*:de8f?!3ck3o0qpsr;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{52;294~"5910i;6F=0b9j511=83.9=54>4698k4>3290/><651968R77f28qG>{zut1vn?9;:180>5<7s-8:47<>5:J14f=n9=21<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515:8R4272;q/9ij51:&6`f<63twvq6g>4883>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a4=zutw0qo<83;292?6=8r.9=54>449K65e<,8<365<#:821=994V33b>4}K:8i1=vX>6881!7?j3;?;6X>4181!3cl3;0(8jl:09~yx{P59h0:wA<>c;3xR40>2;q/=5l5c49U516=:r.>hi4>;%7gg?7=k?1]>f0<^8>;6?u+5ef97>"2lj087psrL33a>1}Q:931?vXla;0x 0be2j<0(>:?:4fg?xPdj38p(8jm:064?!5383?oh6s+6729f>{Q:931?vXla;0x 0be2j<0(>:?:4fg?xPdj38p(8jm:064?!5383?oo6s+6729f>{Q:931?vXla;0x 0be2j<0(>:?:4fg?xPdj38p(8jm:b78 627255ef8y!0183;;7psr;h037;W02e?4|D;;h65$33;>7603_8:m74}Q9?31>v*>8c8142=Q9=:1>v*:de8e?!3ck3l0qpsC20`95~P59809w[mn:3y'1ad=:9=0(>:?:4fg?x"1>90m7psr;n3;0?6=,;;36<6;;:a63e=8391<7>t$33;>7723A8;o6g>4983>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?l7313:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?;9:T205<5s-?oh7?4$4f`>4=zutw0c<6;:18'64>=91>0Z??n:0yO64e=9r\ho74>33_;?<74=#=mi1=6sr}|9~f70c290:6=4?{%02N58j1b=9650;&15=<6<>1]><^8>;6?u+5ef9a>"2lj0n7psr}:k20<<72-8:47?;7:J152=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij5e:&6`f5583>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a4=zutw0qo<80;290?6=8r.9=54:d:J14f=n9=21<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515:8R4272;q/9ij5e:&6`f4883>!4603;?;6F=169U64g=9rF9=n4>{Wa`>7}#91h1=974V063>7}#=mn1i6*:db8f?x{zu2c:994?:%02{M02g?7|^ji1>v*>8c8211=Q9=:1>v*:de8f?!3ck3o0qpsr;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{54;294~"5910>h6F=0b9j51>=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=964V063>7}#=mn1i6*:db8f?x{zu2c:844?:%02{M02g?7|^ji1>v*>8c820<=Q9=:1>v*:de8f?!3ck3o0qpsr;h360?6=,;;36<:8;W02e?7|D;;h686X>4181!3cl3o0(8jl:d9~yx{5<3290;w)<>8;3;7>N58j1b=9650;&15=<6<>1]><^8>;6?u+5ef95>"2lj0:7psr}:k20<<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:845Y15296~"2lm0:7);kc;38yx{z3`;>87>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;360>P6<909w);kd;38 0bd281vqps4o0:7>5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj;5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37=>P6<909w);kd;g8 0bd2l1vqps4o0:7>5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj;=>6=4=:183!4603h<7E859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1=6*:db82?x{zu2wi>:h50;194?6|,;;36??:;I03g>o6<10;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>499U516=:r.>hi4>;%7gg?7<7[<>a;3xH77d28q]on4={%3;f?7312\:8=4={%7g`?e<,3290/><651968R77f28qG>{zut1vn?9j:185>5<7s-8:47?;5:J14f=#9?21=<5f15594?"5910:8:5Y20c95~J59j0:w[?99;0x 4>e28><7[?;0;0x 0bc281/9im51:~yx=nk<0;6)<>8;a6?S46i3;p@??l:0yU53?=:r.:4o4l5:T205<5s-?oh7?4$4f`>4=zutw0en850;&15=2\9=l4={M02g?7|^8<26?u+19`9g3=Q9=:1>v*:de80?!3ck390qpsC20`90~P58008w[mn:3y'1ad=k?1/?9>55ef8ySee2;q/9il51558 627255ef8ySee2;q/9il51558 627255ef8ySee2;q/9il5c49'716==mn0q)890;`8yS7?i38pZno52z&6`g2.88=4:de9~ 30728:0qps4i32;>5<#:821>=64V33b>7}K:8i1=vX>6881!7?j38;46X>4181!3cl3l0(8jl:g9~yxJ59k0:w[<>1;0xRfg=:r.>ho4=099'716==mn0q)890;d8yx{009w)?7b;033>P6<909w);kd;d8 0bd2o1vqpB=1c82S46938pZno52z&6`g<58>1/?9>55ef8y!0183l0qps4o0:7>5<#:821=5:4;|`132<72:0;6=u+20:9643<@;:h7d?;8;29 77?28><7[<>a;3xH77d28q]on4={%3;f?7302\:8=4={%7g`?7<,290/><651558R77f28qG>{zut1d=5:50;&15=<60=1]>;6?u+5ef95>"2lj0:7psr}:a62>=83;1<7>t$33;>77a3A8;o6a>8583>!4603;3865rb35b>5<3290;w)<>8;7g?M47k2c:854?:%02{M02g?7|^ji1>v*>8c820==Q9=:1>v*:de8f?!3ck3o0qpsr;h37=?6=,;;36<:8;W02e?7|D;;h64181!3cl3o0(8jl:d9~yx{0Z<:?:3y'1ab=92.>hn4>;|~y>{e:>h1<7;50;2x 77?2l;h374181!3cl3o0(8jl:d9~yx{26=4+20:9511<@;;<7[<>a;3xH77d28q]on4={%3;f?7312\:8=4={%7g`?c<,<651558R77f28qG>{zut1b=8h50;&15=<6<>1]>;6?u+5ef9a>"2lj0n7psr}:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0:7);kc;38yx{z3th9;n4?:483>5}#:8219i5G21a8m42?290/><651558R77f28qG>{zut1b=9750;&15=<6<>1C><94V33b>4}K:8i1=vXlc;0x 4>e28>27[?;0;0x 0bc2l1/9im5e:~yx=n9<>1<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l51468R4272;q/9ij5e:&6`f5g83>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a4=zutw0qo<89;290?6=8r.9=54>829K65e36=4+20:9511<^;;j6hn4>;|~y>o6==0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>559U516=:r.>hi4>;%7gg?7a;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,3:1?7>50z&15=<2l2B9hn4j;|~y>o6<00;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>489U516=:r.>hi4j;%7gg?ca;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,7>50z&15=5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj;3>6=4<:183!46038:96F=0b9j51>=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=964V063>7}#=mn1=6*:db82?x{zu2c:844?:%02{M02g?7|^ji1>v*>8c820<=Q9=:1>v*:de8`?!3ck3i0qpsr;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{56;294~"5910:885G21a8 40?28;0e<:8:18'64>=9==0Z??n:0yO64e=9r\::44={%3;f?73?2\:8=4={%7g`?7<,7}#91h1o85Y15296~"2lm0:7);kc;38yx{z3`i=6=4+20:9g3=Q:8k1>vB=1b82S71138p(<6m:b48R4272;q/9ij53:&6`f<43twv@??m:5yU65?=;r\hm7f0<,:>;68jk;|T`f?4|,5b:U65?=;r\hm7f0<,:>;68jk;|T`f?4|,5b:U65?=;r\hm7f0<,:>;68jk;|T`f?4|,0bc3t.=:=4m;|T2a;0xH77d28q]=;752z&252z&6`a7}#=mh1>=64$263>0bc3t.=:=4i;|~?l47?3:1(??7:324?S46i38p@??l:0yU53?=:r.:4o4=069U516=:r.>hi4i;%7gg?`;68jk;|&525{M02g?7|^ji1>v*>8c820==Q9=:1>v*:de82?!3ck3;0qpsr;h37=?6=,;;36<:8;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{5<6290;w)<>8;02b>N58j1d=5:50;&15=<60=10qo<60;290?6=8r.9=54:d:J14f=n9=21<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515:8R4272;q/9ij5e:&6`f4883>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a`=zutw0c<6;:18'64>=91>0Z??n:0yO64e=9r\ho74>33_;?<74=#=mi1=6sr}|9~f7?6290<6=4?{%024983>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a{M02g?7|^ji1>v*>8c820<=Q9=:1>v*:de8f?!3ck3o0qpsr;h360?6=,;;36<:8;W02e?7|D;;h686X>4181!3cl3o0(8jl:d9~yx{hn4j;|~y>o6>:0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>629U516=:r.>hi4j;%7gg?ca;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,:3:1;7>50z&15=<2l2B9hn4j;|~y>o6<00;6)<>8;373>N59>1]>;6?u+5ef9a>"2lj0n7psr}:k211<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:995Y15296~"2lm0n7);kc;g8yx{z3`;>j7>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;36b>P6<909w);kd;g8 0bd2l1vqps4i041>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28<97[?;0;0x 0bc2l1/9im5e:~yx=n9?91<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l51718R4272;q/9ij5e:&6`f8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xd50o0;694?:1y'64>=9190D?>l;h374181!3cl3;0(8jl:09~yx{26=4+20:9511<^;;j60Z<:?:3y'1ab=92.>hn4>;|~y>i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4>;%7gg?76<729q/><655e9K65e36=4+20:9511<^;;j6hn4j;|~y>i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4>;%7gg?77<729q/><65b69K65e<6=4+20:9511<3f;387>5$33;>4>33_8:m7?tL33`>4}Qkj09w)?7b;3;0>P6<909w);kd;38 0bd281vqps4}c10b?6=>3:1<651558R77f28qG>4=zutw0en;50;&15={M02g?7|^8<26?u+19`9g0=Q9=:1>v*:de82?!3ck3;0qpsr;ha5>5<#:821o;5Y20c96~J59j0:w[?99;0x 4>e2j<0Z<:?:3y'1ab=;2.>hn4<;|~H77e2=q]>=753zT`e?4|,0bc3t\hn74203-9?<7;kd:'236=j2w]>=753zT`e?4|,0bc3t\hn74203-9?<7;kc:'236=j2w]>=753zT`e?4|,0bc3t\hn7f3<,:>;68jk;|&5257}#=mh1o;5+35291abhi4i;%7gg?`<,:>;68jk;|&525a;0xH77d28q]=;752z&21]=9>52z&6`a7}#=mh1>=94$263>0bc3t.=:=4i;|~?j7?<3:1(??7:0:7?>{e;:h1<7=50;2x 77?2;;>7E5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37=>P6<909w);kd;38 0bd281vqps4o0:7>5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj:9h6=4>:183!46038:j6F=0b9l5=2=83.9=54>8598yg54l3:1?7>50z&15=<2l2B9hn4j;|~y>o6<00;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>489U516=:r.>hi4j;%7gg?ca;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,50z&15=<2l2B9hn48;|~y>o6<00;6)<>8;373>N59>1]>;6?u+5ef9a>"2lj0n7psr}:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0:7);kc;38yx{z3th><>4?:383>5}#:821n:5G21a8m420290/><651558?j7?<3:1(??7:0:7?S46i3;p@??l:0yUgf<5s-;3n7?74:T205<5s-?oh7?4$4f`>4=zutw0qo;?f;292?6=8r.9=54>449K65e<,8<365<#:821=994V33b>4}K:8i1=vX>6881!7?j3;?;6X>4181!3cl3;0(8jl:09~yx{P59h0:wA<>c;3xR40>2;q/=5l5c49U516=:r.>hi4>;%7gg?7=k?1]>f0<^8>;6?u+5ef97>"2lj087psrL33a>1}Q:931?vXla;0x 0be2j<0(>:?:4fg?xPdj38p(8jm:064?!5383?oh6s+6729f>{Q:931?vXla;0x 0be2j<0(>:?:4fg?xPdj38p(8jm:064?!5383?oo6s+6729f>{Q:931?vXla;0x 0be2j<0(>:?:4fg?xPdj38p(8jm:b78 627255ef8y!0183;;7psr;h037;W02e?4|D;;h65$33;>7603_8:m74}Q9?31>v*>8c8142=Q9=:1>v*:de8e?!3ck3l0qpsC20`95~P59809w[mn:3y'1ad=:9=0(>:?:4fg?x"1>90m7psr;n3;0?6=,;;36<6;;:a152=8391<7>t$33;>7723A8;o6g>4983>!4603;?;6F=169U64g=9rF9=n4>{Wa`>7}#91h1=964V063>7}#=mn1=6*:db82?x{zu2c:844?:%02{M02g?7|^ji1>v*>8c820<=Q9=:1>v*:de82?!3ck3;0qpsr;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{51;294~"59109=k5G21a8k4>3290/><651968?xd28>0;6>4?:1y'64>==m1C>=m4i06;>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>37[?;0;0x 0bc281/9im51:~yx=n9=31<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij51:&6`f<63twvq6a>8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xd2810;6>4?:1y'64>==m1C>=m4i06;>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>37[?;0;0x 0bc281/9im51:~yx=n9=31<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij51:&6`f<63twvq6a>8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xd2800;6>4?:1y'64>==m1C>=m4i06;>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>37[?;0;0x 0bc2>1/9im57:~yx=n9=31<7*=198202=O:8=0Z??n:0yO64e=9r\ho742>3_;?<7`=#=mi1i6sr}|9l5=2=83.9=54>859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1=6*:db82?x{zu2wi9=o50;694?6|,;;368j4H32`?l7303:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?;8:T205<5s-?oh794$4f`>2=zutw0e<:6:18'64>=9==0D??8;W02e?7|D;;h64181!3cl3o0(8jl:d9~yx{0Z<:?:3y'1ab=92.>hn4>;|~y>{e=9h1<7:50;2x 77?2l;h37P59h0:wA<>c;3xRfe=:r.:4o4>499U516=:r.>hi48;%7gg?1<7E<>7:T15d<6sE8:o7?tVba96~"60k0:845Y15296~"2lm0<7);kc;58yx{z3`;>87>5$33;>4203A8:;6X=1`82I46k3;pZnm52z&252z&6`a4=zutw0qo;?c;290?6=8r.9=54:d:J14f=n9=21<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515:8R4272;q/9ij57:&6`f<03twvq6g>4883>!4603;?;6F=169U64g=9rF9=n4>{Wa`>7}#91h1=974V063>7}#=mn1i6*:db8f?x{zu2c:994?:%02{M02g?7|^ji1>v*>8c8211=Q9=:1>v*:de8f?!3ck3o0qpsr;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{54;294~"5910>h6F=0b9j51>=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=964V063>7}#=mn1;6*:db84?x{zu2c:844?:%02hn4j;|~y>o6==0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>559U516=:r.>hi4j;%7gg?ca;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,3:147>50z&15=<60:1C>=m4i06;>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>37[?;0;0x 0bc281/9im51:~yx=n9=31<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij51:&6`f<63twvq6g>5583>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?l72n3:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?:f:T205<5s-?oh7?4$4f`>4=zutw0e<8=:18'64>=9==0Z??n:0yO64e=9r\ho74053_;?<74=#=mi1=6sr}|9j535=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=;=4V063>7}#=mn1=6*:db82?x{zu2c::94?:%02{M02g?7|^ji1>v*>8c8221=Q9=:1>v*:de82?!3ck3;0qpsr;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{52;294~"5910i;6F=0b9j511=83.9=54>4698k4>3290/><651968R77f28qG>{zut1vn?6n:180>5<7s-8:47<>5:J14f=n9=21<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515:8R4272;q/9ij51:&6`f<63twvq6g>4883>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a4=zutw0qo<79;292?6=8r.9=54>449K65e<,8<365<#:821=994V33b>4}K:8i1=vX>6881!7?j3;?;6X>4181!3cl3;0(8jl:09~yx{P59h0:wA<>c;3xR40>2;q/=5l5c49U516=:r.>hi4>;%7gg?7=k?1]>f0<^8>;6?u+5ef97>"2lj087psrL33a>1}Q:931?vXla;0x 0be2j<0(>:?:4fg?xPdj38p(8jm:064?!5383?oh6s+6729f>{Q:931?vXla;0x 0be2j<0(>:?:4fg?xPdj38p(8jm:064?!5383?oo6s+6729f>{Q:931?vXla;0x 0be2j<0(>:?:4fg?xPdj38p(8jm:b78 627255ef8y!0183;;7psr;h037;W02e?4|D;;h65$33;>7603_8:m74}Q9?31>v*>8c8142=Q9=:1>v*:de8e?!3ck3l0qpsC20`95~P59809w[mn:3y'1ad=:9=0(>:?:4fg?x"1>90m7psr;n3;0?6=,;;36<6;;:a6=4=8391<7>t$33;>7723A8;o6g>4983>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?l7313:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?;9:T205<5s-?oh7?4$4f`>4=zutw0c<6;:18'64>=91>0Z??n:0yO64e=9r\ho74>33_;?<74=#=mi1=6sr}|9~f7>4290:6=4?{%02N58j1b=9650;&15=<6<>1]><^8>;6?u+5ef9a>"2lj0n7psr}:k20<<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:845Y15296~"2lm0n7);kc;g8yx{z3`;>87>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;360>P6<909w);kd;g8 0bd2l1vqps4o0:7>5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj;2=6=49:183!4603?o7E5$33;>4203A8:;6X=1`82I46k3;pZnm52z&252z&6`a`=zutw0e<;i:18'64>=9==0Z??n:0yO64e=9r\ho743a3_;?<7`=#=mi1i6sr}|9j534=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=;<4V063>7}#=mn1i6*:db8f?x{zu2e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`1<2<72?0;6=u+20:91a=O:9i0e<:7:18'64>=9==0Z??n:0yO64e=9r\ho742?3_;?<7`=#=mi1i6sr}|9j51?=83.9=54>469K641<^;;j60Z<:?:3y'1ab=m2.>hn4j;|~y>o6=o0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>5g9U516=:r.>hi4j;%7gg?c<7[<>a;3xH77d28q]on4={%3;f?71:2\:8=4={%7g`?c<,3290/><651968R77f28qG>{zut1vn?6;:187>5<7s-8:47?73:J14f=n9=21<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515:8R4272;q/9ij51:&6`f<63twvq6g>4883>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?l72<3:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?:4:T205<5s-?oh7?4$4f`>4=zutw0c<6;:18'64>=91>0Z??n:0yO64e=9r\ho74>33_;?<74=#=mi1=6sr}|9~f7>629086=4?{%024983>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a`=zutw0c<6;:18'64>=91>0Z??n:0yO64e=9r\ho74>33_;?<74=#=mi1=6sr}|9~f7?129096=4?{%024683>!4603;?;65`19694?"5910:495Y20c95~J59j0:w[ml:3y'5=d=91>0Z<:?:3y'1ab=92.>hn4>;|~y>{e:h:1<7=50;2x 77?2;;>7E5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37=>P6<909w);kd;a8 0bd2j1vqps4o0:7>5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj;3m6=49:183!4603;?96F=0b9'53>=981b=9950;&15=<6<>1]>4203_;?<74=#=mi1=6sr}|9jg0<72-8:47m:;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{P59h09wA<>c;3xR40>2;q/=5l5c79U516=:r.>hi4<;%7gg?57}#=mh1=994$263>0bc3t.=:=4m;|T14<<4s_ij6?u+5e`9g3=#;=:19ij4}Waa>7}#=mh1=994$263>0bd3t.=:=4m;|T14<<4s_ij6?u+5e`9g3=#;=:19ij4}Waa>7}#=mh1o85+35291abv*:dc8`2>"4<90>hi5r$743>467:18'64>=:920Z??n:3yO64e=9r\::44={%3;f?4702\:8=4={%7g`?`<,<652158R77f2;qG>c=zutF9=o4>{W025?4|^jk1>v*:dc8142=#;=:19ij4}%454?`=91>07pl=9983>6<729q/><652078L76d3`;?47>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37<>P6<909w);kd;38 0bd281vqps4i06:>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>27[?;0;0x 0bc281/9im51:~yx=h91>1<7*=1982<1=Q:8k1=vB=1b82Sed2;q/=5l51968R4272;q/9ij51:&6`f<63twvq6sm28;94?7=83:p(??7:33e?M47k2e:494?:%025<7s-8:47;k;I03g>o6<10;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>499U516=:r.>hi4j;%7gg?c<7[<>a;3xH77d28q]on4={%3;f?7312\:8=4={%7g`?c<,<651558R77f28qG>{zut1d=5:50;&15=<60=1]>;6?u+5ef95>"2lj0:7psr}:a6t$33;>0b<@;:h7d?;8;29 77?28><7[<>a;3xH77d28q]on4={%3;f?7302\:8=4={%7g`?c<,290/><651558L7703_8:m7?tL33`>4}Qkj09w)?7b;37=>P6<909w);kd;g8 0bd2l1vqps4i077>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28??7[?;0;0x 0bc2l1/9im5e:~yx=n96383>!4603;?;6X=1`82I46k3;pZnm52z&2;1]=9>52z&6`a`=zutw0e<8;:18'64>=9==0Z??n:0yO64e=9r\ho74033_;?<7`=#=mi1i6sr}|9l5=2=83.9=54>859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1=6*:db82?x{zu2wi>4j50;:94?6|,;;368j4H32`?l7303:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?;8:T205<5s-?oh7k4$4f`>`=zutw0e<:6:18'64>=9==0D??8;W02e?7|D;;h64181!3cl3o0(8jl:d9~yx{hn4j;|~y>o6>;0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>639U516=:r.>hi4j;%7gg?c<7[<>a;3xH77d28q]on4={%3;f?71;2\:8=4={%7g`?c<,<651558R77f28qG>{zut1d=5:50;&15=<60=1]>;6?u+5ef95>"2lj0:7psr}:a61<7>t$33;>4>43A8;o6g>4983>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?l7313:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?;9:T205<5s-?oh7?4$4f`>4=zutw0e<;;:18'64>=9==0Z??n:0yO64e=9r\ho74333_;?<74=#=mi1=6sr}|9l5=2=83.9=54>859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1=6*:db82?x{zu2wi>4950;194?6|,;;368j4H32`?l7303:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?;8:T205<5s-?oh7k4$4f`>`=zutw0e<:6:18'64>=9==0Z??n:0yO64e=9r\ho742>3_;?<7`=#=mi1i6sr}|9l5=2=83.9=54>859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1=6*:db82?x{zu2wi>l?50;094?6|,;;36o94H32`?l73?3:1(??7:064?>i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4>;%7gg?77<729q/><65b69K65e<6=4+20:9511<3f;387>5$33;>4>33_8:m7?tL33`>4}Qkj09w)?7b;3;0>P6<909w);kd;38 0bd281vqps4}c0b`?6=;3:1=9==0Z??n:0yO64e=9r\ho742?3_;?<74=#=mi1=6sr}|9j51?=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=974V063>7}#=mn1o6*:db8`?x{zu2e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`1ef<72?0;6=u+20:9513<@;:h7)?98;32?l73?3:1(??7:064?S46i3;p@??l:0yU53?=:r.:4o4>469U516=:r.>hi4>;%7gg?7=k<1]>f3<^8>;6?u+5ef95>"2lj0:7psr}:k`2?6=,;;36n84V33b>7}K:8i1=vX>6881!7?j3i=7[?;0;0x 0bc2:1/9im53:~yI46j3>pZ?>6:2yUgd<5s-?on7m9;%174?3cl2w]oo4={%7gf?73?2.88=4:de9~ 3072k1vZ?>6:2yUgd<5s-?on7m9;%174?3cl2w]oo4={%7gf?73?2.88=4:db9~ 3072k1vZ?>6:2yUgd<5s-?on7m9;%174?3cl2w]oo4={%7gf?e23-9?<7;kd:'236=j2w]=5o52zT`e?4|,0bc3t.=:=4>0:~y>o5810;6)<>8;03<>P59h09wA<>c;3xR40>2;q/=5l521:8R4272;q/9ij5f:&6`f76?3-9?<7;kd:'236=n2wvq6g=0683>!46038;;6X=1`81I46k3;pZ<86:3y'5=d=:9=0Z<:?:3y'1ab=n2.>hn4i;|~H77e28q]>8;%174?3cl2w/:;>5f:~y>i60=0;6)<>8;3;0>=zj;k86=4<:183!46038:96F=0b9j51>=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=964V063>7}#=mn1=6*:db82?x{zu2c:844?:%02{M02g?7|^ji1>v*>8c820<=Q9=:1>v*:de82?!3ck3;0qpsr;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{51;294~"59109=k5G21a8k4>3290/><651968?xd5i?0;694?:1y'64>==m1C>=m4i06;>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>37[?;0;0x 0bc2l1/9im5e:~yx=n9=31<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij5e:&6`f5583>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a4=zutw0qo4883>!4603;?;6F=169U64g=9rF9=n4>{Wa`>7}#91h1=974V063>7}#=mn1i6*:db8f?x{zu2c:994?:%02{M02g?7|^ji1>v*>8c8211=Q9=:1>v*:de8f?!3ck3o0qpsr;h36b?6=,;;36<:8;W02e?7|D;;h6j6X>4181!3cl3o0(8jl:d9~yx{hn4j;|~y>o6>=0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>659U516=:r.>hi4j;%7gg?c<7[<>a;3xH77d28q]on4={%3;f?71=2\:8=4={%7g`?c<,3290/><651968R77f28qG>{zut1vn?o7:18:>5<7s-8:47;k;I03g>o6<10;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>499U516=:r.>hi4j;%7gg?c<7E<>7:T15d<6sE8:o7?tVba96~"60k0:845Y15296~"2lm0n7);kc;g8yx{z3`;>87>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;360>P6<909w);kd;g8 0bd2l1vqps4i07e>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28?m7[?;0;0x 0bc2l1/9im5e:~yx=n9?81<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l51708R4272;q/9ij5e:&6`f6283>!4603;?;6X=1`82I46k3;pZnm52z&2:1]=9>52z&6`a`=zutw0e<8::18'64>=9==0Z??n:0yO64e=9r\ho74023_;?<7`=#=mi1i6sr}|9l5=2=83.9=54>859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1=6*:db82?x{zu2wi>l;50;694?6|,;;36<6<;I03g>o6<10;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>499U516=:r.>hi4>;%7gg?7<7[<>a;3xH77d28q]on4={%3;f?7312\:8=4={%7g`?7<,<651558R77f28qG>{zut1d=5:50;&15=<60=1]>;6?u+5ef95>"2lj0:7psr}:a6dg=83<1<7>t$33;>0b<@;:h7d?;8;29 77?28><7[<>a;3xH77d28q]on4={%3;f?7302\:8=4={%7g`??<,290/><651558L7703_8:m7?tL33`>4}Qkj09w)?7b;37=>P6<909w);kd;;8 0bd201vqps4i077>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?:4:T205<5s-?oh774$4f`><=zutw0e<;i:18'64>=9==0Z??n:0yO64e=9r\ho743a3_;?<7c=#=mi1j6sr}|9j534=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=;<4V063>7}#=mn1j6*:db8e?x{zu2e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`1e7<72:0;6=u+20:91a=O:9i0e<:7:18'64>=9==0Z??n:0yO64e=9r\ho742?3_;?<7`=#=mi1i6sr}|9j51?=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=974V063>7}#=mn1i6*:db8f?x{zu2e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`1f<<72;0;6=u+20:9f2=O:9i0e<:8:18'64>=9==07b?74;29 77?282?7[<>a;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,50z&15=<59<1C>=m4i06;>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>37[?;0;0x 0bc281/9im51:~yx=n9=31<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij5c:&6`f8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xd5k;0;6;4?:1y'64>=9=?0D?>l;%355$33;>4203_8:m7?tL33`>4}Q9?31>v*>8c8202=Q9=:1>v*:de82?!3ck3;0qpsr;ha6>5<#:821o85Y20c95~J59j0:w[?99;0x 4>e2j?0Z<:?:3y'1ab=92.>hn4>;|~y>od>3:1(??7:b48R77f2;qG>5+5ea97>{zuE8:n7:tV32:>6}Qkh09w);kb;a5?!5383?oh6sYcc81!3cj3;?;6*<4186`a=z,?<;6o5rV32:>6}Qkh09w);kb;a5?!5383?oh6sYcc81!3cj3;?;6*<4186`f=z,?<;6o5rV32:>6}Qkh09w);kb;a5?!5383?oh6sYcc81!3cj3i>7)=;0;7g`>{#>?:1n6sY19c96~Pdi38p(8jm:b48 6272{zu2c9<54?:%02<^8>;6?u+5ef9b>"2lj0m7psrL33a>4}Q:8;1>vXla;0x 0be2;:37)=;0;7g`>{#>?:1j6sr}:k142<72-8:477}#91h1>=94V063>7}#=mn1j6*:db8e?x{zD;;i6{zu2e:494?:%025<7s-8:47<>5:J14f=n9=21<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515:8R4272;q/9ij51:&6`f<63twvq6g>4883>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?j7?<3:1(??7:0:7?S46i3;p@??l:0yUgf<5s-;3n7?74:T205<5s-?oh7?4$4f`>4=zutw0qo5}#:8219i5G21a8m42?290/><651558R77f28qG>{zut1b=9750;&15=<6<>1]>;6?u+5ef9a>"2lj0n7psr}:k211<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:995Y15296~"2lm0n7);kc;g8yx{z3f;387>5$33;>4>33_8:m7?tL33`>4}Qkj09w)?7b;3;0>P6<909w);kd;38 0bd281vqps4}c0ab?6=980;6=u+20:91a=O:9i0e<:7:18'64>=9==0Z??n:0yO64e=9r\ho742?3_;?<7`=#=mi1i6sr}|9j51?=83.9=54>469K641<^;;j60Z<:?:3y'1ab=m2.>hn4j;|~y>o6=o0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>5g9U516=:r.>hi4j;%7gg?c<7[<>a;3xH77d28q]on4={%3;f?71:2\:8=4={%7g`?c<,<651558R77f28qG>{zut1b=;:50;&15=<6<>1]>;6?u+5ef9a>"2lj0n7psr}:k220<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0::85Y15296~"2lm0n7);kc;g8yx{z3`;=:7>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;352>P6<909w);kd;g8 0bd2l1vqps4i044>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28<<7[?;0;0x 0bc2l1/9im5e:~yx=n9=k1<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515c8R4272;q/9ij51:&6`f<63twvq6g>4c83>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?l73k3:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?;c:T205<5s-?oh7?4$4f`>4=zutw0e<:k:18'64>=9==0Z??n:0yO64e=9r\ho742c3_;?<74=#=mi1=6sr}|9j51c=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=9k4V063>7}#=mn1=6*:db82?x{zu2c:8k4?:%02{M02g?7|^ji1>v*>8c820c=Q9=:1>v*:de82?!3ck3;0qpsr;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{51083>5}#:8219i5G21a8m42?290/><651558R77f28qG>{zut1b=9750;&15=<6<>1C><94V33b>4}K:8i1=vXlc;0x 4>e28>27[?;0;0x 0bc2l1/9im5e:~yx=n9<>1<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l51468R4272;q/9ij5e:&6`f5g83>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a`=zutw0e<8<:18'64>=9==0Z??n:0yO64e=9r\ho74043_;?<7`=#=mi1i6sr}|9j532=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=;:4V063>7}#=mn1i6*:db8f?x{zu2c::84?:%02{M02g?7|^ji1>v*>8c8220=Q9=:1>v*:de8f?!3ck3o0qpsr;h352?6=,;;36<:8;W02e?7|D;;h64181!3cl3o0(8jl:d9~yx{hn4>;|~y>o68;373>P59h0:wA<>c;3xRfe=:r.:4o4>4c9U516=:r.>hi4>;%7gg?7<7[<>a;3xH77d28q]on4={%3;f?73k2\:8=4={%7g`?7<,<651558R77f28qG>{zut1b=9k50;&15=<6<>1]>;6?u+5ef95>"2lj0:7psr}:k20c<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:8k5Y15296~"2lm0:7);kc;38yx{z3f;387>5$33;>4>33_8:m7?tL33`>4}Qkj09w)?7b;3;0>P6<909w);kd;38 0bd281vqps4}c0a`?6=<3:1=9==0Z??n:0yO64e=9r\ho742?3_;?<74=#=mi1=6sr}|9j51?=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=974V063>7}#=mn1=6*:db82?x{zu2c:994?:%02{M02g?7|^ji1>v*>8c8211=Q9=:1>v*:de82?!3ck3;0qpsr;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{53;294~"5910>h6F=0b9j51>=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=964V063>7}#=mn1i6*:db8f?x{zu2c:844?:%02{M02g?7|^ji1>v*>8c820<=Q9=:1>v*:de8f?!3ck3o0qpsr;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{52;294~"5910i;6F=0b9j511=83.9=54>4698k4>3290/><651968R77f28qG>{zut1vn?=i:180>5<7s-8:47<>5:J14f=n9=21<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515:8R4272;q/9ij51:&6`f<63twvq6g>4883>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a4=zutw0qo<449K65e<,8<365<#:821=994V33b>4}K:8i1=vX>6881!7?j3;?;6X>4181!3cl3;0(8jl:09~yx{P59h0:wA<>c;3xR40>2;q/=5l5c49U516=:r.>hi4>;%7gg?7=k?1]>f0<^8>;6?u+5ef97>"2lj087psrL33a>1}Q:931?vXla;0x 0be2j<0(>:?:4fg?xPdj38p(8jm:064?!5383?oh6s+6729f>{Q:931?vXla;0x 0be2j<0(>:?:4fg?xPdj38p(8jm:064?!5383?oo6s+6729f>{Q:931?vXla;0x 0be2j<0(>:?:4fg?xPdj38p(8jm:b78 627255ef8y!0183;;7psr;h037;W02e?4|D;;h65$33;>7603_8:m74}Q9?31>v*>8c8142=Q9=:1>v*:de8e?!3ck3l0qpsC20`95~P59809w[mn:3y'1ad=:9=0(>:?:4fg?x"1>90m7psr;n3;0?6=,;;36<6;;:a661=8391<7>t$33;>7723A8;o6g>4983>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?l7313:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?;9:T205<5s-?oh7?4$4f`>4=zutw0c<6;:18'64>=91>0Z??n:0yO64e=9r\ho74>33_;?<74=#=mi1=6sr}|9~f75?290:6=4?{%02N58j1b=9650;&15=<6<>1]><^8>;6?u+5ef9a>"2lj0n7psr}:k20<<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:845Y15296~"2lm0n7);kc;g8yx{z3`;>87>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;360>P6<909w);kd;g8 0bd2l1vqps4o0:7>5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj;9i6=4>1;294~"5910>h6F=0b9j51>=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=964V063>7}#=mn1i6*:db8f?x{zu2c:844?:%02hn4j;|~y>o6==0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>559U516=:r.>hi4j;%7gg?c<7[<>a;3xH77d28q]on4={%3;f?72n2\:8=4={%7g`?c<,<651558R77f28qG>{zut1b=;=50;&15=<6<>1]>;6?u+5ef9a>"2lj0n7psr}:k221<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0::95Y15296~"2lm0n7);kc;g8yx{z3`;=97>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;351>P6<909w);kd;g8 0bd2l1vqps4i045>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28<=7[?;0;0x 0bc2l1/9im5e:~yx=n9?=1<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l51758R4272;q/9ij5e:&6`f4`83>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a4=zutw0e<:l:18'64>=9==0Z??n:0yO64e=9r\ho742d3_;?<74=#=mi1=6sr}|9j51b=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=9j4V063>7}#=mn1=6*:db82?x{zu2c:8h4?:%02{M02g?7|^ji1>v*>8c820`=Q9=:1>v*:de82?!3ck3;0qpsr;h37b?6=,;;36<:8;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{5<693:1N58j1b=9650;&15=<6<>1]><^8>;6?u+5ef9a>"2lj0n7psr}:k20<<72-8:47?;7:J152=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij5e:&6`f5583>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a`=zutw0e<8=:18'64>=9==0Z??n:0yO64e=9r\ho74053_;?<7`=#=mi1i6sr}|9j535=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=;=4V063>7}#=mn1i6*:db8f?x{zu2c::94?:%02{M02g?7|^ji1>v*>8c8221=Q9=:1>v*:de8f?!3ck3o0qpsr;h351?6=,;;36<:8;W02e?7|D;;h64181!3cl3o0(8jl:d9~yx{hn4j;|~y>o68;373>P59h0:wA<>c;3xRfe=:r.:4o4>4`9U516=:r.>hi4j;%7gg?c<7[<>a;3xH77d28q]on4={%3;f?73j2\:8=4={%7g`?7<,<651558R77f28qG>{zut1b=9j50;&15=<6<>1]>;6?u+5ef95>"2lj0:7psr}:k20`<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:8h5Y15296~"2lm0:7);kc;38yx{z3`;?j7>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37b>P6<909w);kd;38 0bd281vqps4o0:7>5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj;926=4;:183!4603;3?6F=0b9j51>=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=964V063>7}#=mn1=6*:db82?x{zu2c:844?:%02{M02g?7|^ji1>v*>8c820<=Q9=:1>v*:de82?!3ck3;0qpsr;h360?6=,;;36<:8;W02e?7|D;;h686X>4181!3cl3;0(8jl:09~yx{5<4290;w)<>8;7g?M47k2c:854?:%02{M02g?7|^ji1>v*>8c820==Q9=:1>v*:de8f?!3ck3o0qpsr;h37=?6=,;;36<:8;W02e?7|D;;h64181!3cl3o0(8jl:d9~yx{5<5290;w)<>8;`4?M47k2c:8:4?:%02;6?u+5ef95>"2lj0:7psr}:a61g=8391<7>t$33;>7723A8;o6g>4983>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?l7313:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?;9:T205<5s-?oh7m4$4f`>f=zutw0c<6;:18'64>=91>0Z??n:0yO64e=9r\ho74>33_;?<74=#=mi1=6sr}|9~f72>290=6=4?{%02!4603i>7[<>a;3xH77d28q]=;752z&27}#91h1o;5Y15296~"2lm087);kc;18yx{K:8h18vX=0880Sef2;q/9il5c79'716==mn0q[mm:3y'1ad=9==0(>:?:4fg?x"1>90i7pX=0880Sef2;q/9il5c79'716==mn0q[mm:3y'1ad=9==0(>:?:4f`?x"1>90i7pX=0880Sef2;q/9il5c79'716==mn0q[mm:3y'1ad=k<1/?9>55ef8y!0183h0q[?7a;0xRfg=:r.>ho4l6:&005<2lm1v(;8?:028yx{<^;;j6?uC20a95~P6>009w)?7b;03<>P6<909w);kd;d8 0bd2o1vqpB=1c82S46938pZno52z&6`g<5811/?9>55ef8y!0183l0qps4i324>5<#:821>=94V33b>7}K:8i1=vX>6881!7?j38;;6X>4181!3cl3l0(8jl:g9~yxJ59k0:w[<>1;0xRfg=:r.>ho4=069'716==mn0q)890;d8yx{5}#:821><;4H32`?l7303:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?;8:T205<5s-?oh7?4$4f`>4=zutw0e<:6:18'64>=9==0Z??n:0yO64e=9r\ho742>3_;?<74=#=mi1=6sr}|9l5=2=83.9=54>859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1=6*:db82?x{zu2wi>9=50;394?6|,;;36??i;I03g>i60=0;6)<>8;3;0>=zj;>>6=4;:183!4603?o7E5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37=>P6<909w);kd;g8 0bd2l1vqps4i077>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28??7[?;0;0x 0bc2l1/9im5e:~yx=h91>1<7*=1982<1=Q:8k1=vB=1b82Sed2;q/=5l51968R4272;q/9ij51:&6`f<63twvq6sm25494?76290;w)<>8;7g?M47k2c:854?:%02{M02g?7|^ji1>v*>8c820==Q9=:1>v*:de8f?!3ck3o0qpsr;h37=?6=,;;36<:8;I023>P59h0:wA<>c;3xRfe=:r.:4o4>489U516=:r.>hi4j;%7gg?c<7[<>a;3xH77d28q]on4={%3;f?72<2\:8=4={%7g`?c<,<651558R77f28qG>{zut1b=;<50;&15=<6<>1]>;6?u+5ef9a>"2lj0n7psr}:k226<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0::>5Y15296~"2lm0n7);kc;g8yx{z3`;=87>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;350>P6<909w);kd;g8 0bd2l1vqps4i046>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28<>7[?;0;0x 0bc2l1/9im5e:~yx=n9?<1<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l51748R4272;q/9ij5e:&6`f6683>!4603;?;6X=1`82I46k3;pZnm52z&2>1]=9>52z&6`a`=zutw0e<:m:18'64>=9==0Z??n:0yO64e=9r\ho742e3_;?<7`=#=mi1i6sr}|9j51e=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=9m4V063>7}#=mn1=6*:db82?x{zu2c:8i4?:%02{M02g?7|^ji1>v*>8c820a=Q9=:1>v*:de82?!3ck3;0qpsr;h37a?6=,;;36<:8;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{m6=4+20:9511<^;;j60Z<:?:3y'1ab=92.>hn4>;|~y>{e:==1<7?>:183!4603?o7E5$33;>4203A8:;6X=1`82I46k3;pZnm52z&252z&6`a`=zutw0e<;i:18'64>=9==0Z??n:0yO64e=9r\ho743a3_;?<7`=#=mi1i6sr}|9j534=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=;<4V063>7}#=mn1i6*:db8f?x{zu2c::>4?:%02{M02g?7|^ji1>v*>8c8226=Q9=:1>v*:de8f?!3ck3o0qpsr;h350?6=,;;36<:8;W02e?7|D;;h64181!3cl3o0(8jl:d9~yx{6=4+20:9511<^;;j6hn4j;|~y>o6>>0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>669U516=:r.>hi4j;%7gg?c<7[<>a;3xH77d28q]on4={%3;f?73i2\:8=4={%7g`?c<,<651558R77f28qG>{zut1b=9m50;&15=<6<>1]>;6?u+5ef95>"2lj0:7psr}:k20a<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:8i5Y15296~"2lm0:7);kc;38yx{z3`;?i7>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37a>P6<909w);kd;38 0bd281vqps4i06e>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>m7[?;0;0x 0bc281/9im51:~yx=h91>1<7*=1982<1=Q:8k1=vB=1b82Sed2;q/=5l51968R4272;q/9ij51:&6`f<63twvq6sm25694?2=83:p(??7:0:0?M47k2c:854?:%02{M02g?7|^ji1>v*>8c820==Q9=:1>v*:de82?!3ck3;0qpsr;h37=?6=,;;36<:8;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{0Z<:?:3y'1ab=92.>hn4>;|~y>{e:=;1<7=50;2x 77?2l;h374181!3cl3o0(8jl:d9~yx{26=4+20:9511<^;;j60Z<:?:3y'1ab=92.>hn4>;|~y>{e:ho1<7<50;2x 77?2k=0D?>l;h373?6=,;;36<:8;:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0:7);kc;38yx{z3th9n54?:283>5}#:821><;4H32`?l7303:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?;8:T205<5s-?oh7?4$4f`>4=zutw0e<:6:18'64>=9==0Z??n:0yO64e=9r\ho742>3_;?<7f=#=mi1o6sr}|9l5=2=83.9=54>859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1=6*:db82?x{zu2wi>o950;494?6|,;;36<::;I03g>"6>10:=6g>4683>!4603;?;6X=1`82I46k3;pZ<86:3y'5=d=9==0Z<:?:3y'1ab=92.>hn4>;|~y>od=3:1(??7:b78R77f28qG>{zut1bo;4?:%024}Q9?31>v*>8c8`2>P6<909w);kd;18 0bd2:1vqpB=1c87S47139pZno52z&6`g2.88=4:de9~Rfd=:r.>ho4>469'716==mn0q)890;`8yS47139pZno52z&6`g2.88=4:de9~Rfd=:r.>ho4>469'716==mi0q)890;`8yS47139pZno52z&6`g2.88=4:de9~Rfd=:r.>ho4l5:&005<2lm1v(;8?:c9~R4>f2;q]ol4={%7gf?e13-9?<7;kd:'236=991vqp5f21:94?"59109<55Y20c96~J59j0:w[?99;0x 4>e2;:37[?;0;0x 0bc2o1/9im5f:~yI46j3;pZ??>:3yUgd<5s-?on7vB=1b82S71138p(<6m:324?S73838p(8jk:g9'1ae=n2wvqA<>b;3xR7762;q]ol4={%7gf?47?2.88=4:de9~ 3072o1vqp5`19694?"5910:4954}c0a4?6=;3:1=9==0Z??n:0yO64e=9r\ho742?3_;?<74=#=mi1=6sr}|9j51?=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=974V063>7}#=mn1=6*:db82?x{zu2e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`1f4<7280;6=u+20:964`<@;:h7b?74;29 77?282?76sm2c194?2=83:p(??7:4f8L76d3`;?47>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37<>P6<909w);kd;g8 0bd2l1vqps4i06:>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>27[?;0;0x 0bc2l1/9im5e:~yx=n9<>1<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l51468R4272;q/9ij5e:&6`f8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xd5j=0;6l;h374181!3cl3o0(8jl:d9~yx{26=4+20:9511<@;;<7[<>a;3xH77d28q]on4={%3;f?7312\:8=4={%7g`?c<,<651558R77f28qG>{zut1b=8h50;&15=<6<>1]>;6?u+5ef9a>"2lj0n7psr}:k227<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0::?5Y15296~"2lm0n7);kc;g8yx{z3`;=?7>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;357>P6<909w);kd;g8 0bd2l1vqps4i047>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e286783>!4603;?;6X=1`82I46k3;pZnm52z&2?1]=9>52z&6`a4=zutw0e<:n:18'64>=9==0Z??n:0yO64e=9r\ho742f3_;?<74=#=mi1=6sr}|9j51d=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=9l4V063>7}#=mn1=6*:db82?x{zu2c:8n4?:%02{M02g?7|^ji1>v*>8c820f=Q9=:1>v*:de82?!3ck3;0qpsr;h37`?6=,;;36<:8;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{n6=4+20:9511<^;;j6hn4>;|~y>i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4>;%7gg?747=83:p(??7:4f8L76d3`;?47>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37<>P6<909w);kd;g8 0bd2l1vqps4i06:>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?;9:T205<5s-?oh7k4$4f`>`=zutw0e<;;:18'64>=9==0Z??n:0yO64e=9r\ho74333_;?<7`=#=mi1i6sr}|9j50`=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=8h4V063>7}#=mn1i6*:db8f?x{zu2c::?4?:%02{M02g?7|^ji1>v*>8c8227=Q9=:1>v*:de8f?!3ck3o0qpsr;h357?6=,;;36<:8;W02e?7|D;;h64181!3cl3o0(8jl:d9~yx{hn4j;|~y>o6>?0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>679U516=:r.>hi4j;%7gg?c<7[<>a;3xH77d28q]on4={%3;f?71?2\:8=4={%7g`?7<,<651558R77f28qG>{zut1b=9l50;&15=<6<>1]>;6?u+5ef95>"2lj0:7psr}:k20f<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:8n5Y15296~"2lm0:7);kc;38yx{z3`;?h7>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37`>P6<909w);kd;38 0bd281vqps4i06f>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>n7[?;0;0x 0bc281/9im51:~yx=n9=l1<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515d8R4272;q/9ij51:&6`f<63twvq6a>8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xd5j;0;694?:1y'64>=9190D?>l;h374181!3cl3;0(8jl:09~yx{26=4+20:9511<^;;j60Z<:?:3y'1ab=92.>hn4>;|~y>i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4>;%7gg?76<729q/><655e9K65e36=4+20:9511<^;;j6hn4j;|~y>i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4>;%7gg?77<729q/><65b69K65e<6=4+20:9511<3f;387>5$33;>4>33_8:m7?tL33`>4}Qkj09w)?7b;3;0>P6<909w);kd;38 0bd281vqps4}c061?6=;3:1=9==0Z??n:0yO64e=9r\ho742?3_;?<74=#=mi1=6sr}|9j51?=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=974V063>7}#=mn1o6*:db8`?x{zu2e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`111<72?0;6=u+20:9513<@;:h7)?98;32?l73?3:1(??7:064?S46i3;p@??l:0yU53?=:r.:4o4>469U516=:r.>hi4>;%7gg?7=k<1]>f3<^8>;6?u+5ef95>"2lj0:7psr}:k`2?6=,;;36n84V33b>7}K:8i1=vX>6881!7?j3i=7[?;0;0x 0bc2:1/9im53:~yI46j3>pZ?>6:2yUgd<5s-?on7m9;%174?3cl2w]oo4={%7gf?73?2.88=4:de9~ 3072k1vZ?>6:2yUgd<5s-?on7m9;%174?3cl2w]oo4={%7gf?73?2.88=4:db9~ 3072k1vZ?>6:2yUgd<5s-?on7m9;%174?3cl2w]oo4={%7gf?e23-9?<7;kd:'236=j2w]=5o52zT`e?4|,0bc3t.=:=4>0:~y>o5810;6)<>8;03<>P59h09wA<>c;3xR40>2;q/=5l521:8R4272;q/9ij5f:&6`f76?3-9?<7;kd:'236=n2wvq6g=0683>!46038;;6X=1`81I46k3;pZ<86:3y'5=d=:9=0Z<:?:3y'1ab=n2.>hn4i;|~H77e28q]>8;%174?3cl2w/:;>5f:~y>i60=0;6)<>8;3;0>=zj;>o6=4<:183!46038:96F=0b9j51>=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=964V063>7}#=mn1=6*:db82?x{zu2c:844?:%02{M02g?7|^ji1>v*>8c820<=Q9=:1>v*:de82?!3ck3;0qpsr;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{51;294~"59109=k5G21a8k4>3290/><651968?xd5=90;694?:1y'64>==m1C>=m4i06;>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>37[?;0;0x 0bc2l1/9im5e:~yx=n9=31<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij5e:&6`f5583>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a4=zutw0qo<:1;2954<729q/><655e9K65e36=4+20:9511<^;;j6{zut1b=8:50;&15=<6<>1]>;6?u+5ef9a>"2lj0n7psr}:k21c<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:9k5Y15296~"2lm0n7);kc;g8yx{z3`;=>7>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;356>P6<909w);kd;g8 0bd2l1vqps4i040>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28<87[?;0;0x 0bc2l1/9im5e:~yx=n9?>1<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l51768R4272;q/9ij5e:&6`f6483>!4603;?;6X=1`82I46k3;pZnm52z&2<1]=9>52z&6`a3:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?96:T205<5s-?oh7k4$4f`>`=zutw0e<88:18'64>=9==0Z??n:0yO64e=9r\ho74003_;?<7`=#=mi1i6sr}|9j51g=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=9o4V063>7}#=mn1i6*:db8f?x{zu2c:8o4?:%02{M02g?7|^ji1>v*>8c820g=Q9=:1>v*:de8f?!3ck3o0qpsr;h37g?6=,;;36<:8;W02e?7|D;;h64181!3cl3o0(8jl:d9~yx{o6=4+20:9511<^;;j6hn4>;|~y>o68;373>P59h0:wA<>c;3xRfe=:r.:4o4>4g9U516=:r.>hi4>;%7gg?7a;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,==m1C>=m4i06;>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>37[?;0;0x 0bc2l1/9im5e:~yx=n9=31<7*=198202=O:8=0Z??n:0yO64e=9r\ho742>3_;?<7`=#=mi1i6sr}|9j502=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=8:4V063>7}#=mn1i6*:db8f?x{zu2c:9k4?:%02{M02g?7|^ji1>v*>8c821c=Q9=:1>v*:de8f?!3ck3o0qpsr;h356?6=,;;36<:8;W02e?7|D;;h66X>4181!3cl3o0(8jl:d9~yx{0Z<:?:3y'1ab=m2.>hn4j;|~y>o6><0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>649U516=:r.>hi4j;%7gg?c<7[<>a;3xH77d28q]on4={%3;f?71>2\:8=4={%7g`?c<,<651558R77f28qG>{zut1b=9o50;&15=<6<>1]>;6?u+5ef9a>"2lj0n7psr}:k20g<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:8o5Y15296~"2lm0n7);kc;g8yx{z3`;?o7>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37g>P6<909w);kd;g8 0bd2l1vqps4i06g>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>o7[?;0;0x 0bc281/9im51:~yx=n9=o1<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515g8R4272;q/9ij51:&6`f<63twvq6g>4g83>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?j7?<3:1(??7:0:7?S46i3;p@??l:0yUgf<5s-;3n7?74:T205<5s-?oh7?4$4f`>4=zutw0qo<;f;290?6=8r.9=54>829K65e36=4+20:9511<^;;j6hn4>;|~y>o6==0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>559U516=:r.>hi4>;%7gg?7a;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,50z&15=<2l2B9hn4j;|~y>o6<00;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>489U516=:r.>hi4j;%7gg?ca;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,7>50z&15=5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj=i36=4<:183!46038:96F=0b9j51>=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=964V063>7}#=mn1=6*:db82?x{zu2c:844?:%02{M02g?7|^ji1>v*>8c820<=Q9=:1>v*:de8`?!3ck3i0qpsr;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{h;7>56;294~"5910:885G21a8 40?28;0e<:8:18'64>=9==0Z??n:0yO64e=9r\::44={%3;f?73?2\:8=4={%7g`?7<,7}#91h1o85Y15296~"2lm0:7);kc;38yx{z3`i=6=4+20:9g3=Q:8k1>vB=1b82S71138p(<6m:b48R4272;q/9ij53:&6`f<43twv@??m:5yU65?=;r\hm7f0<,:>;68jk;|T`f?4|,5b:U65?=;r\hm7f0<,:>;68jk;|T`f?4|,5b:U65?=;r\hm7f0<,:>;68jk;|T`f?4|,0bc3t.=:=4=;|T2a;0xH77d28q]=;752z&252z&6`a7}#=mh1>=64$263>0bc3t.=:=4i;|~?l47?3:1(??7:324?S46i38p@??l:0yU53?=:r.:4o4=069U516=:r.>hi4i;%7gg?`;68jk;|&525{M02g?7|^ji1>v*>8c820==Q9=:1>v*:de82?!3ck3;0qpsr;h37=?6=,;;36<:8;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{5<6290;w)<>8;02b>N58j1d=5:50;&15=<60=10qo:mf;297?6=8r.9=54:d:J14f=n9=21<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515:8R4272;q/9ij51:&6`f<63twvq6g>4883>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?j7?<3:1(??7:0:7?S46i3;p@??l:0yUgf<5s-;3n7?74:T205<5s-?oh7?4$4f`>4=zutw0qo:l0;297?6=8r.9=54:d:J14f=n9=21<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515:8R4272;q/9ij51:&6`f<63twvq6g>4883>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?j7?<3:1(??7:0:7?S46i3;p@??l:0yUgf<5s-;3n7?74:T205<5s-?oh7?4$4f`>4=zutw0qo:l1;292?6=8r.9=54:d:J14f=n9=21<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515:8R4272;q/9ij5e:&6`f4883>!4603;?;6F=169U64g=9rF9=n4>{Wa`>7}#91h1=974V063>7}#=mn1i6*:db8f?x{zu2c:994?:%020Z<:?:3y'1ab=m2.>hn4j;|~y>o6=o0;6)<>8;373>N59>1]>;6?u+5ef9a>"2lj0n7psr}:k227<72-8:47?;7:J152=Q:8k1=vB=1b82Sed2;q/=5l51708R4272;q/9ij5e:&6`f8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xd3k;0;6;4?:1y'64>==m1C>=m4i06;>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?;8:T205<5s-?oh7k4$4f`>`=zutw0e<:6:18'64>=9==0D??8;W02e?7|D;;h64181!3cl3o0(8jl:d9~yx{a;3xH77d28q]on4={%3;f?72<2\:8=4={%7g`?c<,<651558R77f28qG>{zut1b=;<50;&15=<6<>1C><94V33b>4}K:8i1=vXlc;0x 4>e28<97[?;0;0x 0bc2l1/9im5e:~yx=h91>1<7*=1982<1=Q:8k1=vB=1b82Sed2;q/=5l51968R4272;q/9ij51:&6`f<63twvq6sm4b194??=83:p(??7:4f8L76d3`;?47>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37<>P6<909w);kd;58 0bd2>1vqps4i06:>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>27[?;0;0x 0bc2l1/9im5e:~yx=n9<>1<7*=198202=O:8=0Z??n:0yO64e=9r\ho74333_;?<72=#=mi1;6sr}|9j50`=83.9=54>469K641<^;;j6{zut1b=;=50;&15=<6<>1C><94V33b>4}K:8i1=vXlc;0x 4>e28<87[?;0;0x 0bc2l1/9im5e:~yx=n9?>1<7*=198202=O:8=0Z??n:0yO64e=9r\ho74033_;?<7`=#=mi1i6sr}|9j533=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=;;4V063>7}#=mn1i6*:db8f?x{zu2e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`7g1<7200;6=u+20:91a=O:9i0e<:7:18'64>=9==0Z??n:0yO64e=9r\ho742?3_;?<72=#=mi1;6sr}|9j51?=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=974V063>7}#=mn1i6*:db8f?x{zu2c:994?:%020Z<:?:3y'1ab=?2.>hn48;|~y>o6=o0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>5g9U516=:r.>hi4j;%7gg?c<7E<>7:T15d<6sE8:o7?tVba96~"60k0::?5Y15296~"2lm0n7);kc;g8yx{z3`;=?7>5$33;>4203A8:;6X=1`82I46k3;pZnm52z&2:1]=9>52z&6`a{M02g?7|^ji1>v*>8c8221=Q9=:1>v*:de8f?!3ck3o0qpsr;h351?6=,;;36<:8;W02e?7|D;;h64181!3cl3o0(8jl:d9~yx{5<>290;w)<>8;7g?M47k2c:854?:%02{M02g?7|^ji1>v*>8c820==Q9=:1>v*:de84?!3ck3=0qpsr;h37=?6=,;;36<:8;I023>P59h0:wA<>c;3xRfe=:r.:4o4>489U516=:r.>hi4j;%7gg?c<7[<>a;3xH77d28q]on4={%3;f?72<2\:8=4={%7g`?1<,<651558L7703_8:m7?tL33`>4}Qkj09w)?7b;36b>P6<909w);kd;58 0bd2>1vqps4i041>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?92:T205<5s-?oh7k4$4f`>`=zutw0e<8<:18'64>=9==0D??8;W02e?7|D;;h64181!3cl3o0(8jl:d9~yx{a;3xH77d28q]on4={%3;f?71<2\:8=4={%7g`?c<,<651558R77f28qG>{zut1d=5:50;&15=<60=1]>;6?u+5ef95>"2lj0:7psr}:a0gc=8321<7>t$33;>4>43A8;o6g>4983>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?l7313:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?;9:T205<5s-?oh7?4$4f`>4=zutw0e<;;:18'64>=9==0Z??n:0yO64e=9r\ho74333_;?<74=#=mi1=6sr}|9j50`=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=8h4V063>7}#=mn1=6*:db82?x{zu2c::?4?:%02{M02g?7|^ji1>v*>8c8227=Q9=:1>v*:de82?!3ck3;0qpsr;h357?6=,;;36<:8;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{0Z<:?:3y'1ab=92.>hn4>;|~y>{e>::1<7<50;2x 77?2k=0D?>l;h373?6=,;;36<:8;:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0:7);kc;38yx{z3th=?;4?:383>5}#:821n:5G21a8m420290/><651558?j7?<3:1(??7:0:7?S46i3;p@??l:0yUgf<5s-;3n7?74:T205<5s-?oh7?4$4f`>4=zutw0qo8449K65e<,8<365<#:821=994V33b>4}K:8i1=vX>6881!7?j3;?;6X>4181!3cl3;0(8jl:09~yx{P59h0:wA<>c;3xR40>2;q/=5l5c49U516=:r.>hi4>;%7gg?7=k?1]>f0<^8>;6?u+5ef97>"2lj087psrL33a>1}Q:931?vXla;0x 0be2j<0(>:?:4fg?xPdj38p(8jm:064?!5383?oh6s+6729f>{Q:931?vXla;0x 0be2j<0(>:?:4fg?xPdj38p(8jm:064?!5383?oo6s+6729f>{Q:931?vXla;0x 0be2j<0(>:?:4fg?xPdj38p(8jm:b78 627255ef8y!0183;;7psr;h037;W02e?4|D;;h65$33;>7603_8:m74}Q9?31>v*>8c8142=Q9=:1>v*:de8e?!3ck3l0qpsC20`95~P59809w[mn:3y'1ad=:9=0(>:?:4fg?x"1>90m7psr;n3;0?6=,;;36<6;;:a267=8391<7>t$33;>7723A8;o6g>4983>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?l7313:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?;9:T205<5s-?oh7?4$4f`>4=zutw0c<6;:18'64>=91>0Z??n:0yO64e=9r\ho74>33_;?<74=#=mi1=6sr}|9~f355290:6=4?{%02N58j1b=9650;&15=<6<>1]><^8>;6?u+5ef9a>"2lj0n7psr}:k20<<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:845Y15296~"2lm0n7);kc;g8yx{z3f;387>5$33;>4>33_8:m7?tL33`>4}Qkj09w)?7b;3;0>P6<909w);kd;38 0bd281vqps4}c401?6=<3:1N58j1b=9650;&15=<6<>1]><^8>;6?u+5ef9a>"2lj0n7psr}:k20<<72-8:47?;7:J152=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij5e:&6`f5583>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a4=zutw0qo8<3;297?6=8r.9=54>829K65e36=4+20:9511<^;;j6hn4>;|~y>i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4>;%7gg?70<729q/><655e9K65e36=4+20:9511<@;;<7[<>a;3xH77d28q]on4={%3;f?7302\:8=4={%7g`??<,290/><651558R77f28qG>{zut1b=8:50;&15=<6<>1C><94V33b>4}K:8i1=vXlc;0x 4>e28??7[?;0;0x 0bc201/9im59:~yx=n943a3_;?<7<=#=mi156sr}|9l5=2=83.9=54>859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1=6*:db82?x{zu2wi:>650;794?6|,;;368j4H32`?l7303:1(??7:064?M46?2\9=l4>{M02g?7|^ji1>v*>8c820==Q9=:1>v*:de8:?!3ck330qpsr;h37=?6=,;;36<:8;I023>P59h0:wA<>c;3xRfe=:r.:4o4>489U516=:r.>hi46;%7gg??<7E<>7:T15d<6sE8:o7?tVba96~"60k0:995Y15296~"2lm027);kc;;8yx{z3`;>j7>5$33;>4203A8:;6X=1`82I46k3;pZnm52z&252z&6`a4=zutw0qo8<9;297?6=8r.9=54>829K65e36=4+20:9511<^;;j6hn4>;|~y>i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4>;%7gg?77<729q/><65b69K65e<6=4+20:9511<3f;387>5$33;>4>33_8:m7?tL33`>4}Qkj09w)?7b;3;0>P6<909w);kd;38 0bd281vqps4}c106?6=:3:1N58j1b=9950;&15=<6<>10c<6;:18'64>=91>0Z??n:0yO64e=9r\ho74>33_;?<74=#=mi1=6sr}|9~f65?29086=4?{%02hn4>;|~y>o6<00;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>489U516=:r.>hi4l;%7gg?ea;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,50z&15=<60:1C>=m4i06;>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>37[?;0;0x 0bc281/9im51:~yx=n9=31<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij51:&6`f<63twvq6a>8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xd4;<0;6;4?:1y'64>=9=?0D?>l;%355$33;>4203_8:m7?tL33`>4}Q9?31>v*>8c8202=Q9=:1>v*:de82?!3ck3;0qpsr;ha6>5<#:821o85Y20c95~J59j0:w[?99;0x 4>e2j?0Z<:?:3y'1ab=92.>hn4>;|~y>od>3:1(??7:b48R77f2;qG>5+5ea97>{zuE8:n7:tV32:>6}Qkh09w);kb;a5?!5383?oh6sYcc81!3cj3;?;6*<4186`a=z,?<;6o5rV32:>6}Qkh09w);kb;a5?!5383?oh6sYcc81!3cj3;?;6*<4186`f=z,?<;6o5rV32:>6}Qkh09w);kb;a5?!5383?oh6sYcc81!3cj3i>7)=;0;7g`>{#>?:1>6sY19c96~Pdi38p(8jm:b48 6272{zu2c9<54?:%02<^8>;6?u+5ef9b>"2lj0m7psrL33a>4}Q:8;1>vXla;0x 0be2;:37)=;0;7g`>{#>?:1j6sr}:k142<72-8:477}#91h1>=94V063>7}#=mn1j6*:db8e?x{zD;;i6{zu2e:494?:%025<7s-8:47<>5:J14f=n9=21<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515:8R4272;q/9ij51:&6`f<63twvq6g>4883>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?j7?<3:1(??7:0:7?S46i3;p@??l:0yUgf<5s-;3n7?74:T205<5s-?oh7?4$4f`>4=zutw0qo==e;295?6=8r.9=54=1g9K65e5}#:8219i5G21a8m42?290/><651558L7703_8:m7?tL33`>4}Qkj09w)?7b;37<>P6<909w);kd;58 0bd2>1vqps4i06:>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>27[?;0;0x 0bc2>1/9im57:~yx=n9<>1<7*=198202=O:8=0Z??n:0yO64e=9r\ho74333_;?<72=#=mi1;6sr}|9j50`=83.9=54>469K641<^;;j6{zut1b=;=50;&15=<6<>1C><94V33b>4}K:8i1=vXlc;0x 4>e28<87[?;0;0x 0bc2l1/9im5e:~yx=h91>1<7*=1982<1=Q:8k1=vB=1b82Sed2;q/=5l51968R4272;q/9ij51:&6`f<63twvq6sm32394?1=83:p(??7:4f8L76d3`;?47>5$33;>4203A8:;6X=1`82I46k3;pZnm52z&252z&6`a<03-?oo794}|~?l7313:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?;9:T205<5s-?oh794$4f`>2=zutw0e<;;:18'64>=9==0D??8;W02e?7|D;;h686X>4181!3cl3=0(8jl:69~yx{a;3xH77d28q]on4={%3;f?72n2\:8=4={%7g`?1<,<651558L7703_8:m7?tL33`>4}Qkj09w)?7b;356>P6<909w);kd;g8 0bd2l1vqps4i040>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28<87[?;0;0x 0bc2l1/9im5e:~yx=h91>1<7*=1982<1=Q:8k1=vB=1b82Sed2;q/=5l51968R4272;q/9ij51:&6`f<63twvq6sm33d94?5=83:p(??7:0:0?M47k2c:854?:%02{M02g?7|^ji1>v*>8c820==Q9=:1>v*:de82?!3ck3;0qpsr;h37=?6=,;;36<:8;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{5<4290;w)<>8;7g?M47k2c:854?:%02hn48;|~y>o6<00;6)<>8;373>N59>1]>;6?u+5ef93>"2lj0<7psr}:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0:7);kc;38yx{z3th8?>4?:283>5}#:8219i5G21a8m42?290/><651558R77f28qG>{zut1b=9750;&15=<6<>1]>;6?u+5ef9=>"2lj027psr}:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0:7);kc;38yx{z3th88:4?:383>5}#:821n:5G21a8m420290/><651558?j7?<3:1(??7:0:7?S46i3;p@??l:0yUgf<5s-;3n7?74:T205<5s-?oh7?4$4f`>4=zutw0qo=;e;296?6=8r.9=54m7:J14f=n9==1<7*=198202=5<1290;w)<>8;371>N58j1/=;65109j511=83.9=54>469U64g=9rF9=n4>{W35=?4|,82i6<:8;W374?4|,{zut1bo84?:%024}Q9?31>v*>8c8`1>P6<909w);kd;38 0bd281vqps4ib494?"5910h:6X=1`81I46k3;pZ<86:3y'5=d=k?1]=9>52z&6`a<43-?oo7=4}|O64d=7}#=mh1o;5+35291ab;68jk;|&5257}#=mh1o;5+35291ab;68jl;|&5257}#=mh1o;5+35291ab4}|8m76?290/><6521:8R77f2;qG>c=zutF9=o4>{W025?4|^jk1>v*:dc814==#;=:19ij4}%454?`8:18'64>=:9=0Z??n:3yO64e=9r\::44={%3;f?47?2\:8=4={%7g`?`<,3290/><651968?xd4<10;6>4?:1y'64>=:8?0D?>l;h37P59h0:wA<>c;3xRfe=:r.:4o4>499U516=:r.>hi4>;%7gg?7<7[<>a;3xH77d28q]on4={%3;f?7312\:8=4={%7g`?7<,3290/><651968R77f28qG>{zut1vn>:6:182>5<7s-8:47<>f:J14f=h91>1<7*=1982<1=53;294~"5910>h6F=0b9j51>=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=964V063>7}#=mn1=6*:db82?x{zu2c:844?:%02{M02g?7|^ji1>v*>8c820<=Q9=:1>v*:de82?!3ck3;0qpsr;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{56;294~"5910>h6F=0b9j51>=83.9=54>469K641<^;;j6{zut1b=8:50;&15=<6<>1]>;6?u+5ef9a>"2lj0n7psr}:k21c<72-8:47?;7:J152=Q:8k1=vB=1b82Sed2;q/=5l514d8R4272;q/9ij5e:&6`f6383>!4603;?;6F=169U64g=9rF9=n4>{Wa`>7}#91h1=;<4V063>7}#=mn1i6*:db8f?x{zu2e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`00a<72>0;6=u+20:91a=O:9i0e<:7:18'64>=9==0D??8;W02e?7|D;;h64181!3cl3=0(8jl:69~yx{26=4+20:9511<^;;j60Z<:?:3y'1ab=?2.>hn48;|~y>o6=o0;6)<>8;373>N59>1]>;6?u+5ef9a>"2lj0n7psr}:k227<72-8:47?;7:J152=Q:8k1=vB=1b82Sed2;q/=5l51708R4272;q/9ij5e:&6`f6283>!4603;?;6F=169U64g=9rF9=n4>{Wa`>7}#91h1=;=4V063>7}#=mn1i6*:db8f?x{zu2e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`00d<72=0;6=u+20:95=5<@;:h7d?;8;29 77?28><7[<>a;3xH77d28q]on4={%3;f?7302\:8=4={%7g`?7<,290/><651558R77f28qG>{zut1b=8:50;&15=<6<>1]>;6?u+5ef95>"2lj0:7psr}:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0:7);kc;38yx{z3th88k4?:683>5}#:8219i5G21a8m42?290/><651558R77f28qG>{zut1b=9750;&15=<6<>1C><94V33b>4}K:8i1=vXlc;0x 4>e28>27[?;0;0x 0bc2o1/9im5f:~yx=n9<>1<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l51468R4272;q/9ij5f:&6`f5g83>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a{M02g?7|^ji1>v*>8c8227=Q9=:1>v*:de8e?!3ck3l0qpsr;h357?6=,;;36<:8;W02e?7|D;;h64181!3cl3l0(8jl:g9~yx{58;7g?M47k2c:854?:%02hn46;|~y>o6<00;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>489U516=:r.>hi4i;%7gg?`<7E<>7:T15d<6sE8:o7?tVba96~"60k0:995Y15296~"2lm0m7);kc;d8yx{z3`;>j7>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;36b>P6<909w);kd;d8 0bd2o1vqps4i041>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?92:T205<5s-?oh7h4$4f`>c=zutw0e<8<:18'64>=9==0D??8;W02e?7|D;;h64181!3cl3l0(8jl:g9~yx{a;3xH77d28q]on4={%3;f?71<2\:8=4={%7g`?`<,3290/><651968R77f28qG>{zut1vn>;>:18;>5<7s-8:47;k;I03g>o6<10;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>499U516=:r.>hi4i;%7gg?`<7[<>a;3xH77d28q]on4={%3;f?7312\:8=4={%7g`?`<,<651558L7703_8:m7?tL33`>4}Qkj09w)?7b;360>P6<909w);kd;d8 0bd2o1vqps4i07e>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28?m7[?;0;0x 0bc2o1/9im5f:~yx=n9?81<7*=198202=O:8=0Z??n:0yO64e=9r\ho74053_;?<7c=#=mi1j6sr}|9j535=83.9=54>469K641<^;;j6{zut1d=5:50;&15=<60=1]>;6?u+5ef95>"2lj0:7psr}:a704=83>1<7>t$33;>4>43A8;o6g>4983>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?l7313:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?;9:T205<5s-?oh7?4$4f`>4=zutw0e<;;:18'64>=9==0Z??n:0yO64e=9r\ho74333_;?<74=#=mi1=6sr}|9l5=2=83.9=54>859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1=6*:db82?x{zu2wi?8;50;094?6|,;;36o94H32`?l73?3:1(??7:064?>i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4>;%7gg?77<729q/><65b69K65e<6=4+20:9511<3f;387>5$33;>4>33_8:m7?tL33`>4}Qkj09w)?7b;3;0>P6<909w);kd;38 0bd281vqps4}c155?6=>3:1<651558R77f28qG>4=zutw0en;50;&15={M02g?7|^8<26?u+19`9g0=Q9=:1>v*:de82?!3ck3;0qpsr;ha5>5<#:821o;5Y20c96~J59j0:w[?99;0x 4>e2j<0Z<:?:3y'1ab=;2.>hn4<;|~H77e2=q]>=753zT`e?4|,0bc3t\hn74203-9?<7;kd:'236=j2w]>=753zT`e?4|,0bc3t\hn74203-9?<7;kc:'236=j2w]>=753zT`e?4|,0bc3t\hn7f3<,:>;68jk;|&525<53t\:4l4={Wab>7}#=mh1o;5+35291abhi4i;%7gg?`<,:>;68jk;|&525a;0xH77d28q]=;752z&21]=9>52z&6`a7}#=mh1>=94$263>0bc3t.=:=4i;|~?j7?<3:1(??7:0:7?>{e;<<1<7=50;2x 77?2;;>7E4883>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?j7?<3:1(??7:0:7?S46i3;p@??l:0yUgf<5s-;3n7?74:T205<5s-?oh7?4$4f`>4=zutw0qo=:7;295?6=8r.9=54=1g9K65e5}#:8219i5G21a8m42?290/><651558R77f28qG>{zut1b=9750;&15=<6<>1]>;6?u+5ef95>"2lj0:7psr}:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0:7);kc;38yx{z3th89l4?:283>5}#:8219i5G21a8m42?290/><651558R77f28qG>{zut1b=9750;&15=<6<>1C><94V33b>4}K:8i1=vXlc;0x 4>e28>27[?;0;0x 0bc2l1/9im5e:~yx=h91>1<7*=1982<1=Q:8k1=vB=1b82Sed2;q/=5l51968R4272;q/9ij51:&6`f<63twvq6sm34`94?2=83:p(??7:4f8L76d3`;?47>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37<>P6<909w);kd;58 0bd2>1vqps4i06:>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?;9:T205<5s-?oh7k4$4f`>`=zutw0e<;;:18'64>=9==0D??8;W02e?7|D;;h686X>4181!3cl3o0(8jl:d9~yx{5<1290;w)<>8;7g?M47k2c:854?:%02{M02g?7|^ji1>v*>8c820==Q9=:1>v*:de84?!3ck3=0qpsr;h37=?6=,;;36<:8;I023>P59h0:wA<>c;3xRfe=:r.:4o4>489U516=:r.>hi48;%7gg?1<7[<>a;3xH77d28q]on4={%3;f?72<2\:8=4={%7g`?1<,<651558L7703_8:m7?tL33`>4}Qkj09w)?7b;36b>P6<909w);kd;g8 0bd2l1vqps4i041>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?92:T205<5s-?oh7k4$4f`>`=zutw0c<6;:18'64>=91>0Z??n:0yO64e=9r\ho74>33_;?<74=#=mi1=6sr}|9~f63c290:=7>50z&15=<2l2B9hn48;|~y>o6<00;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>489U516=:r.>hi48;%7gg?1<7[<>a;3xH77d28q]on4={%3;f?72<2\:8=4={%7g`?1<,<651558R77f28qG>{zut1b=;<50;&15=<6<>1]>;6?u+5ef93>"2lj0<7psr}:k226<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0::>5Y15296~"2lm0<7);kc;58yx{z3`;=87>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;350>P6<909w);kd;58 0bd2>1vqps4i046>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?95:T205<5s-?oh794$4f`>2=zutw0e<89:18'64>=9==0D??8;W02e?7|D;;h64181!3cl3o0(8jl:d9~yx{a;3xH77d28q]on4={%3;f?71?2\:8=4={%7g`?c<,<651558L7703_8:m7?tL33`>4}Qkj09w)?7b;37e>P6<909w);kd;g8 0bd2l1vqps4i06a>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>i7[?;0;0x 0bc281/9im51:~yx=n9=i1<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515a8R4272;q/9ij51:&6`f<63twvq6g>4e83>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?l73m3:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?;e:T205<5s-?oh7?4$4f`>4=zutw0e<:i:18'64>=9==0Z??n:0yO64e=9r\ho742a3_;?<74=#=mi1=6sr}|9l5=2=83.9=54>859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1=6*:db82?x{zu2wi?8650;494?6|,;;36<6<;I03g>o6<10;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>499U516=:r.>hi4>;%7gg?7<7[<>a;3xH77d28q]on4={%3;f?7312\:8=4={%7g`?7<,<651558R77f28qG>{zut1b=8h50;&15=<6<>1]>;6?u+5ef95>"2lj0:7psr}:k227<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0::?5Y15296~"2lm0:7);kc;38yx{z3f;387>5$33;>4>33_8:m7?tL33`>4}Qkj09w)?7b;3;0>P6<909w);kd;38 0bd281vqps4}c16b?6==3:1N58j1b=9650;&15=<6<>1C><94V33b>4}K:8i1=vXlc;0x 4>e28>37[?;0;0x 0bc201/9im59:~yx=n9=31<7*=198202=O:8=0Z??n:0yO64e=9r\ho742>3_;?<7<=#=mi156sr}|9j502=83.9=54>469K641<^;;j6hn46;|~y>i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4>;%7gg?77<729q/><65b69K65e<6=4+20:9511<3f;387>5$33;>4>33_8:m7?tL33`>4}Qkj09w)?7b;3;0>P6<909w);kd;38 0bd281vqps4}c15a?6=>3:1<651558R77f28qG>4=zutw0en;50;&15={M02g?7|^8<26?u+19`9g0=Q9=:1>v*:de82?!3ck3;0qpsr;ha5>5<#:821o;5Y20c96~J59j0:w[?99;0x 4>e2j<0Z<:?:3y'1ab=;2.>hn4<;|~H77e2=q]>=753zT`e?4|,0bc3t\hn74203-9?<7;kd:'236=j2w]>=753zT`e?4|,0bc3t\hn74203-9?<7;kc:'236=j2w]>=753zT`e?4|,0bc3t\hn7f3<,:>;68jk;|&525<53t\:4l4={Wab>7}#=mh1o;5+35291abhi4i;%7gg?`<,:>;68jk;|&525a;0xH77d28q]=;752z&21]=9>52z&6`a7}#=mh1>=94$263>0bc3t.=:=4i;|~?j7?<3:1(??7:0:7?>{e;?91<7=50;2x 77?2;;>7E5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37=>P6<909w);kd;38 0bd281vqps4o0:7>5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj::183!46038:j6F=0b9l5=2=83.9=54>8598yg51>3:1?7>50z&15=<2l2B9hn4>;|~y>o6<00;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>489U516=:r.>hi4>;%7gg?7a;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,50z&15=<2l2B9hn4>;|~y>o6<00;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>489U516=:r.>hi4>;%7gg?7a;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,50z&15=<2l2B9hn48;|~y>o6<00;6)<>8;373>N59>1]>;6?u+5ef93>"2lj0<7psr}:k211<72-8:47?;7:J152=Q:8k1=vB=1b82Sed2;q/=5l51468R4272;q/9ij5e:&6`f5g83>!4603;?;6F=169U64g=9rF9=n4>{Wa`>7}#91h1=8h4V063>7}#=mn1i6*:db8f?x{zu2c::?4?:%02{M02g?7|^ji1>v*>8c8227=Q9=:1>v*:de8f?!3ck3o0qpsr;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{56;294~"5910>h6F=0b9j51>=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=964V063>7}#=mn1;6*:db84?x{zu2c:844?:%02{M02g?7|^ji1>v*>8c820<=Q9=:1>v*:de8f?!3ck3o0qpsr;h360?6=,;;36<:8;I023>P59h0:wA<>c;3xRfe=:r.:4o4>559U516=:r.>hi4j;%7gg?c<7E<>7:T15d<6sE8:o7?tVba96~"60k0:9k5Y15296~"2lm0n7);kc;g8yx{z3`;=>7>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;356>P6<909w);kd;g8 0bd2l1vqps4o0:7>5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj:4883>!4603;?;6F=169U64g=9rF9=n4>{Wa`>7}#91h1=974V063>7}#=mn1;6*:db84?x{zu2c:994?:%020Z<:?:3y'1ab=?2.>hn48;|~y>o6=o0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>5g9U516=:r.>hi4j;%7gg?c<7E<>7:T15d<6sE8:o7?tVba96~"60k0::?5Y15296~"2lm0n7);kc;g8yx{z3`;=?7>5$33;>4203A8:;6X=1`82I46k3;pZnm52z&2:1]=9>52z&6`a`=zutw0c<6;:18'64>=91>0Z??n:0yO64e=9r\ho74>33_;?<74=#=mi1=6sr}|9~f60e29036=4?{%024983>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<03-?oo794}|~?l7313:1(??7:064?M46?2\9=l4>{M02g?7|^ji1>v*>8c820<=Q9=:1>v*:de84?!3ck3=0qpsr;h360?6=,;;36<:8;W02e?7|D;;h686X>4181!3cl3=0(8jl:69~yx{a;3xH77d28q]on4={%3;f?72n2\:8=4={%7g`?1<,<651558L7703_8:m7?tL33`>4}Qkj09w)?7b;356>P6<909w);kd;g8 0bd2l1vqps4i040>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?93:T205<5s-?oh7k4$4f`>`=zutw0e<8;:18'64>=9==0Z??n:0yO64e=9r\ho74033_;?<7`=#=mi1i6sr}|9l5=2=83.9=54>859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1=6*:db82?x{zu2wi?;m50;:94?6|,;;368j4H32`?l7303:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?;8:T205<5s-?oh794$4f`>2=zutw0e<:6:18'64>=9==0D??8;W02e?7|D;;h64181!3cl3=0(8jl:69~yx{hn4j;|~y>o6>;0;6)<>8;373>N59>1]>;6?u+5ef9a>"2lj0n7psr}:k226<72-8:47?;7:J152=Q:8k1=vB=1b82Sed2;q/=5l51718R4272;q/9ij5e:&6`f6583>!4603;?;6X=1`82I46k3;pZnm52z&2=1]=9>52z&6`a4=zutw0qo=95;29829K65e36=4+20:9511<^;;j6hn4>;|~y>o6==0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>559U516=:r.>hi4>;%7gg?7<7[<>a;3xH77d28q]on4={%3;f?72n2\:8=4={%7g`?7<,<651558R77f28qG>{zut1b=;=50;&15=<6<>1]>;6?u+5ef95>"2lj0:7psr}:k221<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0::95Y15296~"2lm0:7);kc;38yx{z3f;387>5$33;>4>33_8:m7?tL33`>4}Qkj09w)?7b;3;0>P6<909w);kd;38 0bd281vqps4}c1:6?6=:3:1N58j1b=9950;&15=<6<>10c<6;:18'64>=91>0Z??n:0yO64e=9r\ho74>33_;?<74=#=mi1=6sr}|9~f6??290=6=4?{%02!4603i>7[<>a;3xH77d28q]=;752z&27}#91h1o;5Y15296~"2lm087);kc;18yx{K:8h18vX=0880Sef2;q/9il5c79'716==mn0q[mm:3y'1ad=9==0(>:?:4fg?x"1>90i7pX=0880Sef2;q/9il5c79'716==mn0q[mm:3y'1ad=9==0(>:?:4f`?x"1>90i7pX=0880Sef2;q/9il5c79'716==mn0q[mm:3y'1ad=k<1/?9>55ef8y!0183h0q[?7a;0xRfg=:r.>ho4l6:&005<2lm1v(;8?:028yx{<^;;j6?uC20a95~P6>009w)?7b;03<>P6<909w);kd;d8 0bd2o1vqpB=1c82S46938pZno52z&6`g<5811/?9>55ef8y!0183l0qps4i324>5<#:821>=94V33b>7}K:8i1=vX>6881!7?j38;;6X>4181!3cl3l0(8jl:g9~yxJ59k0:w[<>1;0xRfg=:r.>ho4=069'716==mn0q)890;d8yx{5}#:821><;4H32`?l7303:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?;8:T205<5s-?oh7?4$4f`>4=zutw0e<:6:18'64>=9==0Z??n:0yO64e=9r\ho742>3_;?<74=#=mi1=6sr}|9l5=2=83.9=54>859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1=6*:db82?x{zu2wi?4;50;394?6|,;;36??i;I03g>i60=0;6)<>8;3;0>=zj:3=6=4<:183!4603?o7E4883>!4603;?;6F=169U64g=9rF9=n4>{Wa`>7}#91h1=974V063>7}#=mn1;6*:db84?x{zu2e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`0=6<72:0;6=u+20:91a=O:9i0e<:7:18'64>=9==0Z??n:0yO64e=9r\ho742?3_;?<7`=#=mi1i6sr}|9j51?=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=974V063>7}#=mn1i6*:db8f?x{zu2e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`7=`<72;0;6=u+20:9f2=O:9i0e<:8:18'64>=9==07b?74;29 77?282?7[<>a;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,3:1:7>50z&15=<6<<1C>=m4$04;>47<6=4+20:9511<^;;j6009w)?7b;373>P6<909w);kd;38 0bd281vqps4ib794?"5910h96X=1`82I46k3;pZ<86:3y'5=d=k<1]=9>52z&6`a<63-?oo7?4}|~?le1290/><65c79U64g=:rF9=n4>{W35=?4|,82i6n84V063>7}#=mn1?6*:db80?x{zD;;i69uY21;97~Pdi38p(8jm:b48 6272<7)=;0;7g`>{#>?:1n6sY21;97~Pdi38p(8jm:b48 6272<7)=;0;7gg>{#>?:1n6sY21;97~Pdi38p(8jm:b48 6272:?:4fg?x"1>90i7pX>8`81Sef2;q/9il5c79'716==mn0q)890;33?x{z3`8;47>5$33;>76?3_8:m74}Q9?31>v*>8c814==Q9=:1>v*:de8e?!3ck3l0qpsC20`95~P59809w[mn:3y'1ad=:920(>:?:4fg?x"1>90m7psr;h033?6=,;;36?>8;W02e?4|D;;h65$33;>4>332wi8l>50;194?6|,;;36??:;I03g>o6<10;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>499U516=:r.>hi4>;%7gg?7<7[<>a;3xH77d28q]on4={%3;f?7312\:8=4={%7g`?7<,3290/><651968R77f28qG>{zut1vn9o>:182>5<7s-8:47<>f:J14f=h91>1<7*=1982<1=j?7>53;294~"5910>h6F=0b9j51>=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=964V063>7}#=mn1i6*:db8f?x{zu2c:844?:%02hn48;|~y>i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4>;%7gg?76<729q/><655e9K65e36=4+20:9511<@;;<7[<>a;3xH77d28q]on4={%3;f?7302\:8=4={%7g`?c<,290/><651558R77f28qG>{zut1d=5:50;&15=<60=1]>;6?u+5ef95>"2lj0:7psr}:a0d4=8391<7>t$33;>4>43A8;o6g>4983>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?l7313:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?;9:T205<5s-?oh7?4$4f`>4=zutw0c<6;:18'64>=91>0Z??n:0yO64e=9r\ho74>33_;?<74=#=mi1=6sr}|9~f1?a29086=4?{%024983>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a{M02g?7|^ji1>v*>8c820<=Q9=:1>v*:de8f?!3ck3o0qpsr;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{j;7>52;294~"5910i;6F=0b9j511=83.9=54>4698k4>3290/><651968R77f28qG>{zut1vn9ok:185>5<7s-8:47?;5:J14f=#9?21=<5f15594?"5910:8:5Y20c95~J59j0:w[?99;0x 4>e28><7[?;0;0x 0bc281/9im51:~yx=nk<0;6)<>8;a6?S46i3;p@??l:0yU53?=:r.:4o4l5:T205<5s-?oh7?4$4f`>4=zutw0en850;&15=2\9=l4={M02g?7|^8<26?u+19`9g3=Q9=:1>v*:de80?!3ck390qpsC20`90~P58008w[mn:3y'1ad=k?1/?9>55ef8ySee2;q/9il51558 627255ef8ySee2;q/9il51558 627255ef8ySee2;q/9il5c49'716==mn0q)890;`8yS7?i38pZno52z&6`g2.88=4:de9~ 30728:0qps4i32;>5<#:821>=64V33b>7}K:8i1=vX>6881!7?j38;46X>4181!3cl3l0(8jl:g9~yxJ59k0:w[<>1;0xRfg=:r.>ho4=099'716==mn0q)890;d8yx{009w)?7b;033>P6<909w);kd;d8 0bd2o1vqpB=1c82S46938pZno52z&6`g<58>1/?9>55ef8y!0183l0qps4o0:7>5<#:821=5:4;|`7e<<72:0;6=u+20:9643<@;:h7d?;8;29 77?28><7[<>a;3xH77d28q]on4={%3;f?7302\:8=4={%7g`?7<,290/><651558R77f28qG>{zut1d=5:50;&15=<60=1]>;6?u+5ef95>"2lj0:7psr}:a0dg=83;1<7>t$33;>77a3A8;o6a>8583>!4603;3865rb5ca>5<4290;w)<>8;7g?M47k2c:854?:%02hn48;|~y>o6<00;6)<>8;373>N59>1]>;6?u+5ef93>"2lj0<7psr}:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0:7);kc;38yx{z3th?m54?:283>5}#:8219i5G21a8m42?290/><651558R77f28qG>{zut1b=9750;&15=<6<>1]>;6?u+5ef9a>"2lj0n7psr}:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0:7);kc;38yx{z3th?o44?:383>5}#:821n:5G21a8m420290/><651558?j7?<3:1(??7:0:7?S46i3;p@??l:0yUgf<5s-;3n7?74:T205<5s-?oh7?4$4f`>4=zutw0qo:k6;297?6=8r.9=54=149K65e36=4+20:9511<^;;j6hn4l;|~y>i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4>;%7gg?73<729q/><651578L76d3-;=47?>;h373?6=,;;36<:8;W02e?7|D;;h66=4+20:9g0=Q:8k1=vB=1b82S71138p(<6m:b78R4272;q/9ij51:&6`f<63twvq6gl6;29 77?2j<0Z??n:3yO64e=9r\::44={%3;f?e13_;?<76=#=mi1?6sr}M02f?2|^;:26>uYc`81!3cj3i=7)=;0;7g`>{Qkk09w);kb;373>"4<90>hi5r$743>g=z^;:26>uYc`81!3cj3i=7)=;0;7g`>{Qkk09w);kb;373>"4<90>hn5r$743>g=z^;:26>uYc`81!3cj3i=7)=;0;7g`>{Qkk09w);kb;a6?!5383?oh6s+67296>{Q91k1>vXla;0x 0be2j<0(>:?:4fg?x"1>90:<6sr}:k14=<72-8:477}#91h1>=64V063>7}#=mn1j6*:db8e?x{zD;;i6{zu2c9<:4?:%02;6?u+5ef9b>"2lj0m7psrL33a>4}Q:8;1>vXla;0x 0be2;:<7)=;0;7g`>{#>?:1j6sr}:m2<1<72-8:47?74:9~f1ef29086=4?{%02{zut1b=9750;&15=<6<>1]>;6?u+5ef95>"2lj0:7psr}:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0:7);kc;38yx{z3th?oo4?:083>5}#:821>{el;h374181!3cl3o0(8jl:d9~yx{26=4+20:9511<^;;j60Z<:?:3y'1ab=92.>hn4>;|~y>{el;h37P59h0:wA<>c;3xRfe=:r.:4o4>499U516=:r.>hi4j;%7gg?c<7E<>7:T15d<6sE8:o7?tVba96~"60k0:845Y15296~"2lm0n7);kc;g8yx{z3f;387>5$33;>4>33_8:m7?tL33`>4}Qkj09w)?7b;3;0>P6<909w);kd;38 0bd281vqps4}c6`b?6=;3:1N58j1b=9650;&15=<6<>1]><^8>;6?u+5ef95>"2lj0:7psr}:k20<<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:845Y15296~"2lm0:7);kc;38yx{z3f;387>5$33;>4>33_8:m7?tL33`>4}Qkj09w)?7b;3;0>P6<909w);kd;38 0bd281vqps4}c6g4?6=;3:1N58j1b=9650;&15=<6<>1]><^8>;6?u+5ef95>"2lj0:7psr}:k20<<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:845Y15296~"2lm0:7);kc;38yx{z3f;387>5$33;>4>33_8:m7?tL33`>4}Qkj09w)?7b;3;0>P6<909w);kd;38 0bd281vqps4}c6g5?6=;3:1N58j1b=9650;&15=<6<>1C><94V33b>4}K:8i1=vXlc;0x 4>e28>37[?;0;0x 0bc2>1/9im57:~yx=n9=31<7*=198202=O:8=0Z??n:0yO64e=9r\ho742>3_;?<72=#=mi1;6sr}|9l5=2=83.9=54>859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1=6*:db82?x{zu2wi8i<50;194?6|,;;368j4H32`?l7303:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?;8:T205<5s-?oh794$4f`>2=zutw0e<:6:18'64>=9==0Z??n:0yO64e=9r\ho742>3_;?<7`=#=mi1i6sr}|9l5=2=83.9=54>859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1=6*:db82?x{zu2wi8i=50;694?6|,;;368j4H32`?l7303:1(??7:064?M46?2\9=l4>{M02g?7|^ji1>v*>8c820==Q9=:1>v*:de84?!3ck3=0qpsr;h37=?6=,;;36<:8;W02e?7|D;;h64181!3cl3=0(8jl:69~yx{a;3xH77d28q]on4={%3;f?72<2\:8=4={%7g`?c<,3290/><651968R77f28qG>{zut1vn9ml:18;>5<7s-8:47?73:J14f=n9=21<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515:8R4272;q/9ij51:&6`f<63twvq6g>4883>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?l72<3:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?:4:T205<5s-?oh7?4$4f`>4=zutw0e<;i:18'64>=9==0Z??n:0yO64e=9r\ho743a3_;?<74=#=mi1=6sr}|9j534=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=;<4V063>7}#=mn1=6*:db82?x{zu2c::>4?:%02{M02g?7|^ji1>v*>8c8226=Q9=:1>v*:de82?!3ck3;0qpsr;h350?6=,;;36<:8;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{5<5290;w)<>8;`4?M47k2c:8:4?:%02;6?u+5ef95>"2lj0:7psr}:a0ab=8381<7>t$33;>g1<@;:h7d?;7;29 77?28><76a>8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xd3m<0;6>4?:1y'64>=:8?0D?>l;h374181!3cl3;0(8jl:09~yx{26=4+20:9511<^;;j60Z<:?:3y'1ab=92.>hn4>;|~y>{e1<7850;2x 77?28>>7E{M02g?7|^8<26?u+19`9511<^8>;6?u+5ef95>"2lj0:7psr}:k`1?6=,;;36n;4V33b>4}K:8i1=vX>6881!7?j3i>7[?;0;0x 0bc281/9im51:~yx=nk?0;6)<>8;a5?S46i38p@??l:0yU53?=:r.:4o4l6:T205<5s-?oh7=4$4f`>6=zutF9=o4;{W03=?5|^jk1>v*:dc8`2>"4<90>hi5rVb`96~"2lk0:8:5+35291abv*:dc8`2>"4<90>hi5rVb`96~"2lk0:8:5+35291aev*:dc8`2>"4<90>hi5rVb`96~"2lk0h96*<4186`a=z,?<;6?5rV0:b>7}Qkh09w);kb;a5?!5383?oh6s+672955=zut1b>=650;&15=<5811]>76?3_;?<7c=#=mi1j6sr}M02f?7|^;;:6?uYc`81!3cj38;46*<4186`a=z,?<;6k5r}|9j651=83.9=54=069U64g=:rF9=n4>{W35=?4|,82i6?>8;W374?4|,{zuE8:n7?tV332>7}Qkh09w);kb;033>"4<90>hi5r$743>c=zut1d=5:50;&15=<60=10qo:k8;297?6=8r.9=54=149K65e36=4+20:9511<^;;j6hn4>;|~y>i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4>;%7gg?74<729q/><6520d8L76d3f;387>5$33;>4>332wi8il50;194?6|,;;368j4H32`?l7303:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?;8:T205<5s-?oh7?4$4f`>4=zutw0e<:6:18'64>=9==0Z??n:0yO64e=9r\ho742>3_;?<74=#=mi1=6sr}|9l5=2=83.9=54>859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1=6*:db82?x{zu2wi8im50;694?6|,;;368j4H32`?l7303:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?;8:T205<5s-?oh794$4f`>2=zutw0e<:6:18'64>=9==0D??8;W02e?7|D;;h64181!3cl3o0(8jl:d9~yx{0Z<:?:3y'1ab=92.>hn4>;|~y>{e5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37=>P6<909w);kd;38 0bd281vqps4o0:7>5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj=nn6=4<:183!4603?o7E5$33;>4203A8:;6X=1`82I46k3;pZnm52z&252z&6`a4=zutw0qo:kf;291?6=8r.9=54:d:J14f=n9=21<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515:8R4272;q/9ij59:&6`f<>3twvq6g>4883>!4603;?;6F=169U64g=9rF9=n4>{Wa`>7}#91h1=974V063>7}#=mn1j6*:db8e?x{zu2c:994?:%020Z<:?:3y'1ab=n2.>hn4i;|~y>o6=o0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>5g9U516=:r.>hi4i;%7gg?`a;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,<4?:1y'64>==m1C>=m4i06;>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>37[?;0;0x 0bc201/9im59:~yx=n9=31<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij59:&6`f<>3twvq6g>5583>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<>3-?oo774}|~?l72n3:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?:f:T205<5s-?oh774$4f`><=zutw0e<8=:18'64>=9==0Z??n:0yO64e=9r\ho74053_;?<7<=#=mi156sr}|9j535=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=;=4V063>7}#=mn156*:db8:?x{zu2c::94?:%02{M02g?7|^ji1>v*>8c8221=Q9=:1>v*:de8:?!3ck330qpsr;h351?6=,;;36<:8;W02e?7|D;;h64181!3cl330(8jl:89~yx{hn46;|~y>o68;373>P59h0:wA<>c;3xRfe=:r.:4o4>4`9U516=:r.>hi46;%7gg??<7[<>a;3xH77d28q]on4={%3;f?73j2\:8=4={%7g`??<,<651558R77f28qG>{zut1b=9j50;&15=<6<>1]>;6?u+5ef9=>"2lj027psr}:k20`<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:8h5Y15296~"2lm027);kc;;8yx{z3`;?j7>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37b>P6<909w);kd;;8 0bd201vqps4i073>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28?;7[?;0;0x 0bc2o1/9im5f:~yx=n9<;1<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l51438R4272;q/9ij51:&6`f<63twvq6g>5383>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?l72;3:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?:3:T205<5s-?oh7?4$4f`>4=zutw0e<;::18'64>=9==0Z??n:0yO64e=9r\ho74323_;?<74=#=mi1=6sr}|9j500=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=884V063>7}#=mn1=6*:db82?x{zu2c:9:4?:%02{M02g?7|^ji1>v*>8c8212=Q9=:1>v*:de82?!3ck3;0qpsr;h3646X>4181!3cl3;0(8jl:09~yx{hn4>;|~y>o6=k0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>5c9U516=:r.>hi4>;%7gg?7<7[<>a;3xH77d28q]on4={%3;f?72k2\:8=4={%7g`?7<,<651558R77f28qG>{zut1b=8k50;&15=<6<>1]>;6?u+5ef95>"2lj0:7psr}:k225<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0::=5Y15296~"2lm0:7);kc;38yx{z3`;==7>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;355>P6<909w);kd;38 0bd281vqps4o0:7>5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj=o:6=4=1;294~"5910>h6F=0b9j51>=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=964V063>7}#=mn156*:db8:?x{zu2c:844?:%02{M02g?7|^ji1>v*>8c820<=Q9=:1>v*:de8:?!3ck330qpsr;h360?6=,;;36<:8;W02e?7|D;;h686X>4181!3cl330(8jl:89~yx{hn46;|~y>o6>:0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>629U516=:r.>hi46;%7gg??<7[<>a;3xH77d28q]on4={%3;f?71<2\:8=4={%7g`??<,<651558R77f28qG>{zut1b=;850;&15=<6<>1]>;6?u+5ef9=>"2lj027psr}:k222<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:::5Y15296~"2lm027);kc;;8yx{z3`;?m7>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37e>P6<909w);kd;;8 0bd201vqps4i06a>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>i7[?;0;0x 0bc201/9im59:~yx=n9=i1<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515a8R4272;q/9ij59:&6`f<>3twvq6g>4e83>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<>3-?oo774}|~?l73m3:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?;e:T205<5s-?oh774$4f`><=zutw0e<:i:18'64>=9==0Z??n:0yO64e=9r\ho742a3_;?<7<=#=mi156sr}|9j506=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=8>4V063>7}#=mn1j6*:db8e?x{zu2c:9<4?:%02{M02g?7|^ji1>v*>8c8214=Q9=:1>v*:de82?!3ck3;0qpsr;h366?6=,;;36<:8;W02e?7|D;;h6>6X>4181!3cl3;0(8jl:09~yx{hn4>;|~y>o6=?0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>579U516=:r.>hi4>;%7gg?7<7[<>a;3xH77d28q]on4={%3;f?72?2\:8=4={%7g`?7<,<651558R77f28qG>{zut1b=8750;&15=<6<>1]>;6?u+5ef95>"2lj0:7psr}:k21d<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:9l5Y15296~"2lm0:7);kc;38yx{z3`;>n7>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;36f>P6<909w);kd;38 0bd281vqps4i07`>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28?h7[?;0;0x 0bc281/9im51:~yx=n95d83>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?l7183:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?90:T205<5s-?oh7?4$4f`>4=zutw0e<8>:18'64>=9==0Z??n:0yO64e=9r\ho74063_;?<74=#=mi1=6sr}|9l5=2=83.9=54>859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1=6*:db82?x{zu2wi8h<50;794?6|,;;36<6<;I03g>o6<10;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>499U516=:r.>hi4>;%7gg?7<7[<>a;3xH77d28q]on4={%3;f?7312\:8=4={%7g`?7<,<651558R77f28qG>{zut1b=8h50;&15=<6<>1]>;6?u+5ef95>"2lj0:7psr}:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0:7);kc;38yx{z3th?i;4?:383>5}#:821n:5G21a8m420290/><651558?j7?<3:1(??7:0:7?S46i3;p@??l:0yUgf<5s-;3n7?74:T205<5s-?oh7?4$4f`>4=zutw0qo:je;292?6=8r.9=54>449K65e<,8<365<#:821=994V33b>4}K:8i1=vX>6881!7?j3;?;6X>4181!3cl3;0(8jl:09~yx{P59h0:wA<>c;3xR40>2;q/=5l5c49U516=:r.>hi4>;%7gg?7=k?1]>f0<^8>;6?u+5ef97>"2lj087psrL33a>1}Q:931?vXla;0x 0be2j<0(>:?:4fg?xPdj38p(8jm:064?!5383?oh6s+6729f>{Q:931?vXla;0x 0be2j<0(>:?:4fg?xPdj38p(8jm:064?!5383?oo6s+6729f>{Q:931?vXla;0x 0be2j<0(>:?:4fg?xPdj38p(8jm:b78 627255ef8y!0183;;7psr;h037;W02e?4|D;;h65$33;>7603_8:m74}Q9?31>v*>8c8142=Q9=:1>v*:de8e?!3ck3l0qpsC20`95~P59809w[mn:3y'1ad=:9=0(>:?:4fg?x"1>90m7psr;n3;0?6=,;;36<6;;:a0`>=8391<7>t$33;>7723A8;o6g>4983>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?l7313:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?;9:T205<5s-?oh7?4$4f`>4=zutw0c<6;:18'64>=91>0Z??n:0yO64e=9r\ho74>33_;?<74=#=mi1=6sr}|9~f1c>290:6=4?{%02N58j1b=9650;&15=<6<>1]><^8>;6?u+5ef9a>"2lj0n7psr}:k20<<72-8:47?;7:J152=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij57:&6`f<03twvq6a>8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xd3mj0;6>4?:1y'64>==m1C>=m4i06;>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?;8:T205<5s-?oh7k4$4f`>`=zutw0e<:6:18'64>=9==0Z??n:0yO64e=9r\ho742>3_;?<7`=#=mi1i6sr}|9l5=2=83.9=54>859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1=6*:db82?x{zu2wi8ho50;194?6|,;;36<6<;I03g>o6<10;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>499U516=:r.>hi4>;%7gg?7<7[<>a;3xH77d28q]on4={%3;f?7312\:8=4={%7g`?7<,3290/><651968R77f28qG>{zut1vn9k8:180>5<7s-8:47;k;I03g>o6<10;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>499U516=:r.>hi4j;%7gg?c<7E<>7:T15d<6sE8:o7?tVba96~"60k0:845Y15296~"2lm0n7);kc;g8yx{z3f;387>5$33;>4>33_8:m7?tL33`>4}Qkj09w)?7b;3;0>P6<909w);kd;38 0bd281vqps4}c6fb?6=:3:1N58j1b=9950;&15=<6<>10c<6;:18'64>=91>0Z??n:0yO64e=9r\ho74>33_;?<74=#=mi1=6sr}|9~f1`2290=6=4?{%02!4603i>7[<>a;3xH77d28q]=;752z&27}#91h1o;5Y15296~"2lm087);kc;18yx{K:8h18vX=0880Sef2;q/9il5c79'716==mn0q[mm:3y'1ad=9==0(>:?:4fg?x"1>90i7pX=0880Sef2;q/9il5c79'716==mn0q[mm:3y'1ad=9==0(>:?:4f`?x"1>90i7pX=0880Sef2;q/9il5c79'716==mn0q[mm:3y'1ad=k<1/?9>55ef8y!0183h0q[?7a;0xRfg=:r.>ho4l6:&005<2lm1v(;8?:028yx{<^;;j6?uC20a95~P6>009w)?7b;03<>P6<909w);kd;d8 0bd2o1vqpB=1c82S46938pZno52z&6`g<5811/?9>55ef8y!0183l0qps4i324>5<#:821>=94V33b>7}K:8i1=vX>6881!7?j38;;6X>4181!3cl3l0(8jl:g9~yxJ59k0:w[<>1;0xRfg=:r.>ho4=069'716==mn0q)890;d8yx{5}#:821><;4H32`?l7303:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?;8:T205<5s-?oh7?4$4f`>4=zutw0e<:6:18'64>=9==0Z??n:0yO64e=9r\ho742>3_;?<74=#=mi1=6sr}|9l5=2=83.9=54>859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1=6*:db82?x{zu2wi8k<50;394?6|,;;36??i;I03g>i60=0;6)<>8;3;0>=zj=l86=4<:183!4603?o7E4883>!4603;?;6F=169U64g=9rF9=n4>{Wa`>7}#91h1=974V063>7}#=mn1;6*:db84?x{zu2e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`7b5<72:0;6=u+20:91a=O:9i0e<:7:18'64>=9==0Z??n:0yO64e=9r\ho742?3_;?<7`=#=mi1i6sr}|9j51?=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=974V063>7}#=mn1i6*:db8f?x{zu2e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`02c<72;0;6=u+20:9f2=O:9i0e<:8:18'64>=9==07b?74;29 77?282?7[<>a;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,7>50z&15=5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj:==6=4=:183!4603h<7E859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1=6*:db82?x{zu2wi?:m50;494?6|,;;36<::;I03g>"6>10:=6g>4683>!4603;?;6X=1`82I46k3;pZ<86:3y'5=d=9==0Z<:?:3y'1ab=92.>hn4>;|~y>od=3:1(??7:b78R77f28qG>{zut1bo;4?:%024}Q9?31>v*>8c8`2>P6<909w);kd;18 0bd2:1vqpB=1c87S47139pZno52z&6`g2.88=4:de9~Rfd=:r.>ho4>469'716==mn0q)890;`8yS47139pZno52z&6`g2.88=4:de9~Rfd=:r.>ho4>469'716==mi0q)890;`8yS47139pZno52z&6`g2.88=4:de9~Rfd=:r.>ho4l5:&005<2lm1v(;8?:39~R4>f2;q]ol4={%7gf?e13-9?<7;kd:'236=991vqp5f21:94?"59109<55Y20c96~J59j0:w[?99;0x 4>e2;:37[?;0;0x 0bc2o1/9im5f:~yI46j3;pZ??>:3yUgd<5s-?on7vB=1b82S71138p(<6m:324?S73838p(8jk:g9'1ae=n2wvqA<>b;3xR7762;q]ol4={%7gf?47?2.88=4:de9~ 3072o1vqp5`19694?"5910:4954}c144?6=;3:1=9==0Z??n:0yO64e=9r\ho742?3_;?<74=#=mi1=6sr}|9j51?=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=974V063>7}#=mn1=6*:db82?x{zu2e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`034<7280;6=u+20:964`<@;:h7b?74;29 77?282?76sm36194?5=83:p(??7:4f8L76d3`;?47>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37<>P6<909w);kd;38 0bd281vqps4i06:>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>27[?;0;0x 0bc281/9im51:~yx=h91>1<7*=1982<1=Q:8k1=vB=1b82Sed2;q/=5l51968R4272;q/9ij51:&6`f<63twvq6sm36694?76290;w)<>8;7g?M47k2c:854?:%02{M02g?7|^ji1>v*>8c820==Q9=:1>v*:de84?!3ck3=0qpsr;h37=?6=,;;36<:8;I023>P59h0:wA<>c;3xRfe=:r.:4o4>489U516=:r.>hi48;%7gg?1<7[<>a;3xH77d28q]on4={%3;f?72<2\:8=4={%7g`?1<,<651558R77f28qG>{zut1b=;<50;&15=<6<>1]>;6?u+5ef93>"2lj0<7psr}:k226<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0::>5Y15296~"2lm0<7);kc;58yx{z3`;=87>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;350>P6<909w);kd;58 0bd2>1vqps4i046>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28<>7[?;0;0x 0bc2>1/9im57:~yx=n9?<1<7*=198202=O:8=0Z??n:0yO64e=9r\ho74013_;?<72=#=mi1;6sr}|9j531=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=;94V063>7}#=mn1i6*:db8f?x{zu2c:8l4?:%02hn4j;|~y>o68;373>N59>1]>;6?u+5ef9a>"2lj0n7psr}:k20f<72-8:47?;7:J152=Q:8k1=vB=1b82Sed2;q/=5l515a8R4272;q/9ij5e:&6`f4e83>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a4=zutw0e<:i:18'64>=9==0Z??n:0yO64e=9r\ho742a3_;?<74=#=mi1=6sr}|9l5=2=83.9=54>859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1=6*:db82?x{zu2wi?:;50;32>5<7s-8:47;k;I03g>o6<10;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>499U516=:r.>hi48;%7gg?1<7E<>7:T15d<6sE8:o7?tVba96~"60k0:845Y15296~"2lm0<7);kc;58yx{z3`;>87>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;360>P6<909w);kd;58 0bd2>1vqps4i07e>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28?m7[?;0;0x 0bc2>1/9im57:~yx=n9?81<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l51708R4272;q/9ij57:&6`f<03twvq6g>6283>!4603;?;6X=1`82I46k3;pZnm52z&2:1]=9>52z&6`a<03-?oo794}|~?l71<3:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?94:T205<5s-?oh794$4f`>2=zutw0e<8::18'64>=9==0Z??n:0yO64e=9r\ho74023_;?<72=#=mi1;6sr}|9j530=83.9=54>469K641<^;;j6hn4j;|~y>o68;373>N59>1]>;6?u+5ef9a>"2lj0n7psr}:k20g<72-8:47?;7:J152=Q:8k1=vB=1b82Sed2;q/=5l515`8R4272;q/9ij5e:&6`f4b83>!4603;?;6F=169U64g=9rF9=n4>{Wa`>7}#91h1=9m4V063>7}#=mn1i6*:db8f?x{zu2c:8i4?:%02{M02g?7|^ji1>v*>8c820a=Q9=:1>v*:de8f?!3ck3o0qpsr;h37a?6=,;;36<:8;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{m6=4+20:9511<^;;j60Z<:?:3y'1ab=92.>hn4>;|~y>{e;>81<7:50;2x 77?28287E5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37=>P6<909w);kd;38 0bd281vqps4i077>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28??7[?;0;0x 0bc281/9im51:~yx=h91>1<7*=1982<1=Q:8k1=vB=1b82Sed2;q/=5l51968R4272;q/9ij51:&6`f<63twvq6sm36594?76290;w)<>8;7g?M47k2c:854?:%02{M02g?7|^ji1>v*>8c820==Q9=:1>v*:de8:?!3ck330qpsr;h37=?6=,;;36<:8;W02e?7|D;;h64181!3cl330(8jl:89~yx{hn46;|~y>o6>;0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>639U516=:r.>hi46;%7gg??<7[<>a;3xH77d28q]on4={%3;f?71;2\:8=4={%7g`??<,<651558R77f28qG>{zut1b=;;50;&15=<6<>1C><94V33b>4}K:8i1=vXlc;0x 4>e28<>7[?;0;0x 0bc201/9im59:~yx=n9?<1<7*=198202=O:8=0Z??n:0yO64e=9r\ho74013_;?<7c=#=mi1j6sr}|9j531=83.9=54>469K641<^;;j6{zut1b=9l50;&15=<6<>1]>;6?u+5ef95>"2lj0:7psr}:k20f<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:8n5Y15296~"2lm0:7);kc;38yx{z3`;?h7>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37`>P6<909w);kd;38 0bd281vqps4i06f>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>n7[?;0;0x 0bc281/9im51:~yx=n9=l1<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515d8R4272;q/9ij51:&6`f<63twvq6a>8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xd4?10;6l;h374181!3cl330(8jl:89~yx{26=4+20:9511<@;;<7[<>a;3xH77d28q]on4={%3;f?7312\:8=4={%7g`??<,<651558R77f28qG>{zut1b=8h50;&15=<6<>1]>;6?u+5ef9=>"2lj027psr}:k227<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0::?5Y15296~"2lm027);kc;;8yx{z3`;=?7>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;357>P6<909w);kd;;8 0bd201vqps4i047>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e283twvq6g>6783>!4603;?;6F=169U64g=9rF9=n4>{Wa`>7}#91h1=;84V063>7}#=mn156*:db8:?x{zu2c:::4?:%02{M02g?7|^ji1>v*>8c8222=Q9=:1>v*:de8e?!3ck3l0qpsr;h37e?6=,;;36<:8;I023>P59h0:wA<>c;3xRfe=:r.:4o4>4`9U516=:r.>hi4i;%7gg?`<7E<>7:T15d<6sE8:o7?tVba96~"60k0:8o5Y15296~"2lm0m7);kc;d8yx{z3`;?o7>5$33;>4203A8:;6X=1`82I46k3;pZnm52z&252z&6`a4=zutw0e<:j:18'64>=9==0Z??n:0yO64e=9r\ho742b3_;?<74=#=mi1=6sr}|9j51`=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=9h4V063>7}#=mn1=6*:db82?x{zu2e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`03<<728;1<7>t$33;>0b<@;:h7d?;8;29 77?28><7[<>a;3xH77d28q]on4={%3;f?7302\:8=4={%7g`??<,290/><651558L7703_8:m7?tL33`>4}Qkj09w)?7b;37=>P6<909w);kd;;8 0bd201vqps4i077>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28??7[?;0;0x 0bc201/9im59:~yx=n93twvq6g>6383>!4603;?;6X=1`82I46k3;pZnm52z&2;1]=9>52z&6`a<>3-?oo774}|~?l71;3:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?93:T205<5s-?oh774$4f`><=zutw0e<8;:18'64>=9==0Z??n:0yO64e=9r\ho74033_;?<7<=#=mi156sr}|9j533=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=;;4V063>7}#=mn156*:db8:?x{zu2c::;4?:%02hn46;|~y>o6>>0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>669U516=:r.>hi4i;%7gg?`<7E<>7:T15d<6sE8:o7?tVba96~"60k0:8l5Y15296~"2lm0m7);kc;d8yx{z3`;?n7>5$33;>4203A8:;6X=1`82I46k3;pZnm52z&252z&6`a{M02g?7|^ji1>v*>8c820f=Q9=:1>v*:de8e?!3ck3l0qpsr;h37`?6=,;;36<:8;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{n6=4+20:9511<^;;j6hn4>;|~y>i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4>;%7gg?71<729q/><651918L76d3`;?47>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37<>P6<909w);kd;38 0bd281vqps4i06:>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>27[?;0;0x 0bc281/9im51:~yx=n9<>1<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l51468R4272;q/9ij51:&6`f<63twvq6a>8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xd2:<0;6?4?:1y'64>=j>1C>=m4i064>5<#:821=994;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{52;294~"5910i;6F=0b9j511=83.9=54>4698k4>3290/><651968R77f28qG>{zut1vn85<7s-8:47l8;I03g>o6<>0;6)<>8;373>=h91>1<7*=1982<1=Q:8k1=vB=1b82Sed2;q/=5l51968R4272;q/9ij51:&6`f<63twvq6sm52094?5=83:p(??7:336?M47k2c:854?:%02{M02g?7|^ji1>v*>8c820==Q9=:1>v*:de82?!3ck3;0qpsr;h37=?6=,;;36<:8;W02e?7|D;;h64181!3cl3i0(8jl:b9~yx{5<1290;w)<>8;371>N58j1/=;65109j511=83.9=54>469U64g=9rF9=n4>{W35=?4|,82i6<:8;W374?4|,{zut1bo84?:%024}Q9?31>v*>8c8`1>P6<909w);kd;38 0bd281vqps4ib494?"5910h:6X=1`81I46k3;pZ<86:3y'5=d=k?1]=9>52z&6`a<43-?oo7=4}|O64d=7}#=mh1o;5+35291ab;68jk;|&5257}#=mh1o;5+35291ab;68jl;|&5257}#=mh1o;5+35291ab4}|8m76?290/><6521:8R77f2;qG>c=zutF9=o4>{W025?4|^jk1>v*:dc814==#;=:19ij4}%454?`8:18'64>=:9=0Z??n:3yO64e=9r\::44={%3;f?47?2\:8=4={%7g`?`<,3290/><651968?xd2:?0;6>4?:1y'64>=:8?0D?>l;h374181!3cl3;0(8jl:09~yx{26=4+20:9511<^;;j60Z<:?:3y'1ab=92.>hn4>;|~y>{e=;=1<7?50;2x 77?2;;m7E290?6=4?{%024983>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<03-?oo794}|~?l7313:1(??7:064?M46?2\9=l4>{M02g?7|^ji1>v*>8c820<=Q9=:1>v*:de8f?!3ck3o0qpsr;h360?6=,;;36<:8;I023>P59h0:wA<>c;3xRfe=:r.:4o4>559U516=:r.>hi4j;%7gg?ca;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,50z&15=<2l2B9hn4j;|~y>o6<00;6)<>8;373>N59>1]>;6?u+5ef9a>"2lj0n7psr}:k211<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:995Y15296~"2lm0n7);kc;g8yx{z3`;>j7>5$33;>4203A8:;6X=1`82I46k3;pZnm52z&252z&6`a{M02g?7|^ji1>v*>8c8227=Q9=:1>v*:de8f?!3ck3o0qpsr;h357?6=,;;36<:8;I023>P59h0:wA<>c;3xRfe=:r.:4o4>629U516=:r.>hi4j;%7gg?ca;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,50z&15=<2l2B9{zut1b=9750;&15=<6<>1]>;6?u+5ef9a>"2lj0n7psr}:k211<72-8:47?;7:J152=Q:8k1=vB=1b82Sed2;q/=5l51468R4272;q/9ij5e:&6`f5g83>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a{M02g?7|^ji1>v*>8c8227=Q9=:1>v*:de8f?!3ck3o0qpsr;h357?6=,;;36<:8;W02e?7|D;;h64181!3cl3o0(8jl:d9~yx{0Z<:?:3y'1ab=92.>hn4>;|~y>{e=;i1<7650;2x 77?2l;h374181!3cl3o0(8jl:d9~yx{26=4+20:9511<^;;j6{zut1b=8h50;&15=<6<>1]>;6?u+5ef9a>"2lj0n7psr}:k227<72-8:47?;7:J152=Q:8k1=vB=1b82Sed2;q/=5l51708R4272;q/9ij5e:&6`f6283>!4603;?;6X=1`82I46k3;pZnm52z&2:1]=9>52z&6`a`=zutw0c<6;:18'64>=91>0Z??n:0yO64e=9r\ho74>33_;?<74=#=mi1=6sr}|9~f04?290>6=4?{%02hn4>;|~y>o6<00;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>489U516=:r.>hi4>;%7gg?7<7[<>a;3xH77d28q]on4={%3;f?72<2\:8=4={%7g`?7<,<651558R77f28qG>{zut1d=5:50;&15=<60=1]>;6?u+5ef95>"2lj0:7psr}:a17c=83=1<7>t$33;>0b<@;:h7d?;8;29 77?28><7E<>7:T15d<6sE8:o7?tVba96~"60k0:855Y15296~"2lm027);kc;;8yx{z3`;?57>5$33;>4203A8:;6X=1`82I46k3;pZnm52z&252z&6`a<>3-?oo774}|~?l72<3:1(??7:064?M46?2\9=l4>{M02g?7|^ji1>v*>8c8211=Q9=:1>v*:de8:?!3ck330qpsr;h36b?6=,;;36<:8;W02e?7|D;;h6j6X>4181!3cl330(8jl:89~yx{a;3xH77d28q]on4={%3;f?71:2\:8=4={%7g`?`<,<651558R77f28qG>{zut1d=5:50;&15=<60=1]>;6?u+5ef95>"2lj0:7psr}:a677=8381<7>t$33;>g1<@;:h7d?;7;29 77?28><76a>8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xd5:90;6?4?:1y'64>=j>1C>=m4i064>5<#:821=994;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{56;294~"5910:885G21a8 40?28;0e<:8:18'64>=9==0Z??n:0yO64e=9r\::44={%3;f?73?2\:8=4={%7g`?7<,7}#91h1o85Y15296~"2lm0:7);kc;38yx{z3`i=6=4+20:9g3=Q:8k1>vB=1b82S71138p(<6m:b48R4272;q/9ij53:&6`f<43twv@??m:5yU65?=;r\hm7f0<,:>;68jk;|T`f?4|,5b:U65?=;r\hm7f0<,:>;68jk;|T`f?4|,5b:U65?=;r\hm7f0<,:>;68jk;|T`f?4|,0bc3t.=:=4=;|T2a;0xH77d28q]=;752z&252z&6`a7}#=mh1>=64$263>0bc3t.=:=4i;|~?l47?3:1(??7:324?S46i38p@??l:0yU53?=:r.:4o4=069U516=:r.>hi4i;%7gg?`;68jk;|&525{M02g?7|^ji1>v*>8c820==Q9=:1>v*:de82?!3ck3;0qpsr;h37=?6=,;;36<:8;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{5<6290;w)<>8;02b>N58j1d=5:50;&15=<60=10qo<=4;290?6=8r.9=54:d:J14f=n9=21<7*=198202=O:8=0Z??n:0yO64e=9r\ho742?3_;?<7`=#=mi1i6sr}|9j51?=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=974V063>7}#=mn1i6*:db8f?x{zu2c:994?:%020Z<:?:3y'1ab=m2.>hn4j;|~y>i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4>;%7gg?77<729q/><65b69K65e<6=4+20:9511<3f;387>5$33;>4>33_8:m7?tL33`>4}Qkj09w)?7b;3;0>P6<909w);kd;38 0bd281vqps4}c121?6=:3:1N58j1b=9950;&15=<6<>10c<6;:18'64>=91>0Z??n:0yO64e=9r\ho74>33_;?<74=#=mi1=6sr}|9~f67d29096=4?{%024683>!4603;?;65`19694?"5910:495Y20c95~J59j0:w[ml:3y'5=d=91>0Z<:?:3y'1ab=92.>hn4>;|~y>{e;;91<7=50;2x 77?2;;>7E5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37=>P6<909w);kd;a8 0bd2j1vqps4o0:7>5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj:896=49:183!4603;?96F=0b9'53>=981b=9950;&15=<6<>1]>4203_;?<74=#=mi1=6sr}|9jg0<72-8:47m:;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{P59h09wA<>c;3xR40>2;q/=5l5c79U516=:r.>hi4<;%7gg?57}#=mh1=994$263>0bc3t.=:=4m;|T14<<4s_ij6?u+5e`9g3=#;=:19ij4}Waa>7}#=mh1=994$263>0bd3t.=:=4m;|T14<<4s_ij6?u+5e`9g3=#;=:19ij4}Waa>7}#=mh1o85+35291abv*:dc8`2>"4<90>hi5r$743>467:18'64>=:920Z??n:3yO64e=9r\::44={%3;f?4702\:8=4={%7g`?`<,<652158R77f2;qG>c=zutF9=o4>{W025?4|^jk1>v*:dc8142=#;=:19ij4}%454?`=91>07pl<1683>6<729q/><652078L76d3`;?47>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37<>P6<909w);kd;38 0bd281vqps4i06:>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>27[?;0;0x 0bc281/9im51:~yx=h91>1<7*=1982<1=Q:8k1=vB=1b82Sed2;q/=5l51968R4272;q/9ij51:&6`f<63twvq6sm30:94?7=83:p(??7:33e?M47k2e:494?:%02?n:187>5<7s-8:47;k;I03g>o6<10;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>499U516=:r.>hi4j;%7gg?c<7E<>7:T15d<6sE8:o7?tVba96~"60k0:845Y15296~"2lm0n7);kc;g8yx{z3`;>87>5$33;>4203A8:;6X=1`82I46k3;pZnm52z&252z&6`a4=zutw0qo=>b;291?6=8r.9=54:d:J14f=n9=21<7*=198202=O:8=0Z??n:0yO64e=9r\ho742?3_;?<7`=#=mi1i6sr}|9j51?=83.9=54>469K641<^;;j60Z<:?:3y'1ab=m2.>hn4j;|~y>o6=o0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>5g9U516=:r.>hi4j;%7gg?ca;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,50z&15=<60:1C>=m4i06;>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>37[?;0;0x 0bc281/9im51:~yx=n9=31<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij51:&6`f<63twvq6a>8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xd49m0;6;4?:1y'64>==m1C>=m4i06;>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?;8:T205<5s-?oh774$4f`><=zutw0e<:6:18'64>=9==0Z??n:0yO64e=9r\ho742>3_;?<7<=#=mi156sr}|9j502=83.9=54>469K641<^;;j6{zut1b=;<50;&15=<6<>1]>;6?u+5ef9b>"2lj0m7psr}:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0:7);kc;38yx{z3th8=h4?:783>5}#:8219i5G21a8m42?290/><651558L7703_8:m7?tL33`>4}Qkj09w)?7b;37<>P6<909w);kd;;8 0bd201vqps4i06:>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>27[?;0;0x 0bc201/9im59:~yx=n9<>1<7*=198202=O:8=0Z??n:0yO64e=9r\ho74333_;?<7<=#=mi156sr}|9j50`=83.9=54>469K641<^;;j6hn4i;|~y>i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4>;%7gg?72<729q/><655e9K65e36=4+20:9511<@;;<7[<>a;3xH77d28q]on4={%3;f?7302\:8=4={%7g`??<,290/><651558R77f28qG>{zut1b=8:50;&15=<6<>1]>;6?u+5ef9=>"2lj027psr}:k21c<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:9k5Y15296~"2lm0m7);kc;d8yx{z3`;=>7>5$33;>4203A8:;6X=1`82I46k3;pZnm52z&2;1]=9>52z&6`ac=zutw0c<6;:18'64>=91>0Z??n:0yO64e=9r\ho74>33_;?<74=#=mi1=6sr}|9~f647290?6=4?{%02hn4>;|~y>o6<00;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>489U516=:r.>hi4>;%7gg?7<7[<>a;3xH77d28q]on4={%3;f?72<2\:8=4={%7g`?7<,3290/><651968R77f28qG>{zut1vn8hj:181>5<7s-8:47l8;I03g>o6<>0;6)<>8;373>=h91>1<7*=1982<1=Q:8k1=vB=1b82Sed2;q/=5l51968R4272;q/9ij51:&6`f<63twvq6sm61c94?0=83:p(??7:066?M47k2.::54>1:k202<72-8:47?;7:T15d<6sE8:o7?tV04:>7}#91h1=994V063>7}#=mn1=6*:db82?x{zu2ch97>5$33;>f3<^;;j6009w)?7b;a6?S73838p(8jk:09'1ae=92wvqp5fc783>!4603i=7[<>a;0xH77d28q]=;752z&22\:8=4={%7g`?5<,5r}|N15g<3s_8;57=tVbc96~"2lk0h:6*<4186`a=z^jh1>v*:dc8202=#;=:19ij4}%454?dv*:dc8202=#;=:19im4}%454?dv*:dc8`1>"4<90>hi5r$743>7=z^82j6?uYc`81!3cj3i=7)=;0;7g`>{#>?:1==5r}|9j65>=83.9=54=099U64g=:rF9=n4>{W35=?4|,82i6?>7;W374?4|,{zuE8:n7?tV332>7}Qkh09w);kb;03<>"4<90>hi5r$743>c=zut1b>=950;&15=<58>1]>7603_;?<7c=#=mi1j6sr}M02f?7|^;;:6?uYc`81!3cj38;;6*<4186`a=z,?<;6k5r}|9l5=2=83.9=54>8598yg3an3:1?7>50z&15=<59<1C>=m4i06;>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?;8:T205<5s-?oh7?4$4f`>4=zutw0e<:6:18'64>=9==0Z??n:0yO64e=9r\ho742>3_;?<74=#=mi1=6sr}|9l5=2=83.9=54>859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1=6*:db82?x{zu2wi:=>50;394?6|,;;36??i;I03g>i60=0;6)<>8;3;0>=zj?:96=4<:183!4603?o7E5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37=>P6<909w);kd;38 0bd281vqps4o0:7>5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj?:86=4<:183!4603?o7E5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37=>P6<909w);kd;38 0bd281vqps4o0:7>5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj?:?6=4<:183!4603?o7E5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37=>P6<909w);kd;g8 0bd2l1vqps4o0:7>5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj?:>6=4<:183!4603?o7E5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37=>P6<909w);kd;g8 0bd2l1vqps4o0:7>5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj?:=6=4;:183!4603?o7E4883>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a{M02g?7|^ji1>v*>8c8211=Q9=:1>v*:de8f?!3ck3o0qpsr;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{54;294~"5910>h6F=0b9j51>=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=964V063>7}#=mn1i6*:db8f?x{zu2c:844?:%02{M02g?7|^ji1>v*>8c820<=Q9=:1>v*:de8f?!3ck3o0qpsr;h360?6=,;;36<:8;I023>P59h0:wA<>c;3xRfe=:r.:4o4>559U516=:r.>hi4j;%7gg?ca;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,50z&15=<2l2B9hn48;|~y>o6<00;6)<>8;373>N59>1]>;6?u+5ef9a>"2lj0n7psr}:k211<72-8:47?;7:J152=Q:8k1=vB=1b82Sed2;q/=5l51468R4272;q/9ij5e:&6`f5g83>!4603;?;6F=169U64g=9rF9=n4>{Wa`>7}#91h1=8h4V063>7}#=mn1i6*:db8f?x{zu2c::?4?:%02hn4j;|~y>i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4>;%7gg?7=<729q/><651918L76d3`;?47>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37<>P6<909w);kd;38 0bd281vqps4i06:>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>27[?;0;0x 0bc281/9im51:~yx=n9<>1<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l51468R4272;q/9ij51:&6`f<63twvq6g>5g83>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?l71:3:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?92:T205<5s-?oh7?4$4f`>4=zutw0e<8<:18'64>=9==0Z??n:0yO64e=9r\ho74043_;?<74=#=mi1=6sr}|9j532=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=;:4V063>7}#=mn1=6*:db82?x{zu2e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`04`<72;0;6=u+20:9f2=O:9i0e<:8:18'64>=9==07b?74;29 77?282?7[<>a;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,50z&15=<6<<1C>=m4$04;>47<6=4+20:9511<^;;j6009w)?7b;373>P6<909w);kd;38 0bd281vqps4ib794?"5910h96X=1`82I46k3;pZ<86:3y'5=d=k<1]=9>52z&6`a<63-?oo7?4}|~?le1290/><65c79U64g=:rF9=n4>{W35=?4|,82i6n84V063>7}#=mn1?6*:db80?x{zD;;i69uY21;97~Pdi38p(8jm:b48 6272<7)=;0;7g`>{#>?:1n6sY21;97~Pdi38p(8jm:b48 6272<7)=;0;7gg>{#>?:1n6sY21;97~Pdi38p(8jm:b48 6272:?:4fg?x"1>9097pX>8`81Sef2;q/9il5c79'716==mn0q)890;78yx{<^;;j6?uC20a95~P6>009w)?7b;03<>P6<909w);kd;d8 0bd2o1vqpB=1c82S46938pZno52z&6`g<5811/?9>55ef8y!0183l0qps4i324>5<#:821>=94V33b>7}K:8i1=vX>6881!7?j38;;6X>4181!3cl3l0(8jl:g9~yxJ59k0:w[<>1;0xRfg=:r.>ho4=069'716==mn0q)890;d8yx{5}#:821><;4H32`?l7303:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?;8:T205<5s-?oh7?4$4f`>4=zutw0e<:6:18'64>=9==0Z??n:0yO64e=9r\ho742>3_;?<74=#=mi1=6sr}|9l5=2=83.9=54>859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1=6*:db82?x{zu2wi?i60=0;6)<>8;3;0>=zj:;96=4::183!4603?o7E4883>!4603;?;6F=169U64g=9rF9=n4>{Wa`>7}#91h1=974V063>7}#=mn1i6*:db8f?x{zu2c:994?:%020Z<:?:3y'1ab=m2.>hn4j;|~y>o6=o0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>5g9U516=:r.>hi4j;%7gg?ca;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,50z&15=<2l2B9hn47;|~y>o6<00;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>489U516=:r.>hi47;%7gg?>a;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,7>50z&15=5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj=8o6=49:183!4603;?96F=0b9'53>=981b=9950;&15=<6<>1]>4203_;?<74=#=mi1=6sr}|9jg0<72-8:47m:;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{P59h09wA<>c;3xR40>2;q/=5l5c79U516=:r.>hi4<;%7gg?57}#=mh1=994$263>0bc3t.=:=4m;|T14<<4s_ij6?u+5e`9g3=#;=:19ij4}Waa>7}#=mh1=994$263>0bd3t.=:=4m;|T14<<4s_ij6?u+5e`9g3=#;=:19ij4}Waa>7}#=mh1o85+35291abv*:dc8`2>"4<90>hi5r$743>467:18'64>=:920Z??n:3yO64e=9r\::44={%3;f?4702\:8=4={%7g`?`<,<652158R77f2;qG>c=zutF9=o4>{W025?4|^jk1>v*:dc8142=#;=:19ij4}%454?`=91>07pl;2783>6<729q/><652078L76d3`;?47>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37<>P6<909w);kd;38 0bd281vqps4i06:>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>27[?;0;0x 0bc281/9im51:~yx=h91>1<7*=1982<1=Q:8k1=vB=1b82Sed2;q/=5l51968R4272;q/9ij51:&6`f<63twvq6sm43594?7=83:p(??7:33e?M47k2e:494?:%025<7s-8:47;k;I03g>o6<10;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>499U516=:r.>hi4j;%7gg?c<7[<>a;3xH77d28q]on4={%3;f?7312\:8=4={%7g`?c<,3290/><651968R77f28qG>{zut1vn95<7s-8:47;k;I03g>o6<10;6)<>8;373>N59>1]><^8>;6?u+5ef9a>"2lj0n7psr}:k20<<72-8:47?;7:J152=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij5e:&6`f5583>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a4=zutw0qo:=b;292?6=8r.9=54:d:J14f=n9=21<7*=198202=O:8=0Z??n:0yO64e=9r\ho742?3_;?<7`=#=mi1i6sr}|9j51?=83.9=54>469K641<^;;j6{zut1b=8h50;&15=<6<>1]>;6?u+5ef9a>"2lj0n7psr}:k227<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0::?5Y15296~"2lm0n7);kc;g8yx{z3f;387>5$33;>4>33_8:m7?tL33`>4}Qkj09w)?7b;3;0>P6<909w);kd;38 0bd281vqps4}c61=9==0Z??n:0yO64e=9r\ho742?3_;?<74=#=mi1=6sr}|9j51?=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=974V063>7}#=mn1=6*:db82?x{zu2c:994?:%02{M02g?7|^ji1>v*>8c8211=Q9=:1>v*:de82?!3ck3;0qpsr;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{52;294~"5910i;6F=0b9j511=83.9=54>4698k4>3290/><651968R77f28qG>{zut1vn;?9:185>5<7s-8:47?;5:J14f=#9?21=<5f15594?"5910:8:5Y20c95~J59j0:w[?99;0x 4>e28><7[?;0;0x 0bc281/9im51:~yx=nk<0;6)<>8;a6?S46i3;p@??l:0yU53?=:r.:4o4l5:T205<5s-?oh7?4$4f`>4=zutw0en850;&15=2\9=l4={M02g?7|^8<26?u+19`9g3=Q9=:1>v*:de80?!3ck390qpsC20`90~P58008w[mn:3y'1ad=k?1/?9>55ef8ySee2;q/9il51558 627255ef8ySee2;q/9il51558 627255ef8ySee2;q/9il5c49'716==mn0q)890;08yS7?i38pZno52z&6`g2.88=4:de9~ 30728:0qps4i32;>5<#:821>=64V33b>7}K:8i1=vX>6881!7?j38;46X>4181!3cl3l0(8jl:g9~yxJ59k0:w[<>1;0xRfg=:r.>ho4=099'716==mn0q)890;d8yx{009w)?7b;033>P6<909w);kd;d8 0bd2o1vqpB=1c82S46938pZno52z&6`g<58>1/?9>55ef8y!0183l0qps4o0:7>5<#:821=5:4;|`54f<72:0;6=u+20:9643<@;:h7d?;8;29 77?28><7[<>a;3xH77d28q]on4={%3;f?7302\:8=4={%7g`?7<,290/><651558R77f28qG>{zut1d=5:50;&15=<60=1]>;6?u+5ef95>"2lj0:7psr}:a25b=83;1<7>t$33;>77a3A8;o6a>8583>!4603;3865rb72e>5<4290;w)<>8;7g?M47k2c:854?:%02{M02g?7|^ji1>v*>8c820==Q9=:1>v*:de82?!3ck3;0qpsr;h37=?6=,;;36<:8;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{5<4290;w)<>8;7g?M47k2c:854?:%02{M02g?7|^ji1>v*>8c820==Q9=:1>v*:de8f?!3ck3o0qpsr;h37=?6=,;;36<:8;W02e?7|D;;h64181!3cl3o0(8jl:d9~yx{5<4290;w)<>8;7g?M47k2c:854?:%02hn4j;|~y>o6<00;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>489U516=:r.>hi4j;%7gg?ca;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,50z&15=<2l2B9{zut1b=9750;&15=<6<>1]>;6?u+5ef9a>"2lj0n7psr}:k211<72-8:47?;7:J152=Q:8k1=vB=1b82Sed2;q/=5l51468R4272;q/9ij5e:&6`f5g83>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a4=zutw0qo8>3;291?6=8r.9=54:d:J14f=n9=21<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515:8R4272;q/9ij5e:&6`f4883>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a{M02g?7|^ji1>v*>8c8211=Q9=:1>v*:de8f?!3ck3o0qpsr;h36b?6=,;;36<:8;W02e?7|D;;h6j6X>4181!3cl3o0(8jl:d9~yx{5<>290;w)<>8;7g?M47k2c:854?:%02hn48;|~y>o6<00;6)<>8;373>N59>1]>;6?u+5ef93>"2lj0<7psr}:k211<72-8:47?;7:J152=Q:8k1=vB=1b82Sed2;q/=5l51468R4272;q/9ij57:&6`f<03twvq6g>5g83>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a{M02g?7|^ji1>v*>8c8227=Q9=:1>v*:de8f?!3ck3o0qpsr;h357?6=,;;36<:8;I023>P59h0:wA<>c;3xRfe=:r.:4o4>629U516=:r.>hi4j;%7gg?c<7[<>a;3xH77d28q]on4={%3;f?71<2\:8=4={%7g`?c<,<651558L7703_8:m7?tL33`>4}Qkj09w)?7b;351>P6<909w);kd;g8 0bd2l1vqps4o0:7>5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj?:n6=48:183!4603;3?6F=0b9j51>=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=964V063>7}#=mn1=6*:db82?x{zu2c:844?:%02{M02g?7|^ji1>v*>8c820<=Q9=:1>v*:de82?!3ck3;0qpsr;h360?6=,;;36<:8;W02e?7|D;;h686X>4181!3cl3;0(8jl:09~yx{hn4>;|~y>o6>:0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>629U516=:r.>hi4>;%7gg?7a;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,7>50z&15=5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj=;36=49:183!4603;?96F=0b9'53>=981b=9950;&15=<6<>1]>4203_;?<74=#=mi1=6sr}|9jg0<72-8:47m:;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{P59h09wA<>c;3xR40>2;q/=5l5c79U516=:r.>hi4<;%7gg?57}#=mh1=994$263>0bc3t.=:=4m;|T14<<4s_ij6?u+5e`9g3=#;=:19ij4}Waa>7}#=mh1=994$263>0bd3t.=:=4m;|T14<<4s_ij6?u+5e`9g3=#;=:19ij4}Waa>7}#=mh1o85+35291abv*:dc8`2>"4<90>hi5r$743>467:18'64>=:920Z??n:3yO64e=9r\::44={%3;f?4702\:8=4={%7g`?`<,<652158R77f2;qG>c=zutF9=o4>{W025?4|^jk1>v*:dc8142=#;=:19ij4}%454?`=91>07pl;1383>6<729q/><652078L76d3`;?47>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37<>P6<909w);kd;38 0bd281vqps4i06:>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>27[?;0;0x 0bc281/9im51:~yx=h91>1<7*=1982<1=Q:8k1=vB=1b82Sed2;q/=5l51968R4272;q/9ij51:&6`f<63twvq6sm40194?7=83:p(??7:33e?M47k2e:494?:%025<7s-8:47;k;I03g>o6<10;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>499U516=:r.>hi4j;%7gg?c<7[<>a;3xH77d28q]on4={%3;f?7312\:8=4={%7g`?c<,3290/><651968R77f28qG>{zut1vn9?9:180>5<7s-8:47;k;I03g>o6<10;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>499U516=:r.>hi4j;%7gg?c<7E<>7:T15d<6sE8:o7?tVba96~"60k0:845Y15296~"2lm0n7);kc;g8yx{z3f;387>5$33;>4>33_8:m7?tL33`>4}Qkj09w)?7b;3;0>P6<909w);kd;38 0bd281vqps4}c620?6=;3:1=9==0Z??n:0yO64e=9r\ho742?3_;?<74=#=mi1=6sr}|9j51?=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=974V063>7}#=mn1=6*:db82?x{zu2e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`75<<72;0;6=u+20:9f2=O:9i0e<:8:18'64>=9==07b?74;29 77?282?7[<>a;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,50z&15=<59<1C>=m4i06;>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>37[?;0;0x 0bc281/9im51:~yx=n9=31<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij5c:&6`f8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xd3::0;6;4?:1y'64>=9=?0D?>l;%355$33;>4203_8:m7?tL33`>4}Q9?31>v*>8c8202=Q9=:1>v*:de82?!3ck3;0qpsr;ha6>5<#:821o85Y20c95~J59j0:w[?99;0x 4>e2j?0Z<:?:3y'1ab=92.>hn4>;|~y>od>3:1(??7:b48R77f2;qG>5+5ea97>{zuE8:n7:tV32:>6}Qkh09w);kb;a5?!5383?oh6sYcc81!3cj3;?;6*<4186`a=z,?<;6o5rV32:>6}Qkh09w);kb;a5?!5383?oh6sYcc81!3cj3;?;6*<4186`f=z,?<;6o5rV32:>6}Qkh09w);kb;a5?!5383?oh6sYcc81!3cj3i>7)=;0;7g`>{#>?:1>6sY19c96~Pdi38p(8jm:b48 6272{zu2c9<54?:%02<^8>;6?u+5ef9b>"2lj0m7psrL33a>4}Q:8;1>vXla;0x 0be2;:37)=;0;7g`>{#>?:1j6sr}:k142<72-8:477}#91h1>=94V063>7}#=mn1j6*:db8e?x{zD;;i6{zu2e:494?:%025<7s-8:47<>5:J14f=n9=21<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515:8R4272;q/9ij51:&6`f<63twvq6g>4883>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?j7?<3:1(??7:0:7?S46i3;p@??l:0yUgf<5s-;3n7?74:T205<5s-?oh7?4$4f`>4=zutw0qo:>b;295?6=8r.9=54=1g9K65e5}#:8219i5G21a8m42?290/><651558L7703_8:m7?tL33`>4}Qkj09w)?7b;37<>P6<909w);kd;g8 0bd2l1vqps4i06:>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?;9:T205<5s-?oh7k4$4f`>`=zutw0e<;;:18'64>=9==0Z??n:0yO64e=9r\ho74333_;?<7`=#=mi1i6sr}|9l5=2=83.9=54>859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1=6*:db82?x{zu2wi8`=zutw0e<:6:18'64>=9==0Z??n:0yO64e=9r\ho742>3_;?<7`=#=mi1i6sr}|9j502=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=8:4V063>7}#=mn1i6*:db8f?x{zu2c:9k4?:%02{M02g?7|^ji1>v*>8c821c=Q9=:1>v*:de84?!3ck3=0qpsr;h356?6=,;;36<:8;W02e?7|D;;h66X>4181!3cl3o0(8jl:d9~yx{5<0290;w)<>8;7g?M47k2c:854?:%02{M02g?7|^ji1>v*>8c820==Q9=:1>v*:de8f?!3ck3o0qpsr;h37=?6=,;;36<:8;W02e?7|D;;h64181!3cl3o0(8jl:d9~yx{hn48;|~y>o6>;0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>639U516=:r.>hi4j;%7gg?c<7[<>a;3xH77d28q]on4={%3;f?71;2\:8=4={%7g`?c<,3290/><651968R77f28qG>{zut1vn95<7s-8:47;k;I03g>o6<10;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>499U516=:r.>hi4j;%7gg?c<7[<>a;3xH77d28q]on4={%3;f?7312\:8=4={%7g`?c<,<651558R77f28qG>{zut1b=8h50;&15=<6<>1]>;6?u+5ef93>"2lj0<7psr}:k227<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0::?5Y15296~"2lm0n7);kc;g8yx{z3`;=?7>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;357>P6<909w);kd;g8 0bd2l1vqps4o0:7>5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj=8:6=48:183!4603?o7E5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37=>P6<909w);kd;g8 0bd2l1vqps4i077>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28??7[?;0;0x 0bc2l1/9im5e:~yx=n96383>!4603;?;6X=1`82I46k3;pZnm52z&2;1]=9>52z&6`a`=zutw0c<6;:18'64>=91>0Z??n:0yO64e=9r\ho74>33_;?<74=#=mi1=6sr}|9~f17d290=6=4?{%02hn4>;|~y>o6<00;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>489U516=:r.>hi4>;%7gg?7<7[<>a;3xH77d28q]on4={%3;f?72<2\:8=4={%7g`?7<,<651558R77f28qG>{zut1b=;<50;&15=<6<>1]>;6?u+5ef95>"2lj0:7psr}:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0:7);kc;38yx{z3th==:4?:383>5}#:821n:5G21a8m420290/><651558?j7?<3:1(??7:0:7?S46i3;p@??l:0yUgf<5s-;3n7?74:T205<5s-?oh7?4$4f`>4=zutw0qo8=2;292?6=8r.9=54>449K65e<,8<365<#:821=994V33b>4}K:8i1=vX>6881!7?j3;?;6X>4181!3cl3;0(8jl:09~yx{P59h0:wA<>c;3xR40>2;q/=5l5c49U516=:r.>hi4>;%7gg?7=k?1]>f0<^8>;6?u+5ef97>"2lj087psrL33a>1}Q:931?vXla;0x 0be2j<0(>:?:4fg?xPdj38p(8jm:064?!5383?oh6s+6729f>{Q:931?vXla;0x 0be2j<0(>:?:4fg?xPdj38p(8jm:064?!5383?oo6s+6729f>{Q:931?vXla;0x 0be2j<0(>:?:4fg?xPdj38p(8jm:b78 627255ef8y!0183;;7psr;h037;W02e?4|D;;h65$33;>7603_8:m74}Q9?31>v*>8c8142=Q9=:1>v*:de8e?!3ck3l0qpsC20`95~P59809w[mn:3y'1ad=:9=0(>:?:4fg?x"1>90m7psr;n3;0?6=,;;36<6;;:a24>=8391<7>t$33;>7723A8;o6g>4983>!4603;?;6F=169U64g=9rF9=n4>{Wa`>7}#91h1=964V063>7}#=mn1=6*:db82?x{zu2c:844?:%02{M02g?7|^ji1>v*>8c820<=Q9=:1>v*:de82?!3ck3;0qpsr;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{51;294~"59109=k5G21a8k4>3290/><651968?xd19k0;6>4?:1y'64>==m1C>=m4i06;>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>37[?;0;0x 0bc281/9im51:~yx=n9=31<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij51:&6`f<63twvq6a>8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xd19j0;6>4?:1y'64>==m1C>=m4i06;>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>37[?;0;0x 0bc2l1/9im5e:~yx=n9=31<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij5e:&6`f8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xd19m0;694?:1y'64>==m1C>=m4i06;>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?;8:T205<5s-?oh794$4f`>2=zutw0e<:6:18'64>=9==0Z??n:0yO64e=9r\ho742>3_;?<7`=#=mi1i6sr}|9j502=83.9=54>469K641<^;;j60Z<:?:3y'1ab=92.>hn4>;|~y>{e>8o1<7:50;2x 77?2l;h374181!3cl3o0(8jl:d9~yx{26=4+20:9511<^;;j6{zut1d=5:50;&15=<60=1]>;6?u+5ef95>"2lj0:7psr}:a24`=83?1<7>t$33;>0b<@;:h7d?;8;29 77?28><7E<>7:T15d<6sE8:o7?tVba96~"60k0:855Y15296~"2lm0n7);kc;g8yx{z3`;?57>5$33;>4203A8:;6X=1`82I46k3;pZnm52z&252z&6`a{M02g?7|^ji1>v*>8c8211=Q9=:1>v*:de8f?!3ck3o0qpsr;h36b?6=,;;36<:8;I023>P59h0:wA<>c;3xRfe=:r.:4o4>5g9U516=:r.>hi4j;%7gg?ca;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,50z&15=<2l2B9{zut1b=9750;&15=<6<>1C><94V33b>4}K:8i1=vXlc;0x 4>e28>27[?;0;0x 0bc2l1/9im5e:~yx=n9<>1<7*=198202=O:8=0Z??n:0yO64e=9r\ho74333_;?<7`=#=mi1i6sr}|9j50`=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=8h4V063>7}#=mn1i6*:db8f?x{zu2e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`55d<72>0;6=u+20:95=5<@;:h7d?;8;29 77?28><7[<>a;3xH77d28q]on4={%3;f?7302\:8=4={%7g`?7<,290/><651558R77f28qG>{zut1b=8:50;&15=<6<>1]>;6?u+5ef95>"2lj0:7psr}:k21c<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:9k5Y15296~"2lm0:7);kc;38yx{z3`;=>7>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;356>P6<909w);kd;38 0bd281vqps4i040>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28<87[?;0;0x 0bc281/9im51:~yx=h91>1<7*=1982<1=Q:8k1=vB=1b82Sed2;q/=5l51968R4272;q/9ij51:&6`f<63twvq6sm50:94?4=83:p(??7:c58L76d3`;?;7>5$33;>42032e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`661<72?0;6=u+20:9513<@;:h7)?98;32?l73?3:1(??7:064?S46i3;p@??l:0yU53?=:r.:4o4>469U516=:r.>hi4>;%7gg?7=k<1]>f3<^8>;6?u+5ef95>"2lj0:7psr}:k`2?6=,;;36n84V33b>7}K:8i1=vX>6881!7?j3i=7[?;0;0x 0bc2:1/9im53:~yI46j3>pZ?>6:2yUgd<5s-?on7m9;%174?3cl2w]oo4={%7gf?73?2.88=4:de9~ 3072k1vZ?>6:2yUgd<5s-?on7m9;%174?3cl2w]oo4={%7gf?73?2.88=4:db9~ 3072k1vZ?>6:2yUgd<5s-?on7m9;%174?3cl2w]oo4={%7gf?e23-9?<7;kd:'236=:2w]=5o52zT`e?4|,0bc3t.=:=4>0:~y>o5810;6)<>8;03<>P59h09wA<>c;3xR40>2;q/=5l521:8R4272;q/9ij5f:&6`f76?3-9?<7;kd:'236=n2wvq6g=0683>!46038;;6X=1`81I46k3;pZ<86:3y'5=d=:9=0Z<:?:3y'1ab=n2.>hn4i;|~H77e28q]>8;%174?3cl2w/:;>5f:~y>i60=0;6)<>8;3;0>=zj<;26=4<:183!46038:96F=0b9j51>=83.9=54>469K641<^;;j6hn4>;|~y>i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4>;%7gg?74<729q/><6520d8L76d3f;387>5$33;>4>332wi94=zutw0e<:6:18'64>=9==0Z??n:0yO64e=9r\ho742>3_;?<74=#=mi1=6sr}|9l5=2=83.9=54>859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1=6*:db82?x{zu2wi94=zutw0e<:6:18'64>=9==0Z??n:0yO64e=9r\ho742>3_;?<74=#=mi1=6sr}|9l5=2=83.9=54>859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1=6*:db82?x{zu2wi9`=zutw0e<:6:18'64>=9==0Z??n:0yO64e=9r\ho742>3_;?<7`=#=mi1i6sr}|9j502=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=8:4V063>7}#=mn1i6*:db8f?x{zu2e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`65c<72=0;6=u+20:91a=O:9i0e<:7:18'64>=9==0D??8;W02e?7|D;;h64181!3cl3o0(8jl:d9~yx{26=4+20:9511<^;;j6{zut1d=5:50;&15=<60=1]>;6?u+5ef95>"2lj0:7psr}:a176=83?1<7>t$33;>0b<@;:h7d?;8;29 77?28><7[<>a;3xH77d28q]on4={%3;f?7302\:8=4={%7g`?c<,290/><651558L7703_8:m7?tL33`>4}Qkj09w)?7b;37=>P6<909w);kd;g8 0bd2l1vqps4i077>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?:4:T205<5s-?oh7k4$4f`>`=zutw0e<;i:18'64>=9==0Z??n:0yO64e=9r\ho743a3_;?<7`=#=mi1i6sr}|9l5=2=83.9=54>859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1=6*:db82?x{zu2wi9??50;494?6|,;;368j4H32`?l7303:1(??7:064?M46?2\9=l4>{M02g?7|^ji1>v*>8c820==Q9=:1>v*:de84?!3ck3=0qpsr;h37=?6=,;;36<:8;I023>P59h0:wA<>c;3xRfe=:r.:4o4>489U516=:r.>hi48;%7gg?1<7E<>7:T15d<6sE8:o7?tVba96~"60k0:995Y15296~"2lm0n7);kc;g8yx{z3`;>j7>5$33;>4203A8:;6X=1`82I46k3;pZnm52z&252z&6`a`=zutw0c<6;:18'64>=91>0Z??n:0yO64e=9r\ho74>33_;?<74=#=mi1=6sr}|9~f045290=6=4?{%024983>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<03-?oo794}|~?l7313:1(??7:064?M46?2\9=l4>{M02g?7|^ji1>v*>8c820<=Q9=:1>v*:de8f?!3ck3o0qpsr;h360?6=,;;36<:8;I023>P59h0:wA<>c;3xRfe=:r.:4o4>559U516=:r.>hi4j;%7gg?c<7E<>7:T15d<6sE8:o7?tVba96~"60k0:9k5Y15296~"2lm0n7);kc;g8yx{z3`;=>7>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;356>P6<909w);kd;g8 0bd2l1vqps4o0:7>5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj<;i6=47:183!4603;3?6F=0b9j51>=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=964V063>7}#=mn1=6*:db82?x{zu2c:844?:%02{M02g?7|^ji1>v*>8c820<=Q9=:1>v*:de82?!3ck3;0qpsr;h360?6=,;;36<:8;W02e?7|D;;h686X>4181!3cl3;0(8jl:09~yx{hn4>;|~y>o6>:0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>629U516=:r.>hi4>;%7gg?7<7[<>a;3xH77d28q]on4={%3;f?71<2\:8=4={%7g`?7<,3290/><651968R77f28qG>{zut1vn;:j:181>5<7s-8:47l8;I03g>o6<>0;6)<>8;373>=h91>1<7*=1982<1=Q:8k1=vB=1b82Sed2;q/=5l51968R4272;q/9ij51:&6`f<63twvq6sm64194?0=83:p(??7:066?M47k2.::54>1:k202<72-8:47?;7:T15d<6sE8:o7?tV04:>7}#91h1=994V063>7}#=mn1=6*:db82?x{zu2ch97>5$33;>f3<^;;j6009w)?7b;a6?S73838p(8jk:09'1ae=92wvqp5fc783>!4603i=7[<>a;0xH77d28q]=;752z&22\:8=4={%7g`?5<,5r}|N15g<3s_8;57=tVbc96~"2lk0h:6*<4186`a=z^jh1>v*:dc8202=#;=:19ij4}%454?dv*:dc8202=#;=:19im4}%454?dv*:dc8`1>"4<90>hi5r$743>7=z^82j6?uYc`81!3cj3i=7)=;0;7g`>{#>?:1==5r}|9j65>=83.9=54=099U64g=:rF9=n4>{W35=?4|,82i6?>7;W374?4|,{zuE8:n7?tV332>7}Qkh09w);kb;03<>"4<90>hi5r$743>c=zut1b>=950;&15=<58>1]>7603_;?<7c=#=mi1j6sr}M02f?7|^;;:6?uYc`81!3cj38;;6*<4186`a=z,?<;6k5r}|9l5=2=83.9=54>8598yg03n3:1?7>50z&15=<59<1C>=m4i06;>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>37[?;0;0x 0bc281/9im51:~yx=n9=31<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij51:&6`f<63twvq6a>8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xd1=90;6<4?:1y'64>=:8l0D?>l;n3;0?6=,;;36<6;;:a207=8391<7>t$33;>0b<@;:h7d?;8;29 77?28><7E<>7:T15d<6sE8:o7?tVba96~"60k0:855Y15296~"2lm0n7);kc;g8yx{z3`;?57>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37=>P6<909w);kd;g8 0bd2l1vqps4o0:7>5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj?886=4=:183!4603h<7E859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1=6*:db82?x{zu2wi:?h50;194?6|,;;36??:;I03g>o6<10;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>499U516=:r.>hi4>;%7gg?7<7[<>a;3xH77d28q]on4={%3;f?7312\:8=4={%7g`?e<,3290/><651968R77f28qG>{zut1vn;5<7s-8:47?;5:J14f=#9?21=<5f15594?"5910:8:5Y20c95~J59j0:w[?99;0x 4>e28><7[?;0;0x 0bc281/9im51:~yx=nk<0;6)<>8;a6?S46i3;p@??l:0yU53?=:r.:4o4l5:T205<5s-?oh7?4$4f`>4=zutw0en850;&15=2\9=l4={M02g?7|^8<26?u+19`9g3=Q9=:1>v*:de80?!3ck390qpsC20`90~P58008w[mn:3y'1ad=k?1/?9>55ef8ySee2;q/9il51558 627255ef8ySee2;q/9il51558 627255ef8ySee2;q/9il5c49'716==mn0q)890;08yS7?i38pZno52z&6`g2.88=4:de9~ 30728:0qps4i32;>5<#:821>=64V33b>7}K:8i1=vX>6881!7?j38;46X>4181!3cl3l0(8jl:g9~yxJ59k0:w[<>1;0xRfg=:r.>ho4=099'716==mn0q)890;d8yx{009w)?7b;033>P6<909w);kd;d8 0bd2o1vqpB=1c82S46938pZno52z&6`g<58>1/?9>55ef8y!0183l0qps4o0:7>5<#:821=5:4;|`561<72:0;6=u+20:9643<@;:h7d?;8;29 77?28><7E<>7:T15d<6sE8:o7?tVba96~"60k0:855Y15296~"2lm0:7);kc;38yx{z3`;?57>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37=>P6<909w);kd;38 0bd281vqps4o0:7>5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj?8>6=4>:183!46038:j6F=0b9l5=2=83.9=54>8598yg05?3:1?7>50z&15=<2l2B9hn4>;|~y>o6<00;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>489U516=:r.>hi4>;%7gg?7a;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,50z&15=<2l2B9{zut1b=9750;&15=<6<>1]>;6?u+5ef9a>"2lj0n7psr}:k211<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:995Y15296~"2lm0n7);kc;g8yx{z3f;387>5$33;>4>33_8:m7?tL33`>4}Qkj09w)?7b;3;0>P6<909w);kd;38 0bd281vqps4}c41=?6==3:1N58j1b=9650;&15=<6<>1C><94V33b>4}K:8i1=vXlc;0x 4>e28>37[?;0;0x 0bc2l1/9im5e:~yx=n9=31<7*=198202=O:8=0Z??n:0yO64e=9r\ho742>3_;?<7`=#=mi1i6sr}|9j502=83.9=54>469K641<^;;j6{zut1d=5:50;&15=<60=1]>;6?u+5ef95>"2lj0:7psr}:a27g=83<1<7>t$33;>0b<@;:h7d?;8;29 77?28><7[<>a;3xH77d28q]on4={%3;f?7302\:8=4={%7g`?1<,290/><651558L7703_8:m7?tL33`>4}Qkj09w)?7b;37=>P6<909w);kd;58 0bd2>1vqps4i077>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?:4:T205<5s-?oh7k4$4f`>`=zutw0e<;i:18'64>=9==0D??8;W02e?7|D;;h6j6X>4181!3cl3o0(8jl:d9~yx{a;3xH77d28q]on4={%3;f?71:2\:8=4={%7g`?c<,3290/><651968R77f28qG>{zut1vn;5<7s-8:47;k;I03g>o6<10;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>499U516=:r.>hi48;%7gg?1<7[<>a;3xH77d28q]on4={%3;f?7312\:8=4={%7g`?c<,<651558L7703_8:m7?tL33`>4}Qkj09w)?7b;360>P6<909w);kd;g8 0bd2l1vqps4i07e>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?:f:T205<5s-?oh7k4$4f`>`=zutw0e<8=:18'64>=9==0D??8;W02e?7|D;;h66X>4181!3cl3o0(8jl:d9~yx{5<1290;w)<>8;7g?M47k2c:854?:%02hn48;|~y>o6<00;6)<>8;373>N59>1]>;6?u+5ef9a>"2lj0n7psr}:k211<72-8:47?;7:J152=Q:8k1=vB=1b82Sed2;q/=5l51468R4272;q/9ij5e:&6`f5g83>!4603;?;6F=169U64g=9rF9=n4>{Wa`>7}#91h1=8h4V063>7}#=mn1i6*:db8f?x{zu2c::?4?:%02hn4j;|~y>i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4>;%7gg?72<729q/><651918L76d3`;?47>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37<>P6<909w);kd;38 0bd281vqps4i06:>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>27[?;0;0x 0bc281/9im51:~yx=n9<>1<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l51468R4272;q/9ij51:&6`f<63twvq6g>5g83>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?l71:3:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?92:T205<5s-?oh7?4$4f`>4=zutw0e<8<:18'64>=9==0Z??n:0yO64e=9r\ho74043_;?<74=#=mi1=6sr}|9l5=2=83.9=54>859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1=6*:db82?x{zu2wi?lj50;094?6|,;;36o94H32`?l73?3:1(??7:064?>i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4>;%7gg?76<729q/><652078L76d3`;?47>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37<>P6<909w);kd;38 0bd281vqps4i06:>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>27[?;0;0x 0bc2j1/9im5c:~yx=h91>1<7*=1982<1=Q:8k1=vB=1b82Sed2;q/=5l51968R4272;q/9ij51:&6`f<63twvq6sm3c794?0=83:p(??7:066?M47k2.::54>1:k202<72-8:47?;7:T15d<6sE8:o7?tV04:>7}#91h1=994V063>7}#=mn1=6*:db82?x{zu2ch97>5$33;>f3<^;;j6009w)?7b;a6?S73838p(8jk:09'1ae=92wvqp5fc783>!4603i=7[<>a;0xH77d28q]=;752z&22\:8=4={%7g`?5<,5r}|N15g<3s_8;57=tVbc96~"2lk0h:6*<4186`a=z^jh1>v*:dc8202=#;=:19ij4}%454?dv*:dc8202=#;=:19im4}%454?dv*:dc8`1>"4<90>hi5r$743>7=z^82j6?uYc`81!3cj3i=7)=;0;7g`>{#>?:1==5r}|9j65>=83.9=54=099U64g=:rF9=n4>{W35=?4|,82i6?>7;W374?4|,{zuE8:n7?tV332>7}Qkh09w);kb;03<>"4<90>hi5r$743>c=zut1b>=950;&15=<58>1]>7603_;?<7c=#=mi1j6sr}M02f?7|^;;:6?uYc`81!3cj38;;6*<4186`a=z,?<;6k5r}|9l5=2=83.9=54>8598yg5fm3:1?7>50z&15=<59<1C>=m4i06;>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?;8:T205<5s-?oh7?4$4f`>4=zutw0e<:6:18'64>=9==0Z??n:0yO64e=9r\ho742>3_;?<74=#=mi1=6sr}|9l5=2=83.9=54>859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1=6*:db82?x{zu2wi?lh50;394?6|,;;36??i;I03g>i60=0;6)<>8;3;0>=zj:h:6=4;:183!4603?o7E5$33;>4203A8:;6X=1`82I46k3;pZnm52z&252z&6`a`=zutw0c<6;:18'64>=91>0Z??n:0yO64e=9r\ho74>33_;?<74=#=mi1=6sr}|9~f6d5290<6=4?{%024983>!4603;?;6F=169U64g=9rF9=n4>{Wa`>7}#91h1=964V063>7}#=mn1i6*:db8f?x{zu2c:844?:%02hn4j;|~y>o6==0;6)<>8;373>N59>1]>;6?u+5ef9a>"2lj0n7psr}:k21c<72-8:47?;7:J152=Q:8k1=vB=1b82Sed2;q/=5l514d8R4272;q/9ij5e:&6`f6383>!4603;?;6F=169U64g=9rF9=n4>{Wa`>7}#91h1=;<4V063>7}#=mn1i6*:db8f?x{zu2c::>4?:%02{M02g?7|^ji1>v*>8c8226=Q9=:1>v*:de8f?!3ck3o0qpsr;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{57;294~"5910>h6F=0b9j51>=83.9=54>469K641<^;;j6{zut1b=8:50;&15=<6<>1C><94V33b>4}K:8i1=vXlc;0x 4>e28??7[?;0;0x 0bc2l1/9im5e:~yx=n943a3_;?<7`=#=mi1i6sr}|9j534=83.9=54>469K641<^;;j6{zut1d=5:50;&15=<60=1]>;6?u+5ef95>"2lj0:7psr}:a7g6=83>1<7>t$33;>4>43A8;o6g>4983>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?l7313:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?;9:T205<5s-?oh7?4$4f`>4=zutw0e<;;:18'64>=9==0Z??n:0yO64e=9r\ho74333_;?<74=#=mi1=6sr}|9l5=2=83.9=54>859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1=6*:db82?x{zu2wi9<>50;094?6|,;;36o94H32`?l73?3:1(??7:064?>i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4>;%7gg?73<729q/><651578L76d3-;=47?>;h373?6=,;;36<:8;W02e?7|D;;h66=4+20:9g0=Q:8k1=vB=1b82S71138p(<6m:b78R4272;q/9ij51:&6`f<63twvq6gl6;29 77?2j<0Z??n:3yO64e=9r\::44={%3;f?e13_;?<76=#=mi1?6sr}M02f?2|^;:26>uYc`81!3cj3i=7)=;0;7g`>{Qkk09w);kb;373>"4<90>hi5r$743>g=z^;:26>uYc`81!3cj3i=7)=;0;7g`>{Qkk09w);kb;373>"4<90>hn5r$743>g=z^;:26>uYc`81!3cj3i=7)=;0;7g`>{Qkk09w);kb;a6?!5383?oh6s+67296>{Q91k1>vXla;0x 0be2j<0(>:?:4fg?x"1>90:<6sr}:k14=<72-8:477}#91h1>=64V063>7}#=mn1j6*:db8e?x{zD;;i6{zu2c9<:4?:%02;6?u+5ef9b>"2lj0m7psrL33a>4}Q:8;1>vXla;0x 0be2;:<7)=;0;7g`>{#>?:1j6sr}:m2<1<72-8:47?74:9~f07629086=4?{%02hn4>;|~y>o6<00;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>489U516=:r.>hi4>;%7gg?7a;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,50z&15=<59o1C>=m4o0:7>5<#:821=5:4;|`651<72:0;6=u+20:91a=O:9i0e<:7:18'64>=9==0Z??n:0yO64e=9r\ho742?3_;?<7`=#=mi1i6sr}|9j51?=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=974V063>7}#=mn1i6*:db8f?x{zu2e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`650<72:0;6=u+20:91a=O:9i0e<:7:18'64>=9==0Z??n:0yO64e=9r\ho742?3_;?<7`=#=mi1i6sr}|9j51?=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=974V063>7}#=mn1i6*:db8f?x{zu2e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`656<72:0;6=u+20:95=5<@;:h7d?;8;29 77?28><7[<>a;3xH77d28q]on4={%3;f?7302\:8=4={%7g`?7<,290/><651558R77f28qG>{zut1d=5:50;&15=<60=1]>;6?u+5ef95>"2lj0:7psr}:a1`2=8381<7>t$33;>g1<@;:h7d?;7;29 77?28><76a>8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xd2mo0;6;4?:1y'64>=9=?0D?>l;%355$33;>4203_8:m7?tL33`>4}Q9?31>v*>8c8202=Q9=:1>v*:de82?!3ck3;0qpsr;ha6>5<#:821o85Y20c95~J59j0:w[?99;0x 4>e2j?0Z<:?:3y'1ab=92.>hn4>;|~y>od>3:1(??7:b48R77f2;qG>5+5ea97>{zuE8:n7:tV32:>6}Qkh09w);kb;a5?!5383?oh6sYcc81!3cj3;?;6*<4186`a=z,?<;6o5rV32:>6}Qkh09w);kb;a5?!5383?oh6sYcc81!3cj3;?;6*<4186`f=z,?<;6o5rV32:>6}Qkh09w);kb;a5?!5383?oh6sYcc81!3cj3i>7)=;0;7g`>{#>?:1>6sY19c96~Pdi38p(8jm:b48 6272{zu2c9<54?:%02<^8>;6?u+5ef9b>"2lj0m7psrL33a>4}Q:8;1>vXla;0x 0be2;:37)=;0;7g`>{#>?:1j6sr}:k142<72-8:477}#91h1>=94V063>7}#=mn1j6*:db8e?x{zD;;i6{zu2e:494?:%025<7s-8:47<>5:J14f=n9=21<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515:8R4272;q/9ij51:&6`f<63twvq6g>4883>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?j7?<3:1(??7:0:7?S46i3;p@??l:0yUgf<5s-;3n7?74:T205<5s-?oh7?4$4f`>4=zutw0qo;j6;295?6=8r.9=54=1g9K65ei54?:283>5}#:8219i5G21a8m42?290/><651558R77f28qG>{zut1b=9750;&15=<6<>1]>;6?u+5ef95>"2lj0:7psr}:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0:7);kc;38yx{z3th>i44?:283>5}#:8219i5G21a8m42?290/><651558R77f28qG>{zut1b=9750;&15=<6<>1]>;6?u+5ef9a>"2lj0n7psr}:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0:7);kc;38yx{z3th>il4?:283>5}#:8219i5G21a8m42?290/><651558R77f28qG>{zut1b=9750;&15=<6<>1]>;6?u+5ef9a>"2lj0n7psr}:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0:7);kc;38yx{z3th>io4?:483>5}#:8219i5G21a8m42?290/><651558L7703_8:m7?tL33`>4}Qkj09w)?7b;37<>P6<909w);kd;g8 0bd2l1vqps4i06:>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?;9:T205<5s-?oh7k4$4f`>`=zutw0e<;;:18'64>=9==0Z??n:0yO64e=9r\ho74333_;?<7`=#=mi1i6sr}|9j50`=83.9=54>469K641<^;;j60Z<:?:3y'1ab=92.>hn4>;|~y>{e=li1<7;50;2x 77?2l;h37P59h0:wA<>c;3xRfe=:r.:4o4>499U516=:r.>hi4j;%7gg?c<7E<>7:T15d<6sE8:o7?tVba96~"60k0:845Y15296~"2lm0n7);kc;g8yx{z3`;>87>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;360>P6<909w);kd;g8 0bd2l1vqps4i07e>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28?m7[?;0;0x 0bc2l1/9im5e:~yx=h91>1<7*=1982<1=Q:8k1=vB=1b82Sed2;q/=5l51968R4272;q/9ij51:&6`f<63twvq6sm5df94?0=83:p(??7:4f8L76d3`;?47>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37<>P6<909w);kd;58 0bd2>1vqps4i06:>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?;9:T205<5s-?oh7k4$4f`>`=zutw0e<;;:18'64>=9==0D??8;W02e?7|D;;h686X>4181!3cl3o0(8jl:d9~yx{a;3xH77d28q]on4={%3;f?72n2\:8=4={%7g`?c<,<651558L7703_8:m7?tL33`>4}Qkj09w)?7b;356>P6<909w);kd;g8 0bd2l1vqps4o0:7>5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=964V063>7}#=mn1=6*:db82?x{zu2c:844?:%02{M02g?7|^ji1>v*>8c820<=Q9=:1>v*:de82?!3ck3;0qpsr;h360?6=,;;36<:8;W02e?7|D;;h686X>4181!3cl3;0(8jl:09~yx{hn4>;|~y>o6>:0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>629U516=:r.>hi4>;%7gg?7a;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,7>50z&15=5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj;l26=49:183!4603;?96F=0b9'53>=981b=9950;&15=<6<>1]>4203_;?<74=#=mi1=6sr}|9jg0<72-8:47m:;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{P59h09wA<>c;3xR40>2;q/=5l5c79U516=:r.>hi4<;%7gg?57}#=mh1=994$263>0bc3t.=:=4m;|T14<<4s_ij6?u+5e`9g3=#;=:19ij4}Waa>7}#=mh1=994$263>0bd3t.=:=4m;|T14<<4s_ij6?u+5e`9g3=#;=:19ij4}Waa>7}#=mh1o85+35291abv*:dc8`2>"4<90>hi5r$743>0=zut1b>=650;&15=<5811]>76?3_;?<7c=#=mi1j6sr}M02f?7|^;;:6?uYc`81!3cj38;46*<4186`a=z,?<;6k5r}|9j651=83.9=54=069U64g=:rF9=n4>{W35=?4|,82i6?>8;W374?4|,{zuE8:n7?tV332>7}Qkh09w);kb;033>"4<90>hi5r$743>c=zut1d=5:50;&15=<60=10qo36=4+20:9511<^;;j6hn4>;|~y>i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4>;%7gg?74<729q/><6520d8L76d3f;387>5$33;>4>332wi>k850;694?6|,;;368j4H32`?l7303:1(??7:064?M46?2\9=l4>{M02g?7|^ji1>v*>8c820==Q9=:1>v*:de8f?!3ck3o0qpsr;h37=?6=,;;36<:8;W02e?7|D;;h64181!3cl3o0(8jl:d9~yx{a;3xH77d28q]on4={%3;f?72<2\:8=4={%7g`?c<,3290/><651968R77f28qG>{zut1vn?h8:187>5<7s-8:47;k;I03g>o6<10;6)<>8;373>N59>1]><^8>;6?u+5ef9a>"2lj0n7psr}:k20<<72-8:47?;7:J152=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij5e:&6`f5583>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a4=zutw0qo829K65e36=4+20:9511<^;;j6hn4>;|~y>i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4>;%7gg?76<729q/><655e9K65e36=4+20:9511<^;;j6hn47;|~y>i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4>;%7gg?77<729q/><65b69K65e<6=4+20:9511<3f;387>5$33;>4>33_8:m7?tL33`>4}Qkj09w)?7b;3;0>P6<909w);kd;38 0bd281vqps4}c137?6=;3:1=9==0Z??n:0yO64e=9r\ho742?3_;?<74=#=mi1=6sr}|9j51?=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=974V063>7}#=mn1o6*:db8`?x{zu2e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`047<72?0;6=u+20:9513<@;:h7)?98;32?l73?3:1(??7:064?S46i3;p@??l:0yU53?=:r.:4o4>469U516=:r.>hi4>;%7gg?7=k<1]>f3<^8>;6?u+5ef95>"2lj0:7psr}:k`2?6=,;;36n84V33b>7}K:8i1=vX>6881!7?j3i=7[?;0;0x 0bc2:1/9im53:~yI46j3>pZ?>6:2yUgd<5s-?on7m9;%174?3cl2w]oo4={%7gf?73?2.88=4:de9~ 3072k1vZ?>6:2yUgd<5s-?on7m9;%174?3cl2w]oo4={%7gf?73?2.88=4:db9~ 3072k1vZ?>6:2yUgd<5s-?on7m9;%174?3cl2w]oo4={%7gf?e23-9?<7;kd:'236=:2w]=5o52zT`e?4|,0bc3t.=:=4:;|~?l4703:1(??7:32;?S46i38p@??l:0yU53?=:r.:4o4=099U516=:r.>hi4i;%7gg?`<,:>;68jk;|&525a;0xH77d28q]=;752z&21]=9>52z&6`a7}#=mh1>=94$263>0bc3t.=:=4i;|~?j7?<3:1(??7:0:7?>{e:oi1<7=50;2x 77?2;;>7E5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37=>P6<909w);kd;38 0bd281vqps4o0:7>5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj;lo6=4>:183!46038:j6F=0b9l5=2=83.9=54>8598yg4an3:1?7>50z&15=<2l2B9hn4j;|~y>o6<00;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>489U516=:r.>hi4j;%7gg?ca;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,50z&15=<2l2B9{zut1b=9750;&15=<6<>1]>;6?u+5ef9a>"2lj0n7psr}:k211<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:995Y15296~"2lm0n7);kc;g8yx{z3f;387>5$33;>4>33_8:m7?tL33`>4}Qkj09w)?7b;3;0>P6<909w);kd;38 0bd281vqps4}c0ea?6=;3:1=9==0Z??n:0yO64e=9r\ho742?3_;?<74=#=mi1=6sr}|9j51?=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=974V063>7}#=mn1=6*:db82?x{zu2e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`1bg<72:0;6=u+20:91a=O:9i0e<:7:18'64>=9==0Z??n:0yO64e=9r\ho742?3_;?<7==#=mi146sr}|9j51?=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=974V063>7}#=mn146*:db8;?x{zu2e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`0f2<72;0;6=u+20:9f2=O:9i0e<:8:18'64>=9==07b?74;29 77?282?7[<>a;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,50z&15=<6<<1C>=m4$04;>47<6=4+20:9511<^;;j6009w)?7b;373>P6<909w);kd;38 0bd281vqps4ib794?"5910h96X=1`82I46k3;pZ<86:3y'5=d=k<1]=9>52z&6`a<63-?oo7?4}|~?le1290/><65c79U64g=:rF9=n4>{W35=?4|,82i6n84V063>7}#=mn1?6*:db80?x{zD;;i69uY21;97~Pdi38p(8jm:b48 6272<7)=;0;7g`>{#>?:1n6sY21;97~Pdi38p(8jm:b48 6272<7)=;0;7gg>{#>?:1n6sY21;97~Pdi38p(8jm:b48 6272:?:4fg?x"1>9097pX>8`81Sef2;q/9il5c79'716==mn0q)890;33?x{z3`8;47>5$33;>76?3_8:m74}Q9?31>v*>8c814==Q9=:1>v*:de8e?!3ck3l0qpsC20`95~P59809w[mn:3y'1ad=:920(>:?:4fg?x"1>90m7psr;h033?6=,;;36?>8;W02e?4|D;;h65$33;>4>332wi?o650;194?6|,;;36??:;I03g>o6<10;6)<>8;373>N59>1]><^8>;6?u+5ef95>"2lj0:7psr}:k20<<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:845Y15296~"2lm0:7);kc;38yx{z3f;387>5$33;>4>33_8:m7?tL33`>4}Qkj09w)?7b;3;0>P6<909w);kd;38 0bd281vqps4}c1a=?6=93:1=91>07pl6<729q/><655e9K65e36=4+20:9511<^;;j6hn4j;|~y>i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4>;%7gg?76<729q/><655e9K65e36=4+20:9511<@;;<7[<>a;3xH77d28q]on4={%3;f?7302\:8=4={%7g`?c<,290/><651558L7703_8:m7?tL33`>4}Qkj09w)?7b;37=>P6<909w);kd;g8 0bd2l1vqps4o0:7>5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj:ho6=4<:183!4603?o7E4883>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a4=zutw0qo=ma;290?6=8r.9=54>829K65e36=4+20:9511<^;;j6hn4>;|~y>o6==0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>559U516=:r.>hi4>;%7gg?7a;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,7>50z&15=5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj;l;6=49:183!4603;?96F=0b9'53>=981b=9950;&15=<6<>1]>4203_;?<74=#=mi1=6sr}|9jg0<72-8:47m:;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{P59h09wA<>c;3xR40>2;q/=5l5c79U516=:r.>hi4<;%7gg?57}#=mh1=994$263>0bc3t.=:=4m;|T14<<4s_ij6?u+5e`9g3=#;=:19ij4}Waa>7}#=mh1=994$263>0bd3t.=:=4m;|T14<<4s_ij6?u+5e`9g3=#;=:19ij4}Waa>7}#=mh1o85+35291abv*:dc8`2>"4<90>hi5r$743>0=zut1b>=650;&15=<5811]>76?3_;?<7c=#=mi1j6sr}M02f?7|^;;:6?uYc`81!3cj38;46*<4186`a=z,?<;6k5r}|9j651=83.9=54=069U64g=:rF9=n4>{W35=?4|,82i6?>8;W374?4|,{zuE8:n7?tV332>7}Qkh09w);kb;033>"4<90>hi5r$743>c=zut1d=5:50;&15=<60=10qo36=4+20:9511<^;;j6hn4>;|~y>i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4>;%7gg?74<729q/><6520d8L76d3f;387>5$33;>4>332wi>hk50;194?6|,;;368j4H32`?l7303:1(??7:064?M46?2\9=l4>{M02g?7|^ji1>v*>8c820==Q9=:1>v*:de8f?!3ck3o0qpsr;h37=?6=,;;36<:8;I023>P59h0:wA<>c;3xRfe=:r.:4o4>489U516=:r.>hi4j;%7gg?ca;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,50z&15=<2l2B9hn47;|~y>o6<00;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>489U516=:r.>hi47;%7gg?>a;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,7>50z&15=5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj::o6=4<:183!46038:96F=0b9j51>=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=964V063>7}#=mn1=6*:db82?x{zu2c:844?:%02{M02g?7|^ji1>v*>8c820<=Q9=:1>v*:de8`?!3ck3i0qpsr;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{56;294~"5910:885G21a8 40?28;0e<:8:18'64>=9==0Z??n:0yO64e=9r\::44={%3;f?73?2\:8=4={%7g`?7<,7}#91h1o85Y15296~"2lm0:7);kc;38yx{z3`i=6=4+20:9g3=Q:8k1>vB=1b82S71138p(<6m:b48R4272;q/9ij53:&6`f<43twv@??m:5yU65?=;r\hm7f0<,:>;68jk;|T`f?4|,5b:U65?=;r\hm7f0<,:>;68jk;|T`f?4|,5b:U65?=;r\hm7f0<,:>;68jk;|T`f?4|,0bc3t.=:=4=;|T27:18'64>=:920Z??n:3yO64e=9r\::44={%3;f?4702\:8=4={%7g`?`<,<652158R77f2;qG>c=zutF9=o4>{W025?4|^jk1>v*:dc8142=#;=:19ij4}%454?`=91>07pl<0783>6<729q/><652078L76d3`;?47>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37<>P6<909w);kd;38 0bd281vqps4i06:>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>27[?;0;0x 0bc281/9im51:~yx=h91>1<7*=1982<1=Q:8k1=vB=1b82Sed2;q/=5l51968R4272;q/9ij51:&6`f<63twvq6sm31594?7=83:p(??7:33e?M47k2e:494?:%02>6:180>5<7s-8:47;k;I03g>o6<10;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>499U516=:r.>hi4j;%7gg?c<7[<>a;3xH77d28q]on4={%3;f?7312\:8=4={%7g`?c<,3290/><651968R77f28qG>{zut1vn>>n:186>5<7s-8:47;k;I03g>o6<10;6)<>8;373>N59>1]><^8>;6?u+5ef9a>"2lj0n7psr}:k20<<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:845Y15296~"2lm0n7);kc;g8yx{z3`;>87>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;360>P6<909w);kd;g8 0bd2l1vqps4i07e>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28?m7[?;0;0x 0bc2l1/9im5e:~yx=h91>1<7*=1982<1=Q:8k1=vB=1b82Sed2;q/=5l51968R4272;q/9ij51:&6`f<63twvq6sm31:94?5=83:p(??7:0:0?M47k2c:854?:%02{M02g?7|^ji1>v*>8c820==Q9=:1>v*:de82?!3ck3;0qpsr;h37=?6=,;;36<:8;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{5<4290;w)<>8;7g?M47k2c:854?:%02{M02g?7|^ji1>v*>8c820==Q9=:1>v*:de8;?!3ck320qpsr;h37=?6=,;;36<:8;W02e?7|D;;h64181!3cl320(8jl:99~yx{5<5290;w)<>8;`4?M47k2c:8:4?:%02;6?u+5ef95>"2lj0:7psr}:a7fg=83<1<7>t$33;>4223A8;o6*>69825>o6<>0;6)<>8;373>P59h0:wA<>c;3xR40>2;q/=5l51558R4272;q/9ij51:&6`f<63twvq6gl5;29 77?2j?0Z??n:0yO64e=9r\::44={%3;f?e23_;?<74=#=mi1=6sr}|9jg3<72-8:47m9;W02e?4|D;;h64181!3cl390(8jl:29~yxJ59k0?w[ho4l6:&005<2lm1vZnl52z&6`g<6<>1/?9>55ef8y!0183h0q[ho4l6:&005<2lm1vZnl52z&6`g<6<>1/?9>55ea8y!0183h0q[ho4l6:&005<2lm1vZnl52z&6`g5119~yx=n:921<7*=19814==Q:8k1>vB=1b82S71138p(<6m:32;?S73838p(8jk:g9'1ae=n2wvqA<>b;3xR7762;q]ol4={%7gf?4702.88=4:de9~ 3072o1vqp5f21594?"59109<:5Y20c96~J59j0:w[?99;0x 4>e2;:<7[?;0;0x 0bc2o1/9im5f:~yI46j3;pZ??>:3yUgd<5s-?on71<7*=1982<1=53;294~"59109=85G21a8m42?290/><651558L7703_8:m7?tL33`>4}Qkj09w)?7b;37<>P6<909w);kd;38 0bd281vqps4i06:>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>27[?;0;0x 0bc281/9im51:~yx=h91>1<7*=1982<1=Q:8k1=vB=1b82Sed2;q/=5l51968R4272;q/9ij51:&6`f<63twvq6sm3b094?7=83:p(??7:33e?M47k2e:494?:%02m;:180>5<7s-8:47;k;I03g>o6<10;6)<>8;373>N59>1]><^8>;6?u+5ef9a>"2lj0n7psr}:k20<<72-8:47?;7:J152=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij5e:&6`f8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xd4k<0;6>4?:1y'64>==m1C>=m4i06;>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?;8:T205<5s-?oh7k4$4f`>`=zutw0e<:6:18'64>=9==0D??8;W02e?7|D;;h64181!3cl3o0(8jl:d9~yx{5<4290;w)<>8;7g?M47k2c:854?:%02hn4j;|~y>o6<00;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>489U516=:r.>hi4j;%7gg?ca;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,50z&15=<2l2B9hn4j;|~y>o6<00;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>489U516=:r.>hi4j;%7gg?c<7[<>a;3xH77d28q]on4={%3;f?72<2\:8=4={%7g`?c<,3290/><651968R77f28qG>{zut1vn>m7:187>5<7s-8:47;k;I03g>o6<10;6)<>8;373>N59>1]><^8>;6?u+5ef9a>"2lj0n7psr}:k20<<72-8:47?;7:J152=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij5e:&6`f5583>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a4=zutw0qo=l3;292?6=8r.9=54>829K65e36=4+20:9511<^;;j6hn4>;|~y>o6==0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>559U516=:r.>hi4>;%7gg?7<7[<>a;3xH77d28q]on4={%3;f?72n2\:8=4={%7g`?7<,<651558R77f28qG>{zut1d=5:50;&15=<60=1]>;6?u+5ef95>"2lj0:7psr}:a7fd=8381<7>t$33;>g1<@;:h7d?;7;29 77?28><76a>8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xd4l=0;6>4?:1y'64>=:8?0D?>l;h374181!3cl3;0(8jl:09~yx{26=4+20:9511<^;;j60Z<:?:3y'1ab=92.>hn4>;|~y>{e;m91<7850;2x 77?28>>7E{M02g?7|^8<26?u+19`9511<^8>;6?u+5ef95>"2lj0:7psr}:k`1?6=,;;36n;4V33b>4}K:8i1=vX>6881!7?j3i>7[?;0;0x 0bc281/9im51:~yx=nk?0;6)<>8;a5?S46i38p@??l:0yU53?=:r.:4o4l6:T205<5s-?oh7=4$4f`>6=zutF9=o4;{W03=?5|^jk1>v*:dc8`2>"4<90>hi5rVb`96~"2lk0:8:5+35291abv*:dc8`2>"4<90>hi5rVb`96~"2lk0:8:5+35291aev*:dc8`2>"4<90>hi5rVb`96~"2lk0h96*<4186`a=z,?<;6?5rV0:b>7}Qkh09w);kb;a5?!5383?oh6s+672955=zut1b>=650;&15=<5811]>76?3_;?<7c=#=mi1j6sr}M02f?7|^;;:6?uYc`81!3cj38;46*<4186`a=z,?<;6k5r}|9j651=83.9=54=069U64g=:rF9=n4>{W35=?4|,82i6?>8;W374?4|,{zuE8:n7?tV332>7}Qkh09w);kb;033>"4<90>hi5r$743>c=zut1d=5:50;&15=<60=10qo=lc;297?6=8r.9=54=149K65e36=4+20:9511<^;;j6hn4>;|~y>i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4>;%7gg?74<729q/><6520d8L76d3f;387>5$33;>4>332wi?nh50;694?6|,;;368j4H32`?l7303:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?;8:T205<5s-?oh7k4$4f`>`=zutw0e<:6:18'64>=9==0D??8;W02e?7|D;;h64181!3cl3o0(8jl:d9~yx{0Z<:?:3y'1ab=92.>hn4>;|~y>{e;m:1<7;50;2x 77?2l;h374181!3cl3o0(8jl:d9~yx{26=4+20:9511<^;;j60Z<:?:3y'1ab=m2.>hn4j;|~y>o6=o0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>5g9U516=:r.>hi4j;%7gg?ca;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,50z&15=<2l2B9hn4j;|~y>o6<00;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>489U516=:r.>hi4j;%7gg?c<7[<>a;3xH77d28q]on4={%3;f?72<2\:8=4={%7g`?c<,<651558L7703_8:m7?tL33`>4}Qkj09w)?7b;36b>P6<909w);kd;g8 0bd2l1vqps4o0:7>5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj:in6=4;:183!4603;3?6F=0b9j51>=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=964V063>7}#=mn1=6*:db82?x{zu2c:844?:%02{M02g?7|^ji1>v*>8c820<=Q9=:1>v*:de82?!3ck3;0qpsr;h360?6=,;;36<:8;W02e?7|D;;h686X>4181!3cl3;0(8jl:09~yx{5<5290;w)<>8;`4?M47k2c:8:4?:%02;6?u+5ef95>"2lj0:7psr}:a7a`=8391<7>t$33;>7723A8;o6g>4983>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?l7313:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?;9:T205<5s-?oh7m4$4f`>f=zutw0c<6;:18'64>=91>0Z??n:0yO64e=9r\ho74>33_;?<74=#=mi1=6sr}|9~f6bb290=6=4?{%02!4603i>7[<>a;3xH77d28q]=;752z&27}#91h1o;5Y15296~"2lm087);kc;18yx{K:8h18vX=0880Sef2;q/9il5c79'716==mn0q[mm:3y'1ad=9==0(>:?:4fg?x"1>90i7pX=0880Sef2;q/9il5c79'716==mn0q[mm:3y'1ad=9==0(>:?:4f`?x"1>90i7pX=0880Sef2;q/9il5c79'716==mn0q[mm:3y'1ad=k<1/?9>55ef8y!018380q[?7a;0xRfg=:r.>ho4l6:&005<2lm1v(;8?:028yx{<^;;j6?uC20a95~P6>009w)?7b;03<>P6<909w);kd;d8 0bd2o1vqpB=1c82S46938pZno52z&6`g<5811/?9>55ef8y!0183l0qps4i324>5<#:821>=94V33b>7}K:8i1=vX>6881!7?j38;;6X>4181!3cl3l0(8jl:g9~yxJ59k0:w[<>1;0xRfg=:r.>ho4=069'716==mn0q)890;d8yx{5}#:821><;4H32`?l7303:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?;8:T205<5s-?oh7?4$4f`>4=zutw0e<:6:18'64>=9==0Z??n:0yO64e=9r\ho742>3_;?<74=#=mi1=6sr}|9l5=2=83.9=54>859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1=6*:db82?x{zu2wi?i950;394?6|,;;36??i;I03g>i60=0;6)<>8;3;0>=zj:n26=4;:183!4603?o7E5$33;>4203A8:;6X=1`82I46k3;pZnm52z&252z&6`a`=zutw0c<6;:18'64>=91>0Z??n:0yO64e=9r\ho74>33_;?<74=#=mi1=6sr}|9~f6bf290=6=4?{%024983>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a`=zutw0e<;;:18'64>=9==0Z??n:0yO64e=9r\ho74333_;?<7`=#=mi1i6sr}|9j50`=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=8h4V063>7}#=mn1i6*:db8f?x{zu2c::?4?:%02{M02g?7|^ji1>v*>8c8227=Q9=:1>v*:de8f?!3ck3o0qpsr;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{56;294~"5910>h6F=0b9j51>=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=964V063>7}#=mn1i6*:db8f?x{zu2c:844?:%02{M02g?7|^ji1>v*>8c820<=Q9=:1>v*:de8f?!3ck3o0qpsr;h360?6=,;;36<:8;W02e?7|D;;h686X>4181!3cl3o0(8jl:d9~yx{{zut1d=5:50;&15=<60=1]>;6?u+5ef95>"2lj0:7psr}:a7ae=83<1<7>t$33;>0b<@;:h7d?;8;29 77?28><7[<>a;3xH77d28q]on4={%3;f?7302\:8=4={%7g`?c<,290/><651558R77f28qG>{zut1b=8:50;&15=<6<>1C><94V33b>4}K:8i1=vXlc;0x 4>e28??7[?;0;0x 0bc2l1/9im5e:~yx=n943a3_;?<7`=#=mi1i6sr}|9j534=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=;<4V063>7}#=mn1i6*:db8f?x{zu2e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`0`=<72<0;6=u+20:95=5<@;:h7d?;8;29 77?28><7[<>a;3xH77d28q]on4={%3;f?7302\:8=4={%7g`?7<,290/><651558R77f28qG>{zut1b=8:50;&15=<6<>1]>;6?u+5ef95>"2lj0:7psr}:k21c<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:9k5Y15296~"2lm0:7);kc;38yx{z3f;387>5$33;>4>33_8:m7?tL33`>4}Qkj09w)?7b;3;0>P6<909w);kd;38 0bd281vqps4}c0`0?6=:3:1N58j1b=9950;&15=<6<>10c<6;:18'64>=91>0Z??n:0yO64e=9r\ho74>33_;?<74=#=mi1=6sr}|9~f7e?29096=4?{%024683>!4603;?;65`19694?"5910:495Y20c95~J59j0:w[ml:3y'5=d=91>0Z<:?:3y'1ab=92.>hn4>;|~y>{e:jo1<7=50;2x 77?2;;>7E5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37=>P6<909w);kd;a8 0bd2j1vqps4o0:7>5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj;io6=49:183!4603;?96F=0b9'53>=981b=9950;&15=<6<>1]>4203_;?<74=#=mi1=6sr}|9jg0<72-8:47m:;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{P59h09wA<>c;3xR40>2;q/=5l5c79U516=:r.>hi4<;%7gg?57}#=mh1=994$263>0bc3t.=:=4m;|T14<<4s_ij6?u+5e`9g3=#;=:19ij4}Waa>7}#=mh1=994$263>0bd3t.=:=4m;|T14<<4s_ij6?u+5e`9g3=#;=:19ij4}Waa>7}#=mh1o85+35291abv*:dc8`2>"4<90>hi5r$743>467:18'64>=:920Z??n:3yO64e=9r\::44={%3;f?4702\:8=4={%7g`?`<,<652158R77f2;qG>c=zutF9=o4>{W025?4|^jk1>v*:dc8142=#;=:19ij4}%454?`=91>07pl=c483>6<729q/><652078L76d3`;?47>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37<>P6<909w);kd;38 0bd281vqps4i06:>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>27[?;0;0x 0bc281/9im51:~yx=h91>1<7*=1982<1=Q:8k1=vB=1b82Sed2;q/=5l51968R4272;q/9ij51:&6`f<63twvq6sm2b494?7=83:p(??7:33e?M47k2e:494?:%025<7s-8:47;k;I03g>o6<10;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>499U516=:r.>hi4j;%7gg?c<7E<>7:T15d<6sE8:o7?tVba96~"60k0:845Y15296~"2lm0n7);kc;g8yx{z3`;>87>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;360>P6<909w);kd;g8 0bd2l1vqps4i07e>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?:f:T205<5s-?oh7k4$4f`>`=zutw0c<6;:18'64>=91>0Z??n:0yO64e=9r\ho74>33_;?<74=#=mi1=6sr}|9~f7e>290=6=4?{%024983>!4603;?;6F=169U64g=9rF9=n4>{Wa`>7}#91h1=964V063>7}#=mn156*:db8:?x{zu2c:844?:%02hn46;|~y>o6==0;6)<>8;373>N59>1]>;6?u+5ef9=>"2lj027psr}:k21c<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:9k5Y15296~"2lm027);kc;;8yx{z3`;=>7>5$33;>4203A8:;6X=1`82I46k3;pZnm52z&2;1]=9>52z&6`a4=zutw0qo42?3_;?<7<=#=mi156sr}|9j51?=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=974V063>7}#=mn156*:db8:?x{zu2c:994?:%02{M02g?7|^ji1>v*>8c8211=Q9=:1>v*:de8:?!3ck330qpsr;h36b?6=,;;36<:8;W02e?7|D;;h6j6X>4181!3cl3l0(8jl:g9~yx{a;3xH77d28q]on4={%3;f?71:2\:8=4={%7g`?`<,3290/><651968R77f28qG>{zut1vn?mm:180>5<7s-8:47?73:J14f=n9=21<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515:8R4272;q/9ij51:&6`f<63twvq6g>4883>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?j7?<3:1(??7:0:7?S46i3;p@??l:0yUgf<5s-;3n7?74:T205<5s-?oh7?4$4f`>4=zutw0qo:68;296?6=8r.9=54m7:J14f=n9==1<7*=198202=5<1290;w)<>8;371>N58j1/=;65109j511=83.9=54>469U64g=9rF9=n4>{W35=?4|,82i6<:8;W374?4|,{zut1bo84?:%024}Q9?31>v*>8c8`1>P6<909w);kd;38 0bd281vqps4ib494?"5910h:6X=1`81I46k3;pZ<86:3y'5=d=k?1]=9>52z&6`a<43-?oo7=4}|O64d=7}#=mh1o;5+35291ab;68jk;|&5257}#=mh1o;5+35291ab;68jl;|&5257}#=mh1o;5+35291ab4}|8m76?290/><6521:8R77f2;qG>c=zutF9=o4>{W025?4|^jk1>v*:dc814==#;=:19ij4}%454?`8:18'64>=:9=0Z??n:3yO64e=9r\::44={%3;f?47?2\:8=4={%7g`?`<,3290/><651968?xd3100;6>4?:1y'64>=:8?0D?>l;h374181!3cl3;0(8jl:09~yx{26=4+20:9511<^;;j60Z<:?:3y'1ab=92.>hn4>;|~y>{e<0k1<7?50;2x 77?2;;m7E4983>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a`=zutw0c<6;:18'64>=91>0Z??n:0yO64e=9r\ho74>33_;?<74=#=mi1=6sr}|9~f7ea29096=4?{%024683>!4603;?;65`19694?"5910:495Y20c95~J59j0:w[ml:3y'5=d=91>0Z<:?:3y'1ab=92.>hn4>;|~y>{e:m<1<7850;2x 77?28>>7E{M02g?7|^8<26?u+19`9511<^8>;6?u+5ef95>"2lj0:7psr}:k`1?6=,;;36n;4V33b>4}K:8i1=vX>6881!7?j3i>7[?;0;0x 0bc281/9im51:~yx=nk?0;6)<>8;a5?S46i38p@??l:0yU53?=:r.:4o4l6:T205<5s-?oh7=4$4f`>6=zutF9=o4;{W03=?5|^jk1>v*:dc8`2>"4<90>hi5rVb`96~"2lk0:8:5+35291abv*:dc8`2>"4<90>hi5rVb`96~"2lk0:8:5+35291aev*:dc8`2>"4<90>hi5rVb`96~"2lk0h96*<4186`a=z,?<;6?5rV0:b>7}Qkh09w);kb;a5?!5383?oh6s+672955=zut1b>=650;&15=<5811]>76?3_;?<7c=#=mi1j6sr}M02f?7|^;;:6?uYc`81!3cj38;46*<4186`a=z,?<;6k5r}|9j651=83.9=54=069U64g=:rF9=n4>{W35=?4|,82i6?>8;W374?4|,{zuE8:n7?tV332>7}Qkh09w);kb;033>"4<90>hi5r$743>c=zut1d=5:50;&15=<60=10qo36=4+20:9511<^;;j6hn4>;|~y>i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4>;%7gg?74<729q/><6520d8L76d3f;387>5$33;>4>332wi>i=50;194?6|,;;368j4H32`?l7303:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?;8:T205<5s-?oh7k4$4f`>`=zutw0e<:6:18'64>=9==0D??8;W02e?7|D;;h64181!3cl3o0(8jl:d9~yx{5<4290;w)<>8;7g?M47k2c:854?:%02hn4j;|~y>o6<00;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>489U516=:r.>hi4j;%7gg?ca;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,50z&15=<60:1C>=m4i06;>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>37[?;0;0x 0bc281/9im51:~yx=n9=31<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij51:&6`f<63twvq6a>8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xd3n?0;6?4?:1y'64>=j>1C>=m4i064>5<#:821=994;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{7>56;294~"5910:885G21a8 40?28;0e<:8:18'64>=9==0Z??n:0yO64e=9r\::44={%3;f?73?2\:8=4={%7g`?7<,7}#91h1o85Y15296~"2lm0:7);kc;38yx{z3`i=6=4+20:9g3=Q:8k1>vB=1b82S71138p(<6m:b48R4272;q/9ij53:&6`f<43twv@??m:5yU65?=;r\hm7f0<,:>;68jk;|T`f?4|,5b:U65?=;r\hm7f0<,:>;68jk;|T`f?4|,5b:U65?=;r\hm7f0<,:>;68jk;|T`f?4|,0bc3t.=:=4=;|T2a;0xH77d28q]=;752z&252z&6`a7}#=mh1>=64$263>0bc3t.=:=4i;|~?l47?3:1(??7:324?S46i38p@??l:0yU53?=:r.:4o4=069U516=:r.>hi4i;%7gg?`;68jk;|&525hn4>;|~y>o6<00;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>489U516=:r.>hi4>;%7gg?7a;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,50z&15=<59o1C>=m4o0:7>5<#:821=5:4;|`7bd<72:0;6=u+20:91a=O:9i0e<:7:18'64>=9==0Z??n:0yO64e=9r\ho742?3_;?<7`=#=mi1i6sr}|9j51?=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=974V063>7}#=mn1i6*:db8f?x{zu2e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`7bg<72:0;6=u+20:91a=O:9i0e<:7:18'64>=9==0D??8;W02e?7|D;;h64181!3cl3o0(8jl:d9~yx{26=4+20:9511<@;;<7[<>a;3xH77d28q]on4={%3;f?7312\:8=4={%7g`?c<,3290/><651968R77f28qG>{zut1vn9hl:180>5<7s-8:47;k;I03g>o6<10;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>499U516=:r.>hi4>;%7gg?7<7[<>a;3xH77d28q]on4={%3;f?7312\:8=4={%7g`?7<,3290/><651968R77f28qG>{zut1vn9hk:180>5<7s-8:47;k;I03g>o6<10;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>499U516=:r.>hi4>;%7gg?7<7[<>a;3xH77d28q]on4={%3;f?7312\:8=4={%7g`?7<,3290/><651968R77f28qG>{zut1vn9hj:180>5<7s-8:47;k;I03g>o6<10;6)<>8;373>N59>1]><^8>;6?u+5ef93>"2lj0<7psr}:k20<<72-8:47?;7:J152=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij57:&6`f<03twvq6a>8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xd3no0;6>4?:1y'64>==m1C>=m4i06;>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>37[?;0;0x 0bc2>1/9im57:~yx=n9=31<7*=198202=O:8=0Z??n:0yO64e=9r\ho742>3_;?<7`=#=mi1i6sr}|9l5=2=83.9=54>859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1=6*:db82?x{zu2wi9=>50;194?6|,;;368j4H32`?l7303:1(??7:064?M46?2\9=l4>{M02g?7|^ji1>v*>8c820==Q9=:1>v*:de8f?!3ck3o0qpsr;h37=?6=,;;36<:8;I023>P59h0:wA<>c;3xRfe=:r.:4o4>489U516=:r.>hi4j;%7gg?ca;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,50z&15=<60:1C>=m4i06;>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>37[?;0;0x 0bc281/9im51:~yx=n9=31<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij51:&6`f<63twvq6g>5583>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?l72n3:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?:f:T205<5s-?oh7?4$4f`>4=zutw0e<8=:18'64>=9==0Z??n:0yO64e=9r\ho74053_;?<74=#=mi1=6sr}|9j535=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=;=4V063>7}#=mn1=6*:db82?x{zu2c::94?:%02{M02g?7|^ji1>v*>8c8221=Q9=:1>v*:de82?!3ck3;0qpsr;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{52;294~"5910i;6F=0b9j511=83.9=54>4698k4>3290/><651968R77f28qG>{zut1vn8k<:185>5<7s-8:47?;5:J14f=#9?21=<5f15594?"5910:8:5Y20c95~J59j0:w[?99;0x 4>e28><7[?;0;0x 0bc281/9im51:~yx=nk<0;6)<>8;a6?S46i3;p@??l:0yU53?=:r.:4o4l5:T205<5s-?oh7?4$4f`>4=zutw0en850;&15=2\9=l4={M02g?7|^8<26?u+19`9g3=Q9=:1>v*:de80?!3ck390qpsC20`90~P58008w[mn:3y'1ad=k?1/?9>55ef8ySee2;q/9il51558 627255ef8ySee2;q/9il51558 627255ef8ySee2;q/9il5c49'716==mn0q)890;08yS7?i38pZno52z&6`g2.88=4:de9~ 30728:0qps4i32;>5<#:821>=64V33b>7}K:8i1=vX>6881!7?j38;46X>4181!3cl3l0(8jl:g9~yxJ59k0:w[<>1;0xRfg=:r.>ho4=099'716==mn0q)890;d8yx{009w)?7b;033>P6<909w);kd;d8 0bd2o1vqpB=1c82S46938pZno52z&6`g<58>1/?9>55ef8y!0183l0qps4o0:7>5<#:821=5:4;|`6`c<72:0;6=u+20:9643<@;:h7d?;8;29 77?28><7E<>7:T15d<6sE8:o7?tVba96~"60k0:855Y15296~"2lm0:7);kc;38yx{z3`;?57>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37=>P6<909w);kd;38 0bd281vqps4o0:7>5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj:183!46038:j6F=0b9l5=2=83.9=54>8598yg3b93:1?7>50z&15=<2l2B9hn48;|~y>o6<00;6)<>8;373>N59>1]>;6?u+5ef9a>"2lj0n7psr}:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0:7);kc;38yx{z3th=8?4?:383>5}#:821n:5G21a8m420290/><651558?j7?<3:1(??7:0:7?S46i3;p@??l:0yUgf<5s-;3n7?74:T205<5s-?oh7?4$4f`>4=zutw0qo8;7;292?6=8r.9=54>449K65e<,8<365<#:821=994V33b>4}K:8i1=vX>6881!7?j3;?;6X>4181!3cl3;0(8jl:09~yx{P59h0:wA<>c;3xR40>2;q/=5l5c49U516=:r.>hi4>;%7gg?7=k?1]>f0<^8>;6?u+5ef97>"2lj087psrL33a>1}Q:931?vXla;0x 0be2j<0(>:?:4fg?xPdj38p(8jm:064?!5383?oh6s+6729f>{Q:931?vXla;0x 0be2j<0(>:?:4fg?xPdj38p(8jm:064?!5383?oo6s+6729f>{Q:931?vXla;0x 0be2j<0(>:?:4fg?xPdj38p(8jm:b78 627255ef8y!0183;;7psr;h037;W02e?4|D;;h65$33;>7603_8:m74}Q9?31>v*>8c8142=Q9=:1>v*:de8e?!3ck3l0qpsC20`95~P59809w[mn:3y'1ad=:9=0(>:?:4fg?x"1>90m7psr;n3;0?6=,;;36<6;;:a215=8391<7>t$33;>7723A8;o6g>4983>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?l7313:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?;9:T205<5s-?oh7?4$4f`>4=zutw0c<6;:18'64>=91>0Z??n:0yO64e=9r\ho74>33_;?<74=#=mi1=6sr}|9~f323290:6=4?{%02N58j1b=9650;&15=<6<>1C><94V33b>4}K:8i1=vXlc;0x 4>e28>37[?;0;0x 0bc2l1/9im5e:~yx=n9=31<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij5e:&6`f8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xd4nl0;6?4?:1y'64>=j>1C>=m4i064>5<#:821=994;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{;m7>53;294~"59109=85G21a8m42?290/><651558R77f28qG>{zut1b=9750;&15=<6<>1]>;6?u+5ef9g>"2lj0h7psr}:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0:7);kc;38yx{z3th?<44?:783>5}#:821=9;4H32`?!7103;:7d?;7;29 77?28><7[<>a;3xH77d28q]=;752z&21]=9>52z&6`a<63-?oo7?4}|~?le2290/><65c49U64g=9rF9=n4>{W35=?4|,82i6n;4V063>7}#=mn1=6*:db82?x{zu2ch:7>5$33;>f0<^;;j6?uC20a95~P6>009w)?7b;a5?S73838p(8jk:29'1ae=;2wvqA<>b;6xR76>2:q]ol4={%7gf?e13-9?<7;kd:Ugg<5s-?on7?;7:&005<2lm1v(;8?:c9~R76>2:q]ol4={%7gf?e13-9?<7;kd:Ugg<5s-?on7?;7:&005<2lj1v(;8?:c9~R76>2:q]ol4={%7gf?e13-9?<7;kd:Ugg<5s-?on7m:;%174?3cl2w/:;>52:U5=g=:r\hm7f0<,:>;68jk;|&525<682wvq6g=0983>!46038;46X=1`81I46k3;pZ<86:3y'5=d=:920Z<:?:3y'1ab=n2.>hn4i;|~H77e28q]>7;%174?3cl2w/:;>5f:~y>o58>0;6)<>8;033>P59h09wA<>c;3xR40>2;q/=5l52158R4272;q/9ij5f:&6`f7603-9?<7;kd:'236=n2wvq6a>8583>!4603;3865rb2de>5<4290;w)<>8;021>N58j1b=9650;&15=<6<>1]><^8>;6?u+5ef95>"2lj0:7psr}:k20<<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:845Y15296~"2lm0:7);kc;38yx{z3f;387>5$33;>4>33_8:m7?tL33`>4}Qkj09w)?7b;3;0>P6<909w);kd;38 0bd281vqps4}c634?6=93:1=91>07pl;0383>6<729q/><655e9K65e36=4+20:9511<^;;j6hn4>;|~y>i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4>;%7gg?70<729q/><655e9K65e36=4+20:9511<@;;<7[<>a;3xH77d28q]on4={%3;f?7302\:8=4={%7g`?c<,290/><651558L7703_8:m7?tL33`>4}Qkj09w)?7b;37=>P6<909w);kd;g8 0bd2l1vqps4i077>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28??7[?;0;0x 0bc2l1/9im5e:~yx=n98583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xd38=0;654?:1y'64>==m1C>=m4i06;>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>37[?;0;0x 0bc2l1/9im5e:~yx=n9=31<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij5e:&6`f5583>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a2=zutw0e<8=:18'64>=9==0Z??n:0yO64e=9r\ho74053_;?<7`=#=mi1i6sr}|9j535=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=;=4V063>7}#=mn1;6*:db84?x{zu2c::94?:%02{M02g?7|^ji1>v*>8c8221=Q9=:1>v*:de8f?!3ck3o0qpsr;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{;97>58;294~"5910>h6F=0b9j51>=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=964V063>7}#=mn1i6*:db8f?x{zu2c:844?:%02{M02g?7|^ji1>v*>8c820<=Q9=:1>v*:de8f?!3ck3o0qpsr;h360?6=,;;36<:8;W02e?7|D;;h686X>4181!3cl3o0(8jl:d9~yx{{zut1b=;=50;&15=<6<>1C><94V33b>4}K:8i1=vXlc;0x 4>e28<87[?;0;0x 0bc2>1/9im57:~yx=n9?>1<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l51768R4272;q/9ij5e:&6`f8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xd38?0;644?:1y'64>==m1C>=m4i06;>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>37[?;0;0x 0bc2l1/9im5e:~yx=n9=31<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij5e:&6`f5583>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a2=zutw0e<8=:18'64>=9==0Z??n:0yO64e=9r\ho74053_;?<7`=#=mi1i6sr}|9j535=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=;=4V063>7}#=mn1;6*:db84?x{zu2c::94?:%02{M02g?7|^ji1>v*>8c8221=Q9=:1>v*:de8f?!3ck3o0qpsr;h351?6=,;;36<:8;W02e?7|D;;h64181!3cl3o0(8jl:d9~yx{5<>290;w)<>8;7g?M47k2c:854?:%02{M02g?7|^ji1>v*>8c820==Q9=:1>v*:de8f?!3ck3o0qpsr;h37=?6=,;;36<:8;W02e?7|D;;h64181!3cl3o0(8jl:d9~yx{hn48;|~y>o6>;0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>639U516=:r.>hi4j;%7gg?c<7[<>a;3xH77d28q]on4={%3;f?71;2\:8=4={%7g`?1<,<651558R77f28qG>{zut1b=;;50;&15=<6<>1]>;6?u+5ef9a>"2lj0n7psr}:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0:7);kc;38yx{z3th?<<4?:683>5}#:821=5=4H32`?l7303:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?;8:T205<5s-?oh7?4$4f`>4=zutw0e<:6:18'64>=9==0Z??n:0yO64e=9r\ho742>3_;?<74=#=mi1=6sr}|9j502=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=8:4V063>7}#=mn1=6*:db82?x{zu2c:9k4?:%02{M02g?7|^ji1>v*>8c821c=Q9=:1>v*:de82?!3ck3;0qpsr;h356?6=,;;36<:8;W02e?7|D;;h66X>4181!3cl3;0(8jl:09~yx{0Z<:?:3y'1ab=92.>hn4>;|~y>{e<9h1<7<50;2x 77?2k=0D?>l;h373?6=,;;36<:8;:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0:7);kc;38yx{z3th?==4?:783>5}#:821=9;4H32`?!7103;:7d?;7;29 77?28><7[<>a;3xH77d28q]=;752z&21]=9>52z&6`a<63-?oo7?4}|~?le2290/><65c49U64g=9rF9=n4>{W35=?4|,82i6n;4V063>7}#=mn1=6*:db82?x{zu2ch:7>5$33;>f0<^;;j6?uC20a95~P6>009w)?7b;a5?S73838p(8jk:29'1ae=;2wvqA<>b;6xR76>2:q]ol4={%7gf?e13-9?<7;kd:Ugg<5s-?on7?;7:&005<2lm1v(;8?:c9~R76>2:q]ol4={%7gf?e13-9?<7;kd:Ugg<5s-?on7?;7:&005<2lj1v(;8?:c9~R76>2:q]ol4={%7gf?e13-9?<7;kd:Ugg<5s-?on7m:;%174?3cl2w/:;>52:U5=g=:r\hm7f0<,:>;68jk;|&525<682wvq6g=0983>!46038;46X=1`81I46k3;pZ<86:3y'5=d=:920Z<:?:3y'1ab=n2.>hn4i;|~H77e28q]>7;%174?3cl2w/:;>5f:~y>o58>0;6)<>8;033>P59h09wA<>c;3xR40>2;q/=5l52158R4272;q/9ij5f:&6`f7603-9?<7;kd:'236=n2wvq6a>8583>!4603;3865rb52`>5<4290;w)<>8;021>N58j1b=9650;&15=<6<>1]><^8>;6?u+5ef95>"2lj0:7psr}:k20<<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:845Y15296~"2lm0:7);kc;38yx{z3f;387>5$33;>4>33_8:m7?tL33`>4}Qkj09w)?7b;3;0>P6<909w);kd;38 0bd281vqps4}c63`?6=93:1=91>07pl;0d83>6<729q/><655e9K65e36=4+20:9511<^;;j6hn4j;|~y>i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4>;%7gg?77<729q/><65b69K65e<6=4+20:9511<3f;387>5$33;>4>33_8:m7?tL33`>4}Qkj09w)?7b;3;0>P6<909w);kd;38 0bd281vqps4}c47`?6=>3:1<651558R77f28qG>4=zutw0en;50;&15={M02g?7|^8<26?u+19`9g0=Q9=:1>v*:de82?!3ck3;0qpsr;ha5>5<#:821o;5Y20c96~J59j0:w[?99;0x 4>e2j<0Z<:?:3y'1ab=;2.>hn4<;|~H77e2=q]>=753zT`e?4|,0bc3t\hn74203-9?<7;kd:'236=j2w]>=753zT`e?4|,0bc3t\hn74203-9?<7;kc:'236=j2w]>=753zT`e?4|,0bc3t\hn7f3<,:>;68jk;|&525<53t\:4l4={Wab>7}#=mh1o;5+35291abhi4i;%7gg?`<,:>;68jk;|&525a;0xH77d28q]=;752z&21]=9>52z&6`a7}#=mh1>=94$263>0bc3t.=:=4i;|~?j7?<3:1(??7:0:7?>{e>=31<7=50;2x 77?2;;>7E5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37=>P6<909w);kd;38 0bd281vqps4o0:7>5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj?>j6=4>:183!46038:j6F=0b9l5=2=83.9=54>8598yg03j3:1?7>50z&15=<2l2B9hn4j;|~y>o6<00;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>489U516=:r.>hi4j;%7gg?ca;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,7>50z&15=5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj;8h6=49:183!4603;?96F=0b9'53>=981b=9950;&15=<6<>1]>4203_;?<74=#=mi1=6sr}|9jg0<72-8:47m:;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{P59h09wA<>c;3xR40>2;q/=5l5c79U516=:r.>hi4<;%7gg?57}#=mh1=994$263>0bc3t.=:=4m;|T14<<4s_ij6?u+5e`9g3=#;=:19ij4}Waa>7}#=mh1=994$263>0bd3t.=:=4m;|T14<<4s_ij6?u+5e`9g3=#;=:19ij4}Waa>7}#=mh1o85+35291abv*:dc8`2>"4<90>hi5r$743>467:18'64>=:920Z??n:3yO64e=9r\::44={%3;f?4702\:8=4={%7g`?`<,<652158R77f2;qG>c=zutF9=o4>{W025?4|^jk1>v*:dc8142=#;=:19ij4}%454?`=91>07pl=2983>6<729q/><652078L76d3`;?47>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37<>P6<909w);kd;38 0bd281vqps4i06:>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>27[?;0;0x 0bc281/9im51:~yx=h91>1<7*=1982<1=Q:8k1=vB=1b82Sed2;q/=5l51968R4272;q/9ij51:&6`f<63twvq6sm23;94?7=83:p(??7:33e?M47k2e:494?:%025<7s-8:47;k;I03g>o6<10;6)<>8;373>N59>1]><^8>;6?u+5ef93>"2lj0<7psr}:k20<<72-8:47?;7:J152=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij57:&6`f<03twvq6a>8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xd4:=0;6?4?:1y'64>=j>1C>=m4i064>5<#:821=994;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{56;294~"5910:885G21a8 40?28;0e<:8:18'64>=9==0Z??n:0yO64e=9r\::44={%3;f?73?2\:8=4={%7g`?7<,7}#91h1o85Y15296~"2lm0:7);kc;38yx{z3`i=6=4+20:9g3=Q:8k1>vB=1b82S71138p(<6m:b48R4272;q/9ij53:&6`f<43twv@??m:5yU65?=;r\hm7f0<,:>;68jk;|T`f?4|,5b:U65?=;r\hm7f0<,:>;68jk;|T`f?4|,5b:U65?=;r\hm7f0<,:>;68jk;|T`f?4|,0bc3t.=:=4=;|T2a;0xH77d28q]=;752z&252z&6`a7}#=mh1>=64$263>0bc3t.=:=4i;|~?l47?3:1(??7:324?S46i38p@??l:0yU53?=:r.:4o4=069U516=:r.>hi4i;%7gg?`;68jk;|&525{M02g?7|^ji1>v*>8c820==Q9=:1>v*:de82?!3ck3;0qpsr;h37=?6=,;;36<:8;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{5<6290;w)<>8;02b>N58j1d=5:50;&15=<60=10qo==8;290?6=8r.9=54:d:J14f=n9=21<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515:8R4272;q/9ij5e:&6`f4883>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a{M02g?7|^ji1>v*>8c8211=Q9=:1>v*:de8f?!3ck3o0qpsr;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{54;294~"5910>h6F=0b9j51>=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=964V063>7}#=mn1i6*:db8f?x{zu2c:844?:%02hn4j;|~y>o6==0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>559U516=:r.>hi4j;%7gg?ca;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,50z&15=<60:1C>=m4i06;>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>37[?;0;0x 0bc281/9im51:~yx=n9=31<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij51:&6`f<63twvq6a>8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xd4m90;6?4?:1y'64>=j>1C>=m4i064>5<#:821=994;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{56;294~"5910:885G21a8 40?28;0e<:8:18'64>=9==0Z??n:0yO64e=9r\::44={%3;f?73?2\:8=4={%7g`?7<,7}#91h1o85Y15296~"2lm0:7);kc;38yx{z3`i=6=4+20:9g3=Q:8k1>vB=1b82S71138p(<6m:b48R4272;q/9ij53:&6`f<43twv@??m:5yU65?=;r\hm7f0<,:>;68jk;|T`f?4|,5b:U65?=;r\hm7f0<,:>;68jk;|T`f?4|,5b:U65?=;r\hm7f0<,:>;68jk;|T`f?4|,0bc3t.=:=4=;|T2a;0xH77d28q]=;752z&252z&6`a7}#=mh1>=64$263>0bc3t.=:=4i;|~?l47?3:1(??7:324?S46i38p@??l:0yU53?=:r.:4o4=069U516=:r.>hi4i;%7gg?`;68jk;|&525{M02g?7|^ji1>v*>8c820==Q9=:1>v*:de82?!3ck3;0qpsr;h37=?6=,;;36<:8;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{5<6290;w)<>8;02b>N58j1d=5:50;&15=<60=10qo=j3;293?6=8r.9=54:d:J14f=n9=21<7*=198202=O:8=0Z??n:0yO64e=9r\ho742?3_;?<7`=#=mi1i6sr}|9j51?=83.9=54>469K641<^;;j6{zut1b=8h50;&15=<6<>1C><94V33b>4}K:8i1=vXlc;0x 4>e28?m7[?;0;0x 0bc2l1/9im5e:~yx=n9?81<7*=198202=O:8=0Z??n:0yO64e=9r\ho74053_;?<7`=#=mi1i6sr}|9j535=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=;=4V063>7}#=mn1i6*:db8f?x{zu2e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`0a3<72;0;6=u+20:9f2=O:9i0e<:8:18'64>=9==07b?74;29 77?282?7[<>a;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,50z&15=<6<<1C>=m4$04;>47<6=4+20:9511<^;;j6009w)?7b;373>P6<909w);kd;38 0bd281vqps4ib794?"5910h96X=1`82I46k3;pZ<86:3y'5=d=k<1]=9>52z&6`a<63-?oo7?4}|~?le1290/><65c79U64g=:rF9=n4>{W35=?4|,82i6n84V063>7}#=mn1?6*:db80?x{zD;;i69uY21;97~Pdi38p(8jm:b48 6272<7)=;0;7g`>{#>?:1n6sY21;97~Pdi38p(8jm:b48 6272<7)=;0;7gg>{#>?:1n6sY21;97~Pdi38p(8jm:b48 6272:?:4fg?x"1>9097pX>8`81Sef2;q/9il5c79'716==mn0q)890;33?x{z3`8;47>5$33;>76?3_8:m74}Q9?31>v*>8c814==Q9=:1>v*:de8e?!3ck3l0qpsC20`95~P59809w[mn:3y'1ad=:920(>:?:4fg?x"1>90m7psr;h033?6=,;;36?>8;W02e?4|D;;h65$33;>4>332wi?h950;194?6|,;;36??:;I03g>o6<10;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>499U516=:r.>hi4>;%7gg?7<7[<>a;3xH77d28q]on4={%3;f?7312\:8=4={%7g`?7<,3290/><651968R77f28qG>{zut1vn>k7:182>5<7s-8:47<>f:J14f=h91>1<7*=1982<1=53;294~"5910>h6F=0b9j51>=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=964V063>7}#=mn1;6*:db84?x{zu2c:844?:%02{M02g?7|^ji1>v*>8c820<=Q9=:1>v*:de84?!3ck3=0qpsr;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{7>52;294~"5910i;6F=0b9j511=83.9=54>4698k4>3290/><651968R77f28qG>{zut1vn>hk:185>5<7s-8:47?;5:J14f=#9?21=<5f15594?"5910:8:5Y20c95~J59j0:w[?99;0x 4>e28><7[?;0;0x 0bc281/9im51:~yx=nk<0;6)<>8;a6?S46i3;p@??l:0yU53?=:r.:4o4l5:T205<5s-?oh7?4$4f`>4=zutw0en850;&15=2\9=l4={M02g?7|^8<26?u+19`9g3=Q9=:1>v*:de80?!3ck390qpsC20`90~P58008w[mn:3y'1ad=k?1/?9>55ef8ySee2;q/9il51558 627255ef8ySee2;q/9il51558 627255ef8ySee2;q/9il5c49'716==mn0q)890;08yS7?i38pZno52z&6`g2.88=4:de9~ 30728:0qps4i32;>5<#:821>=64V33b>7}K:8i1=vX>6881!7?j38;46X>4181!3cl3l0(8jl:g9~yxJ59k0:w[<>1;0xRfg=:r.>ho4=099'716==mn0q)890;d8yx{009w)?7b;033>P6<909w);kd;d8 0bd2o1vqpB=1c82S46938pZno52z&6`g<58>1/?9>55ef8y!0183l0qps4o0:7>5<#:821=5:4;|`0b6<72:0;6=u+20:9643<@;:h7d?;8;29 77?28><7E<>7:T15d<6sE8:o7?tVba96~"60k0:855Y15296~"2lm0:7);kc;38yx{z3`;?57>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37=>P6<909w);kd;38 0bd281vqps4o0:7>5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj:l?6=4>:183!46038:j6F=0b9l5=2=83.9=54>8598yg5a>3:1?7>50z&15=<2l2B9hn4>;|~y>o6<00;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>489U516=:r.>hi4>;%7gg?7a;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,50z&15=<2l2B9hn4j;|~y>o6<00;6)<>8;373>N59>1]>;6?u+5ef9a>"2lj0n7psr}:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0:7);kc;38yx{z3th8j54?:583>5}#:8219i5G21a8m42?290/><651558L7703_8:m7?tL33`>4}Qkj09w)?7b;37<>P6<909w);kd;g8 0bd2l1vqps4i06:>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?;9:T205<5s-?oh7k4$4f`>`=zutw0e<;;:18'64>=9==0D??8;W02e?7|D;;h686X>4181!3cl3o0(8jl:d9~yx{5<2290;w)<>8;7g?M47k2c:854?:%02{M02g?7|^ji1>v*>8c820==Q9=:1>v*:de8f?!3ck3o0qpsr;h37=?6=,;;36<:8;W02e?7|D;;h64181!3cl3o0(8jl:d9~yx{hn4j;|~y>i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4>;%7gg?73<729q/><655e9K65e36=4+20:9511<^;;j6hn4j;|~y>o6==0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>559U516=:r.>hi48;%7gg?1<7[<>a;3xH77d28q]on4={%3;f?72n2\:8=4={%7g`?c<,<651558R77f28qG>{zut1d=5:50;&15=<60=1]>;6?u+5ef95>"2lj0:7psr}:a7cd=83<1<7>t$33;>0b<@;:h7d?;8;29 77?28><7[<>a;3xH77d28q]on4={%3;f?7302\:8=4={%7g`?c<,290/><651558R77f28qG>{zut1b=8:50;&15=<6<>1]>;6?u+5ef93>"2lj0<7psr}:k21c<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:9k5Y15296~"2lm0n7);kc;g8yx{z3`;=>7>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;356>P6<909w);kd;g8 0bd2l1vqps4o0:7>5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj:l>6=48:183!4603;3?6F=0b9j51>=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=964V063>7}#=mn1=6*:db82?x{zu2c:844?:%02{M02g?7|^ji1>v*>8c820<=Q9=:1>v*:de82?!3ck3;0qpsr;h360?6=,;;36<:8;W02e?7|D;;h686X>4181!3cl3;0(8jl:09~yx{hn4>;|~y>o6>:0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>629U516=:r.>hi4>;%7gg?7a;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,7>50z&15=5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj;o;6=49:183!4603;?96F=0b9'53>=981b=9950;&15=<6<>1]>4203_;?<74=#=mi1=6sr}|9jg0<72-8:47m:;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{P59h09wA<>c;3xR40>2;q/=5l5c79U516=:r.>hi4<;%7gg?57}#=mh1=994$263>0bc3t.=:=4m;|T14<<4s_ij6?u+5e`9g3=#;=:19ij4}Waa>7}#=mh1=994$263>0bd3t.=:=4m;|T14<<4s_ij6?u+5e`9g3=#;=:19ij4}Waa>7}#=mh1o85+35291abv*:dc8`2>"4<90>hi5r$743>0=zut1b>=650;&15=<5811]>76?3_;?<7c=#=mi1j6sr}M02f?7|^;;:6?uYc`81!3cj38;46*<4186`a=z,?<;6k5r}|9j651=83.9=54=069U64g=:rF9=n4>{W35=?4|,82i6?>8;W374?4|,{zuE8:n7?tV332>7}Qkh09w);kb;033>"4<90>hi5r$743>c=zut1d=5:50;&15=<60=10qo36=4+20:9511<^;;j6hn4>;|~y>i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4>;%7gg?74<729q/><6520d8L76d3f;387>5$33;>4>332wi>im50;194?6|,;;368j4H32`?l7303:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?;8:T205<5s-?oh7k4$4f`>`=zutw0e<:6:18'64>=9==0D??8;W02e?7|D;;h64181!3cl3o0(8jl:d9~yx{5<4290;w)<>8;7g?M47k2c:854?:%02{M02g?7|^ji1>v*>8c820==Q9=:1>v*:de8f?!3ck3o0qpsr;h37=?6=,;;36<:8;I023>P59h0:wA<>c;3xRfe=:r.:4o4>489U516=:r.>hi4j;%7gg?ca;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,50z&15=<2l2B9{zut1b=9750;&15=<6<>1C><94V33b>4}K:8i1=vXlc;0x 4>e28>27[?;0;0x 0bc2l1/9im5e:~yx=n9<>1<7*=198202=O:8=0Z??n:0yO64e=9r\ho74333_;?<7`=#=mi1i6sr}|9j50`=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=8h4V063>7}#=mn1i6*:db8f?x{zu2e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`1`g<72=0;6=u+20:95=5<@;:h7d?;8;29 77?28><7[<>a;3xH77d28q]on4={%3;f?7302\:8=4={%7g`?7<,290/><651558R77f28qG>{zut1b=8:50;&15=<6<>1]>;6?u+5ef95>"2lj0:7psr}:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0:7);kc;38yx{z3th9h54?:283>5}#:8219i5G21a8m42?290/><651558R77f28qG>{zut1b=9750;&15=<6<>1]>;6?u+5ef9<>"2lj037psr}:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0:7);kc;38yx{z3th9i<4?:383>5}#:821n:5G21a8m420290/><651558?j7?<3:1(??7:0:7?S46i3;p@??l:0yUgf<5s-;3n7?74:T205<5s-?oh7?4$4f`>4=zutw0qo449K65e<,8<365<#:821=994V33b>4}K:8i1=vX>6881!7?j3;?;6X>4181!3cl3;0(8jl:09~yx{P59h0:wA<>c;3xR40>2;q/=5l5c49U516=:r.>hi4>;%7gg?7=k?1]>f0<^8>;6?u+5ef97>"2lj087psrL33a>1}Q:931?vXla;0x 0be2j<0(>:?:4fg?xPdj38p(8jm:064?!5383?oh6s+6729f>{Q:931?vXla;0x 0be2j<0(>:?:4fg?xPdj38p(8jm:064?!5383?oo6s+6729f>{Q:931?vXla;0x 0be2j<0(>:?:4fg?xPdj38p(8jm:b78 627255ef8y!0183?0qps4i32;>5<#:821>=64V33b>7}K:8i1=vX>6881!7?j38;46X>4181!3cl3l0(8jl:g9~yxJ59k0:w[<>1;0xRfg=:r.>ho4=099'716==mn0q)890;d8yx{009w)?7b;033>P6<909w);kd;d8 0bd2o1vqpB=1c82S46938pZno52z&6`g<58>1/?9>55ef8y!0183l0qps4o0:7>5<#:821=5:4;|`1a6<72:0;6=u+20:9643<@;:h7d?;8;29 77?28><7[<>a;3xH77d28q]on4={%3;f?7302\:8=4={%7g`?7<,290/><651558R77f28qG>{zut1d=5:50;&15=<60=1]>;6?u+5ef95>"2lj0:7psr}:a6`2=83;1<7>t$33;>77a3A8;o6a>8583>!4603;3865rb3g5>5<3290;w)<>8;7g?M47k2c:854?:%02{M02g?7|^ji1>v*>8c820==Q9=:1>v*:de8f?!3ck3o0qpsr;h37=?6=,;;36<:8;W02e?7|D;;h64181!3cl3o0(8jl:d9~yx{a;3xH77d28q]on4={%3;f?72<2\:8=4={%7g`?c<,3290/><651968R77f28qG>{zut1vn?k8:186>5<7s-8:47;k;I03g>o6<10;6)<>8;373>N59>1]><^8>;6?u+5ef9a>"2lj0n7psr}:k20<<72-8:47?;7:J152=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij5e:&6`f5583>!4603;?;6F=169U64g=9rF9=n4>{Wa`>7}#91h1=8:4V063>7}#=mn1i6*:db8f?x{zu2c:9k4?:%02{M02g?7|^ji1>v*>8c821c=Q9=:1>v*:de8f?!3ck3o0qpsr;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{53;294~"5910:4>5G21a8m42?290/><651558R77f28qG>{zut1b=9750;&15=<6<>1]>;6?u+5ef95>"2lj0:7psr}:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0:7);kc;38yx{z3th9i?4?:283>5}#:8219i5G21a8m42?290/><651558R77f28qG>{zut1b=9750;&15=<6<>1]>;6?u+5ef9<>"2lj037psr}:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0:7);kc;38yx{z3th88<4?:383>5}#:821n:5G21a8m420290/><651558?j7?<3:1(??7:0:7?S46i3;p@??l:0yUgf<5s-;3n7?74:T205<5s-?oh7?4$4f`>4=zutw0qo=;6;292?6=8r.9=54>449K65e<,8<365<#:821=994V33b>4}K:8i1=vX>6881!7?j3;?;6X>4181!3cl3;0(8jl:09~yx{P59h0:wA<>c;3xR40>2;q/=5l5c49U516=:r.>hi4>;%7gg?7=k?1]>f0<^8>;6?u+5ef97>"2lj087psrL33a>1}Q:931?vXla;0x 0be2j<0(>:?:4fg?xPdj38p(8jm:064?!5383?oh6s+6729f>{Q:931?vXla;0x 0be2j<0(>:?:4fg?xPdj38p(8jm:064?!5383?oo6s+6729f>{Q:931?vXla;0x 0be2j<0(>:?:4fg?xPdj38p(8jm:b78 627255ef8y!0183;;7psr;h037;W02e?4|D;;h65$33;>7603_8:m74}Q9?31>v*>8c8142=Q9=:1>v*:de8e?!3ck3l0qpsC20`95~P59809w[mn:3y'1ad=:9=0(>:?:4fg?x"1>90m7psr;n3;0?6=,;;36<6;;:a714=8391<7>t$33;>7723A8;o6g>4983>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?l7313:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?;9:T205<5s-?oh7?4$4f`>4=zutw0c<6;:18'64>=91>0Z??n:0yO64e=9r\ho74>33_;?<74=#=mi1=6sr}|9~f624290:6=4?{%02N58j1b=9650;&15=<6<>1C><94V33b>4}K:8i1=vXlc;0x 4>e28>37[?;0;0x 0bc2>1/9im57:~yx=n9=31<7*=198202=O:8=0Z??n:0yO64e=9r\ho742>3_;?<72=#=mi1;6sr}|9l5=2=83.9=54>859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1=6*:db82?x{zu2wi?:k50;094?6|,;;36o94H32`?l73?3:1(??7:064?>i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4>;%7gg?73<729q/><651578L76d3-;=47?>;h373?6=,;;36<:8;W02e?7|D;;h66=4+20:9g0=Q:8k1=vB=1b82S71138p(<6m:b78R4272;q/9ij51:&6`f<63twvq6gl6;29 77?2j<0Z??n:3yO64e=9r\::44={%3;f?e13_;?<76=#=mi1?6sr}M02f?2|^;:26>uYc`81!3cj3i=7)=;0;7g`>{Qkk09w);kb;373>"4<90>hi5r$743>g=z^;:26>uYc`81!3cj3i=7)=;0;7g`>{Qkk09w);kb;373>"4<90>hn5r$743>g=z^;:26>uYc`81!3cj3i=7)=;0;7g`>{Qkk09w);kb;a6?!5383?oh6s+67296>{Q91k1>vXla;0x 0be2j<0(>:?:4fg?x"1>90:<6sr}:k14=<72-8:477}#91h1>=64V063>7}#=mn1j6*:db8e?x{zD;;i6{zu2c9<:4?:%02;6?u+5ef9b>"2lj0m7psrL33a>4}Q:8;1>vXla;0x 0be2;:<7)=;0;7g`>{#>?:1j6sr}:m2<1<72-8:47?74:9~f61a29086=4?{%02{zut1b=9750;&15=<6<>1]>;6?u+5ef95>"2lj0:7psr}:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0:7);kc;38yx{z3th84=4?:083>5}#:821>{e;181<7=50;2x 77?2l;h374181!3cl3o0(8jl:d9~yx{26=4+20:9511<@;;<7[<>a;3xH77d28q]on4={%3;f?7312\:8=4={%7g`?c<,3290/><651968R77f28qG>{zut1vn>6<:187>5<7s-8:47;k;I03g>o6<10;6)<>8;373>N59>1]><^8>;6?u+5ef9a>"2lj0n7psr}:k20<<72-8:47?;7:J152=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij5e:&6`f5583>!4603;?;6F=169U64g=9rF9=n4>{Wa`>7}#91h1=8:4V063>7}#=mn1i6*:db8f?x{zu2e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`0<4<72:0;6=u+20:95=5<@;:h7d?;8;29 77?28><7[<>a;3xH77d28q]on4={%3;f?7302\:8=4={%7g`?7<,290/><651558R77f28qG>{zut1d=5:50;&15=<60=1]>;6?u+5ef95>"2lj0:7psr}:a7=0=8381<7>t$33;>g1<@;:h7d?;7;29 77?28><76a>8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xd40k0;6;4?:1y'64>=9=?0D?>l;%355$33;>4203_8:m7?tL33`>4}Q9?31>v*>8c8202=Q9=:1>v*:de82?!3ck3;0qpsr;ha6>5<#:821o85Y20c95~J59j0:w[?99;0x 4>e2j?0Z<:?:3y'1ab=92.>hn4>;|~y>od>3:1(??7:b48R77f2;qG>5+5ea97>{zuE8:n7:tV32:>6}Qkh09w);kb;a5?!5383?oh6sYcc81!3cj3;?;6*<4186`a=z,?<;6o5rV32:>6}Qkh09w);kb;a5?!5383?oh6sYcc81!3cj3;?;6*<4186`f=z,?<;6o5rV32:>6}Qkh09w);kb;a5?!5383?oh6sYcc81!3cj3i>7)=;0;7g`>{#>?:1>6sY19c96~Pdi38p(8jm:b48 6272{zu2c9<54?:%02<^8>;6?u+5ef9b>"2lj0m7psrL33a>4}Q:8;1>vXla;0x 0be2;:37)=;0;7g`>{#>?:1j6sr}:k142<72-8:477}#91h1>=94V063>7}#=mn1j6*:db8e?x{zD;;i6{zu2e:494?:%0268:180>5<7s-8:47<>5:J14f=n9=21<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515:8R4272;q/9ij51:&6`f<63twvq6g>4883>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?j7?<3:1(??7:0:7?S46i3;p@??l:0yUgf<5s-;3n7?74:T205<5s-?oh7?4$4f`>4=zutw0qo=78;295?6=8r.9=54=1g9K65e5}#:8219i5G21a8m42?290/><651558L7703_8:m7?tL33`>4}Qkj09w)?7b;37<>P6<909w);kd;58 0bd2>1vqps4i06:>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?;9:T205<5s-?oh794$4f`>2=zutw0c<6;:18'64>=91>0Z??n:0yO64e=9r\ho74>33_;?<74=#=mi1=6sr}|9~f6>d29096=4?{%024683>!4603;?;65`19694?"5910:495Y20c95~J59j0:w[ml:3y'5=d=91>0Z<:?:3y'1ab=92.>hn4>;|~y>{e;0;1<7850;2x 77?28>>7E{M02g?7|^8<26?u+19`9511<^8>;6?u+5ef95>"2lj0:7psr}:k`1?6=,;;36n;4V33b>4}K:8i1=vX>6881!7?j3i>7[?;0;0x 0bc281/9im51:~yx=nk?0;6)<>8;a5?S46i38p@??l:0yU53?=:r.:4o4l6:T205<5s-?oh7=4$4f`>6=zutF9=o4;{W03=?5|^jk1>v*:dc8`2>"4<90>hi5rVb`96~"2lk0:8:5+35291abv*:dc8`2>"4<90>hi5rVb`96~"2lk0:8:5+35291aev*:dc8`2>"4<90>hi5rVb`96~"2lk0h96*<4186`a=z,?<;6?5rV0:b>7}Qkh09w);kb;a5?!5383?oh6s+672955=zut1b>=650;&15=<5811]>76?3_;?<7c=#=mi1j6sr}M02f?7|^;;:6?uYc`81!3cj38;46*<4186`a=z,?<;6k5r}|9j651=83.9=54=069U64g=:rF9=n4>{W35=?4|,82i6?>8;W374?4|,{zuE8:n7?tV332>7}Qkh09w);kb;033>"4<90>hi5r$743>c=zut1d=5:50;&15=<60=10qo=7d;297?6=8r.9=54=149K65e36=4+20:9511<^;;j6hn4>;|~y>i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4>;%7gg?74<729q/><6520d8L76d3f;387>5$33;>4>332wi?5h50;194?6|,;;368j4H32`?l7303:1(??7:064?M46?2\9=l4>{M02g?7|^ji1>v*>8c820==Q9=:1>v*:de84?!3ck3=0qpsr;h37=?6=,;;36<:8;I023>P59h0:wA<>c;3xRfe=:r.:4o4>489U516=:r.>hi48;%7gg?1a;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,13:1>7>50z&15=5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj:3n6=49:183!4603;?96F=0b9'53>=981b=9950;&15=<6<>1]>4203_;?<74=#=mi1=6sr}|9jg0<72-8:47m:;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{P59h09wA<>c;3xR40>2;q/=5l5c79U516=:r.>hi4<;%7gg?57}#=mh1=994$263>0bc3t.=:=4m;|T14<<4s_ij6?u+5e`9g3=#;=:19ij4}Waa>7}#=mh1=994$263>0bd3t.=:=4m;|T14<<4s_ij6?u+5e`9g3=#;=:19ij4}Waa>7}#=mh1o85+35291abv*:dc8`2>"4<90>hi5r$743>467:18'64>=:920Z??n:3yO64e=9r\::44={%3;f?4702\:8=4={%7g`?`<,<652158R77f2;qG>c=zutF9=o4>{W025?4|^jk1>v*:dc8142=#;=:19ij4}%454?`=91>07pl<9`83>6<729q/><652078L76d3`;?47>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37<>P6<909w);kd;38 0bd281vqps4i06:>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>27[?;0;0x 0bc281/9im51:~yx=h91>1<7*=1982<1=Q:8k1=vB=1b82Sed2;q/=5l51968R4272;q/9ij51:&6`f<63twvq6sm38`94?7=83:p(??7:33e?M47k2e:494?:%027l:180>5<7s-8:47;k;I03g>o6<10;6)<>8;373>N59>1]><^8>;6?u+5ef93>"2lj0<7psr}:k20<<72-8:47?;7:J152=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij57:&6`f<03twvq6a>8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xd41o0;6?4?:1y'64>=j>1C>=m4i064>5<#:821=994;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{56;294~"5910:885G21a8 40?28;0e<:8:18'64>=9==0Z??n:0yO64e=9r\::44={%3;f?73?2\:8=4={%7g`?7<,7}#91h1o85Y15296~"2lm0:7);kc;38yx{z3`i=6=4+20:9g3=Q:8k1>vB=1b82S71138p(<6m:b48R4272;q/9ij53:&6`f<43twv@??m:5yU65?=;r\hm7f0<,:>;68jk;|T`f?4|,5b:U65?=;r\hm7f0<,:>;68jk;|T`f?4|,5b:U65?=;r\hm7f0<,:>;68jk;|T`f?4|,0bc3t.=:=4=;|T2a;0xH77d28q]=;752z&252z&6`a7}#=mh1>=64$263>0bc3t.=:=4i;|~?l47?3:1(??7:324?S46i38p@??l:0yU53?=:r.:4o4=069U516=:r.>hi4i;%7gg?`;68jk;|&525{M02g?7|^ji1>v*>8c820==Q9=:1>v*:de82?!3ck3;0qpsr;h37=?6=,;;36<:8;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{5<6290;w)<>8;02b>N58j1d=5:50;&15=<60=10qo=n2;297?6=8r.9=54:d:J14f=n9=21<7*=198202=O:8=0Z??n:0yO64e=9r\ho742?3_;?<72=#=mi1;6sr}|9j51?=83.9=54>469K641<^;;j60Z<:?:3y'1ab=92.>hn4>;|~y>{e;h?1<7<50;2x 77?2k=0D?>l;h373?6=,;;36<:8;:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0:7);kc;38yx{z3th8mn4?:783>5}#:821=9;4H32`?!7103;:7d?;7;29 77?28><7[<>a;3xH77d28q]=;752z&21]=9>52z&6`a<63-?oo7?4}|~?le2290/><65c49U64g=9rF9=n4>{W35=?4|,82i6n;4V063>7}#=mn1=6*:db82?x{zu2ch:7>5$33;>f0<^;;j6?uC20a95~P6>009w)?7b;a5?S73838p(8jk:29'1ae=;2wvqA<>b;6xR76>2:q]ol4={%7gf?e13-9?<7;kd:Ugg<5s-?on7?;7:&005<2lm1v(;8?:c9~R76>2:q]ol4={%7gf?e13-9?<7;kd:Ugg<5s-?on7?;7:&005<2lj1v(;8?:c9~R76>2:q]ol4={%7gf?e13-9?<7;kd:Ugg<5s-?on7m:;%174?3cl2w/:;>52:U5=g=:r\hm7f0<,:>;68jk;|&525<682wvq6g=0983>!46038;46X=1`81I46k3;pZ<86:3y'5=d=:920Z<:?:3y'1ab=n2.>hn4i;|~H77e28q]>7;%174?3cl2w/:;>5f:~y>o58>0;6)<>8;033>P59h09wA<>c;3xR40>2;q/=5l52158R4272;q/9ij5f:&6`f7603-9?<7;kd:'236=n2wvq6a>8583>!4603;3865rb2c5>5<4290;w)<>8;021>N58j1b=9650;&15=<6<>1]><^8>;6?u+5ef95>"2lj0:7psr}:k20<<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:845Y15296~"2lm0:7);kc;38yx{z3f;387>5$33;>4>33_8:m7?tL33`>4}Qkj09w)?7b;3;0>P6<909w);kd;38 0bd281vqps4}c1b3?6=93:1=91>07pl6<729q/><655e9K65e36=4+20:9511<^;;j6hn4j;|~y>i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4>;%7gg?76<729q/><655e9K65e36=4+20:9511<^;;j6hn4j;|~y>i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4>;%7gg?76<729q/><651918L76d3`;?47>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37<>P6<909w);kd;38 0bd281vqps4i06:>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>27[?;0;0x 0bc281/9im51:~yx=h91>1<7*=1982<1=Q:8k1=vB=1b82Sed2;q/=5l51968R4272;q/9ij51:&6`f<63twvq6sm3da94?4=83:p(??7:c58L76d3`;?;7>5$33;>42032e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`0b4<72?0;6=u+20:9513<@;:h7)?98;32?l73?3:1(??7:064?S46i3;p@??l:0yU53?=:r.:4o4>469U516=:r.>hi4>;%7gg?7=k<1]>f3<^8>;6?u+5ef95>"2lj0:7psr}:k`2?6=,;;36n84V33b>7}K:8i1=vX>6881!7?j3i=7[?;0;0x 0bc2:1/9im53:~yI46j3>pZ?>6:2yUgd<5s-?on7m9;%174?3cl2w]oo4={%7gf?73?2.88=4:de9~ 3072k1vZ?>6:2yUgd<5s-?on7m9;%174?3cl2w]oo4={%7gf?73?2.88=4:db9~ 3072k1vZ?>6:2yUgd<5s-?on7m9;%174?3cl2w]oo4={%7gf?e23-9?<7;kd:'236=:2w]=5o52zT`e?4|,0bc3t.=:=4>0:~y>o5810;6)<>8;03<>P59h09wA<>c;3xR40>2;q/=5l521:8R4272;q/9ij5f:&6`f76?3-9?<7;kd:'236=n2wvq6g=0683>!46038;;6X=1`81I46k3;pZ<86:3y'5=d=:9=0Z<:?:3y'1ab=n2.>hn4i;|~H77e28q]>8;%174?3cl2w/:;>5f:~y>i60=0;6)<>8;3;0>=zj:oo6=4<:183!46038:96F=0b9j51>=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=964V063>7}#=mn1=6*:db82?x{zu2c:844?:%02{M02g?7|^ji1>v*>8c820<=Q9=:1>v*:de82?!3ck3;0qpsr;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{51;294~"59109=k5G21a8k4>3290/><651968?xd4mo0;6>4?:1y'64>==m1C>=m4i06;>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>37[?;0;0x 0bc2l1/9im5e:~yx=n9=31<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij5e:&6`f8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xd3:l0;6?4?:1y'64>=j>1C>=m4i064>5<#:821=994;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{8?7>56;294~"5910:885G21a8 40?28;0e<:8:18'64>=9==0Z??n:0yO64e=9r\::44={%3;f?73?2\:8=4={%7g`?7<,7}#91h1o85Y15296~"2lm0:7);kc;38yx{z3`i=6=4+20:9g3=Q:8k1>vB=1b82S71138p(<6m:b48R4272;q/9ij53:&6`f<43twv@??m:5yU65?=;r\hm7f0<,:>;68jk;|T`f?4|,5b:U65?=;r\hm7f0<,:>;68jk;|T`f?4|,5b:U65?=;r\hm7f0<,:>;68jk;|T`f?4|,0bc3t.=:=4=;|T2a;0xH77d28q]=;752z&252z&6`a7}#=mh1>=64$263>0bc3t.=:=4i;|~?l47?3:1(??7:324?S46i38p@??l:0yU53?=:r.:4o4=069U516=:r.>hi4i;%7gg?`;68jk;|&525{M02g?7|^ji1>v*>8c820==Q9=:1>v*:de82?!3ck3;0qpsr;h37=?6=,;;36<:8;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{5<6290;w)<>8;02b>N58j1d=5:50;&15=<60=10qo:<1;297?6=8r.9=54:d:J14f=n9=21<7*=198202=O:8=0Z??n:0yO64e=9r\ho742?3_;?<72=#=mi1;6sr}|9j51?=83.9=54>469K641<^;;j60Z<:?:3y'1ab=92.>hn4>;|~y>{e<:>1<7<50;2x 77?2k=0D?>l;h373?6=,;;36<:8;:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0:7);kc;38yx{z3th??44?:783>5}#:821=9;4H32`?!7103;:7d?;7;29 77?28><7[<>a;3xH77d28q]=;752z&21]=9>52z&6`a<63-?oo7?4}|~?le2290/><65c49U64g=9rF9=n4>{W35=?4|,82i6n;4V063>7}#=mn1=6*:db82?x{zu2ch:7>5$33;>f0<^;;j6?uC20a95~P6>009w)?7b;a5?S73838p(8jk:29'1ae=;2wvqA<>b;6xR76>2:q]ol4={%7gf?e13-9?<7;kd:Ugg<5s-?on7?;7:&005<2lm1v(;8?:c9~R76>2:q]ol4={%7gf?e13-9?<7;kd:Ugg<5s-?on7?;7:&005<2lj1v(;8?:c9~R76>2:q]ol4={%7gf?e13-9?<7;kd:Ugg<5s-?on7m:;%174?3cl2w/:;>52:U5=g=:r\hm7f0<,:>;68jk;|&525<682wvq6g=0983>!46038;46X=1`81I46k3;pZ<86:3y'5=d=:920Z<:?:3y'1ab=n2.>hn4i;|~H77e28q]>7;%174?3cl2w/:;>5f:~y>o58>0;6)<>8;033>P59h09wA<>c;3xR40>2;q/=5l52158R4272;q/9ij5f:&6`f7603-9?<7;kd:'236=n2wvq6a>8583>!4603;3865rb516>5<4290;w)<>8;021>N58j1b=9650;&15=<6<>1]><^8>;6?u+5ef95>"2lj0:7psr}:k20<<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:845Y15296~"2lm0:7);kc;38yx{z3f;387>5$33;>4>33_8:m7?tL33`>4}Qkj09w)?7b;3;0>P6<909w);kd;38 0bd281vqps4}c602?6=93:1=91>07pl;3683>6<729q/><655e9K65e36=4+20:9511<@;;<7[<>a;3xH77d28q]on4={%3;f?7302\:8=4={%7g`?1<,290/><651558L7703_8:m7?tL33`>4}Qkj09w)?7b;37=>P6<909w);kd;58 0bd2>1vqps4o0:7>5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj=9j6=4=:183!4603h<7E859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1=6*:db82?x{zu2wi8>h50;494?6|,;;36<::;I03g>"6>10:=6g>4683>!4603;?;6X=1`82I46k3;pZ<86:3y'5=d=9==0Z<:?:3y'1ab=92.>hn4>;|~y>od=3:1(??7:b78R77f28qG>{zut1bo;4?:%024}Q9?31>v*>8c8`2>P6<909w);kd;18 0bd2:1vqpB=1c87S47139pZno52z&6`g2.88=4:de9~Rfd=:r.>ho4>469'716==mn0q)890;`8yS47139pZno52z&6`g2.88=4:de9~Rfd=:r.>ho4>469'716==mi0q)890;`8yS47139pZno52z&6`g2.88=4:de9~Rfd=:r.>ho4l5:&005<2lm1v(;8?:39~R4>f2;q]ol4={%7gf?e13-9?<7;kd:'236=991vqp5f21:94?"59109<55Y20c96~J59j0:w[?99;0x 4>e2;:37[?;0;0x 0bc2o1/9im5f:~yI46j3;pZ??>:3yUgd<5s-?on7vB=1b82S71138p(<6m:324?S73838p(8jk:g9'1ae=n2wvqA<>b;3xR7762;q]ol4={%7gf?47?2.88=4:de9~ 3072o1vqp5`19694?"5910:4954}c60f?6=;3:1=9==0Z??n:0yO64e=9r\ho742?3_;?<74=#=mi1=6sr}|9j51?=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=974V063>7}#=mn1=6*:db82?x{zu2e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`77f<7280;6=u+20:964`<@;:h7b?74;29 77?282?76sm42f94?5=83:p(??7:4f8L76d3`;?47>5$33;>4203A8:;6X=1`82I46k3;pZnm52z&252z&6`a<03-?oo794}|~?l7313:1(??7:064?M46?2\9=l4>{M02g?7|^ji1>v*>8c820<=Q9=:1>v*:de84?!3ck3=0qpsr;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{?<7>52;294~"5910i;6F=0b9j511=83.9=54>4698k4>3290/><651968R77f28qG>{zut1vn9:::185>5<7s-8:47?;5:J14f=#9?21=<5f15594?"5910:8:5Y20c95~J59j0:w[?99;0x 4>e28><7[?;0;0x 0bc281/9im51:~yx=nk<0;6)<>8;a6?S46i3;p@??l:0yU53?=:r.:4o4l5:T205<5s-?oh7?4$4f`>4=zutw0en850;&15=2\9=l4={M02g?7|^8<26?u+19`9g3=Q9=:1>v*:de80?!3ck390qpsC20`90~P58008w[mn:3y'1ad=k?1/?9>55ef8ySee2;q/9il51558 627255ef8ySee2;q/9il51558 627255ef8ySee2;q/9il5c49'716==mn0q)890;08yS7?i38pZno52z&6`g2.88=4:de9~ 30728:0qps4i32;>5<#:821>=64V33b>7}K:8i1=vX>6881!7?j38;46X>4181!3cl3l0(8jl:g9~yxJ59k0:w[<>1;0xRfg=:r.>ho4=099'716==mn0q)890;d8yx{009w)?7b;033>P6<909w);kd;d8 0bd2o1vqpB=1c82S46938pZno52z&6`g<58>1/?9>55ef8y!0183l0qps4o0:7>5<#:821=5:4;|`704<72:0;6=u+20:9643<@;:h7d?;8;29 77?28><7[<>a;3xH77d28q]on4={%3;f?7302\:8=4={%7g`?7<,290/><651558R77f28qG>{zut1d=5:50;&15=<60=1]>;6?u+5ef95>"2lj0:7psr}:a014=83;1<7>t$33;>77a3A8;o6a>8583>!4603;3865rb560>5<4290;w)<>8;7g?M47k2c:854?:%02hn48;|~y>o6<00;6)<>8;373>N59>1]>;6?u+5ef93>"2lj0<7psr}:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0:7);kc;38yx{z3th?9?4?:383>5}#:821n:5G21a8m420290/><651558?j7?<3:1(??7:0:7?S46i3;p@??l:0yUgf<5s-;3n7?74:T205<5s-?oh7?4$4f`>4=zutw0qo::7;292?6=8r.9=54>449K65e<,8<365<#:821=994V33b>4}K:8i1=vX>6881!7?j3;?;6X>4181!3cl3;0(8jl:09~yx{P59h0:wA<>c;3xR40>2;q/=5l5c49U516=:r.>hi4>;%7gg?7=k?1]>f0<^8>;6?u+5ef97>"2lj087psrL33a>1}Q:931?vXla;0x 0be2j<0(>:?:4fg?xPdj38p(8jm:064?!5383?oh6s+6729f>{Q:931?vXla;0x 0be2j<0(>:?:4fg?xPdj38p(8jm:064?!5383?oo6s+6729f>{Q:931?vXla;0x 0be2j<0(>:?:4fg?xPdj38p(8jm:b78 627255ef8y!0183;;7psr;h037;W02e?4|D;;h65$33;>7603_8:m74}Q9?31>v*>8c8142=Q9=:1>v*:de8e?!3ck3l0qpsC20`95~P59809w[mn:3y'1ad=:9=0(>:?:4fg?x"1>90m7psr;n3;0?6=,;;36<6;;:a005=8391<7>t$33;>7723A8;o6g>4983>!4603;?;6F=169U64g=9rF9=n4>{Wa`>7}#91h1=964V063>7}#=mn1=6*:db82?x{zu2c:844?:%02{M02g?7|^ji1>v*>8c820<=Q9=:1>v*:de82?!3ck3;0qpsr;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{>87>51;294~"59109=k5G21a8k4>3290/><651968?xd3=<0;6>4?:1y'64>==m1C>=m4i06;>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>37[?;0;0x 0bc2l1/9im5e:~yx=n9=31<7*=198202=O:8=0Z??n:0yO64e=9r\ho742>3_;?<7`=#=mi1i6sr}|9l5=2=83.9=54>859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1=6*:db82?x{zu2wi85750;094?6|,;;36o94H32`?l73?3:1(??7:064?>i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4>;%7gg?73<729q/><651578L76d3-;=47?>;h373?6=,;;36<:8;W02e?7|D;;h66=4+20:9g0=Q:8k1=vB=1b82S71138p(<6m:b78R4272;q/9ij51:&6`f<63twvq6gl6;29 77?2j<0Z??n:3yO64e=9r\::44={%3;f?e13_;?<76=#=mi1?6sr}M02f?2|^;:26>uYc`81!3cj3i=7)=;0;7g`>{Qkk09w);kb;373>"4<90>hi5r$743>g=z^;:26>uYc`81!3cj3i=7)=;0;7g`>{Qkk09w);kb;373>"4<90>hn5r$743>g=z^;:26>uYc`81!3cj3i=7)=;0;7g`>{Qkk09w);kb;a6?!5383?oh6s+67296>{Q91k1>vXla;0x 0be2j<0(>:?:4fg?x"1>90:<6sr}:k14=<72-8:477}#91h1>=64V063>7}#=mn1j6*:db8e?x{zD;;i6{zu2c9<:4?:%02;6?u+5ef9b>"2lj0m7psrL33a>4}Q:8;1>vXla;0x 0be2;:<7)=;0;7g`>{#>?:1j6sr}:m2<1<72-8:47?74:9~f1>f29086=4?{%02hn4>;|~y>o6<00;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>489U516=:r.>hi4>;%7gg?7a;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,50z&15=<59o1C>=m4o0:7>5<#:821=5:4;|`7=9==0Z??n:0yO64e=9r\ho742?3_;?<7`=#=mi1i6sr}|9j51?=83.9=54>469K641<^;;j60Z<:?:3y'1ab=92.>hn4>;|~y>{e<0:1<7<50;2x 77?2k=0D?>l;h373?6=,;;36<:8;:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0:7);kc;38yx{z3th?594?:383>5}#:821n:5G21a8m420290/><651558?j7?<3:1(??7:0:7?S46i3;p@??l:0yUgf<5s-;3n7?74:T205<5s-?oh7?4$4f`>4=zutw0qo:67;292?6=8r.9=54>449K65e<,8<365<#:821=994V33b>4}K:8i1=vX>6881!7?j3;?;6X>4181!3cl3;0(8jl:09~yx{P59h0:wA<>c;3xR40>2;q/=5l5c49U516=:r.>hi4>;%7gg?7=k?1]>f0<^8>;6?u+5ef97>"2lj087psrL33a>1}Q:931?vXla;0x 0be2j<0(>:?:4fg?xPdj38p(8jm:064?!5383?oh6s+6729f>{Q:931?vXla;0x 0be2j<0(>:?:4fg?xPdj38p(8jm:064?!5383?oo6s+6729f>{Q:931?vXla;0x 0be2j<0(>:?:4fg?xPdj38p(8jm:b78 627255ef8y!0183;;7psr;h037;W02e?4|D;;h65$33;>7603_8:m74}Q9?31>v*>8c8142=Q9=:1>v*:de8e?!3ck3l0qpsC20`95~P59809w[mn:3y'1ad=:9=0(>:?:4fg?x"1>90m7psr;n3;0?6=,;;36<6;;:a0<7=8391<7>t$33;>7723A8;o6g>4983>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?l7313:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?;9:T205<5s-?oh7?4$4f`>4=zutw0c<6;:18'64>=91>0Z??n:0yO64e=9r\ho74>33_;?<74=#=mi1=6sr}|9~f1?5290:6=4?{%02N58j1b=9650;&15=<6<>1]><^8>;6?u+5ef9a>"2lj0n7psr}:k20<<72-8:47?;7:J152=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij5e:&6`f5583>!4603;?;6F=169U64g=9rF9=n4>{Wa`>7}#91h1=8:4V063>7}#=mn1i6*:db8f?x{zu2e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`7=0<72:0;6=u+20:91a=O:9i0e<:7:18'64>=9==0Z??n:0yO64e=9r\ho742?3_;?<7<=#=mi156sr}|9j51?=83.9=54>469K641<^;;j60Z<:?:3y'1ab=92.>hn4>;|~y>{el;h373?6=,;;36<:8;:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0:7);kc;38yx{z3th?nl4?:783>5}#:821=9;4H32`?!7103;:7d?;7;29 77?28><7[<>a;3xH77d28q]=;752z&21]=9>52z&6`a<63-?oo7?4}|~?le2290/><65c49U64g=9rF9=n4>{W35=?4|,82i6n;4V063>7}#=mn1=6*:db82?x{zu2ch:7>5$33;>f0<^;;j6?uC20a95~P6>009w)?7b;a5?S73838p(8jk:29'1ae=;2wvqA<>b;6xR76>2:q]ol4={%7gf?e13-9?<7;kd:Ugg<5s-?on7?;7:&005<2lm1v(;8?:c9~R76>2:q]ol4={%7gf?e13-9?<7;kd:Ugg<5s-?on7?;7:&005<2lj1v(;8?:c9~R76>2:q]ol4={%7gf?e13-9?<7;kd:Ugg<5s-?on7m:;%174?3cl2w/:;>52:U5=g=:r\hm7f0<,:>;68jk;|&525<682wvq6g=0983>!46038;46X=1`81I46k3;pZ<86:3y'5=d=:920Z<:?:3y'1ab=n2.>hn4i;|~H77e28q]>7;%174?3cl2w/:;>5f:~y>o58>0;6)<>8;033>P59h09wA<>c;3xR40>2;q/=5l52158R4272;q/9ij5f:&6`f7603-9?<7;kd:'236=n2wvq6a>8583>!4603;3865rb5ce>5<4290;w)<>8;021>N58j1b=9650;&15=<6<>1C><94V33b>4}K:8i1=vXlc;0x 4>e28>37[?;0;0x 0bc281/9im51:~yx=n9=31<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij51:&6`f<63twvq6a>8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xd3j90;6<4?:1y'64>=:8l0D?>l;n3;0?6=,;;36<6;;:a0g4=8391<7>t$33;>0b<@;:h7d?;8;29 77?28><7[<>a;3xH77d28q]on4={%3;f?7302\:8=4={%7g`?7<,290/><651558R77f28qG>{zut1d=5:50;&15=<60=1]>;6?u+5ef95>"2lj0:7psr}:a0g5=8391<7>t$33;>0b<@;:h7d?;8;29 77?28><7[<>a;3xH77d28q]on4={%3;f?7302\:8=4={%7g`?7<,290/><651558R77f28qG>{zut1d=5:50;&15=<60=1]>;6?u+5ef95>"2lj0:7psr}:a0g2=8391<7>t$33;>0b<@;:h7d?;8;29 77?28><7E<>7:T15d<6sE8:o7?tVba96~"60k0:855Y15296~"2lm0n7);kc;g8yx{z3`;?57>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37=>P6<909w);kd;g8 0bd2l1vqps4o0:7>5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj=h>6=4<:183!4603?o7E5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37=>P6<909w);kd;g8 0bd2l1vqps4o0:7>5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj=h=6=4;:183!4603?o7E5$33;>4203A8:;6X=1`82I46k3;pZnm52z&252z&6`a`=zutw0c<6;:18'64>=91>0Z??n:0yO64e=9r\ho74>33_;?<74=#=mi1=6sr}|9~f1d0290>6=4?{%024983>!4603;?;6F=169U64g=9rF9=n4>{Wa`>7}#91h1=964V063>7}#=mn1;6*:db84?x{zu2c:844?:%02hn48;|~y>o6==0;6)<>8;373>N59>1]>;6?u+5ef9a>"2lj0n7psr}:k21c<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:9k5Y15296~"2lm0n7);kc;g8yx{z3f;387>5$33;>4>33_8:m7?tL33`>4}Qkj09w)?7b;3;0>P6<909w);kd;38 0bd281vqps4}c6aN58j1b=9650;&15=<6<>1]><^8>;6?u+5ef93>"2lj0<7psr}:k20<<72-8:47?;7:J152=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij5e:&6`f5583>!4603;?;6F=169U64g=9rF9=n4>{Wa`>7}#91h1=8:4V063>7}#=mn1i6*:db8f?x{zu2c:9k4?:%02{M02g?7|^ji1>v*>8c821c=Q9=:1>v*:de8f?!3ck3o0qpsr;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{i=7>58;294~"5910:4>5G21a8m42?290/><651558R77f28qG>{zut1b=9750;&15=<6<>1]>;6?u+5ef95>"2lj0:7psr}:k211<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:995Y15296~"2lm0:7);kc;38yx{z3`;>j7>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;36b>P6<909w);kd;38 0bd281vqps4i041>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28<97[?;0;0x 0bc281/9im51:~yx=n9?91<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l51718R4272;q/9ij51:&6`f<63twvq6g>6583>!4603;?;6X=1`82I46k3;pZnm52z&2=1]=9>52z&6`a<63-?oo7?4}|~?j7?<3:1(??7:0:7?S46i3;p@??l:0yUgf<5s-;3n7?74:T205<5s-?oh7?4$4f`>4=zutw0qo;i0;296?6=8r.9=54m7:J14f=n9==1<7*=198202=5<5290;w)<>8;`4?M47k2c:8:4?:%02;6?u+5ef95>"2lj0:7psr}:a1cb=83<1<7>t$33;>4223A8;o6*>69825>o6<>0;6)<>8;373>P59h0:wA<>c;3xR40>2;q/=5l51558R4272;q/9ij51:&6`f<63twvq6gl5;29 77?2j?0Z??n:0yO64e=9r\::44={%3;f?e23_;?<74=#=mi1=6sr}|9jg3<72-8:47m9;W02e?4|D;;h64181!3cl390(8jl:29~yxJ59k0?w[ho4l6:&005<2lm1vZnl52z&6`g<6<>1/?9>55ef8y!0183h0q[ho4l6:&005<2lm1vZnl52z&6`g<6<>1/?9>55ea8y!0183h0q[ho4l6:&005<2lm1vZnl52z&6`g5119~yx=n:921<7*=19814==Q:8k1>vB=1b82S71138p(<6m:32;?S73838p(8jk:g9'1ae=n2wvqA<>b;3xR7762;q]ol4={%7gf?4702.88=4:de9~ 3072o1vqp5f21594?"59109<:5Y20c96~J59j0:w[?99;0x 4>e2;:<7[?;0;0x 0bc2o1/9im5f:~yI46j3;pZ??>:3yUgd<5s-?on71<7*=1982<1=53;294~"59109=85G21a8m42?290/><651558R77f28qG>{zut1b=9750;&15=<6<>1]>;6?u+5ef95>"2lj0:7psr}:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0:7);kc;38yx{z3th>j?4?:083>5}#:821>{e=o>1<7=50;2x 77?2l;h374181!3cl3;0(8jl:09~yx{26=4+20:9511<^;;j60Z<:?:3y'1ab=92.>hn4>;|~y>{e=o?1<7;50;2x 77?2l;h374181!3cl3o0(8jl:d9~yx{26=4+20:9511<@;;<7[<>a;3xH77d28q]on4={%3;f?7312\:8=4={%7g`?c<,<651558L7703_8:m7?tL33`>4}Qkj09w)?7b;360>P6<909w);kd;g8 0bd2l1vqps4i07e>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?:f:T205<5s-?oh7k4$4f`>`=zutw0c<6;:18'64>=91>0Z??n:0yO64e=9r\ho74>33_;?<74=#=mi1=6sr}|9~f0`1290=6=4?{%024983>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<03-?oo794}|~?l7313:1(??7:064?M46?2\9=l4>{M02g?7|^ji1>v*>8c820<=Q9=:1>v*:de8f?!3ck3o0qpsr;h360?6=,;;36<:8;I023>P59h0:wA<>c;3xRfe=:r.:4o4>559U516=:r.>hi4j;%7gg?c<7E<>7:T15d<6sE8:o7?tVba96~"60k0:9k5Y15296~"2lm0n7);kc;g8yx{z3`;=>7>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;356>P6<909w);kd;g8 0bd2l1vqps4o0:7>5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj5$33;>4203A8:;6X=1`82I46k3;pZnm52z&252z&6`a{M02g?7|^ji1>v*>8c8211=Q9=:1>v*:de8f?!3ck3o0qpsr;h36b?6=,;;36<:8;I023>P59h0:wA<>c;3xRfe=:r.:4o4>5g9U516=:r.>hi4j;%7gg?c<7E<>7:T15d<6sE8:o7?tVba96~"60k0::?5Y15296~"2lm0n7);kc;g8yx{z3f;387>5$33;>4>33_8:m7?tL33`>4}Qkj09w)?7b;3;0>P6<909w);kd;38 0bd281vqps4}c7e7?6==3:1=9==0Z??n:0yO64e=9r\ho742?3_;?<74=#=mi1=6sr}|9j51?=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=974V063>7}#=mn1=6*:db82?x{zu2c:994?:%02{M02g?7|^ji1>v*>8c8211=Q9=:1>v*:de82?!3ck3;0qpsr;h36b?6=,;;36<:8;W02e?7|D;;h6j6X>4181!3cl3;0(8jl:09~yx{5<>290;w)<>8;7g?M47k2c:854?:%02hn46;|~y>o6<00;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>489U516=:r.>hi46;%7gg??<7[<>a;3xH77d28q]on4={%3;f?72<2\:8=4={%7g`??<,<651558L7703_8:m7?tL33`>4}Qkj09w)?7b;36b>P6<909w);kd;;8 0bd201vqps4i041>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?92:T205<5s-?oh7h4$4f`>c=zutw0e<8<:18'64>=9==0D??8;W02e?7|D;;h64181!3cl3l0(8jl:g9~yx{a;3xH77d28q]on4={%3;f?71<2\:8=4={%7g`?`<,<651558L7703_8:m7?tL33`>4}Qkj09w)?7b;351>P6<909w);kd;d8 0bd2o1vqps4o0:7>5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj3twvq6g>4883>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<>3-?oo774}|~?l72<3:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?:4:T205<5s-?oh774$4f`><=zutw0e<;i:18'64>=9==0Z??n:0yO64e=9r\ho743a3_;?<7c=#=mi1j6sr}|9j534=83.9=54>469K641<^;;j6{zut1b=;:50;&15=<6<>1C><94V33b>4}K:8i1=vXlc;0x 4>e284023_;?<7c=#=mi1j6sr}|9l5=2=83.9=54>859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1=6*:db82?x{zu2wi9kl50;194?6|,;;36<6<;I03g>o6<10;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>499U516=:r.>hi4>;%7gg?7<7[<>a;3xH77d28q]on4={%3;f?7312\:8=4={%7g`?7<,3290/><651968R77f28qG>{zut1vn;=l:181>5<7s-8:47l8;I03g>o6<>0;6)<>8;373>=h91>1<7*=1982<1=Q:8k1=vB=1b82Sed2;q/=5l51968R4272;q/9ij51:&6`f<63twvq6sm65394?0=83:p(??7:066?M47k2.::54>1:k202<72-8:47?;7:T15d<6sE8:o7?tV04:>7}#91h1=994V063>7}#=mn1=6*:db82?x{zu2ch97>5$33;>f3<^;;j6009w)?7b;a6?S73838p(8jk:09'1ae=92wvqp5fc783>!4603i=7[<>a;0xH77d28q]=;752z&22\:8=4={%7g`?5<,5r}|N15g<3s_8;57=tVbc96~"2lk0h:6*<4186`a=z^jh1>v*:dc8202=#;=:19ij4}%454?dv*:dc8202=#;=:19im4}%454?dv*:dc8`1>"4<90>hi5r$743>7=z^82j6?uYc`81!3cj3i=7)=;0;7g`>{#>?:1==5r}|9j65>=83.9=54=099U64g=:rF9=n4>{W35=?4|,82i6?>7;W374?4|,{zuE8:n7?tV332>7}Qkh09w);kb;03<>"4<90>hi5r$743>c=zut1b>=950;&15=<58>1]>7603_;?<7c=#=mi1j6sr}M02f?7|^;;:6?uYc`81!3cj38;;6*<4186`a=z,?<;6k5r}|9l5=2=83.9=54>8598yg04l3:1?7>50z&15=<59<1C>=m4i06;>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>37[?;0;0x 0bc281/9im51:~yx=n9=31<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij51:&6`f<63twvq6a>8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xd1;l0;6<4?:1y'64>=:8l0D?>l;n3;0?6=,;;36<6;;:a26`=8391<7>t$33;>0b<@;:h7d?;8;29 77?28><7E<>7:T15d<6sE8:o7?tVba96~"60k0:855Y15296~"2lm0n7);kc;g8yx{z3`;?57>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37=>P6<909w);kd;g8 0bd2l1vqps4o0:7>5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj???6=4=:183!4603h<7E859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1=6*:db82?x{zu2wi:8750;494?6|,;;36<::;I03g>"6>10:=6g>4683>!4603;?;6X=1`82I46k3;pZ<86:3y'5=d=9==0Z<:?:3y'1ab=92.>hn4>;|~y>od=3:1(??7:b78R77f28qG>{zut1bo;4?:%024}Q9?31>v*>8c8`2>P6<909w);kd;18 0bd2:1vqpB=1c87S47139pZno52z&6`g2.88=4:de9~Rfd=:r.>ho4>469'716==mn0q)890;`8yS47139pZno52z&6`g2.88=4:de9~Rfd=:r.>ho4>469'716==mi0q)890;`8yS47139pZno52z&6`g2.88=4:de9~Rfd=:r.>ho4l5:&005<2lm1v(;8?:39~R4>f2;q]ol4={%7gf?e13-9?<7;kd:'236=991vqp5f21:94?"59109<55Y20c96~J59j0:w[?99;0x 4>e2;:37[?;0;0x 0bc2o1/9im5f:~yI46j3;pZ??>:3yUgd<5s-?on7vB=1b82S71138p(<6m:324?S73838p(8jk:g9'1ae=n2wvqA<>b;3xR7762;q]ol4={%7gf?47?2.88=4:de9~ 3072o1vqp5`19694?"5910:4954}c461?6=;3:1=9==0Z??n:0yO64e=9r\ho742?3_;?<74=#=mi1=6sr}|9j51?=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=974V063>7}#=mn1=6*:db82?x{zu2e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`513<7280;6=u+20:964`<@;:h7b?74;29 77?282?76sm64594?5=83:p(??7:4f8L76d3`;?47>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37<>P6<909w);kd;g8 0bd2l1vqps4i06:>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>27[?;0;0x 0bc2l1/9im5e:~yx=h91>1<7*=1982<1=Q:8k1=vB=1b82Sed2;q/=5l51968R4272;q/9ij51:&6`f<63twvq6sm1`794?4=83:p(??7:c58L76d3`;?;7>5$33;>42032e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`2eg<72;0;6=u+20:9f2=O:9i0e<:8:18'64>=9==07b?74;29 77?282?7[<>a;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?0<,3:1?7>50z&15=<59<1C>=m4i06;>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>37[?;0;0x 0bc281/9im51:~yx=n9=31<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij51:&6`f<63twvq6a>8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xd6i>0;6<4?:1y'64>=:8l0D?>l;n3;0?6=,;;36<6;;:a5d?=8391<7>t$33;>0b<@;:h7d?;8;29 77?28><7[<>a;3xH77d28q]on4={%3;f?7302\:8=4={%7g`?1<,290/><651558L7703_8:m7?tL33`>4}Qkj09w)?7b;37=>P6<909w);kd;g8 0bd2l1vqps4o0:7>5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj8kj6=4<:183!4603?o7E5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37=>P6<909w);kd;58 0bd2>1vqps4o0:7>5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj8k36=4<:183!4603;3?6F=0b9j51>=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=964V063>7}#=mn1=6*:db82?x{zu2c:844?:%02{M02g?7|^ji1>v*>8c820<=Q9=:1>v*:de82?!3ck3;0qpsr;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{52;294~"5910i;6F=0b9j511=83.9=54>4698k4>3290/><651968R77f28qG>{zut1vn5<7s-8:47l8;I03g>o6<>0;6)<>8;373>=h91>1<7*=1982<1=Q:8k1=vB=1b82Sed2;q/=5l51968R4272;q/9ij56:&6`f<13twvq6sm1`f94?5=83:p(??7:336?M47k2c:854?:%02{M02g?7|^ji1>v*>8c820==Q9=:1>v*:de82?!3ck3;0qpsr;h37=?6=,;;36<:8;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{5<6290;w)<>8;02b>N58j1d=5:50;&15=<60=10qo?m0;297?6=8r.9=54:d:J14f=n9=21<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515:8R4272;q/9ij57:&6`f<03twvq6g>4883>!4603;?;6F=169U64g=9rF9=n4>{Wa`>7}#91h1=974V063>7}#=mn1i6*:db8f?x{zu2e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`2f4<72:0;6=u+20:91a=O:9i0e<:7:18'64>=9==0Z??n:0yO64e=9r\ho742?3_;?<7`=#=mi1i6sr}|9j51?=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=974V063>7}#=mn1;6*:db84?x{zu2e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`2ec<72:0;6=u+20:95=5<@;:h7d?;8;29 77?28><7[<>a;3xH77d28q]on4={%3;f?7302\:8=4={%7g`?7<,290/><651558R77f28qG>{zut1d=5:50;&15=<60=1]>;6?u+5ef95>"2lj0:7psr}:a5g5=8381<7>t$33;>g1<@;:h7d?;7;29 77?28><76a>8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xd6j00;6?4?:1y'64>=j>1C>=m4i064>5<#:821=994;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{52;294~"5910i;6F=0b9j511=83.9=54>4698k4>3290/><651968R77f28qG>{zut1vn5<7s-8:47<>5:J14f=n9=21<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515:8R4272;q/9ij51:&6`f<63twvq6g>4883>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?j7?<3:1(??7:0:7?S46i3;p@??l:0yUgf<5s-;3n7?74:T205<5s-?oh7?4$4f`>4=zutw0qo?m5;295?6=8r.9=54=1g9K65e5}#:8219i5G21a8m42?290/><651558R77f28qG>{zut1b=9750;&15=<6<>1C><94V33b>4}K:8i1=vXlc;0x 4>e28>27[?;0;0x 0bc2l1/9im5e:~yx=h91>1<7*=1982<1=Q:8k1=vB=1b82Sed2;q/=5l51968R4272;q/9ij51:&6`f<63twvq6sm1c:94?5=83:p(??7:4f8L76d3`;?47>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37<>P6<909w);kd;g8 0bd2l1vqps4i06:>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>27[?;0;0x 0bc2>1/9im57:~yx=h91>1<7*=1982<1=Q:8k1=vB=1b82Sed2;q/=5l51968R4272;q/9ij51:&6`f<63twvq6sm1c494?5=83:p(??7:0:0?M47k2c:854?:%02{M02g?7|^ji1>v*>8c820==Q9=:1>v*:de82?!3ck3;0qpsr;h37=?6=,;;36<:8;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{5<4290;w)<>8;7g?M47k2c:854?:%02{M02g?7|^ji1>v*>8c820==Q9=:1>v*:de8e?!3ck3l0qpsr;h37=?6=,;;36<:8;W02e?7|D;;h64181!3cl330(8jl:89~yx{5<5290;w)<>8;`4?M47k2c:8:4?:%02;6?u+5ef95>"2lj0:7psr}:a5f4=8381<7>t$33;>g1<@;:h7d?;7;29 77?28><76a>8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xd6k?0;6?4?:1y'64>=j>1C>=m4i064>5<#:821=994;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3<0(8jl:79~yx{53;294~"59109=85G21a8m42?290/><651558R77f28qG>{zut1b=9750;&15=<6<>1]>;6?u+5ef95>"2lj0:7psr}:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0:7);kc;38yx{z3th:nh4?:083>5}#:821>{e9j:1<7=50;2x 77?2l;h374181!3cl3=0(8jl:69~yx{26=4+20:9511<@;;<7[<>a;3xH77d28q]on4={%3;f?7312\:8=4={%7g`?c<,3290/><651968R77f28qG>{zut1vn:180>5<7s-8:47;k;I03g>o6<10;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>499U516=:r.>hi4j;%7gg?c<7[<>a;3xH77d28q]on4={%3;f?7312\:8=4={%7g`?1<,3290/><651968R77f28qG>{zut1vn5<7s-8:47?73:J14f=n9=21<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515:8R4272;q/9ij51:&6`f<63twvq6g>4883>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?j7?<3:1(??7:0:7?S46i3;p@??l:0yUgf<5s-;3n7?74:T205<5s-?oh7?4$4f`>4=zutw0qo?l3;297?6=8r.9=54:d:J14f=n9=21<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515:8R4272;q/9ij59:&6`f<>3twvq6g>4883>!4603;?;6F=169U64g=9rF9=n4>{Wa`>7}#91h1=974V063>7}#=mn1j6*:db8e?x{zu2e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`2g1<72:0;6=u+20:91a=O:9i0e<:7:18'64>=9==0Z??n:0yO64e=9r\ho742?3_;?<7c=#=mi1j6sr}|9j51?=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=974V063>7}#=mn156*:db8:?x{zu2e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`2g0<72:0;6=u+20:95=5<@;:h7d?;8;29 77?28><7[<>a;3xH77d28q]on4={%3;f?7302\:8=4={%7g`?7<,290/><651558R77f28qG>{zut1d=5:50;&15=<60=1]>;6?u+5ef95>"2lj0:7psr}:a5f1=8381<7>t$33;>g1<@;:h7d?;7;29 77?28><76a>8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xd6km0;6?4?:1y'64>=j>1C>=m4i064>5<#:821=994;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{52;294~"5910i;6F=0b9j511=83.9=54>4698k4>3290/><651968R77f28qG>{zut1vn5<7s-8:47<>5:J14f=n9=21<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515:8R4272;q/9ij51:&6`f<63twvq6g>4883>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?j7?<3:1(??7:0:7?S46i3;p@??l:0yUgf<5s-;3n7?74:T205<5s-?oh7?4$4f`>4=zutw0qo?l9;295?6=8r.9=54=1g9K65e5}#:8219i5G21a8m42?290/><651558R77f28qG>{zut1b=9750;&15=<6<>1]>;6?u+5ef95>"2lj0:7psr}:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0:7);kc;38yx{z3th:on4?:283>5}#:8219i5G21a8m42?290/><651558R77f28qG>{zut1b=9750;&15=<6<>1C><94V33b>4}K:8i1=vXlc;0x 4>e28>27[?;0;0x 0bc2l1/9im5e:~yx=h91>1<7*=1982<1=Q:8k1=vB=1b82Sed2;q/=5l51968R4272;q/9ij51:&6`f<63twvq6sm1bc94?5=83:p(??7:0:0?M47k2c:854?:%02{M02g?7|^ji1>v*>8c820==Q9=:1>v*:de82?!3ck3;0qpsr;h37=?6=,;;36<:8;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{5<0290;w)<>8;7g?M47k2c:854?:%02hn46;|~y>o6<00;6)<>8;373>N59>1]>;6?u+5ef9=>"2lj027psr}:k211<72-8:47?;7:J152=Q:8k1=vB=1b82Sed2;q/=5l51468R4272;q/9ij59:&6`f<>3twvq6g>5g83>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a{M02g?7|^ji1>v*>8c8227=Q9=:1>v*:de8e?!3ck3l0qpsr;h357?6=,;;36<:8;W02e?7|D;;h64181!3cl3l0(8jl:g9~yx{5<0290;w)<>8;7g?M47k2c:854?:%02hn46;|~y>o6<00;6)<>8;373>N59>1]>;6?u+5ef9=>"2lj027psr}:k211<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:995Y15296~"2lm0m7);kc;d8yx{z3`;>j7>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;36b>P6<909w);kd;d8 0bd2o1vqps4i041>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?92:T205<5s-?oh7h4$4f`>c=zutw0e<8<:18'64>=9==0Z??n:0yO64e=9r\ho74043_;?<7c=#=mi1j6sr}|9l5=2=83.9=54>859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1=6*:db82?x{zu2wi=i>50;:94?6|,;;368j4H32`?l7303:1(??7:064?M46?2\9=l4>{M02g?7|^ji1>v*>8c820==Q9=:1>v*:de8:?!3ck330qpsr;h37=?6=,;;36<:8;W02e?7|D;;h64181!3cl330(8jl:89~yx{{zut1b=;<50;&15=<6<>1C><94V33b>4}K:8i1=vXlc;0x 4>e28<97[?;0;0x 0bc2o1/9im5f:~yx=n9?91<7*=198202=O:8=0Z??n:0yO64e=9r\ho74043_;?<7c=#=mi1j6sr}|9j532=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=;:4V063>7}#=mn1j6*:db8e?x{zu2e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`2`4<7210;6=u+20:91a=O:9i0e<:7:18'64>=9==0D??8;W02e?7|D;;h64181!3cl330(8jl:89~yx{26=4+20:9511<^;;j60Z<:?:3y'1ab=12.>hn46;|~y>o6=o0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>5g9U516=:r.>hi4i;%7gg?`<7E<>7:T15d<6sE8:o7?tVba96~"60k0::?5Y15296~"2lm0m7);kc;d8yx{z3`;=?7>5$33;>4203A8:;6X=1`82I46k3;pZnm52z&2:1]=9>52z&6`ac=zutw0c<6;:18'64>=91>0Z??n:0yO64e=9r\ho74>33_;?<74=#=mi1=6sr}|9~f4b5290>6=4?{%02hn4>;|~y>o6<00;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>489U516=:r.>hi4>;%7gg?7<7[<>a;3xH77d28q]on4={%3;f?72<2\:8=4={%7g`?7<,<651558R77f28qG>{zut1d=5:50;&15=<60=1]>;6?u+5ef95>"2lj0:7psr}:a5a2=8381<7>t$33;>g1<@;:h7d?;7;29 77?28><76a>8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xd6l10;6?4?:1y'64>=j>1C>=m4i064>5<#:821=994;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{52;294~"5910i;6F=0b9j511=83.9=54>4698k4>3290/><651968R77f28qG>{zut1vn5<7s-8:47<>5:J14f=n9=21<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515:8R4272;q/9ij51:&6`f<63twvq6g>4883>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?j7?<3:1(??7:0:7?S46i3;p@??l:0yUgf<5s-;3n7?74:T205<5s-?oh7?4$4f`>4=zutw0qo?k6;295?6=8r.9=54=1g9K65e5}#:8219i5G21a8m42?290/><651558R77f28qG>{zut1b=9750;&15=<6<>1]>;6?u+5ef95>"2lj0:7psr}:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0:7);kc;38yx{z3th:h44?:783>5}#:8219i5G21a8m42?290/><651558R77f28qG>{zut1b=9750;&15=<6<>1C><94V33b>4}K:8i1=vXlc;0x 4>e28>27[?;0;0x 0bc2o1/9im5f:~yx=n9<>1<7*=198202=O:8=0Z??n:0yO64e=9r\ho74333_;?<7c=#=mi1j6sr}|9j50`=83.9=54>469K641<^;;j6{zut1d=5:50;&15=<60=1]>;6?u+5ef95>"2lj0:7psr}:a5ag=83=1<7>t$33;>0b<@;:h7d?;8;29 77?28><7E<>7:T15d<6sE8:o7?tVba96~"60k0:855Y15296~"2lm027);kc;;8yx{z3`;?57>5$33;>4203A8:;6X=1`82I46k3;pZnm52z&252z&6`a<>3-?oo774}|~?l72<3:1(??7:064?M46?2\9=l4>{M02g?7|^ji1>v*>8c8211=Q9=:1>v*:de8:?!3ck330qpsr;h36b?6=,;;36<:8;W02e?7|D;;h6j6X>4181!3cl3l0(8jl:g9~yx{a;3xH77d28q]on4={%3;f?71:2\:8=4={%7g`?`<,<651558L7703_8:m7?tL33`>4}Qkj09w)?7b;357>P6<909w);kd;d8 0bd2o1vqps4o0:7>5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj8ni6=48:183!4603?o7E3twvq6g>4883>!4603;?;6F=169U64g=9rF9=n4>{Wa`>7}#91h1=974V063>7}#=mn156*:db8:?x{zu2c:994?:%02{M02g?7|^ji1>v*>8c8211=Q9=:1>v*:de8e?!3ck3l0qpsr;h36b?6=,;;36<:8;W02e?7|D;;h6j6X>4181!3cl3l0(8jl:g9~yx{a;3xH77d28q]on4={%3;f?71:2\:8=4={%7g`?`<,<651558L7703_8:m7?tL33`>4}Qkj09w)?7b;357>P6<909w);kd;d8 0bd2o1vqps4o0:7>5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj8nh6=47:183!4603?o7E3twvq6g>4883>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<>3-?oo774}|~?l72<3:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?:4:T205<5s-?oh774$4f`><=zutw0e<;i:18'64>=9==0D??8;W02e?7|D;;h6j6X>4181!3cl330(8jl:89~yx{a;3xH77d28q]on4={%3;f?71:2\:8=4={%7g`?`<,<651558L7703_8:m7?tL33`>4}Qkj09w)?7b;357>P6<909w);kd;d8 0bd2o1vqps4i047>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?94:T205<5s-?oh7h4$4f`>c=zutw0c<6;:18'64>=91>0Z??n:0yO64e=9r\ho74>33_;?<74=#=mi1=6sr}|9~f4bc29036=4?{%024983>!4603;?;6F=169U64g=9rF9=n4>{Wa`>7}#91h1=964V063>7}#=mn156*:db8:?x{zu2c:844?:%02{M02g?7|^ji1>v*>8c820<=Q9=:1>v*:de8:?!3ck330qpsr;h360?6=,;;36<:8;W02e?7|D;;h686X>4181!3cl330(8jl:89~yx{{zut1b=;=50;&15=<6<>1C><94V33b>4}K:8i1=vXlc;0x 4>e28<87[?;0;0x 0bc2o1/9im5f:~yx=n9?>1<7*=198202=O:8=0Z??n:0yO64e=9r\ho74033_;?<7c=#=mi1j6sr}|9l5=2=83.9=54>859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1=6*:db82?x{zu2wi=ik50;494?6|,;;36<6<;I03g>o6<10;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>499U516=:r.>hi4>;%7gg?7<7[<>a;3xH77d28q]on4={%3;f?7312\:8=4={%7g`?7<,<651558R77f28qG>{zut1b=8h50;&15=<6<>1]>;6?u+5ef95>"2lj0:7psr}:k227<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0::?5Y15296~"2lm0:7);kc;38yx{z3f;387>5$33;>4>33_8:m7?tL33`>4}Qkj09w)?7b;3;0>P6<909w);kd;38 0bd281vqps4}c3f4?6=:3:1N58j1b=9950;&15=<6<>10c<6;:18'64>=91>0Z??n:0yO64e=9r\ho74>33_;?<74=#=mi1=6sr}|9~f4c129096=4?{%024683>!4603;?;65`19694?"5910:495Y20c95~J59j0:w[ml:3y'5=d=91>0Z<:?:3y'1ab=92.>hn4>;|~y>{e9li1<7<50;2x 77?2k=0D?>l;h373?6=,;;36<:8;:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0=7);kc;48yx{z3th:i<4?:283>5}#:821><;4H32`?l7303:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?;8:T205<5s-?oh7?4$4f`>4=zutw0e<:6:18'64>=9==0Z??n:0yO64e=9r\ho742>3_;?<74=#=mi1=6sr}|9l5=2=83.9=54>859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1=6*:db82?x{zu2wi=h<50;394?6|,;;36??i;I03g>i60=0;6)<>8;3;0>=zj8o?6=4<:183!4603?o7E5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37=>P6<909w);kd;38 0bd281vqps4o0:7>5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj8o>6=4<:183!4603?o7E5$33;>4203A8:;6X=1`82I46k3;pZnm52z&252z&6`a4=zutw0qo?j3;297?6=8r.9=54>829K65e36=4+20:9511<^;;j6hn4>;|~y>i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4>;%7gg?7e683>2<729q/><655e9K65e36=4+20:9511<^;;j6hn4i;|~y>o6==0;6)<>8;373>N59>1]>;6?u+5ef9b>"2lj0m7psr}:k21c<72-8:47?;7:J152=Q:8k1=vB=1b82Sed2;q/=5l514d8R4272;q/9ij5f:&6`f6383>!4603;?;6F=169U64g=9rF9=n4>{Wa`>7}#91h1=;<4V063>7}#=mn1j6*:db8e?x{zu2c::>4?:%02hn4i;|~y>i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4>;%7gg?7e983>2<729q/><655e9K65e36=4+20:9511<@;;<7[<>a;3xH77d28q]on4={%3;f?7302\:8=4={%7g`??<,290/><651558L7703_8:m7?tL33`>4}Qkj09w)?7b;37=>P6<909w);kd;d8 0bd2o1vqps4i077>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?:4:T205<5s-?oh7h4$4f`>c=zutw0e<;i:18'64>=9==0D??8;W02e?7|D;;h6j6X>4181!3cl3l0(8jl:g9~yx{a;3xH77d28q]on4={%3;f?71:2\:8=4={%7g`?`<,<651558L7703_8:m7?tL33`>4}Qkj09w)?7b;357>P6<909w);kd;d8 0bd2o1vqps4o0:7>5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj8o26=47:183!4603?o7E3twvq6g>4883>!4603;?;6F=169U64g=9rF9=n4>{Wa`>7}#91h1=974V063>7}#=mn156*:db8:?x{zu2c:994?:%02{M02g?7|^ji1>v*>8c8211=Q9=:1>v*:de8e?!3ck3l0qpsr;h36b?6=,;;36<:8;I023>P59h0:wA<>c;3xRfe=:r.:4o4>5g9U516=:r.>hi4i;%7gg?`<7E<>7:T15d<6sE8:o7?tVba96~"60k0::?5Y15296~"2lm0m7);kc;d8yx{z3`;=?7>5$33;>4203A8:;6X=1`82I46k3;pZnm52z&2:1]=9>52z&6`a{M02g?7|^ji1>v*>8c8221=Q9=:1>v*:de8e?!3ck3l0qpsr;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{59;294~"5910>h6F=0b9j51>=83.9=54>469K641<^;;j6hn46;|~y>o6==0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>559U516=:r.>hi46;%7gg??<7E<>7:T15d<6sE8:o7?tVba96~"60k0:9k5Y15296~"2lm0m7);kc;d8yx{z3`;=>7>5$33;>4203A8:;6X=1`82I46k3;pZnm52z&2;1]=9>52z&6`a{M02g?7|^ji1>v*>8c8226=Q9=:1>v*:de8e?!3ck3l0qpsr;h350?6=,;;36<:8;I023>P59h0:wA<>c;3xRfe=:r.:4o4>659U516=:r.>hi4i;%7gg?`<7E<>7:T15d<6sE8:o7?tVba96~"60k0::85Y15296~"2lm0m7);kc;d8yx{z3f;387>5$33;>4>33_8:m7?tL33`>4}Qkj09w)?7b;3;0>P6<909w);kd;38 0bd281vqps4}c3ff?6==3:1=9==0Z??n:0yO64e=9r\ho742?3_;?<74=#=mi1=6sr}|9j51?=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=974V063>7}#=mn1=6*:db82?x{zu2c:994?:%02{M02g?7|^ji1>v*>8c8211=Q9=:1>v*:de82?!3ck3;0qpsr;h36b?6=,;;36<:8;W02e?7|D;;h6j6X>4181!3cl3;0(8jl:09~yx{5<5290;w)<>8;`4?M47k2c:8:4?:%02;6?u+5ef95>"2lj0:7psr}:a5c5=8381<7>t$33;>g1<@;:h7d?;7;29 77?28><76a>8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<13-?oo784}|~?xd6ml0;6>4?:1y'64>=:8?0D?>l;h374181!3cl3;0(8jl:09~yx{26=4+20:9511<^;;j60Z<:?:3y'1ab=92.>hn4>;|~y>{e9ll1<7?50;2x 77?2;;m7E4983>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<03-?oo794}|~?l7313:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?;9:T205<5s-?oh7k4$4f`>`=zutw0c<6;:18'64>=91>0Z??n:0yO64e=9r\ho74>33_;?<74=#=mi1=6sr}|9~f4`529086=4?{%024983>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<03-?oo794}|~?l7313:1(??7:064?M46?2\9=l4>{M02g?7|^ji1>v*>8c820<=Q9=:1>v*:de8f?!3ck3o0qpsr;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{53;294~"5910:4>5G21a8m42?290/><651558R77f28qG>{zut1b=9750;&15=<6<>1]>;6?u+5ef95>"2lj0:7psr}:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0:7);kc;38yx{z3th:j94?:383>5}#:821n:5G21a8m420290/><651558?j7?<3:1(??7:0:7?S46i3;p@??l:0yUgf<5s-;3n7?74:T205<5s-?oh7?4$4f`>4=zutw0qo?if;296?6=8r.9=54m7:J14f=n9==1<7*=198202=2wvqp5rb0d6>5<4290;w)<>8;021>N58j1b=9650;&15=<6<>1]><^8>;6?u+5ef95>"2lj0:7psr}:k20<<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:845Y15296~"2lm0:7);kc;38yx{z3f;387>5$33;>4>33_8:m7?tL33`>4}Qkj09w)?7b;3;0>P6<909w);kd;38 0bd281vqps4}c3e2?6=93:1=91>07pl>f983>6<729q/><655e9K65e36=4+20:9511<^;;j6hn4>;|~y>i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4>;%7gg?7f883>6<729q/><655e9K65e36=4+20:9511<^;;j6hn4>;|~y>i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4>;%7gg?7f`83>6<729q/><655e9K65e36=4+20:9511<^;;j6hn48;|~y>i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4>;%7gg?7fc83>6<729q/><655e9K65e36=4+20:9511<^;;j6{zut1d=5:50;&15=<60=1]>;6?u+5ef95>"2lj0:7psr}:a5ce=83>1<7>t$33;>0b<@;:h7d?;8;29 77?28><7[<>a;3xH77d28q]on4={%3;f?7302\:8=4={%7g`?1<,290/><651558L7703_8:m7?tL33`>4}Qkj09w)?7b;37=>P6<909w);kd;58 0bd2>1vqps4i077>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28??7[?;0;0x 0bc2>1/9im57:~yx=h91>1<7*=1982<1=Q:8k1=vB=1b82Sed2;q/=5l51968R4272;q/9ij51:&6`f<63twvq6sm1gf94?2=83:p(??7:4f8L76d3`;?47>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37<>P6<909w);kd;58 0bd2>1vqps4i06:>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>27[?;0;0x 0bc2>1/9im57:~yx=n9<>1<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l51468R4272;q/9ij5e:&6`f8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xd6nl0;694?:1y'64>==m1C>=m4i06;>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?;8:T205<5s-?oh794$4f`>2=zutw0e<:6:18'64>=9==0Z??n:0yO64e=9r\ho742>3_;?<72=#=mi1;6sr}|9j502=83.9=54>469K641<^;;j60Z<:?:3y'1ab=92.>hn4>;|~y>{e9o=1<7650;2x 77?28287E5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37=>P6<909w);kd;38 0bd281vqps4i077>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28??7[?;0;0x 0bc281/9im51:~yx=n96383>!4603;?;6X=1`82I46k3;pZnm52z&2;1]=9>52z&6`a<63-?oo7?4}|~?l71;3:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?93:T205<5s-?oh7?4$4f`>4=zutw0e<8;:18'64>=9==0Z??n:0yO64e=9r\ho74033_;?<74=#=mi1=6sr}|9l5=2=83.9=54>859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1=6*:db82?x{zu2wi>=>50;094?6|,;;36o94H32`?l73?3:1(??7:064?>i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4>;%7gg?77<729q/><65b69K65e<6=4+20:9511<3f;387>5$33;>4>33_8:m7?tL33`>4}Qkj09w)?7b;3;0>P6<909w);kd;48 0bd2?1vqps4}c035?6=;3:1=9==0Z??n:0yO64e=9r\ho742?3_;?<74=#=mi1=6sr}|9j51?=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=974V063>7}#=mn1=6*:db82?x{zu2e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`147<7280;6=u+20:964`<@;:h7b?74;29 77?282?76sm21694?5=83:p(??7:4f8L76d3`;?47>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37<>P6<909w);kd;58 0bd2>1vqps4i06:>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>27[?;0;0x 0bc2l1/9im5e:~yx=h91>1<7*=1982<1=Q:8k1=vB=1b82Sed2;q/=5l51968R4272;q/9ij51:&6`f<63twvq6sm21794?5=83:p(??7:4f8L76d3`;?47>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37<>P6<909w);kd;58 0bd2>1vqps4i06:>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?;9:T205<5s-?oh7k4$4f`>`=zutw0c<6;:18'64>=91>0Z??n:0yO64e=9r\ho74>33_;?<74=#=mi1=6sr}|9~f76429086=4?{%02hn4>;|~y>o6<00;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>489U516=:r.>hi4>;%7gg?7a;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,7>50z&15=5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj<859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1:6*:db85?x{zu2wi9;m50;194?6|,;;36??:;I03g>o6<10;6)<>8;373>N59>1]><^8>;6?u+5ef95>"2lj0:7psr}:k20<<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:845Y15296~"2lm0:7);kc;38yx{z3f;387>5$33;>4>33_8:m7?tL33`>4}Qkj09w)?7b;3;0>P6<909w);kd;38 0bd281vqps4}c75`?6=93:1=91>07pl:6d83>6<729q/><655e9K65e36=4+20:9511<@;;<7[<>a;3xH77d28q]on4={%3;f?7302\:8=4={%7g`?c<,290/><651558R77f28qG>{zut1d=5:50;&15=<60=1]>;6?u+5ef95>"2lj0:7psr}:a12g=8381<7>t$33;>g1<@;:h7d?;7;29 77?28><76a>8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xd2?l0;6?4?:1y'64>=j>1C>=m4i064>5<#:821=994;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{52;294~"5910i;6F=0b9j511=83.9=54>4698k4>3290/><651968R77f28qG>{zut1vn89m:180>5<7s-8:47<>5:J14f=n9=21<7*=198202=O:8=0Z??n:0yO64e=9r\ho742?3_;?<74=#=mi1=6sr}|9j51?=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=974V063>7}#=mn1=6*:db82?x{zu2e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`63f<7280;6=u+20:964`<@;:h7b?74;29 77?282?76sm56f94?5=83:p(??7:4f8L76d3`;?47>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37<>P6<909w);kd;58 0bd2>1vqps4i06:>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?;9:T205<5s-?oh794$4f`>2=zutw0c<6;:18'64>=91>0Z??n:0yO64e=9r\ho74>33_;?<74=#=mi1=6sr}|9~f01a29026=4?{%024983>!4603;?;6F=169U64g=9rF9=n4>{Wa`>7}#91h1=964V063>7}#=mn156*:db8:?x{zu2c:844?:%02hn46;|~y>o6==0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>559U516=:r.>hi4i;%7gg?`<7[<>a;3xH77d28q]on4={%3;f?72n2\:8=4={%7g`?`<,<651558L7703_8:m7?tL33`>4}Qkj09w)?7b;356>P6<909w);kd;d8 0bd2o1vqps4i040>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?93:T205<5s-?oh7h4$4f`>c=zutw0e<8;:18'64>=9==0Z??n:0yO64e=9r\ho74033_;?<7c=#=mi1j6sr}|9j533=83.9=54>469K641<^;;j60Z<:?:3y'1ab=92.>hn4>;|~y>{e=1:1<7?>:183!4603?o7E3twvq6g>4883>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<>3-?oo774}|~?l72<3:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?:4:T205<5s-?oh774$4f`><=zutw0e<;i:18'64>=9==0D??8;W02e?7|D;;h6j6X>4181!3cl330(8jl:89~yx{a;3xH77d28q]on4={%3;f?71:2\:8=4={%7g`?`<,<651558L7703_8:m7?tL33`>4}Qkj09w)?7b;357>P6<909w);kd;d8 0bd2o1vqps4i047>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?94:T205<5s-?oh7h4$4f`>c=zutw0e<8::18'64>=9==0Z??n:0yO64e=9r\ho74023_;?<7c=#=mi1j6sr}|9j530=83.9=54>469K641<^;;j6hn4>;|~y>o68;373>P59h0:wA<>c;3xRfe=:r.:4o4>4`9U516=:r.>hi4>;%7gg?7<7[<>a;3xH77d28q]on4={%3;f?73j2\:8=4={%7g`?7<,<651558R77f28qG>{zut1b=9j50;&15=<6<>1]>;6?u+5ef95>"2lj0:7psr}:k20`<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:8h5Y15296~"2lm0:7);kc;38yx{z3`;?j7>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37b>P6<909w);kd;38 0bd281vqps4o0:7>5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj<2:6=4>1;294~"5910>h6F=0b9j51>=83.9=54>469K641<^;;j6hn46;|~y>o6==0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>559U516=:r.>hi46;%7gg??<7[<>a;3xH77d28q]on4={%3;f?72n2\:8=4={%7g`?`<,<651558L7703_8:m7?tL33`>4}Qkj09w)?7b;356>P6<909w);kd;d8 0bd2o1vqps4i040>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?93:T205<5s-?oh7h4$4f`>c=zutw0e<8;:18'64>=9==0D??8;W02e?7|D;;h64181!3cl3l0(8jl:g9~yx{6=4+20:9511<^;;j6{zut1b=;950;&15=<6<>1]>;6?u+5ef95>"2lj0:7psr}:k20d<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:8l5Y15296~"2lm0:7);kc;38yx{z3`;?n7>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37f>P6<909w);kd;38 0bd281vqps4i06`>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>h7[?;0;0x 0bc281/9im51:~yx=n9=n1<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515f8R4272;q/9ij51:&6`f<63twvq6g>4d83>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?l73n3:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?;f:T205<5s-?oh7?4$4f`>4=zutw0c<6;:18'64>=91>0Z??n:0yO64e=9r\ho74>33_;?<74=#=mi1=6sr}|9~f0>5290?6=4?{%02hn4>;|~y>o6<00;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>489U516=:r.>hi4>;%7gg?7<7[<>a;3xH77d28q]on4={%3;f?72<2\:8=4={%7g`?7<,3290/><651968R77f28qG>{zut1vn8lk:181>5<7s-8:47l8;I03g>o6<>0;6)<>8;373>=h91>1<7*=1982<1=Q:8k1=vB=1b82Sed2;q/=5l51968R4272;q/9ij51:&6`f<63twvq6sm5b394?4=83:p(??7:c58L76d3`;?;7>5$33;>42032e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`6g3<72;0;6=u+20:9f2=O:9i0e<:8:18'64>=9==07b?74;29 77?282?7[<>a;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?0<,50z&15=<59<1C>=m4i06;>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?;8:T205<5s-?oh7?4$4f`>4=zutw0e<:6:18'64>=9==0Z??n:0yO64e=9r\ho742>3_;?<74=#=mi1=6sr}|9l5=2=83.9=54>859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1=6*:db82?x{zu2wi9oh50;394?6|,;;36??i;I03g>i60=0;6)<>8;3;0>=zj4883>!4603;?;6F=169U64g=9rF9=n4>{Wa`>7}#91h1=974V063>7}#=mn1;6*:db84?x{zu2e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`6g7<728;1<7>t$33;>0b<@;:h7d?;8;29 77?28><7[<>a;3xH77d28q]on4={%3;f?7302\:8=4={%7g`??<,290/><651558L7703_8:m7?tL33`>4}Qkj09w)?7b;37=>P6<909w);kd;;8 0bd201vqps4i077>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28??7[?;0;0x 0bc201/9im59:~yx=n93twvq6g>6383>!4603;?;6X=1`82I46k3;pZnm52z&2;1]=9>52z&6`a<>3-?oo774}|~?l71;3:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?93:T205<5s-?oh774$4f`><=zutw0e<8;:18'64>=9==0Z??n:0yO64e=9r\ho74033_;?<7<=#=mi156sr}|9j533=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=;;4V063>7}#=mn156*:db8:?x{zu2c::;4?:%02{M02g?7|^ji1>v*>8c8223=Q9=:1>v*:de8e?!3ck3l0qpsr;h353?6=,;;36<:8;I023>P59h0:wA<>c;3xRfe=:r.:4o4>669U516=:r.>hi46;%7gg??<7[<>a;3xH77d28q]on4={%3;f?73i2\:8=4={%7g`?`<,<651558R77f28qG>{zut1b=9m50;&15=<6<>1C><94V33b>4}K:8i1=vXlc;0x 4>e28>h7[?;0;0x 0bc2o1/9im5f:~yx=n9=n1<7*=198202=O:8=0Z??n:0yO64e=9r\ho742c3_;?<7c=#=mi1j6sr}|9j51c=83.9=54>469K641<^;;j6hn4i;|~y>i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4>;%7gg?747=83:p(??7:4f8L76d3`;?47>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37<>P6<909w);kd;;8 0bd201vqps4i06:>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?;9:T205<5s-?oh774$4f`><=zutw0e<;;:18'64>=9==0Z??n:0yO64e=9r\ho74333_;?<7<=#=mi156sr}|9j50`=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=8h4V063>7}#=mn156*:db8:?x{zu2c::?4?:%02{M02g?7|^ji1>v*>8c8227=Q9=:1>v*:de8:?!3ck330qpsr;h357?6=,;;36<:8;W02e?7|D;;h64181!3cl330(8jl:89~yx{hn46;|~y>o6>?0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>679U516=:r.>hi4i;%7gg?`<7E<>7:T15d<6sE8:o7?tVba96~"60k0:::5Y15296~"2lm027);kc;;8yx{z3`;?m7>5$33;>4203A8:;6X=1`82I46k3;pZnm52z&252z&6`a<>3-?oo774}|~?l73j3:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?;b:T205<5s-?oh7h4$4f`>c=zutw0e<:l:18'64>=9==0D??8;W02e?7|D;;h64181!3cl3l0(8jl:g9~yx{o6=4+20:9511<@;;<7[<>a;3xH77d28q]on4={%3;f?73l2\:8=4={%7g`?`<,<651558L7703_8:m7?tL33`>4}Qkj09w)?7b;37a>P6<909w);kd;d8 0bd2o1vqps4i06e>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>m7[?;0;0x 0bc2o1/9im5f:~yx=h91>1<7*=1982<1=Q:8k1=vB=1b82Sed2;q/=5l51968R4272;q/9ij51:&6`f<63twvq6sm5b694?76290;w)<>8;7g?M47k2c:854?:%02{M02g?7|^ji1>v*>8c820==Q9=:1>v*:de8:?!3ck330qpsr;h37=?6=,;;36<:8;I023>P59h0:wA<>c;3xRfe=:r.:4o4>489U516=:r.>hi46;%7gg??<7[<>a;3xH77d28q]on4={%3;f?72<2\:8=4={%7g`??<,<651558R77f28qG>{zut1b=;<50;&15=<6<>1]>;6?u+5ef9=>"2lj027psr}:k226<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0::>5Y15296~"2lm027);kc;;8yx{z3`;=87>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;350>P6<909w);kd;;8 0bd201vqps4i046>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28<>7[?;0;0x 0bc201/9im59:~yx=n9?<1<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l51748R4272;q/9ij5f:&6`f6683>!4603;?;6F=169U64g=9rF9=n4>{Wa`>7}#91h1=;94V063>7}#=mn156*:db8:?x{zu2c:8l4?:%02{M02g?7|^ji1>v*>8c820d=Q9=:1>v*:de8e?!3ck3l0qpsr;h37f?6=,;;36<:8;W02e?7|D;;h64181!3cl3l0(8jl:g9~yx{h6=4+20:9511<@;;<7[<>a;3xH77d28q]on4={%3;f?73k2\:8=4={%7g`?`<,<651558L7703_8:m7?tL33`>4}Qkj09w)?7b;37`>P6<909w);kd;d8 0bd2o1vqps4i06f>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?;e:T205<5s-?oh7h4$4f`>c=zutw0e<:i:18'64>=9==0Z??n:0yO64e=9r\ho742a3_;?<7c=#=mi1j6sr}|9l5=2=83.9=54>859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1=6*:db82?x{zu2wi9n;50;694?6|,;;36<6<;I03g>o6<10;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>499U516=:r.>hi4>;%7gg?7<7[<>a;3xH77d28q]on4={%3;f?7312\:8=4={%7g`?7<,<651558R77f28qG>{zut1d=5:50;&15=<60=1]>;6?u+5ef95>"2lj0:7psr}:a1a6=8381<7>t$33;>g1<@;:h7d?;7;29 77?28><76a>8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xd2l=0;6?4?:1y'64>=j>1C>=m4i064>5<#:821=994;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3<0(8jl:79~yx{53;294~"59109=85G21a8m42?290/><651558L7703_8:m7?tL33`>4}Qkj09w)?7b;37<>P6<909w);kd;38 0bd281vqps4i06:>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>27[?;0;0x 0bc281/9im51:~yx=h91>1<7*=1982<1=Q:8k1=vB=1b82Sed2;q/=5l51968R4272;q/9ij51:&6`f<63twvq6sm5e094?7=83:p(??7:33e?M47k2e:494?:%025<7s-8:47;k;I03g>o6<10;6)<>8;373>N59>1]><^8>;6?u+5ef93>"2lj0<7psr}:k20<<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:845Y15296~"2lm0n7);kc;g8yx{z3f;387>5$33;>4>33_8:m7?tL33`>4}Qkj09w)?7b;3;0>P6<909w);kd;38 0bd281vqps4}c66N58j1b=9950;&15=<6<>10c<6;:18'64>=91>0Z??n:0yO64e=9r\ho74>33_;?<74=#=mi1=6sr}|9~f13d29096=4?{%024683>!4603;?;65`19694?"5910:495Y20c95~J59j0:w[ml:3y'5=d=91>0Z<:?:3y'1ab=92.>hn4>;|~y>{e>7E{M02g?7|^8<26?u+19`9511<^8>;6?u+5ef95>"2lj0:7psr}:k`1?6=,;;36n;4V33b>4}K:8i1=vX>6881!7?j3i>7[?;0;0x 0bc281/9im51:~yx=nk?0;6)<>8;a5?S46i38p@??l:0yU53?=:r.:4o4l6:T205<5s-?oh7=4$4f`>6=zutF9=o4;{W03=?5|^jk1>v*:dc8`2>"4<90>hi5rVb`96~"2lk0:8:5+35291abv*:dc8`2>"4<90>hi5rVb`96~"2lk0:8:5+35291aev*:dc8`2>"4<90>hi5rVb`96~"2lk0h96*<4186`a=z,?<;6?5rV0:b>7}Qkh09w);kb;a5?!5383?oh6s+672955=zut1b>=650;&15=<5811]>76?3_;?<7c=#=mi1j6sr}M02f?7|^;;:6?uYc`81!3cj38;46*<4186`a=z,?<;6k5r}|9j651=83.9=54=069U64g=:rF9=n4>{W35=?4|,82i6?>8;W374?4|,{zuE8:n7?tV332>7}Qkh09w);kb;033>"4<90>hi5r$743>c=zut1d=5:50;&15=<60=10qo::9;297?6=8r.9=54=149K65e36=4+20:9511<^;;j6hn4>;|~y>i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4>;%7gg?74<729q/><6520d8L76d3f;387>5$33;>4>332wi88l50;194?6|,;;368j4H32`?l7303:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?;8:T205<5s-?oh7k4$4f`>`=zutw0e<:6:18'64>=9==0Z??n:0yO64e=9r\ho742>3_;?<7`=#=mi1i6sr}|9l5=2=83.9=54>859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1=6*:db82?x{zu2wi88j50;;94?6|,;;368j4H32`?l7303:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?;8:T205<5s-?oh7h4$4f`>c=zutw0e<:6:18'64>=9==0Z??n:0yO64e=9r\ho742>3_;?<7c=#=mi1j6sr}|9j502=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=8:4V063>7}#=mn1j6*:db8e?x{zu2c:9k4?:%02{M02g?7|^ji1>v*>8c821c=Q9=:1>v*:de8:?!3ck330qpsr;h356?6=,;;36<:8;W02e?7|D;;h66X>4181!3cl3l0(8jl:g9~yx{0Z<:?:3y'1ab=n2.>hn4i;|~y>o6><0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>649U516=:r.>hi4i;%7gg?`a;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,50z&15=<2l2B9hn4i;|~y>o6<00;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>489U516=:r.>hi4i;%7gg?`<7[<>a;3xH77d28q]on4={%3;f?72<2\:8=4={%7g`?`<,<651558R77f28qG>{zut1b=;<50;&15=<6<>1C><94V33b>4}K:8i1=vXlc;0x 4>e28<97[?;0;0x 0bc2o1/9im5f:~yx=n9?91<7*=198202=O:8=0Z??n:0yO64e=9r\ho74043_;?<7<=#=mi156sr}|9j532=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=;:4V063>7}#=mn1j6*:db8e?x{zu2c::84?:%02{M02g?7|^ji1>v*>8c8220=Q9=:1>v*:de8e?!3ck3l0qpsr;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{>j7>59;294~"5910>h6F=0b9j51>=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=964V063>7}#=mn1j6*:db8e?x{zu2c:844?:%02{M02g?7|^ji1>v*>8c820<=Q9=:1>v*:de8e?!3ck3l0qpsr;h360?6=,;;36<:8;W02e?7|D;;h686X>4181!3cl3l0(8jl:g9~yx{{zut1b=;=50;&15=<6<>1C><94V33b>4}K:8i1=vXlc;0x 4>e28<87[?;0;0x 0bc201/9im59:~yx=n9?>1<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l51768R4272;q/9ij5f:&6`f6483>!4603;?;6X=1`82I46k3;pZnm52z&2<1]=9>52z&6`a4=zutw0qo:90;29=?6=8r.9=54:d:J14f=n9=21<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515:8R4272;q/9ij5f:&6`f4883>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`ac=zutw0e<;i:18'64>=9==0Z??n:0yO64e=9r\ho743a3_;?<7<=#=mi156sr}|9j534=83.9=54>469K641<^;;j6{zut1b=;:50;&15=<6<>1]>;6?u+5ef9b>"2lj0m7psr}:k220<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0::85Y15296~"2lm0m7);kc;d8yx{z3f;387>5$33;>4>33_8:m7?tL33`>4}Qkj09w)?7b;3;0>P6<909w);kd;38 0bd281vqps4}c655?6==3:1=9==0Z??n:0yO64e=9r\ho742?3_;?<74=#=mi1=6sr}|9j51?=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=974V063>7}#=mn1=6*:db82?x{zu2c:994?:%02{M02g?7|^ji1>v*>8c8211=Q9=:1>v*:de82?!3ck3;0qpsr;h36b?6=,;;36<:8;W02e?7|D;;h6j6X>4181!3cl3;0(8jl:09~yx{5<5290;w)<>8;`4?M47k2c:8:4?:%02;6?u+5ef95>"2lj0:7psr}:a16?=8381<7>t$33;>g1<@;:h7d?;7;29 77?28><76a>8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xd2;k0;6?4?:1y'64>=j>1C>=m4i064>5<#:821=994;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3<0(8jl:79~yx{53;294~"59109=85G21a8m42?290/><651558R77f28qG>{zut1b=9750;&15=<6<>1]>;6?u+5ef95>"2lj0:7psr}:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0:7);kc;38yx{z3th>?:4?:083>5}#:821>{e=:21<7=50;2x 77?2l;h37P59h0:wA<>c;3xRfe=:r.:4o4>499U516=:r.>hi4j;%7gg?c<7E<>7:T15d<6sE8:o7?tVba96~"60k0:845Y15296~"2lm0n7);kc;g8yx{z3f;387>5$33;>4>33_8:m7?tL33`>4}Qkj09w)?7b;3;0>P6<909w);kd;38 0bd281vqps4}c70e?6=>3:1N58j1b=9650;&15=<6<>1]><^8>;6?u+5ef9b>"2lj0m7psr}:k20<<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:845Y15296~"2lm0m7);kc;d8yx{z3`;>87>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;360>P6<909w);kd;;8 0bd201vqps4i07e>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28?m7[?;0;0x 0bc2o1/9im5f:~yx=n9?81<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l51708R4272;q/9ij5f:&6`f8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xd2>;0;6?4?:1y'64>=j>1C>=m4i064>5<#:821=994;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{52;294~"5910i;6F=0b9j511=83.9=54>4698k4>3290/><651968R77f28qG>{zut1vn88<:180>5<7s-8:47<>5:J14f=n9=21<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515:8R4272;q/9ij51:&6`f<63twvq6g>4883>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?j7?<3:1(??7:0:7?S46i3;p@??l:0yUgf<5s-;3n7?74:T205<5s-?oh7?4$4f`>4=zutw0qo;94;295?6=8r.9=54=1g9K65e:;4?:583>5}#:8219i5G21a8m42?290/><651558R77f28qG>{zut1b=9750;&15=<6<>1]>;6?u+5ef93>"2lj0<7psr}:k211<72-8:47?;7:J152=Q:8k1=vB=1b82Sed2;q/=5l51468R4272;q/9ij57:&6`f<03twvq6a>8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xd2>>0;6;4?:1y'64>==m1C>=m4i06;>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>37[?;0;0x 0bc2>1/9im57:~yx=n9=31<7*=198202=O:8=0Z??n:0yO64e=9r\ho742>3_;?<72=#=mi1;6sr}|9j502=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=8:4V063>7}#=mn1;6*:db84?x{zu2c:9k4?:%02{M02g?7|^ji1>v*>8c821c=Q9=:1>v*:de84?!3ck3=0qpsr;h356?6=,;;36<:8;I023>P59h0:wA<>c;3xRfe=:r.:4o4>639U516=:r.>hi48;%7gg?1a;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,50z&15=<60:1C>=m4i06;>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>37[?;0;0x 0bc281/9im51:~yx=n9=31<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij51:&6`f<63twvq6a>8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xd2i80;6?4?:1y'64>=j>1C>=m4i064>5<#:821=994;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{52;294~"5910i;6F=0b9j511=83.9=54>4698k4>3290/><651968R77f28qG>{zut1vn8on:181>5<7s-8:47l8;I03g>o6<>0;6)<>8;373>=h91>1<7*=1982<1=Q:8k1=vB=1b82Sed2;q/=5l51968R4272;q/9ij56:&6`f<13twvq6sm5`094?5=83:p(??7:336?M47k2c:854?:%02hn4>;|~y>o6<00;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>489U516=:r.>hi4>;%7gg?7a;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,50z&15=<59o1C>=m4o0:7>5<#:821=5:4;|`6e0<72:0;6=u+20:91a=O:9i0e<:7:18'64>=9==0Z??n:0yO64e=9r\ho742?3_;?<7`=#=mi1i6sr}|9j51?=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=974V063>7}#=mn1i6*:db8f?x{zu2e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`6e3<72?0;6=u+20:91a=O:9i0e<:7:18'64>=9==0D??8;W02e?7|D;;h64181!3cl3=0(8jl:69~yx{26=4+20:9511<@;;<7[<>a;3xH77d28q]on4={%3;f?7312\:8=4={%7g`?1<,<651558L7703_8:m7?tL33`>4}Qkj09w)?7b;360>P6<909w);kd;58 0bd2>1vqps4i07e>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28?m7[?;0;0x 0bc2l1/9im5e:~yx=n9?81<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l51708R4272;q/9ij5e:&6`f8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xd2i>0;6:4?:1y'64>==m1C>=m4i06;>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?;8:T205<5s-?oh794$4f`>2=zutw0e<:6:18'64>=9==0Z??n:0yO64e=9r\ho742>3_;?<72=#=mi1;6sr}|9j502=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=8:4V063>7}#=mn1;6*:db84?x{zu2c:9k4?:%02hn48;|~y>o6>;0;6)<>8;373>N59>1]>;6?u+5ef9a>"2lj0n7psr}:k226<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0::>5Y15296~"2lm0n7);kc;g8yx{z3f;387>5$33;>4>33_8:m7?tL33`>4}Qkj09w)?7b;3;0>P6<909w);kd;38 0bd281vqps4}c7b0?6=<3:1=9==0Z??n:0yO64e=9r\ho742?3_;?<74=#=mi1=6sr}|9j51?=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=974V063>7}#=mn1=6*:db82?x{zu2c:994?:%02{M02g?7|^ji1>v*>8c8211=Q9=:1>v*:de82?!3ck3;0qpsr;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{55;294~"5910>h6F=0b9j51>=83.9=54>469K641<^;;j6{zut1b=8:50;&15=<6<>1C><94V33b>4}K:8i1=vXlc;0x 4>e28??7[?;0;0x 0bc201/9im59:~yx=n98583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xd1=h0;6?4?:1y'64>=j>1C>=m4i064>5<#:821=994;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{j7>56;294~"5910:885G21a8 40?28;0e<:8:18'64>=9==0Z??n:0yO64e=9r\::44={%3;f?73?2\:8=4={%7g`?7<,7}#91h1o85Y15296~"2lm0:7);kc;38yx{z3`i=6=4+20:9g3=Q:8k1>vB=1b82S71138p(<6m:b48R4272;q/9ij53:&6`f<43twv@??m:5yU65?=;r\hm7f0<,:>;68jk;|T`f?4|,5b:U65?=;r\hm7f0<,:>;68jk;|T`f?4|,5b:U65?=;r\hm7f0<,:>;68jk;|T`f?4|,0bc3t.=:=4=;|T2a;0xH77d28q]=;752z&252z&6`a7}#=mh1>=64$263>0bc3t.=:=4i;|~?l47?3:1(??7:324?S46i38p@??l:0yU53?=:r.:4o4=069U516=:r.>hi4i;%7gg?`;68jk;|&525{M02g?7|^ji1>v*>8c820==Q9=:1>v*:de82?!3ck3;0qpsr;h37=?6=,;;36<:8;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{5<6290;w)<>8;02b>N58j1d=5:50;&15=<60=10qo8:d;297?6=8r.9=54:d:J14f=n9=21<7*=198202=O:8=0Z??n:0yO64e=9r\ho742?3_;?<7`=#=mi1i6sr}|9j51?=83.9=54>469K641<^;;j60Z<:?:3y'1ab=92.>hn4>;|~y>{e9?l1<7<50;2x 77?2k=0D?>l;h373?6=,;;36<:8;:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0:7);kc;38yx{z3th::h4?:383>5}#:821n:5G21a8m420290/><651558?j7?<3:1(??7:0:7?S46i3;p@??l:0yUgf<5s-;3n7?74:T205<5s-?oh7?4$4f`>4=zutw0qo?80;296?6=8r.9=54m7:J14f=n9==1<7*=198202=5<5290;w)<>8;`4?M47k2c:8:4?:%02;6?u+5ef92>"2lj0=7psr}:a527=8391<7>t$33;>7723A8;o6g>4983>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?l7313:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?;9:T205<5s-?oh7?4$4f`>4=zutw0c<6;:18'64>=91>0Z??n:0yO64e=9r\ho74>33_;?<74=#=mi1=6sr}|9~f415290:6=4?{%02=91>07pl>7483>6<729q/><655e9K65e36=4+20:9511<@;;<7[<>a;3xH77d28q]on4={%3;f?7302\:8=4={%7g`?2<,290/><651558L7703_8:m7?tL33`>4}Qkj09w)?7b;37=>P6<909w);kd;68 0bd2=1vqps4o0:7>5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj021<7<50;2x 77?2k=0D?>l;h373?6=,;;36<:8;:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0:7);kc;38yx{z3th2o7>52;294~"5910i;6F=0b9j511=83.9=54>4698k4>3290/><651968R77f28qG>{zut1vnl<50;094?6|,;;36o94H32`?l73?3:1(??7:064?>i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi49;%7gg?036=4+20:9511<^;;j6hn4>;|~y>i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4>;%7gg?753;294~"5910>h6F=0b9j51>=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=964V063>7}#=mn1;6*:db84?x{zu2c:844?:%02{M02g?7|^ji1>v*>8c820<=Q9=:1>v*:de84?!3ck3=0qpsr;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37=>P6<909w);kd;d8 0bd2o1vqps4i077>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?:4:T205<5s-?oh7h4$4f`>c=zutw0c<6;:18'64>=91>0Z??n:0yO64e=9r\ho74>33_;?<74=#=mi1=6sr}|9~f1<7>t$33;>0b<@;:h7d?;8;29 77?28><7[<>a;3xH77d28q]on4={%3;f?7302\:8=4={%7g`?`<,290/><651558L7703_8:m7?tL33`>4}Qkj09w)?7b;37=>P6<909w);kd;d8 0bd2o1vqps4i077>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?:4:T205<5s-?oh7h4$4f`>c=zutw0c<6;:18'64>=91>0Z??n:0yO64e=9r\ho74>33_;?<74=#=mi1=6sr}|9~f<`=83?1<7>t$33;>0b<@;:h7d?;8;29 77?28><7[<>a;3xH77d28q]on4={%3;f?7302\:8=4={%7g`??<,290/><651558L7703_8:m7?tL33`>4}Qkj09w)?7b;37=>P6<909w);kd;d8 0bd2o1vqps4i077>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?:4:T205<5s-?oh7h4$4f`>c=zutw0e<;i:18'64>=9==0D??8;W02e?7|D;;h6j6X>4181!3cl3l0(8jl:g9~yx{5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37<>P6<909w);kd;;8 0bd201vqps4i06:>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?;9:T205<5s-?oh7h4$4f`>c=zutw0e<;;:18'64>=9==0D??8;W02e?7|D;;h686X>4181!3cl3l0(8jl:g9~yx{a;3xH77d28q]on4={%3;f?72n2\:8=4={%7g`?`<,3290/><651968R77f28qG>{zut1vnl?50;794?6|,;;36<6<;I03g>o6<10;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>499U516=:r.>hi4>;%7gg?7<7[<>a;3xH77d28q]on4={%3;f?7312\:8=4={%7g`?7<,<651558R77f28qG>{zut1b=8h50;&15=<6<>1]>;6?u+5ef95>"2lj0:7psr}:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0:7);kc;38yx{z3thj:7>52;294~"5910i;6F=0b9j511=83.9=54>4698k4>3290/><651968R77f28qG>{zut1vnlo50;094?6|,;;36o94H32`?l73?3:1(??7:064?>i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4>;%7gg?72wvqp5rb`594?5=83:p(??7:336?M47k2c:854?:%02{M02g?7|^ji1>v*>8c820==Q9=:1>v*:de82?!3ck3;0qpsr;h37=?6=,;;36<:8;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{2=zutw0e<:6:18'64>=9==0Z??n:0yO64e=9r\ho742>3_;?<72=#=mi1;6sr}|9l5=2=83.9=54>859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1=6*:db82?x{zu2wimo4?:683>5}#:8219i5G21a8m42?290/><651558R77f28qG>{zut1b=9750;&15=<6<>1C><94V33b>4}K:8i1=vXlc;0x 4>e28>27[?;0;0x 0bc201/9im59:~yx=n9<>1<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l51468R4272;q/9ij59:&6`f<>3twvq6g>5g83>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<>3-?oo774}|~?l71:3:1(??7:064?M46?2\9=l4>{M02g?7|^ji1>v*>8c8227=Q9=:1>v*:de8e?!3ck3l0qpsr;h357?6=,;;36<:8;W02e?7|D;;h64181!3cl3l0(8jl:g9~yx{5<5290;w)<>8;`4?M47k2c:8:4?:%02;6?u+5ef95>"2lj0:7psr}:a0=`=8381<7>t$33;>g1<@;:h7d?;7;29 77?28><76a>8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xd2<<0;6;4?:1y'64>=9=?0D?>l;%355$33;>4203_8:m7?tL33`>4}Q9?31>v*>8c8202=Q9=:1>v*:de82?!3ck3;0qpsr;ha6>5<#:821o85Y20c95~J59j0:w[?99;0x 4>e2j?0Z<:?:3y'1ab=92.>hn4>;|~y>od>3:1(??7:b48R77f2;qG>5+5ea97>{zuE8:n7:tV32:>6}Qkh09w);kb;a5?!5383?oh6sYcc81!3cj3;?;6*<4186`a=z,?<;6o5rV32:>6}Qkh09w);kb;a5?!5383?oh6sYcc81!3cj3;?;6*<4186`f=z,?<;6o5rV32:>6}Qkh09w);kb;a5?!5383?oh6sYcc81!3cj3i>7)=;0;7g`>{#>?:1>6sY19c96~Pdi38p(8jm:b48 6272{zu2c9<54?:%02<^8>;6?u+5ef9b>"2lj0m7psrL33a>4}Q:8;1>vXla;0x 0be2;:37)=;0;7g`>{#>?:1j6sr}:k142<72-8:477}#91h1>=94V063>7}#=mn1j6*:db8e?x{zD;;i6{zu2e:494?:%025<7s-8:47<>5:J14f=n9=21<7*=198202=O:8=0Z??n:0yO64e=9r\ho742?3_;?<74=#=mi1=6sr}|9j51?=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=974V063>7}#=mn1=6*:db82?x{zu2e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`605<7280;6=u+20:964`<@;:h7b?74;29 77?282?76sm55094?5=83:p(??7:4f8L76d3`;?47>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37<>P6<909w);kd;g8 0bd2l1vqps4i06:>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>27[?;0;0x 0bc2l1/9im5e:~yx=h91>1<7*=1982<1=Q:8k1=vB=1b82Sed2;q/=5l51968R4272;q/9ij51:&6`f<63twvq6sm55194?5=83:p(??7:4f8L76d3`;?47>5$33;>4203A8:;6X=1`82I46k3;pZnm52z&252z&6`a{M02g?7|^ji1>v*>8c820<=Q9=:1>v*:de8f?!3ck3o0qpsr;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{53;294~"5910:4>5G21a8m42?290/><651558R77f28qG>{zut1b=9750;&15=<6<>1]>;6?u+5ef95>"2lj0:7psr}:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0:7);kc;38yx{z3th>4;4?:383>5}#:821n:5G21a8m420290/><651558?j7?<3:1(??7:0:7?S46i3;p@??l:0yUgf<5s-;3n7?74:T205<5s-?oh7?4$4f`>4=zutw0qo;7a;296?6=8r.9=54m7:J14f=n9==1<7*=198202=5<5290;w)<>8;`4?M47k2c:8:4?:%02;6?u+5ef92>"2lj0=7psr}:a1=1=8391<7>t$33;>7723A8;o6g>4983>!4603;?;6F=169U64g=9rF9=n4>{Wa`>7}#91h1=964V063>7}#=mn1=6*:db82?x{zu2c:844?:%02{M02g?7|^ji1>v*>8c820<=Q9=:1>v*:de82?!3ck3;0qpsr;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{51;294~"59109=k5G21a8k4>3290/><651968?xd2000;6>4?:1y'64>==m1C>=m4i06;>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>37[?;0;0x 0bc281/9im51:~yx=n9=31<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij51:&6`f<63twvq6a>8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xd20k0;6:4?:1y'64>==m1C>=m4i06;>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?;8:T205<5s-?oh774$4f`><=zutw0e<:6:18'64>=9==0D??8;W02e?7|D;;h64181!3cl330(8jl:89~yx{a;3xH77d28q]on4={%3;f?72<2\:8=4={%7g`??<,<651558L7703_8:m7?tL33`>4}Qkj09w)?7b;36b>P6<909w);kd;d8 0bd2o1vqps4i041>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?92:T205<5s-?oh7h4$4f`>c=zutw0e<8<:18'64>=9==0Z??n:0yO64e=9r\ho74043_;?<7c=#=mi1j6sr}|9l5=2=83.9=54>859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1=6*:db82?x{zu2wi95m50;594?6|,;;368j4H32`?l7303:1(??7:064?M46?2\9=l4>{M02g?7|^ji1>v*>8c820==Q9=:1>v*:de8:?!3ck330qpsr;h37=?6=,;;36<:8;I023>P59h0:wA<>c;3xRfe=:r.:4o4>489U516=:r.>hi46;%7gg??<7E<>7:T15d<6sE8:o7?tVba96~"60k0:995Y15296~"2lm0m7);kc;d8yx{z3`;>j7>5$33;>4203A8:;6X=1`82I46k3;pZnm52z&252z&6`a{M02g?7|^ji1>v*>8c8227=Q9=:1>v*:de8e?!3ck3l0qpsr;h357?6=,;;36<:8;W02e?7|D;;h64181!3cl3l0(8jl:g9~yx{5<0290;w)<>8;7g?M47k2c:854?:%02hn46;|~y>o6<00;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>489U516=:r.>hi46;%7gg??<7E<>7:T15d<6sE8:o7?tVba96~"60k0:995Y15296~"2lm027);kc;;8yx{z3`;>j7>5$33;>4203A8:;6X=1`82I46k3;pZnm52z&252z&6`a{M02g?7|^ji1>v*>8c8227=Q9=:1>v*:de8e?!3ck3l0qpsr;h357?6=,;;36<:8;W02e?7|D;;h64181!3cl3l0(8jl:g9~yx{5<0290;w)<>8;7g?M47k2c:854?:%02hn46;|~y>o6<00;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>489U516=:r.>hi46;%7gg??<7E<>7:T15d<6sE8:o7?tVba96~"60k0:995Y15296~"2lm027);kc;;8yx{z3`;>j7>5$33;>4203A8:;6X=1`82I46k3;pZnm52z&252z&6`a{M02g?7|^ji1>v*>8c8227=Q9=:1>v*:de8e?!3ck3l0qpsr;h357?6=,;;36<:8;W02e?7|D;;h64181!3cl3l0(8jl:g9~yx{5<0290;w)<>8;7g?M47k2c:854?:%02hn46;|~y>o6<00;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>489U516=:r.>hi46;%7gg??<7[<>a;3xH77d28q]on4={%3;f?72<2\:8=4={%7g`??<,<651558L7703_8:m7?tL33`>4}Qkj09w)?7b;36b>P6<909w);kd;d8 0bd2o1vqps4i041>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?92:T205<5s-?oh7h4$4f`>c=zutw0e<8<:18'64>=9==0Z??n:0yO64e=9r\ho74043_;?<7c=#=mi1j6sr}|9l5=2=83.9=54>859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1=6*:db82?x{zu2wi94>50;494?6|,;;36<6<;I03g>o6<10;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>499U516=:r.>hi4>;%7gg?7<7[<>a;3xH77d28q]on4={%3;f?7312\:8=4={%7g`?7<,<651558R77f28qG>{zut1b=8h50;&15=<6<>1]>;6?u+5ef95>"2lj0:7psr}:k227<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0::?5Y15296~"2lm0:7);kc;38yx{z3f;387>5$33;>4>33_8:m7?tL33`>4}Qkj09w)?7b;3;0>P6<909w);kd;38 0bd281vqps4}c7:0?6=:3:1N58j1b=9950;&15=<6<>10c<6;:18'64>=91>0Z??n:0yO64e=9r\ho74>33_;?<74=#=mi1=6sr}|9~f0??29096=4?{%024683>!4603;?;65`19694?"5910:495Y20c95~J59j0:w[ml:3y'5=d=91>0Z<:?:3y'1ab=92.>hn4>;|~y>{e=0o1<7<50;2x 77?2k=0D?>l;h373?6=,;;36<:8;:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0=7);kc;48yx{z3th>584?:283>5}#:821><;4H32`?l7303:1(??7:064?M46?2\9=l4>{M02g?7|^ji1>v*>8c820==Q9=:1>v*:de82?!3ck3;0qpsr;h37=?6=,;;36<:8;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{5<6290;w)<>8;02b>N58j1d=5:50;&15=<60=10qo;67;291?6=8r.9=54:d:J14f=n9=21<7*=198202=O:8=0Z??n:0yO64e=9r\ho742?3_;?<72=#=mi1;6sr}|9j51?=83.9=54>469K641<^;;j6{zut1b=8h50;&15=<6<>1]>;6?u+5ef9a>"2lj0n7psr}:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0:7);kc;38yx{z3th>544?:683>5}#:8219i5G21a8m42?290/><651558L7703_8:m7?tL33`>4}Qkj09w)?7b;37<>P6<909w);kd;;8 0bd201vqps4i06:>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>27[?;0;0x 0bc201/9im59:~yx=n9<>1<7*=198202=O:8=0Z??n:0yO64e=9r\ho74333_;?<7<=#=mi156sr}|9j50`=83.9=54>469K641<^;;j6{zut1b=;=50;&15=<6<>1]>;6?u+5ef9b>"2lj0m7psr}:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0:7);kc;38yx{z3th>5l4?:683>5}#:8219i5G21a8m42?290/><651558L7703_8:m7?tL33`>4}Qkj09w)?7b;37<>P6<909w);kd;;8 0bd201vqps4i06:>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>27[?;0;0x 0bc201/9im59:~yx=n9<>1<7*=198202=O:8=0Z??n:0yO64e=9r\ho74333_;?<7<=#=mi156sr}|9j50`=83.9=54>469K641<^;;j6{zut1b=;=50;&15=<6<>1]>;6?u+5ef9b>"2lj0m7psr}:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0:7);kc;38yx{z3th>5o4?:983>5}#:8219i5G21a8m42?290/><651558L7703_8:m7?tL33`>4}Qkj09w)?7b;37<>P6<909w);kd;;8 0bd201vqps4i06:>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?;9:T205<5s-?oh774$4f`><=zutw0e<;;:18'64>=9==0D??8;W02e?7|D;;h686X>4181!3cl330(8jl:89~yx{{zut1b=;=50;&15=<6<>1C><94V33b>4}K:8i1=vXlc;0x 4>e28<87[?;0;0x 0bc2o1/9im5f:~yx=n9?>1<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l51768R4272;q/9ij5f:&6`f8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xd21j0;654?:1y'64>==m1C>=m4i06;>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?;8:T205<5s-?oh774$4f`><=zutw0e<:6:18'64>=9==0Z??n:0yO64e=9r\ho742>3_;?<7<=#=mi156sr}|9j502=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=8:4V063>7}#=mn156*:db8:?x{zu2c:9k4?:%02{M02g?7|^ji1>v*>8c821c=Q9=:1>v*:de8e?!3ck3l0qpsr;h356?6=,;;36<:8;I023>P59h0:wA<>c;3xRfe=:r.:4o4>639U516=:r.>hi4i;%7gg?`<7E<>7:T15d<6sE8:o7?tVba96~"60k0::>5Y15296~"2lm0m7);kc;d8yx{z3`;=87>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;350>P6<909w);kd;d8 0bd2o1vqps4o0:7>5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj<3o6=4::183!4603;3?6F=0b9j51>=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=964V063>7}#=mn1=6*:db82?x{zu2c:844?:%02{M02g?7|^ji1>v*>8c820<=Q9=:1>v*:de82?!3ck3;0qpsr;h360?6=,;;36<:8;W02e?7|D;;h686X>4181!3cl3;0(8jl:09~yx{0Z<:?:3y'1ab=92.>hn4>;|~y>{e=hl1<7<50;2x 77?2k=0D?>l;h373?6=,;;36<:8;:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0:7);kc;38yx{z3th>n>4?:383>5}#:821n:5G21a8m420290/><651558?j7?<3:1(??7:0:7?S46i3;p@??l:0yUgf<5s-;3n7?74:T205<5s-?oh7?4$4f`>4=zutw0qo;ma;296?6=8r.9=54m7:J14f=n9==1<7*=198202=2wvqp5rb4`3>5<4290;w)<>8;021>N58j1b=9650;&15=<6<>1C><94V33b>4}K:8i1=vXlc;0x 4>e28>37[?;0;0x 0bc281/9im51:~yx=n9=31<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij51:&6`f<63twvq6a>8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xd2j80;6<4?:1y'64>=:8l0D?>l;n3;0?6=,;;36<6;;:a1g4=8391<7>t$33;>0b<@;:h7d?;8;29 77?28><7[<>a;3xH77d28q]on4={%3;f?7302\:8=4={%7g`?7<,290/><651558R77f28qG>{zut1d=5:50;&15=<60=1]>;6?u+5ef95>"2lj0:7psr}:a1g2=83=1<7>t$33;>0b<@;:h7d?;8;29 77?28><7[<>a;3xH77d28q]on4={%3;f?7302\:8=4={%7g`??<,290/><651558L7703_8:m7?tL33`>4}Qkj09w)?7b;37=>P6<909w);kd;;8 0bd201vqps4i077>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?:4:T205<5s-?oh7h4$4f`>c=zutw0e<;i:18'64>=9==0D??8;W02e?7|D;;h6j6X>4181!3cl3l0(8jl:g9~yx{a;3xH77d28q]on4={%3;f?71:2\:8=4={%7g`?`<,<651558L7703_8:m7?tL33`>4}Qkj09w)?7b;357>P6<909w);kd;d8 0bd2o1vqps4o0:7>5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj6=48:183!4603?o7E5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37=>P6<909w);kd;d8 0bd2o1vqps4i077>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?:4:T205<5s-?oh7h4$4f`>c=zutw0e<;i:18'64>=9==0D??8;W02e?7|D;;h6j6X>4181!3cl3l0(8jl:g9~yx{a;3xH77d28q]on4={%3;f?71:2\:8=4={%7g`?`<,<651558L7703_8:m7?tL33`>4}Qkj09w)?7b;357>P6<909w);kd;d8 0bd2o1vqps4o0:7>5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj3twvq6g>4883>!4603;?;6F=169U64g=9rF9=n4>{Wa`>7}#91h1=974V063>7}#=mn1j6*:db8e?x{zu2c:994?:%020Z<:?:3y'1ab=n2.>hn4i;|~y>o6=o0;6)<>8;373>N59>1]>;6?u+5ef9b>"2lj0m7psr}:k227<72-8:47?;7:J152=Q:8k1=vB=1b82Sed2;q/=5l51708R4272;q/9ij5f:&6`f6283>!4603;?;6F=169U64g=9rF9=n4>{Wa`>7}#91h1=;=4V063>7}#=mn1j6*:db8e?x{zu2e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`6f2<7210;6=u+20:91a=O:9i0e<:7:18'64>=9==0D??8;W02e?7|D;;h64181!3cl330(8jl:89~yx{26=4+20:9511<@;;<7[<>a;3xH77d28q]on4={%3;f?7312\:8=4={%7g`??<,<651558L7703_8:m7?tL33`>4}Qkj09w)?7b;360>P6<909w);kd;;8 0bd201vqps4i07e>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28?m7[?;0;0x 0bc2o1/9im5f:~yx=n9?81<7*=198202=O:8=0Z??n:0yO64e=9r\ho74053_;?<7c=#=mi1j6sr}|9j535=83.9=54>469K641<^;;j6{zut1d=5:50;&15=<60=1]>;6?u+5ef95>"2lj0:7psr}:a1g>=8321<7>t$33;>0b<@;:h7d?;8;29 77?28><7E<>7:T15d<6sE8:o7?tVba96~"60k0:855Y15296~"2lm027);kc;;8yx{z3`;?57>5$33;>4203A8:;6X=1`82I46k3;pZnm52z&252z&6`a<>3-?oo774}|~?l72<3:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?:4:T205<5s-?oh7h4$4f`>c=zutw0e<;i:18'64>=9==0Z??n:0yO64e=9r\ho743a3_;?<7c=#=mi1j6sr}|9j534=83.9=54>469K641<^;;j6{zut1b=;:50;&15=<6<>1C><94V33b>4}K:8i1=vXlc;0x 4>e281<7*=1982<1=Q:8k1=vB=1b82Sed2;q/=5l51968R4272;q/9ij51:&6`f<63twvq6sm5c;94?0=83:p(??7:0:0?M47k2c:854?:%02{M02g?7|^ji1>v*>8c820==Q9=:1>v*:de82?!3ck3;0qpsr;h37=?6=,;;36<:8;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{hn4>;|~y>o6>;0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>639U516=:r.>hi4>;%7gg?7a;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,7>50z&15=5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj82;6=4=:183!4603h<7E859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1:6*:db85?x{zu2wi=:j50;194?6|,;;36??:;I03g>o6<10;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>499U516=:r.>hi4>;%7gg?7<7[<>a;3xH77d28q]on4={%3;f?7312\:8=4={%7g`?7<,3290/><651968R77f28qG>{zut1vn<9j:182>5<7s-8:47<>f:J14f=h91>1<7*=1982<1=51;294~"5910:4?5G21a8k4>3290/><651968?xd6?>0;6?4?:1y'64>=j>1C>=m4i064>5<#:821=994;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{52;294~"5910i;6F=0b9j511=83.9=54>4698k4>3290/><651968R77f28qG>{zut1vn<97:180>5<7s-8:47<>5:J14f=n9=21<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515:8R4272;q/9ij51:&6`f<63twvq6g>4883>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?j7?<3:1(??7:0:7?S46i3;p@??l:0yUgf<5s-;3n7?74:T205<5s-?oh7?4$4f`>4=zutw0qo?89;295?6=8r.9=54=1g9K65e5}#:821=5<4H32`?j7?<3:1(??7:0:7?>{eko0;6?4?:1y'64>=j>1C>=m4i064>5<#:821=994;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37=>P6<909w);kd;f8 0bd2m1vqps4o0:7>5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zjm;1<7650;2x 77?2l;h37P59h0:wA<>c;3xRfe=:r.:4o4>499U516=:r.>hi46;%7gg??<7E<>7:T15d<6sE8:o7?tVba96~"60k0:845Y15296~"2lm027);kc;;8yx{z3`;>87>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;360>P6<909w);kd;d8 0bd2o1vqps4i07e>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28?m7[?;0;0x 0bc2o1/9im5f:~yx=n9?81<7*=198202=O:8=0Z??n:0yO64e=9r\ho74053_;?<7c=#=mi1j6sr}|9j535=83.9=54>469K641<^;;j60Z<:?:3y'1ab=n2.>hn4i;|~y>i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4>;%7gg?742?3_;?<7<=#=mi156sr}|9j51?=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=974V063>7}#=mn156*:db8:?x{zu2c:994?:%02{M02g?7|^ji1>v*>8c8211=Q9=:1>v*:de8:?!3ck330qpsr;h36b?6=,;;36<:8;I023>P59h0:wA<>c;3xRfe=:r.:4o4>5g9U516=:r.>hi46;%7gg??<7E<>7:T15d<6sE8:o7?tVba96~"60k0::?5Y15296~"2lm0m7);kc;d8yx{z3`;=?7>5$33;>4203A8:;6X=1`82I46k3;pZnm52z&2:1]=9>52z&6`a{M02g?7|^ji1>v*>8c8221=Q9=:1>v*:de8e?!3ck3l0qpsr;h351?6=,;;36<:8;W02e?7|D;;h64181!3cl3l0(8jl:g9~yx{5$33;>4203A8:;6X=1`82I46k3;pZnm52z&252z&6`a<>3-?oo774}|~?l7313:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?;9:T205<5s-?oh774$4f`><=zutw0e<;;:18'64>=9==0Z??n:0yO64e=9r\ho74333_;?<7<=#=mi156sr}|9j50`=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=8h4V063>7}#=mn1j6*:db8e?x{zu2c::?4?:%02hn4i;|~y>o6>:0;6)<>8;373>N59>1]>;6?u+5ef9b>"2lj0m7psr}:k221<72-8:47?;7:J152=Q:8k1=vB=1b82Sed2;q/=5l51768R4272;q/9ij5f:&6`f6483>!4603;?;6X=1`82I46k3;pZnm52z&2<1]=9>52z&6`a4=zutw0qoj;:1825?6=8r.9=54:d:J14f=n9=21<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515:8R4272;q/9ij59:&6`f<>3twvq6g>4883>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<>3-?oo774}|~?l72<3:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?:4:T205<5s-?oh774$4f`><=zutw0e<;i:18'64>=9==0Z??n:0yO64e=9r\ho743a3_;?<7<=#=mi156sr}|9j534=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=;<4V063>7}#=mn156*:db8:?x{zu2c::>4?:%02{M02g?7|^ji1>v*>8c8226=Q9=:1>v*:de8:?!3ck330qpsr;h350?6=,;;36<:8;W02e?7|D;;h64181!3cl330(8jl:89~yx{6=4+20:9511<@;;<7[<>a;3xH77d28q]on4={%3;f?71=2\:8=4={%7g`??<,<651558L7703_8:m7?tL33`>4}Qkj09w)?7b;352>P6<909w);kd;;8 0bd201vqps4i044>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?97:T205<5s-?oh7h4$4f`>c=zutw0e<:n:18'64>=9==0D??8;W02e?7|D;;h64181!3cl3l0(8jl:g9~yx{i6=4+20:9511<@;;<7[<>a;3xH77d28q]on4={%3;f?73j2\:8=4={%7g`?`<,<651558R77f28qG>{zut1b=9j50;&15=<6<>1]>;6?u+5ef95>"2lj0:7psr}:k20`<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:8h5Y15296~"2lm0:7);kc;38yx{z3`;?j7>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37b>P6<909w);kd;38 0bd281vqps4o0:7>5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zjm?1<7?>:183!4603?o7E5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37=>P6<909w);kd;;8 0bd201vqps4i077>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28??7[?;0;0x 0bc201/9im59:~yx=n93twvq6g>6383>!4603;?;6X=1`82I46k3;pZnm52z&2;1]=9>52z&6`a<>3-?oo774}|~?l71;3:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?93:T205<5s-?oh774$4f`><=zutw0e<8;:18'64>=9==0Z??n:0yO64e=9r\ho74033_;?<7<=#=mi156sr}|9j533=83.9=54>469K641<^;;j6{zut1b=;950;&15=<6<>1C><94V33b>4}K:8i1=vXlc;0x 4>e28<<7[?;0;0x 0bc2o1/9im5f:~yx=n9=k1<7*=198202=O:8=0Z??n:0yO64e=9r\ho742f3_;?<7c=#=mi1j6sr}|9j51d=83.9=54>469K641<^;;j6hn4i;|~y>o68;373>P59h0:wA<>c;3xRfe=:r.:4o4>4e9U516=:r.>hi4>;%7gg?7<7[<>a;3xH77d28q]on4={%3;f?73m2\:8=4={%7g`?7<,<651558R77f28qG>{zut1d=5:50;&15=<60=1]>;6?u+5ef95>"2lj0:7psr}:a`3<72>0;6=u+20:95=5<@;:h7d?;8;29 77?28><7[<>a;3xH77d28q]on4={%3;f?7302\:8=4={%7g`?7<,290/><651558R77f28qG>{zut1b=8:50;&15=<6<>1]>;6?u+5ef95>"2lj0:7psr}:k21c<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:9k5Y15296~"2lm0:7);kc;38yx{z3`;=>7>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;356>P6<909w);kd;38 0bd281vqps4i040>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28<87[?;0;0x 0bc281/9im51:~yx=h91>1<7*=1982<1=Q:8k1=vB=1b82Sed2;q/=5l51968R4272;q/9ij51:&6`f<63twvq6smd683>7<729q/><65b69K65e<6=4+20:9511<3f;387>5$33;>4>33_8:m7?tL33`>4}Qkj09w)?7b;3;0>P6<909w);kd;38 0bd281vqps4}cf;>5<693:1N58j1b=9650;&15=<6<>1]><^8>;6?u+5ef9=>"2lj027psr}:k20<<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:845Y15296~"2lm027);kc;;8yx{z3`;>87>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;360>P6<909w);kd;;8 0bd201vqps4i07e>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28?m7[?;0;0x 0bc201/9im59:~yx=n9?81<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l51708R4272;q/9ij59:&6`f<>3twvq6g>6283>!4603;?;6X=1`82I46k3;pZnm52z&2:1]=9>52z&6`a<>3-?oo774}|~?l71<3:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?94:T205<5s-?oh774$4f`><=zutw0e<8::18'64>=9==0D??8;W02e?7|D;;h64181!3cl330(8jl:89~yx{{zut1b=9o50;&15=<6<>1C><94V33b>4}K:8i1=vXlc;0x 4>e28>j7[?;0;0x 0bc2o1/9im5f:~yx=n9=h1<7*=198202=O:8=0Z??n:0yO64e=9r\ho742e3_;?<7c=#=mi1j6sr}|9j51e=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=9m4V063>7}#=mn1j6*:db8e?x{zu2c:8i4?:%02{M02g?7|^ji1>v*>8c820a=Q9=:1>v*:de82?!3ck3;0qpsr;h37a?6=,;;36<:8;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{m6=4+20:9511<^;;j60Z<:?:3y'1ab=92.>hn4>;|~y>{el00;6l;h374181!3cl330(8jl:89~yx{26=4+20:9511<^;;j60Z<:?:3y'1ab=12.>hn46;|~y>o6=o0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>5g9U516=:r.>hi46;%7gg??<7[<>a;3xH77d28q]on4={%3;f?71:2\:8=4={%7g`??<,<651558R77f28qG>{zut1b=;:50;&15=<6<>1]>;6?u+5ef9=>"2lj027psr}:k220<72-8:47?;7:J152=Q:8k1=vB=1b82Sed2;q/=5l51778R4272;q/9ij59:&6`f<>3twvq6g>6783>!4603;?;6X=1`82I46k3;pZnm52z&2?1]=9>52z&6`a{M02g?7|^ji1>v*>8c8222=Q9=:1>v*:de8e?!3ck3l0qpsr;h37e?6=,;;36<:8;I023>P59h0:wA<>c;3xRfe=:r.:4o4>4`9U516=:r.>hi4i;%7gg?`<7E<>7:T15d<6sE8:o7?tVba96~"60k0:8o5Y15296~"2lm0m7);kc;d8yx{z3`;?o7>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37g>P6<909w);kd;d8 0bd2o1vqps4i06g>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>o7[?;0;0x 0bc281/9im51:~yx=n9=o1<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515g8R4272;q/9ij51:&6`f<63twvq6g>4g83>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?j7?<3:1(??7:0:7?S46i3;p@??l:0yUgf<5s-;3n7?74:T205<5s-?oh7?4$4f`>4=zutw0qojn:1825?6=8r.9=54:d:J14f=n9=21<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515:8R4272;q/9ij59:&6`f<>3twvq6g>4883>!4603;?;6F=169U64g=9rF9=n4>{Wa`>7}#91h1=974V063>7}#=mn156*:db8:?x{zu2c:994?:%02{M02g?7|^ji1>v*>8c8211=Q9=:1>v*:de8:?!3ck330qpsr;h36b?6=,;;36<:8;W02e?7|D;;h6j6X>4181!3cl330(8jl:89~yx{hn46;|~y>o6>=0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>659U516=:r.>hi46;%7gg??<7[<>a;3xH77d28q]on4={%3;f?71=2\:8=4={%7g`??<,<651558L7703_8:m7?tL33`>4}Qkj09w)?7b;352>P6<909w);kd;;8 0bd201vqps4i044>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?97:T205<5s-?oh774$4f`><=zutw0e<:n:18'64>=9==0Z??n:0yO64e=9r\ho742f3_;?<7c=#=mi1j6sr}|9j51d=83.9=54>469K641<^;;j6{zut1b=9j50;&15=<6<>1C><94V33b>4}K:8i1=vXlc;0x 4>e28>o7[?;0;0x 0bc2o1/9im5f:~yx=n9=o1<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515g8R4272;q/9ij5f:&6`f4g83>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?j7?<3:1(??7:0:7?S46i3;p@??l:0yUgf<5s-;3n7?74:T205<5s-?oh7?4$4f`>4=zutw0qojm:1825?6=8r.9=54:d:J14f=n9=21<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515:8R4272;q/9ij59:&6`f<>3twvq6g>4883>!4603;?;6F=169U64g=9rF9=n4>{Wa`>7}#91h1=974V063>7}#=mn156*:db8:?x{zu2c:994?:%02{M02g?7|^ji1>v*>8c8211=Q9=:1>v*:de8:?!3ck330qpsr;h36b?6=,;;36<:8;W02e?7|D;;h6j6X>4181!3cl330(8jl:89~yx{hn46;|~y>o6>=0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>659U516=:r.>hi46;%7gg??<7[<>a;3xH77d28q]on4={%3;f?71=2\:8=4={%7g`??<,<651558L7703_8:m7?tL33`>4}Qkj09w)?7b;352>P6<909w);kd;;8 0bd201vqps4i044>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?97:T205<5s-?oh774$4f`><=zutw0e<:n:18'64>=9==0Z??n:0yO64e=9r\ho742f3_;?<7c=#=mi1j6sr}|9j51d=83.9=54>469K641<^;;j6{zut1b=9j50;&15=<6<>1C><94V33b>4}K:8i1=vXlc;0x 4>e28>o7[?;0;0x 0bc2o1/9im5f:~yx=n9=o1<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515g8R4272;q/9ij5f:&6`f4g83>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?j7?<3:1(??7:0:7?S46i3;p@??l:0yUgf<5s-;3n7?74:T205<5s-?oh7?4$4f`>4=zutw0qojl:1825?6=8r.9=54:d:J14f=n9=21<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515:8R4272;q/9ij59:&6`f<>3twvq6g>4883>!4603;?;6F=169U64g=9rF9=n4>{Wa`>7}#91h1=974V063>7}#=mn156*:db8:?x{zu2c:994?:%02{M02g?7|^ji1>v*>8c8211=Q9=:1>v*:de8:?!3ck330qpsr;h36b?6=,;;36<:8;W02e?7|D;;h6j6X>4181!3cl330(8jl:89~yx{hn46;|~y>o6>=0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>659U516=:r.>hi46;%7gg??<7[<>a;3xH77d28q]on4={%3;f?71=2\:8=4={%7g`??<,<651558L7703_8:m7?tL33`>4}Qkj09w)?7b;352>P6<909w);kd;;8 0bd201vqps4i044>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28<<7[?;0;0x 0bc2o1/9im5f:~yx=n9=k1<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515c8R4272;q/9ij5f:&6`f4c83>!4603;?;6F=169U64g=9rF9=n4>{Wa`>7}#91h1=9l4V063>7}#=mn1j6*:db8e?x{zu2c:8n4?:%02hn4i;|~y>o68;373>N59>1]>;6?u+5ef9b>"2lj0m7psr}:k20`<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:8h5Y15296~"2lm0m7);kc;d8yx{z3`;?j7>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37b>P6<909w);kd;38 0bd281vqps4o0:7>5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zjmn1<7850;2x 77?28287E5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37=>P6<909w);kd;38 0bd281vqps4i077>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28??7[?;0;0x 0bc281/9im51:~yx=n96383>!4603;?;6X=1`82I46k3;pZnm52z&2;1]=9>52z&6`a<63-?oo7?4}|~?j7?<3:1(??7:0:7?S46i3;p@??l:0yUgf<5s-;3n7?74:T205<5s-?oh7?4$4f`>4=zutw0qojj:181>5<7s-8:47l8;I03g>o6<>0;6)<>8;373>=h91>1<7*=1982<1=Q:8k1=vB=1b82Sed2;q/=5l51968R4272;q/9ij51:&6`f<63twvq6smdg83>6<729q/><655e9K65e36=4+20:9511<^;;j6hn4k;|~y>i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4>;%7gg?742?3_;?<7<=#=mi156sr}|9j51?=83.9=54>469K641<^;;j60Z<:?:3y'1ab=n2.>hn4i;|~y>o6=o0;6)<>8;373>N59>1]>;6?u+5ef9b>"2lj0m7psr}:k227<72-8:47?;7:J152=Q:8k1=vB=1b82Sed2;q/=5l51708R4272;q/9ij5f:&6`f6283>!4603;?;6X=1`82I46k3;pZnm52z&2:1]=9>52z&6`a4=zutw0qok>:184>5<7s-8:47;k;I03g>o6<10;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>499U516=:r.>hi46;%7gg??<7E<>7:T15d<6sE8:o7?tVba96~"60k0:845Y15296~"2lm027);kc;;8yx{z3`;>87>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;360>P6<909w);kd;;8 0bd201vqps4i07e>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?:f:T205<5s-?oh7h4$4f`>c=zutw0e<8=:18'64>=9==0D??8;W02e?7|D;;h66X>4181!3cl3l0(8jl:g9~yx{0Z<:?:3y'1ab=92.>hn4>;|~y>{em;0;654?:1y'64>==m1C>=m4i06;>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?;8:T205<5s-?oh774$4f`><=zutw0e<:6:18'64>=9==0Z??n:0yO64e=9r\ho742>3_;?<7<=#=mi156sr}|9j502=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=8:4V063>7}#=mn156*:db8:?x{zu2c:9k4?:%02hn4i;|~y>o6>;0;6)<>8;373>N59>1]>;6?u+5ef9b>"2lj0m7psr}:k226<72-8:47?;7:J152=Q:8k1=vB=1b82Sed2;q/=5l51718R4272;q/9ij5f:&6`f6583>!4603;?;6X=1`82I46k3;pZnm52z&2=1]=9>52z&6`a4=zutw0qok<:1825?6=8r.9=54:d:J14f=n9=21<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515:8R4272;q/9ij59:&6`f<>3twvq6g>4883>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<>3-?oo774}|~?l72<3:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?:4:T205<5s-?oh774$4f`><=zutw0e<;i:18'64>=9==0Z??n:0yO64e=9r\ho743a3_;?<7<=#=mi156sr}|9j534=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=;<4V063>7}#=mn156*:db8:?x{zu2c::>4?:%02{M02g?7|^ji1>v*>8c8226=Q9=:1>v*:de8:?!3ck330qpsr;h350?6=,;;36<:8;W02e?7|D;;h64181!3cl330(8jl:89~yx{6=4+20:9511<@;;<7[<>a;3xH77d28q]on4={%3;f?71=2\:8=4={%7g`??<,<651558L7703_8:m7?tL33`>4}Qkj09w)?7b;352>P6<909w);kd;d8 0bd2o1vqps4i044>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?97:T205<5s-?oh7h4$4f`>c=zutw0e<:n:18'64>=9==0D??8;W02e?7|D;;h64181!3cl3l0(8jl:g9~yx{i6=4+20:9511<^;;j6hn4>;|~y>o68;373>P59h0:wA<>c;3xRfe=:r.:4o4>4e9U516=:r.>hi4>;%7gg?7<7[<>a;3xH77d28q]on4={%3;f?73m2\:8=4={%7g`?7<,<651558R77f28qG>{zut1d=5:50;&15=<60=1]>;6?u+5ef95>"2lj0:7psr}:aa1<728;1<7>t$33;>0b<@;:h7d?;8;29 77?28><7[<>a;3xH77d28q]on4={%3;f?7302\:8=4={%7g`??<,290/><651558R77f28qG>{zut1b=8:50;&15=<6<>1]>;6?u+5ef9=>"2lj027psr}:k21c<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:9k5Y15296~"2lm027);kc;;8yx{z3`;=>7>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;356>P6<909w);kd;;8 0bd201vqps4i040>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28<87[?;0;0x 0bc201/9im59:~yx=n9?>1<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l51768R4272;q/9ij59:&6`f<>3twvq6g>6483>!4603;?;6F=169U64g=9rF9=n4>{Wa`>7}#91h1=;;4V063>7}#=mn156*:db8:?x{zu2c::;4?:%02hn4i;|~y>o6>>0;6)<>8;373>N59>1]>;6?u+5ef9b>"2lj0m7psr}:k20d<72-8:47?;7:J152=Q:8k1=vB=1b82Sed2;q/=5l515c8R4272;q/9ij5f:&6`f4c83>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a4=zutw0e<:k:18'64>=9==0Z??n:0yO64e=9r\ho742c3_;?<74=#=mi1=6sr}|9j51c=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=9k4V063>7}#=mn1=6*:db82?x{zu2c:8k4?:%02{M02g?7|^ji1>v*>8c820c=Q9=:1>v*:de82?!3ck3;0qpsr;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{6=48:183!4603;3?6F=0b9j51>=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=964V063>7}#=mn1=6*:db82?x{zu2c:844?:%02{M02g?7|^ji1>v*>8c820<=Q9=:1>v*:de82?!3ck3;0qpsr;h360?6=,;;36<:8;W02e?7|D;;h686X>4181!3cl3;0(8jl:09~yx{hn4>;|~y>o6>:0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>629U516=:r.>hi4>;%7gg?7a;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,4683>!4603;?;65`19694?"5910:495Y20c95~J59j0:w[ml:3y'5=d=91>0Z<:?:3y'1ab=92.>hn4>;|~y>{em>0;6>4?:1y'64>==m1C>=m4i06;>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>37[?;0;0x 0bc2m1/9im5d:~yx=n9=31<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij5d:&6`f8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xdb03:1?7>50z&15=<2l2B9{zut1b=9750;&15=<6<>1C><94V33b>4}K:8i1=vXlc;0x 4>e28>27[?;0;0x 0bc2o1/9im5f:~yx=h91>1<7*=1982<1=Q:8k1=vB=1b82Sed2;q/=5l51968R4272;q/9ij51:&6`f<63twvq6sme883>6<729q/><655e9K65e36=4+20:9511<^;;j6{zut1d=5:50;&15=<60=1]>;6?u+5ef95>"2lj0:7psr}:aad<72:0;6=u+20:91a=O:9i0e<:7:18'64>=9==0D??8;W02e?7|D;;h64181!3cl3l0(8jl:g9~yx{26=4+20:9511<@;;<7[<>a;3xH77d28q]on4={%3;f?7312\:8=4={%7g`?`<,3290/><651968R77f28qG>{zut1vnhl50;694?6|,;;368j4H32`?l7303:1(??7:064?M46?2\9=l4>{M02g?7|^ji1>v*>8c820==Q9=:1>v*:de8:?!3ck330qpsr;h37=?6=,;;36<:8;I023>P59h0:wA<>c;3xRfe=:r.:4o4>489U516=:r.>hi46;%7gg??<7E<>7:T15d<6sE8:o7?tVba96~"60k0:995Y15296~"2lm027);kc;;8yx{z3f;387>5$33;>4>33_8:m7?tL33`>4}Qkj09w)?7b;3;0>P6<909w);kd;38 0bd281vqps4}cg`>5<3290;w)<>8;7g?M47k2c:854?:%02hn46;|~y>o6<00;6)<>8;373>N59>1]>;6?u+5ef9=>"2lj027psr}:k211<72-8:47?;7:J152=Q:8k1=vB=1b82Sed2;q/=5l51468R4272;q/9ij5f:&6`f8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xdbl3:1;7>50z&15=<60:1C>=m4i06;>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>37[?;0;0x 0bc281/9im51:~yx=n9=31<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij51:&6`f<63twvq6g>5583>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?l72n3:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?:f:T205<5s-?oh7?4$4f`>4=zutw0e<8=:18'64>=9==0Z??n:0yO64e=9r\ho74053_;?<74=#=mi1=6sr}|9j535=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=;=4V063>7}#=mn1=6*:db82?x{zu2e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`fa?6=:3:1N58j1b=9950;&15=<6<>10c<6;:18'64>=91>0Z??n:0yO64e=9r\ho74>33_;?<74=#=mi1=6sr}|9~f``=83>1<7>t$33;>0b<@;:h7d?;8;29 77?28><7E<>7:T15d<6sE8:o7?tVba96~"60k0:855Y15296~"2lm027);kc;;8yx{z3`;?57>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37=>P6<909w);kd;;8 0bd201vqps4i077>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?:4:T205<5s-?oh774$4f`><=zutw0c<6;:18'64>=91>0Z??n:0yO64e=9r\ho74>33_;?<74=#=mi1=6sr}|9~fc6=83>1<7>t$33;>0b<@;:h7d?;8;29 77?28><7E<>7:T15d<6sE8:o7?tVba96~"60k0:855Y15296~"2lm027);kc;;8yx{z3`;?57>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37=>P6<909w);kd;;8 0bd201vqps4i077>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?:4:T205<5s-?oh774$4f`><=zutw0c<6;:18'64>=91>0Z??n:0yO64e=9r\ho74>33_;?<74=#=mi1=6sr}|9~fc7=83>1<7>t$33;>0b<@;:h7d?;8;29 77?28><7E<>7:T15d<6sE8:o7?tVba96~"60k0:855Y15296~"2lm027);kc;;8yx{z3`;?57>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37=>P6<909w);kd;;8 0bd201vqps4i077>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?:4:T205<5s-?oh774$4f`><=zutw0c<6;:18'64>=91>0Z??n:0yO64e=9r\ho74>33_;?<74=#=mi1=6sr}|9~fc4=83>1<7>t$33;>0b<@;:h7d?;8;29 77?28><7E<>7:T15d<6sE8:o7?tVba96~"60k0:855Y15296~"2lm027);kc;;8yx{z3`;?57>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37=>P6<909w);kd;;8 0bd201vqps4i077>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?:4:T205<5s-?oh774$4f`><=zutw0c<6;:18'64>=91>0Z??n:0yO64e=9r\ho74>33_;?<74=#=mi1=6sr}|9~fc5=83?1<7>t$33;>0b<@;:h7d?;8;29 77?28><7E<>7:T15d<6sE8:o7?tVba96~"60k0:855Y15296~"2lm027);kc;;8yx{z3`;?57>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37=>P6<909w);kd;;8 0bd201vqps4i077>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28??7[?;0;0x 0bc201/9im59:~yx=n98583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xda<3:1:7>50z&15=<60:1C>=m4i06;>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>37[?;0;0x 0bc281/9im51:~yx=n9=31<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij51:&6`f<63twvq6g>5583>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?l72n3:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?:f:T205<5s-?oh7?4$4f`>4=zutw0e<8=:18'64>=9==0Z??n:0yO64e=9r\ho74053_;?<74=#=mi1=6sr}|9l5=2=83.9=54>859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1=6*:db82?x{zu2wij84?:383>5}#:821n:5G21a8m420290/><651558?j7?<3:1(??7:0:7?S46i3;p@??l:0yUgf<5s-;3n7?74:T205<5s-?oh7?4$4f`>4=zutw0qoh9:18:>5<7s-8:47;k;I03g>o6<10;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>499U516=:r.>hi46;%7gg??<7E<>7:T15d<6sE8:o7?tVba96~"60k0:845Y15296~"2lm0m7);kc;d8yx{z3`;>87>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;360>P6<909w);kd;;8 0bd201vqps4i07e>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28?m7[?;0;0x 0bc2o1/9im5f:~yx=n9?81<7*=198202=O:8=0Z??n:0yO64e=9r\ho74053_;?<7c=#=mi1j6sr}|9j535=83.9=54>469K641<^;;j6{zut1b=;;50;&15=<6<>1]>;6?u+5ef9b>"2lj0m7psr}:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0:7);kc;38yx{z3thm;7>51083>5}#:8219i5G21a8m42?290/><651558R77f28qG>{zut1b=9750;&15=<6<>1C><94V33b>4}K:8i1=vXlc;0x 4>e28>27[?;0;0x 0bc201/9im59:~yx=n9<>1<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l51468R4272;q/9ij59:&6`f<>3twvq6g>5g83>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a{M02g?7|^ji1>v*>8c8227=Q9=:1>v*:de8:?!3ck330qpsr;h357?6=,;;36<:8;I023>P59h0:wA<>c;3xRfe=:r.:4o4>629U516=:r.>hi46;%7gg??<7E<>7:T15d<6sE8:o7?tVba96~"60k0::95Y15296~"2lm0m7);kc;d8yx{z3`;=97>5$33;>4203A8:;6X=1`82I46k3;pZnm52z&2<1]=9>52z&6`a3:1(??7:064?M46?2\9=l4>{M02g?7|^ji1>v*>8c8223=Q9=:1>v*:de8e?!3ck3l0qpsr;h353?6=,;;36<:8;W02e?7|D;;h64181!3cl3l0(8jl:g9~yx{j6=4+20:9511<^;;j6hn4>;|~y>o68;373>P59h0:wA<>c;3xRfe=:r.:4o4>4b9U516=:r.>hi4>;%7gg?7<7[<>a;3xH77d28q]on4={%3;f?73l2\:8=4={%7g`?7<,<651558R77f28qG>{zut1b=9h50;&15=<6<>1]>;6?u+5ef95>"2lj0:7psr}:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0:7);kc;38yx{z3thm47>51083>5}#:8219i5G21a8m42?290/><651558R77f28qG>{zut1b=9750;&15=<6<>1C><94V33b>4}K:8i1=vXlc;0x 4>e28>27[?;0;0x 0bc201/9im59:~yx=n9<>1<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l51468R4272;q/9ij59:&6`f<>3twvq6g>5g83>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a{M02g?7|^ji1>v*>8c8227=Q9=:1>v*:de8:?!3ck330qpsr;h357?6=,;;36<:8;W02e?7|D;;h64181!3cl3l0(8jl:g9~yx{a;3xH77d28q]on4={%3;f?71<2\:8=4={%7g`?`<,<651558L7703_8:m7?tL33`>4}Qkj09w)?7b;351>P6<909w);kd;d8 0bd2o1vqps4i045>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?96:T205<5s-?oh7h4$4f`>c=zutw0e<88:18'64>=9==0Z??n:0yO64e=9r\ho74003_;?<7c=#=mi1j6sr}|9j51g=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=9o4V063>7}#=mn1=6*:db82?x{zu2c:8o4?:%02{M02g?7|^ji1>v*>8c820g=Q9=:1>v*:de82?!3ck3;0qpsr;h37g?6=,;;36<:8;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{o6=4+20:9511<^;;j6hn4>;|~y>o68;373>P59h0:wA<>c;3xRfe=:r.:4o4>4g9U516=:r.>hi4>;%7gg?7a;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,290:=7>50z&15=<2l2B9hn46;|~y>o6<00;6)<>8;373>N59>1]>;6?u+5ef9=>"2lj027psr}:k211<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:995Y15296~"2lm027);kc;;8yx{z3`;>j7>5$33;>4203A8:;6X=1`82I46k3;pZnm52z&252z&6`a<=zutw0e<8<:18'64>=9==0D??8;W02e?7|D;;h64181!3cl330(8jl:89~yx{a;3xH77d28q]on4={%3;f?71<2\:8=4={%7g`?`<,<651558L7703_8:m7?tL33`>4}Qkj09w)?7b;351>P6<909w);kd;d8 0bd2o1vqps4i045>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?96:T205<5s-?oh7h4$4f`>c=zutw0e<88:18'64>=9==0Z??n:0yO64e=9r\ho74003_;?<7c=#=mi1j6sr}|9j51g=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=9o4V063>7}#=mn1=6*:db82?x{zu2c:8o4?:%02{M02g?7|^ji1>v*>8c820g=Q9=:1>v*:de82?!3ck3;0qpsr;h37g?6=,;;36<:8;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{o6=4+20:9511<^;;j6hn4>;|~y>o68;373>P59h0:wA<>c;3xRfe=:r.:4o4>4g9U516=:r.>hi4>;%7gg?7a;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,50z&15=<2l2B9hn46;|~y>o6<00;6)<>8;373>N59>1]>;6?u+5ef9=>"2lj027psr}:k211<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:995Y15296~"2lm027);kc;;8yx{z3`;>j7>5$33;>4203A8:;6X=1`82I46k3;pZnm52z&252z&6`a<=zutw0e<8<:18'64>=9==0Z??n:0yO64e=9r\ho74043_;?<7c=#=mi1j6sr}|9j532=83.9=54>469K641<^;;j6{zut1b=;850;&15=<6<>1C><94V33b>4}K:8i1=vXlc;0x 4>e28<=7[?;0;0x 0bc2o1/9im5f:~yx=n9?=1<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l51758R4272;q/9ij5f:&6`f4`83>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?l73j3:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?;b:T205<5s-?oh7?4$4f`>4=zutw0e<:l:18'64>=9==0Z??n:0yO64e=9r\ho742d3_;?<74=#=mi1=6sr}|9j51b=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=9j4V063>7}#=mn1=6*:db82?x{zu2c:8h4?:%02{M02g?7|^ji1>v*>8c820`=Q9=:1>v*:de82?!3ck3;0qpsr;h37b?6=,;;36<:8;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{{M02g?7|^ji1>v*>8c820==Q9=:1>v*:de82?!3ck3;0qpsr;h37=?6=,;;36<:8;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{hn4>;|~y>o6>;0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>639U516=:r.>hi4>;%7gg?7a;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,4683>!4603;?;65`19694?"5910:495Y20c95~J59j0:w[ml:3y'5=d=91>0Z<:?:3y'1ab=92.>hn4>;|~y>{enm0;6>4?:1y'64>==m1C>=m4i06;>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>37[?;0;0x 0bc2m1/9im5d:~yx=n9=31<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij5d:&6`f8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xdam3:1=<4?:1y'64>==m1C>=m4i06;>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>37[?;0;0x 0bc201/9im59:~yx=n9=31<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij59:&6`f<>3twvq6g>5583>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<>3-?oo774}|~?l72n3:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?:f:T205<5s-?oh774$4f`><=zutw0e<8=:18'64>=9==0Z??n:0yO64e=9r\ho74053_;?<7<=#=mi156sr}|9j535=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=;=4V063>7}#=mn156*:db8:?x{zu2c::94?:%02{M02g?7|^ji1>v*>8c8221=Q9=:1>v*:de8:?!3ck330qpsr;h351?6=,;;36<:8;W02e?7|D;;h64181!3cl3l0(8jl:g9~yx{a;3xH77d28q]on4={%3;f?71>2\:8=4={%7g`??<,<651558L7703_8:m7?tL33`>4}Qkj09w)?7b;353>P6<909w);kd;;8 0bd201vqps4i06b>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?;a:T205<5s-?oh7h4$4f`>c=zutw0e<:m:18'64>=9==0D??8;W02e?7|D;;h64181!3cl3l0(8jl:g9~yx{h6=4+20:9511<@;;<7[<>a;3xH77d28q]on4={%3;f?73k2\:8=4={%7g`?`<,<651558L7703_8:m7?tL33`>4}Qkj09w)?7b;37`>P6<909w);kd;d8 0bd2o1vqps4i06f>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>n7[?;0;0x 0bc2o1/9im5f:~yx=n9=l1<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515d8R4272;q/9ij51:&6`f<63twvq6a>8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xdan3:1=<4?:1y'64>==m1C>=m4i06;>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>37[?;0;0x 0bc201/9im59:~yx=n9=31<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij59:&6`f<>3twvq6g>5583>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<>3-?oo774}|~?l72n3:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?:f:T205<5s-?oh774$4f`><=zutw0e<8=:18'64>=9==0Z??n:0yO64e=9r\ho74053_;?<7<=#=mi156sr}|9j535=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=;=4V063>7}#=mn156*:db8:?x{zu2c::94?:%02{M02g?7|^ji1>v*>8c8221=Q9=:1>v*:de8:?!3ck330qpsr;h351?6=,;;36<:8;W02e?7|D;;h64181!3cl3l0(8jl:g9~yx{a;3xH77d28q]on4={%3;f?71>2\:8=4={%7g`??<,<651558R77f28qG>{zut1b=9o50;&15=<6<>1C><94V33b>4}K:8i1=vXlc;0x 4>e28>j7[?;0;0x 0bc2o1/9im5f:~yx=n9=h1<7*=198202=O:8=0Z??n:0yO64e=9r\ho742e3_;?<7c=#=mi1j6sr}|9j51e=83.9=54>469K641<^;;j6{zut1b=9k50;&15=<6<>1]>;6?u+5ef9b>"2lj0m7psr}:k20c<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:8k5Y15296~"2lm0:7);kc;38yx{z3f;387>5$33;>4>33_8:m7?tL33`>4}Qkj09w)?7b;3;0>P6<909w);kd;38 0bd281vqps4}c334?6=980;6=u+20:91a=O:9i0e<:7:18'64>=9==0Z??n:0yO64e=9r\ho742?3_;?<7<=#=mi156sr}|9j51?=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=974V063>7}#=mn156*:db8:?x{zu2c:994?:%02{M02g?7|^ji1>v*>8c8211=Q9=:1>v*:de8:?!3ck330qpsr;h36b?6=,;;36<:8;W02e?7|D;;h6j6X>4181!3cl330(8jl:89~yx{hn46;|~y>o6>=0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>659U516=:r.>hi46;%7gg??<7[<>a;3xH77d28q]on4={%3;f?71=2\:8=4={%7g`?`<,<651558L7703_8:m7?tL33`>4}Qkj09w)?7b;352>P6<909w);kd;;8 0bd201vqps4i044>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?97:T205<5s-?oh774$4f`><=zutw0e<:n:18'64>=9==0D??8;W02e?7|D;;h64181!3cl3l0(8jl:g9~yx{i6=4+20:9511<@;;<7[<>a;3xH77d28q]on4={%3;f?73j2\:8=4={%7g`?`<,<651558L7703_8:m7?tL33`>4}Qkj09w)?7b;37g>P6<909w);kd;d8 0bd2o1vqps4i06g>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?;d:T205<5s-?oh7h4$4f`>c=zutw0e<:j:18'64>=9==0Z??n:0yO64e=9r\ho742b3_;?<7c=#=mi1j6sr}|9j51`=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=9h4V063>7}#=mn1=6*:db82?x{zu2e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`244<728;1<7>t$33;>0b<@;:h7d?;8;29 77?28><7[<>a;3xH77d28q]on4={%3;f?7302\:8=4={%7g`??<,290/><651558R77f28qG>{zut1b=8:50;&15=<6<>1]>;6?u+5ef9=>"2lj027psr}:k21c<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:9k5Y15296~"2lm027);kc;;8yx{z3`;=>7>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;356>P6<909w);kd;;8 0bd201vqps4i040>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28<87[?;0;0x 0bc201/9im59:~yx=n9?>1<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l51768R4272;q/9ij59:&6`f<>3twvq6g>6483>!4603;?;6X=1`82I46k3;pZnm52z&2<1]=9>52z&6`a3:1(??7:064?M46?2\9=l4>{M02g?7|^ji1>v*>8c8223=Q9=:1>v*:de8:?!3ck330qpsr;h353?6=,;;36<:8;W02e?7|D;;h64181!3cl3l0(8jl:g9~yx{j6=4+20:9511<@;;<7[<>a;3xH77d28q]on4={%3;f?73i2\:8=4={%7g`?`<,<651558L7703_8:m7?tL33`>4}Qkj09w)?7b;37f>P6<909w);kd;d8 0bd2o1vqps4i06`>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?;c:T205<5s-?oh7h4$4f`>c=zutw0e<:k:18'64>=9==0D??8;W02e?7|D;;h64181!3cl3l0(8jl:g9~yx{n6=4+20:9511<^;;j6hn4>;|~y>i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4>;%7gg?70383>47=83:p(??7:4f8L76d3`;?47>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37<>P6<909w);kd;;8 0bd201vqps4i06:>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?;9:T205<5s-?oh774$4f`><=zutw0e<;;:18'64>=9==0Z??n:0yO64e=9r\ho74333_;?<7<=#=mi156sr}|9j50`=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=8h4V063>7}#=mn156*:db8:?x{zu2c::?4?:%02{M02g?7|^ji1>v*>8c8227=Q9=:1>v*:de8:?!3ck330qpsr;h357?6=,;;36<:8;W02e?7|D;;h64181!3cl330(8jl:89~yx{hn46;|~y>o6>?0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>679U516=:r.>hi4i;%7gg?`<7E<>7:T15d<6sE8:o7?tVba96~"60k0:::5Y15296~"2lm027);kc;;8yx{z3`;?m7>5$33;>4203A8:;6X=1`82I46k3;pZnm52z&252z&6`a<>3-?oo774}|~?l73j3:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?;b:T205<5s-?oh7h4$4f`>c=zutw0e<:l:18'64>=9==0D??8;W02e?7|D;;h64181!3cl3l0(8jl:g9~yx{o6=4+20:9511<@;;<7[<>a;3xH77d28q]on4={%3;f?73l2\:8=4={%7g`?`<,<651558L7703_8:m7?tL33`>4}Qkj09w)?7b;37a>P6<909w);kd;d8 0bd2o1vqps4i06e>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>m7[?;0;0x 0bc2o1/9im5f:~yx=h91>1<7*=1982<1=Q:8k1=vB=1b82Sed2;q/=5l51968R4272;q/9ij51:&6`f<63twvq6sm11194?1=83:p(??7:0:0?M47k2c:854?:%02{M02g?7|^ji1>v*>8c820==Q9=:1>v*:de82?!3ck3;0qpsr;h37=?6=,;;36<:8;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{hn4>;|~y>o6>;0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>639U516=:r.>hi4>;%7gg?7<7[<>a;3xH77d28q]on4={%3;f?71;2\:8=4={%7g`?7<,3290/><651968R77f28qG>{zut1vn<>;:181>5<7s-8:47l8;I03g>o6<>0;6)<>8;373>=h91>1<7*=1982<1=Q:8k1=vB=1b82Sed2;q/=5l51968R4272;q/9ij51:&6`f<63twvq6sm11794?76290;w)<>8;7g?M47k2c:854?:%02{M02g?7|^ji1>v*>8c820==Q9=:1>v*:de8:?!3ck330qpsr;h37=?6=,;;36<:8;I023>P59h0:wA<>c;3xRfe=:r.:4o4>489U516=:r.>hi46;%7gg??<7[<>a;3xH77d28q]on4={%3;f?72<2\:8=4={%7g`??<,<651558R77f28qG>{zut1b=;<50;&15=<6<>1]>;6?u+5ef9=>"2lj027psr}:k226<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0::>5Y15296~"2lm027);kc;;8yx{z3`;=87>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;350>P6<909w);kd;;8 0bd201vqps4i046>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28<>7[?;0;0x 0bc201/9im59:~yx=n9?<1<7*=198202=O:8=0Z??n:0yO64e=9r\ho74013_;?<7<=#=mi156sr}|9j531=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=;94V063>7}#=mn1j6*:db8e?x{zu2c:8l4?:%02{M02g?7|^ji1>v*>8c820d=Q9=:1>v*:de8e?!3ck3l0qpsr;h37f?6=,;;36<:8;I023>P59h0:wA<>c;3xRfe=:r.:4o4>4c9U516=:r.>hi4i;%7gg?`<7E<>7:T15d<6sE8:o7?tVba96~"60k0:8n5Y15296~"2lm0m7);kc;d8yx{z3`;?h7>5$33;>4203A8:;6X=1`82I46k3;pZnm52z&252z&6`ac=zutw0e<:i:18'64>=9==0Z??n:0yO64e=9r\ho742a3_;?<74=#=mi1=6sr}|9l5=2=83.9=54>859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1=6*:db82?x{zu2wi==850;02>5<7s-8:47;k;I03g>o6<10;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>499U516=:r.>hi46;%7gg??<7[<>a;3xH77d28q]on4={%3;f?7312\:8=4={%7g`??<,<651558R77f28qG>{zut1b=8h50;&15=<6<>1]>;6?u+5ef9=>"2lj027psr}:k227<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0::?5Y15296~"2lm027);kc;;8yx{z3`;=?7>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;357>P6<909w);kd;;8 0bd201vqps4i047>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e283twvq6g>6783>!4603;?;6X=1`82I46k3;pZnm52z&2?1]=9>52z&6`a<>3-?oo774}|~?l71?3:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?97:T205<5s-?oh774$4f`><=zutw0e<:n:18'64>=9==0Z??n:0yO64e=9r\ho742f3_;?<7<=#=mi156sr}|9j51d=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=9l4V063>7}#=mn156*:db8:?x{zu2c:8n4?:%02{M02g?7|^ji1>v*>8c820f=Q9=:1>v*:de8:?!3ck330qpsr;h37`?6=,;;36<:8;W02e?7|D;;h64181!3cl330(8jl:89~yx{n6=4+20:9511<^;;j6hn46;|~y>o6=90;6)<>8;373>N59>1]>;6?u+5ef9=>"2lj027psr}:k214<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:9<5Y15296~"2lm0m7);kc;d8yx{z3`;>>7>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;366>P6<909w);kd;38 0bd281vqps4i070>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28?87[?;0;0x 0bc281/9im51:~yx=n95783>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?l72?3:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?:7:T205<5s-?oh7?4$4f`>4=zutw0e<;7:18'64>=9==0Z??n:0yO64e=9r\ho743?3_;?<74=#=mi1=6sr}|9j50?=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=874V063>7}#=mn1=6*:db82?x{zu2c:9l4?:%02{M02g?7|^ji1>v*>8c821d=Q9=:1>v*:de82?!3ck3;0qpsr;h36f?6=,;;36<:8;W02e?7|D;;h6n6X>4181!3cl3;0(8jl:09~yx{hn4>;|~y>o6=l0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>5d9U516=:r.>hi4>;%7gg?7<7[<>a;3xH77d28q]on4={%3;f?7182\:8=4={%7g`?7<,<651558R77f28qG>;W374?4|,{zut1d=5:50;&15=<60=1]>;6?u+5ef95>"2lj0:7psr}:a551=838:6=4?{%024983>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<>3-?oo774}|~?l7313:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?;9:T205<5s-?oh774$4f`><=zutw0e<;;:18'64>=9==0Z??n:0yO64e=9r\ho74333_;?<7<=#=mi156sr}|9j50`=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=8h4V063>7}#=mn156*:db8:?x{zu2c::?4?:%02{M02g?7|^ji1>v*>8c8227=Q9=:1>v*:de8:?!3ck330qpsr;h357?6=,;;36<:8;W02e?7|D;;h64181!3cl330(8jl:89~yx{hn46;|~y>o6>?0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>679U516=:r.>hi46;%7gg??<7[<>a;3xH77d28q]on4={%3;f?71?2\:8=4={%7g`??<,<651558R77f28qG>{zut1b=9l50;&15=<6<>1]>;6?u+5ef9=>"2lj027psr}:k20f<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:8n5Y15296~"2lm027);kc;;8yx{z3`;?h7>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37`>P6<909w);kd;;8 0bd201vqps4i06f>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>n7[?;0;0x 0bc201/9im59:~yx=n9=l1<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515d8R4272;q/9ij59:&6`f<>3twvq6g>5183>!4603;?;6F=169U64g=9rF9=n4>{Wa`>7}#91h1=8>4V063>7}#=mn156*:db8:?x{zu2c:9<4?:%02{M02g?7|^ji1>v*>8c8214=Q9=:1>v*:de8e?!3ck3l0qpsr;h366?6=,;;36<:8;W02e?7|D;;h6>6X>4181!3cl3;0(8jl:09~yx{hn4>;|~y>o6=?0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>579U516=:r.>hi4>;%7gg?7<7[<>a;3xH77d28q]on4={%3;f?72?2\:8=4={%7g`?7<,<651558R77f28qG>{zut1b=8750;&15=<6<>1]>;6?u+5ef95>"2lj0:7psr}:k21d<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:9l5Y15296~"2lm0:7);kc;38yx{z3`;>n7>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;36f>P6<909w);kd;38 0bd281vqps4i07`>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28?h7[?;0;0x 0bc281/9im51:~yx=n95d83>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?l7183:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?90:T205<5s-?oh7?4$4f`>4=zutw0e<8>:18'64>=9==0Z??n:0yO64e=9r\ho74063_;?<74=#=mi1=6sr}|9l5=2=83.9=54>859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1=6*:db82?x{zu2wi==650;02>5<7s-8:47;k;I03g>o6<10;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>499U516=:r.>hi46;%7gg??<7[<>a;3xH77d28q]on4={%3;f?7312\:8=4={%7g`??<,<651558R77f28qG>{zut1b=8h50;&15=<6<>1]>;6?u+5ef9=>"2lj027psr}:k227<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0::?5Y15296~"2lm027);kc;;8yx{z3`;=?7>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;357>P6<909w);kd;;8 0bd201vqps4i047>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e283twvq6g>6783>!4603;?;6X=1`82I46k3;pZnm52z&2?1]=9>52z&6`a<>3-?oo774}|~?l71?3:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?97:T205<5s-?oh774$4f`><=zutw0e<:n:18'64>=9==0Z??n:0yO64e=9r\ho742f3_;?<7<=#=mi156sr}|9j51d=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=9l4V063>7}#=mn156*:db8:?x{zu2c:8n4?:%02{M02g?7|^ji1>v*>8c820f=Q9=:1>v*:de8:?!3ck330qpsr;h37`?6=,;;36<:8;W02e?7|D;;h64181!3cl330(8jl:89~yx{n6=4+20:9511<^;;j6hn46;|~y>o6=90;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>519U516=:r.>hi4i;%7gg?`<7[<>a;3xH77d28q]on4={%3;f?7292\:8=4={%7g`?`<,<651558R77f28qG>{zut1b=8=50;&15=<6<>1]>;6?u+5ef95>"2lj0:7psr}:k210<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:985Y15296~"2lm0:7);kc;38yx{z3`;>:7>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;362>P6<909w);kd;38 0bd281vqps4i074>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28?<7[?;0;0x 0bc281/9im51:~yx=n9<21<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l514:8R4272;q/9ij51:&6`f<63twvq6g>5883>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?l72i3:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?:a:T205<5s-?oh7?4$4f`>4=zutw0e<;m:18'64>=9==0Z??n:0yO64e=9r\ho743e3_;?<74=#=mi1=6sr}|9j50e=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=8m4V063>7}#=mn1=6*:db82?x{zu2c:9i4?:%02{M02g?7|^ji1>v*>8c821a=Q9=:1>v*:de82?!3ck3;0qpsr;h36a?6=,;;36<:8;W02e?7|D;;h6i6X>4181!3cl3;0(8jl:09~yx{hn4>;|~y>i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4>;%7gg?70883>77=83:p(??7:4f8L76d3`;?47>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37<>P6<909w);kd;;8 0bd201vqps4i06:>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>27[?;0;0x 0bc201/9im59:~yx=n9<>1<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l51468R4272;q/9ij59:&6`f<>3twvq6g>5g83>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<>3-?oo774}|~?l71:3:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?92:T205<5s-?oh774$4f`><=zutw0e<8<:18'64>=9==0Z??n:0yO64e=9r\ho74043_;?<7<=#=mi156sr}|9j532=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=;:4V063>7}#=mn156*:db8:?x{zu2c::84?:%02{M02g?7|^ji1>v*>8c8220=Q9=:1>v*:de8:?!3ck330qpsr;h352?6=,;;36<:8;W02e?7|D;;h64181!3cl330(8jl:89~yx{hn46;|~y>o68;373>P59h0:wA<>c;3xRfe=:r.:4o4>4c9U516=:r.>hi46;%7gg??<7[<>a;3xH77d28q]on4={%3;f?73k2\:8=4={%7g`??<,<651558R77f28qG>{zut1b=9k50;&15=<6<>1]>;6?u+5ef9=>"2lj027psr}:k20c<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:8k5Y15296~"2lm027);kc;;8yx{z3`;><7>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;364>P6<909w);kd;d8 0bd2o1vqps4i072>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28?:7[?;0;0x 0bc2o1/9im5f:~yx=n9<81<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l51408R4272;q/9ij51:&6`f<63twvq6g>5283>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?l72=3:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?:5:T205<5s-?oh7?4$4f`>4=zutw0e<;9:18'64>=9==0Z??n:0yO64e=9r\ho74313_;?<74=#=mi1=6sr}|9j501=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=894V063>7}#=mn1=6*:db82?x{zu2c:954?:%02{M02g?7|^ji1>v*>8c821==Q9=:1>v*:de82?!3ck3;0qpsr;h36=?6=,;;36<:8;W02e?7|D;;h656X>4181!3cl3;0(8jl:09~yx{hn4>;|~y>o6=j0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>5b9U516=:r.>hi4>;%7gg?7<7[<>a;3xH77d28q]on4={%3;f?72l2\:8=4={%7g`?7<,<651558R77f28qG>{zut1b=;>50;&15=<6<>1]>;6?u+5ef95>"2lj0:7psr}:k224<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0::<5Y15296~"2lm0:7);kc;38yx{z3f;387>5$33;>4>33_8:m7?tL33`>4}Qkj09w)?7b;3;0>P6<909w);kd;38 0bd281vqps4}c33e?6=>3:1=9==0Z??n:0yO64e=9r\ho742?3_;?<74=#=mi1=6sr}|9j51?=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=974V063>7}#=mn1=6*:db82?x{zu2c:994?:%02{M02g?7|^ji1>v*>8c8211=Q9=:1>v*:de82?!3ck3;0qpsr;h36b?6=,;;36<:8;W02e?7|D;;h6j6X>4181!3cl3;0(8jl:09~yx{0Z<:?:3y'1ab=92.>hn4>;|~y>{e99h1<7<50;2x 77?2k=0D?>l;h373?6=,;;36<:8;:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0:7);kc;38yx{z3th:5}#:8219i5G21a8m42?290/><651558R77f28qG>{zut1b=9750;&15=<6<>1]>;6?u+5ef9`>"2lj0o7psr}:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0:7);kc;38yx{z3th:5}#:8219i5G21a8m42?290/><651558R77f28qG>{zut1b=9750;&15=<6<>1C><94V33b>4}K:8i1=vXlc;0x 4>e28>27[?;0;0x 0bc2o1/9im5f:~yx=n9<>1<7*=198202=O:8=0Z??n:0yO64e=9r\ho74333_;?<7c=#=mi1j6sr}|9j50`=83.9=54>469K641<^;;j6{zut1d=5:50;&15=<60=1]>;6?u+5ef95>"2lj0:7psr}:a55c=83=1<7>t$33;>0b<@;:h7d?;8;29 77?28><7[<>a;3xH77d28q]on4={%3;f?7302\:8=4={%7g`??<,290/><651558L7703_8:m7?tL33`>4}Qkj09w)?7b;37=>P6<909w);kd;;8 0bd201vqps4i077>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?:4:T205<5s-?oh7h4$4f`>c=zutw0e<;i:18'64>=9==0D??8;W02e?7|D;;h6j6X>4181!3cl3l0(8jl:g9~yx{a;3xH77d28q]on4={%3;f?71:2\:8=4={%7g`?`<,<651558L7703_8:m7?tL33`>4}Qkj09w)?7b;357>P6<909w);kd;d8 0bd2o1vqps4o0:7>5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj8:m6=48:183!4603?o7E5$33;>4203A8:;6X=1`82I46k3;pZnm52z&252z&6`a<>3-?oo774}|~?l72<3:1(??7:064?M46?2\9=l4>{M02g?7|^ji1>v*>8c8211=Q9=:1>v*:de8e?!3ck3l0qpsr;h36b?6=,;;36<:8;I023>P59h0:wA<>c;3xRfe=:r.:4o4>5g9U516=:r.>hi4i;%7gg?`<7E<>7:T15d<6sE8:o7?tVba96~"60k0::?5Y15296~"2lm0m7);kc;d8yx{z3`;=?7>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;357>P6<909w);kd;d8 0bd2o1vqps4o0:7>5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj8;;6=48:183!4603?o7E5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37=>P6<909w);kd;d8 0bd2o1vqps4i077>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?:4:T205<5s-?oh7h4$4f`>c=zutw0e<;i:18'64>=9==0D??8;W02e?7|D;;h6j6X>4181!3cl3l0(8jl:g9~yx{a;3xH77d28q]on4={%3;f?71:2\:8=4={%7g`?`<,<651558R77f28qG>{zut1d=5:50;&15=<60=1]>;6?u+5ef95>"2lj0:7psr}:a547=83=1<7>t$33;>0b<@;:h7d?;8;29 77?28><7E<>7:T15d<6sE8:o7?tVba96~"60k0:855Y15296~"2lm027);kc;;8yx{z3`;?57>5$33;>4203A8:;6X=1`82I46k3;pZnm52z&252z&6`a{M02g?7|^ji1>v*>8c8211=Q9=:1>v*:de8e?!3ck3l0qpsr;h36b?6=,;;36<:8;I023>P59h0:wA<>c;3xRfe=:r.:4o4>5g9U516=:r.>hi4i;%7gg?`<7E<>7:T15d<6sE8:o7?tVba96~"60k0::?5Y15296~"2lm0m7);kc;d8yx{z3`;=?7>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;357>P6<909w);kd;d8 0bd2o1vqps4o0:7>5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj8;96=48:183!4603;3?6F=0b9j51>=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=964V063>7}#=mn1=6*:db82?x{zu2c:844?:%02{M02g?7|^ji1>v*>8c820<=Q9=:1>v*:de82?!3ck3;0qpsr;h360?6=,;;36<:8;W02e?7|D;;h686X>4181!3cl3;0(8jl:09~yx{hn4>;|~y>o6>:0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>629U516=:r.>hi4>;%7gg?7a;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,7>50z&15=5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj8;?6=4<:183!4603?o7E5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37=>P6<909w);kd;f8 0bd2m1vqps4o0:7>5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj8;>6=49:183!4603?o7E5$33;>4203A8:;6X=1`82I46k3;pZnm52z&252z&6`a{M02g?7|^ji1>v*>8c8211=Q9=:1>v*:de8e?!3ck3l0qpsr;h36b?6=,;;36<:8;I023>P59h0:wA<>c;3xRfe=:r.:4o4>5g9U516=:r.>hi4i;%7gg?`<7E<>7:T15d<6sE8:o7?tVba96~"60k0::?5Y15296~"2lm0m7);kc;d8yx{z3f;387>5$33;>4>33_8:m7?tL33`>4}Qkj09w)?7b;3;0>P6<909w);kd;38 0bd281vqps4}c322?6=>3:1N58j1b=9650;&15=<6<>1]><^8>;6?u+5ef9=>"2lj027psr}:k20<<72-8:47?;7:J152=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij5f:&6`f5583>!4603;?;6F=169U64g=9rF9=n4>{Wa`>7}#91h1=8:4V063>7}#=mn1j6*:db8e?x{zu2c:9k4?:%02hn4i;|~y>o6>;0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>639U516=:r.>hi4i;%7gg?`a;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,50z&15=<2l2B9hn46;|~y>o6<00;6)<>8;373>N59>1]>;6?u+5ef9=>"2lj027psr}:k211<72-8:47?;7:J152=Q:8k1=vB=1b82Sed2;q/=5l51468R4272;q/9ij5f:&6`f5g83>!4603;?;6F=169U64g=9rF9=n4>{Wa`>7}#91h1=8h4V063>7}#=mn1j6*:db8e?x{zu2c::?4?:%02hn4i;|~y>o6>:0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>629U516=:r.>hi4i;%7gg?`a;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,50z&15=<2l2B9hn46;|~y>o6<00;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>489U516=:r.>hi4i;%7gg?`<7E<>7:T15d<6sE8:o7?tVba96~"60k0:995Y15296~"2lm0m7);kc;d8yx{z3`;>j7>5$33;>4203A8:;6X=1`82I46k3;pZnm52z&252z&6`a{M02g?7|^ji1>v*>8c8227=Q9=:1>v*:de8e?!3ck3l0qpsr;h357?6=,;;36<:8;W02e?7|D;;h64181!3cl3l0(8jl:g9~yx{5<0290;w)<>8;7g?M47k2c:854?:%02hn46;|~y>o6<00;6)<>8;373>N59>1]>;6?u+5ef9b>"2lj0m7psr}:k211<72-8:47?;7:J152=Q:8k1=vB=1b82Sed2;q/=5l51468R4272;q/9ij5f:&6`f5g83>!4603;?;6F=169U64g=9rF9=n4>{Wa`>7}#91h1=8h4V063>7}#=mn1j6*:db8e?x{zu2c::?4?:%02hn4i;|~y>o6>:0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>629U516=:r.>hi4i;%7gg?`a;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,50z&15=<60:1C>=m4i06;>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>37[?;0;0x 0bc281/9im51:~yx=n9=31<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij51:&6`f<63twvq6g>5583>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?l72n3:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?:f:T205<5s-?oh7?4$4f`>4=zutw0e<8=:18'64>=9==0Z??n:0yO64e=9r\ho74053_;?<74=#=mi1=6sr}|9j535=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=;=4V063>7}#=mn1=6*:db82?x{zu2e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`25g<72;0;6=u+20:9f2=O:9i0e<:8:18'64>=9==07b?74;29 77?282?7[<>a;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,50z&15=<2l2B9{zut1b=9750;&15=<6<>1C><94V33b>4}K:8i1=vXlc;0x 4>e28>27[?;0;0x 0bc201/9im59:~yx=n9<>1<7*=198202=O:8=0Z??n:0yO64e=9r\ho74333_;?<7<=#=mi156sr}|9l5=2=83.9=54>859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1=6*:db82?x{zu2wi={M02g?7|^ji1>v*>8c820==Q9=:1>v*:de8:?!3ck330qpsr;h37=?6=,;;36<:8;I023>P59h0:wA<>c;3xRfe=:r.:4o4>489U516=:r.>hi46;%7gg??<7E<>7:T15d<6sE8:o7?tVba96~"60k0:995Y15296~"2lm0m7);kc;d8yx{z3f;387>5$33;>4>33_8:m7?tL33`>4}Qkj09w)?7b;3;0>P6<909w);kd;38 0bd281vqps4}c32a?6=<3:1N58j1b=9650;&15=<6<>1C><94V33b>4}K:8i1=vXlc;0x 4>e28>37[?;0;0x 0bc201/9im59:~yx=n9=31<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij59:&6`f<>3twvq6g>5583>!4603;?;6F=169U64g=9rF9=n4>{Wa`>7}#91h1=8:4V063>7}#=mn156*:db8:?x{zu2e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`25c<72=0;6=u+20:91a=O:9i0e<:7:18'64>=9==0D??8;W02e?7|D;;h64181!3cl330(8jl:89~yx{26=4+20:9511<^;;j60Z<:?:3y'1ab=12.>hn46;|~y>i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4>;%7gg?72183>0<729q/><651918L76d3`;?47>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37<>P6<909w);kd;38 0bd281vqps4i06:>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>27[?;0;0x 0bc281/9im51:~yx=n9<>1<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l51468R4272;q/9ij51:&6`f<63twvq6g>5g83>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?j7?<3:1(??7:0:7?S46i3;p@??l:0yUgf<5s-;3n7?74:T205<5s-?oh7?4$4f`>4=zutw0qo?=1;296?6=8r.9=54m7:J14f=n9==1<7*=198202=5<3290;w)<>8;7g?M47k2c:854?:%02hn46;|~y>o6<00;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>489U516=:r.>hi46;%7gg??<7E<>7:T15d<6sE8:o7?tVba96~"60k0:995Y15296~"2lm027);kc;;8yx{z3f;387>5$33;>4>33_8:m7?tL33`>4}Qkj09w)?7b;3;0>P6<909w);kd;38 0bd281vqps4}c317?6=<3:1N58j1b=9650;&15=<6<>1C><94V33b>4}K:8i1=vXlc;0x 4>e28>37[?;0;0x 0bc201/9im59:~yx=n9=31<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij59:&6`f<>3twvq6g>5583>!4603;?;6F=169U64g=9rF9=n4>{Wa`>7}#91h1=8:4V063>7}#=mn156*:db8:?x{zu2e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`261<72=0;6=u+20:91a=O:9i0e<:7:18'64>=9==0D??8;W02e?7|D;;h64181!3cl330(8jl:89~yx{26=4+20:9511<^;;j6{zut1d=5:50;&15=<60=1]>;6?u+5ef95>"2lj0:7psr}:a573=83?1<7>t$33;>0b<@;:h7d?;8;29 77?28><7E<>7:T15d<6sE8:o7?tVba96~"60k0:855Y15296~"2lm027);kc;;8yx{z3`;?57>5$33;>4203A8:;6X=1`82I46k3;pZnm52z&252z&6`a<>3-?oo774}|~?l72<3:1(??7:064?M46?2\9=l4>{M02g?7|^ji1>v*>8c8211=Q9=:1>v*:de8:?!3ck330qpsr;h36b?6=,;;36<:8;W02e?7|D;;h6j6X>4181!3cl330(8jl:89~yx{5<2290;w)<>8;7g?M47k2c:854?:%02hn46;|~y>o6<00;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>489U516=:r.>hi46;%7gg??<7[<>a;3xH77d28q]on4={%3;f?72<2\:8=4={%7g`??<,<651558R77f28qG>{zut1d=5:50;&15=<60=1]>;6?u+5ef95>"2lj0:7psr}:a571=83<1<7>t$33;>4>43A8;o6g>4983>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?l7313:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?;9:T205<5s-?oh7?4$4f`>4=zutw0e<;;:18'64>=9==0Z??n:0yO64e=9r\ho74333_;?<74=#=mi1=6sr}|9j50`=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=8h4V063>7}#=mn1=6*:db82?x{zu2c::?4?:%02{M02g?7|^ji1>v*>8c8227=Q9=:1>v*:de82?!3ck3;0qpsr;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{52;294~"5910i;6F=0b9j511=83.9=54>4698k4>3290/><651968R77f28qG>{zut1vn<<6:180>5<7s-8:47;k;I03g>o6<10;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>499U516=:r.>hi4k;%7gg?b<7[<>a;3xH77d28q]on4={%3;f?7312\:8=4={%7g`?b<,3290/><651968R77f28qG>{zut1vn<5<7s-8:47;k;I03g>o6<10;6)<>8;373>N59>1]><^8>;6?u+5ef9=>"2lj027psr}:k20<<72-8:47?;7:J152=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij59:&6`f<>3twvq6g>5583>!4603;?;6F=169U64g=9rF9=n4>{Wa`>7}#91h1=8:4V063>7}#=mn156*:db8:?x{zu2c:9k4?:%02hn4i;|~y>o6>;0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>639U516=:r.>hi4i;%7gg?`a;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,50z&15=<2l2B9{zut1b=9750;&15=<6<>1C><94V33b>4}K:8i1=vXlc;0x 4>e28>27[?;0;0x 0bc201/9im59:~yx=n9<>1<7*=198202=O:8=0Z??n:0yO64e=9r\ho74333_;?<7c=#=mi1j6sr}|9j50`=83.9=54>469K641<^;;j6hn4i;|~y>i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4>;%7gg?72b83>3<729q/><655e9K65e36=4+20:9511<@;;<7[<>a;3xH77d28q]on4={%3;f?7302\:8=4={%7g`??<,290/><651558R77f28qG>{zut1b=8:50;&15=<6<>1C><94V33b>4}K:8i1=vXlc;0x 4>e28??7[?;0;0x 0bc201/9im59:~yx=n943a3_;?<7c=#=mi1j6sr}|9j534=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=;<4V063>7}#=mn1j6*:db8e?x{zu2e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`26a<72?0;6=u+20:91a=O:9i0e<:7:18'64>=9==0D??8;W02e?7|D;;h64181!3cl330(8jl:89~yx{26=4+20:9511<^;;j6{zut1b=8h50;&15=<6<>1C><94V33b>4}K:8i1=vXlc;0x 4>e28?m7[?;0;0x 0bc2o1/9im5f:~yx=n9?81<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l51708R4272;q/9ij5f:&6`f8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xd6:l0;6;4?:1y'64>==m1C>=m4i06;>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?;8:T205<5s-?oh774$4f`><=zutw0e<:6:18'64>=9==0Z??n:0yO64e=9r\ho742>3_;?<7<=#=mi156sr}|9j502=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=8:4V063>7}#=mn156*:db8:?x{zu2c:9k4?:%02hn4i;|~y>o6>;0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>639U516=:r.>hi4i;%7gg?`a;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,50z&15=<60:1C>=m4i06;>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>37[?;0;0x 0bc281/9im51:~yx=n9=31<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij51:&6`f<63twvq6g>5583>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?l72n3:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?:f:T205<5s-?oh7?4$4f`>4=zutw0e<8=:18'64>=9==0Z??n:0yO64e=9r\ho74053_;?<74=#=mi1=6sr}|9j535=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=;=4V063>7}#=mn1=6*:db82?x{zu2e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`275<72;0;6=u+20:9f2=O:9i0e<:8:18'64>=9==07b?74;29 77?282?7[<>a;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,50z&15=<2l2B9hn46;|~y>o6<00;6)<>8;373>N59>1]>;6?u+5ef9b>"2lj0m7psr}:k211<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:995Y15296~"2lm0m7);kc;d8yx{z3f;387>5$33;>4>33_8:m7?tL33`>4}Qkj09w)?7b;3;0>P6<909w);kd;38 0bd281vqps4}c306?6=<3:1N58j1b=9650;&15=<6<>1C><94V33b>4}K:8i1=vXlc;0x 4>e28>37[?;0;0x 0bc2o1/9im5f:~yx=n9=31<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij5f:&6`f5583>!4603;?;6F=169U64g=9rF9=n4>{Wa`>7}#91h1=8:4V063>7}#=mn1j6*:db8e?x{zu2e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`276<72=0;6=u+20:91a=O:9i0e<:7:18'64>=9==0D??8;W02e?7|D;;h64181!3cl3l0(8jl:g9~yx{26=4+20:9511<^;;j6{zut1d=5:50;&15=<60=1]>;6?u+5ef95>"2lj0:7psr}:a562=83?1<7>t$33;>0b<@;:h7d?;8;29 77?28><7E<>7:T15d<6sE8:o7?tVba96~"60k0:855Y15296~"2lm027);kc;;8yx{z3`;?57>5$33;>4203A8:;6X=1`82I46k3;pZnm52z&252z&6`a<>3-?oo774}|~?l72<3:1(??7:064?M46?2\9=l4>{M02g?7|^ji1>v*>8c8211=Q9=:1>v*:de8:?!3ck330qpsr;h36b?6=,;;36<:8;I023>P59h0:wA<>c;3xRfe=:r.:4o4>5g9U516=:r.>hi4i;%7gg?`a;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,50z&15=<2l2B9{zut1b=9750;&15=<6<>1C><94V33b>4}K:8i1=vXlc;0x 4>e28>27[?;0;0x 0bc201/9im59:~yx=n9<>1<7*=198202=O:8=0Z??n:0yO64e=9r\ho74333_;?<7c=#=mi1j6sr}|9j50`=83.9=54>469K641<^;;j60Z<:?:3y'1ab=92.>hn4>;|~y>{e9:<1<7850;2x 77?28287E5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37=>P6<909w);kd;38 0bd281vqps4i077>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28??7[?;0;0x 0bc281/9im51:~yx=n96383>!4603;?;6X=1`82I46k3;pZnm52z&2;1]=9>52z&6`a<63-?oo7?4}|~?j7?<3:1(??7:0:7?S46i3;p@??l:0yUgf<5s-;3n7?74:T205<5s-?oh7?4$4f`>4=zutw0qo?<7;296?6=8r.9=54m7:J14f=n9==1<7*=198202=5<4290;w)<>8;7g?M47k2c:854?:%02{M02g?7|^ji1>v*>8c820==Q9=:1>v*:de8g?!3ck3n0qpsr;h37=?6=,;;36<:8;W02e?7|D;;h64181!3cl3n0(8jl:e9~yx{5<2290;w)<>8;7g?M47k2c:854?:%02hn46;|~y>o6<00;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>489U516=:r.>hi46;%7gg??<7E<>7:T15d<6sE8:o7?tVba96~"60k0:995Y15296~"2lm027);kc;;8yx{z3`;>j7>5$33;>4203A8:;6X=1`82I46k3;pZnm52z&252z&6`a4=zutw0qo?42?3_;?<7<=#=mi156sr}|9j51?=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=974V063>7}#=mn156*:db8:?x{zu2c:994?:%020Z<:?:3y'1ab=12.>hn46;|~y>o6=o0;6)<>8;373>N59>1]>;6?u+5ef9b>"2lj0m7psr}:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0:7);kc;38yx{z3th:?o4?:483>5}#:8219i5G21a8m42?290/><651558L7703_8:m7?tL33`>4}Qkj09w)?7b;37<>P6<909w);kd;;8 0bd201vqps4i06:>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>27[?;0;0x 0bc201/9im59:~yx=n9<>1<7*=198202=O:8=0Z??n:0yO64e=9r\ho74333_;?<7<=#=mi156sr}|9j50`=83.9=54>469K641<^;;j60Z<:?:3y'1ab=92.>hn4>;|~y>{e9:i1<7;50;2x 77?2l;h37P59h0:wA<>c;3xRfe=:r.:4o4>499U516=:r.>hi46;%7gg??<7[<>a;3xH77d28q]on4={%3;f?7312\:8=4={%7g`??<,<651558L7703_8:m7?tL33`>4}Qkj09w)?7b;360>P6<909w);kd;;8 0bd201vqps4i07e>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?:f:T205<5s-?oh7h4$4f`>c=zutw0c<6;:18'64>=91>0Z??n:0yO64e=9r\ho74>33_;?<74=#=mi1=6sr}|9~f45c290>6=4?{%024983>!4603;?;6F=169U64g=9rF9=n4>{Wa`>7}#91h1=964V063>7}#=mn156*:db8:?x{zu2c:844?:%02{M02g?7|^ji1>v*>8c820<=Q9=:1>v*:de8:?!3ck330qpsr;h360?6=,;;36<:8;W02e?7|D;;h686X>4181!3cl330(8jl:89~yx{a;3xH77d28q]on4={%3;f?72n2\:8=4={%7g`?`<,3290/><651968R77f28qG>{zut1vn<=j:184>5<7s-8:47?73:J14f=n9=21<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515:8R4272;q/9ij51:&6`f<63twvq6g>4883>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?l72<3:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?:4:T205<5s-?oh7?4$4f`>4=zutw0e<;i:18'64>=9==0Z??n:0yO64e=9r\ho743a3_;?<74=#=mi1=6sr}|9j534=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=;<4V063>7}#=mn1=6*:db82?x{zu2c::>4?:%02{M02g?7|^ji1>v*>8c8226=Q9=:1>v*:de82?!3ck3;0qpsr;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{4>23W2h70l9:064?xue>3:15v3m6;3;0>;5ih0:85524d2951><5=o:6<:7;42?34;;:7?;8:?242<6<116==6515:8946>28>37p}7a;297~;?j3;396P7a:?a1?73?2wxn84?:9y>f0<60=168h>515;891c628>270?i1;37<>;68?0:8452115951?<58:36<:6;<33=?7312wx:44?:2y>2d<60<1U:452928202=z{091<76t=8195=2<5=o;6<;;;<6f5?72<27:o>4>499>550=9<>01<>8:077?87703;>863>088211=z{?=1<7=t=7:95=3;4=m0:855234d951><5=o;6<;i;<6f5?72n278;94>499>72>=9=2018cb820==:=j81=964=4;a>42?34n?6<:7;42?34nj6<:7;42?34ln6<:7;42?34;;>7?;8:?240<6<116==8514d8946028?m70??8;36b>;6800:9k52137951>6=4<{<45>4>23W<>707>:064?xu>93:1=4u29082<1=:;40534>n=7?92:?030<6<116?:9515:8961>28>370;=e;37=>;5k00:84521b2951><55o4>489>`0<6<116h44>499>`g<6<116hn4>499>a1<6<116==>515:8946628>370??6;356>;68>0::?5211:9534<58:26<8=;<311?7312wx:>4?:2y>21<60<1U:>52918202=z{0:1<76t=8295=2<5=o;6<8<;<6f5?71;27:n:4>499>550=9?901<>8:040?87703;=?63>088226=z{?;1<7=t=7095=3a2903w06i:0:7?82b83;=863;e08221=:9k:1=964=025>40334;;;7?94:?24=<6>=16==751768yv3a2908w08?:0:6?[3a342n6<:8;|q;a?6=0r73i7?74:?7a5<6><168h?5177894g>28>370??6;351>;68>0::85211:9533<58:26<8:;|q4g?6=;r7;fm3;?;6s|ad83>3e|5ho1=5:4=42b>42?34?;n7?;8:?1ed<6<0168n=515:891e328>370:l5;37<>;1;>0:855262:951><5:9;6<:7;<105?7302788n4>499>71b=9=201>;m:06;?852n3;>863<69820==:;?31=964=24b>42?34>o=7?;8:?7`6<6<1168ih515:891c728<=70:j1;352>;2:l0:995230f951><5:;n6<:7;<12b?73027==94>499>177=9=201?m6:077?84di3;?463;fd820==:42?34?m57?;8:?6bd<6<116=nk515:894ea28>370?k0;37<>;6l80:85521ec951><58ni6<:7;<3gg?73027:hi4>499>5`?=9=20142?34?=:7?;8:?6e3<6<1169l9515:89<`=9=201ll515;890>e28>370;7c;37<>;20m0:855259g951><5<2m6<:7;<7:=?73027>5l4>499>10187l:06;?83e?3;?463:b9820==:l80:8552d3820==:l:0:8552e1820==:m;0:8552ec820==:mj0:8552eg820==:n90:8552f0820==:n;0:8552f2820==:n?0:85521149530<58:<6<89;<3327:<44>679>55b=9=2013;?463>1b820==:98n1=964=03f>42?34;:j7?;8:?267<6<116=?=515:8944328>370?=5;360>;6:?0:855213c951><588i6<:7;<31g?73027:>i4>499>57c=9=201<=>:06;?874<3;?463>34820==:9:31=964=01b>42?34;8n7?;8:?27f<6<116=>j515:8yv1>2908w09n:0:6?[1>34ko6<:8;|qb`?6=>jq6mi4>859>15e=9=2018>k:06;?84fi3;>863936820<=:;::1=974=212>42>349?o7?;9:?00a<6<016?8m515:8963c28>270=9a;37=>;4>k0:855237a951><5=o;6<88;<6f5?71?278;94>489>723=9=301>98:06:?85003;?563<78820<=:;8l1=974=737>42>34<9m7?;8:?56g<6<116:?m515:897ef28>270;i9;37=>;2nh0:84521bg951?<58im6<:6;<3g4?73127:h<4>489>5ag=9=301de820<=:9l=1=964=0g;>42?34;n57?;9:?2ad<6<016=km515:894`c28>370?ie;37<>;2?o0:8452592951?<5<2:6<:6;<7`6?73127>o>4>489>1f2=9=301888:06;?83f>3;?563:a6820<=:ik0:995259`951?<5<2h6<:6;<7:g?73127>n94>499>1g3=9=2018l9:06;?83e?3;?563:b9820<=:l80:8452d3820<=:l:0:8452d5820<=:l<0:8452d9820<=:l00:8452d`820<=:lk0:8452db820<=:m90:8452e0820==:m;0:8452e2820<=:m=0:8452ec820<=:mj0:8452f2820<=:n>0:8552f9820==:n00:8552f`820==:nl0:8452fg820<=:99:1=974=022>42>34;;>7?;9:?240<6<016==851758946028<<70??8;353>;6800:::5211g951><58:m6<:7;<324?73027:=<4>499>541=9=2011b820<=:98n1=974=005>42>34;9m7?;9:?26g<6<016=>:515;8945228>27p}87;297~;003;396P87:?b1?73?2wxm84?:4dx9d3=91>01;=8:077?80403;?563<318211=:;:;1=8:4=26g>433349>o7?;9:?01c<6=o16?;l515;8960d28>270:k3;37=>;3m90:8l524d3951g<5:=?6<;;;<141?72<278;54>559>72?=9<>01842>348h57?:f:?1gd<6==169k75146890`f28??70?k0;360>;6l80:99521ea9502<58no6<;;;<3fe?72<27:jn4>489>1=6=9<>0186>:077?83d:3;>863:c28211=:=j>1=8:4=444>42>34?j;7?:4:?b=?73027j57?;9:?bf?72n27>4o4>559>1=b=9=30186j:06:?83?n3;?563:98820<=:=0k1=974=4;a>43a34?2o7?:4:?6f1<6<016h?4>559>`6<6==16hl4>559>`g<6==16hn4>559>a4<6<016i?4>559>ag<6==16ik4>489>b5<6<016j<4>489>b7<6<016j>4>559>b2<6<016j54>489>b<<6<016jl4>489>554=9<>01<>::077?877>3;?m63>06820d=:9921=9o4=02:>42f34;;i7?;9:?24c<6<016=<9515;8947d28??70?>e;37=>;69o0:8452130951?<58886<:6;<310?73127:>84>5g9>570=9<>01<2e820<=:9;o1=974=017>43334;857?;9:?27d<6<016=>l515;8945d28>270?{t?<0;6>u27782<0=Y?<16m94>469~wd2=8399w0o;:0:7?837j3;?563936821c=:>:21=8:4=213>43a3498=7?:f:?01f<6==16?8j51468960e28??70=9c;360>;3l80:84524d2951d<5=o:6<:m;<140?72n278;84>5g9>721=9<>01>97:07e?85013;>j63:20820<=:42>348;87?;8:?6g7<6=o169n=514d890e328?m70;97;360>;c<3;>863k5;360>;c03;>863k9;360>;ci3;>j63kb;36b>;ck3;>j63j1;360>;b;3;>863j4;360>;a?3;>863i8;360>;a13;>863ia;360>;am3;>863if;360>;6890:99521139502<58:96<;i;<331?72n27:<;4>4c9>551=9=h01<>7:06a?87713;?n6s|7083>6}:?;0:485Q709>=2<6<>1v4950;048?0282?70=:d;36b>;3m90:8n524d3951e<5:=?6<8=;<141?71:278;:4>5g9>72>=9?801>96:041?847=3;?463:c38227=:=j91=;<4=4a7>405343i6<:7;<;a>42>34?3h7?:4:?g0?72n27o97?:f:?g;68?0:8n52115951e<58:36<:l;<33=?73k27:=h4>559>57e=9<>01<=6:077?xu1n3:1?v380;3;1>X1n272:7?;7:p=3<72;3p14851968963c28<970:j0;37`>;3m80:8i523669535<5:=>6<8<;<143?71:278;54>629>72?=9?901>?k:077?87ai3;?563>fc820==:9oi1=8:4=0dg>42>34;mi7?;9:?6g7<6>:169n=5171890e328<870;69;360>;c<3;=>63k5;356>;c03;=>63k9;356>;ci3;=?63kb;357>;ck3;=?63j3;356>;b<3;=>63i0;360>;am3;=>63if;356>;6890::?521139534<58:96<8<;<331?71;27:<;4>4e9>551=9=n01<>7:06g?87713;?h63>238211=:9:k1=8:4}r4g>5<4s4<7p}65;2960}:1<0:495234f9535<5=o;6<:j;<6f5?73m278;94>659>723=9?>01>98:040?85003;=863<788221=:;8o1=8:4=0d1>42?34?h>7?94:?6g6<6>=169n:5176890?f28??70j;:040?8b228<870j7:040?8b>28<870jn:047?8be28;6880::>521109532<58:>6<8;;<332?73m27:<:4>4d9>55>=9=o01<>6:06f?875;3;>863>3c8211=z{?h1<7=t=7a95=3;4=m0::9524d2951`<5=o:6<:i;<140?71=278;84>649>721=9?>01>97:046?85013;=963>e4820==:=j81=;;4=4a0>40234?h87?95:?6<`<6==16h94>659>`0<6>=16h54>659>`<<6>=16hl4>649>`g<6><16hn4>649>a6<6>=16i94>659>b7<6==16jh4>659>bc<6>=16==>51768946628;68<0::852114951`<58:<6<:i;<334g9>572=9<>01<86s|b883>1}:jh0:485Qb89>fg<6<>16nn4>469~wgd=83;=w0lm:0:7?82?>3i=70=68;a5?825l3i=70:>8;a5?825;3i=70=m5;a5?85en3i=70=la;a5?85c;3i=70=ke;a5?82713i=70=j5;a5?85al3i=70=75;a5?85>93i=70=n4;a5?85fk3i=70=i1;a5?82413i=70:;5;a5?822?3i=7p}mc;29e~;ek3;3863;518`2>;3>l0h:63;7`8`2>;3990h:63<8c8`2>;41l0h:63;328`2>;3;o0h:63;628`2>{t=m21<7=t=4f:>4>23W?o463:d`8202=z{;4=m0::8524e0951><5:=?6<89;<141?71>278;:4>649>72>=9?<01>96:045?830l3;?463:c1820==:=j81=;94=4a0>40034?h87?97:?623<6<0169;9514d890g>28>370;7f;360>;21>0:8552d58220=:l<0::852d98220=:l00::852d`8223=:lk0::;52db8223=:m:0::852e58220=:m10:8552f78211=:n>0::?52f98227=:n00::?52f`8227=:nl0::;52fg8223=:99:1=;84=022>40134;;>7?97:?240<6>?16={tk80;69u2c382<0=Yk816o>4>469>g1<6<>1vn=50;318e4282?70:=b;360>;39l0:9k5240d950`<5=8;6<;i;<615?72n27?<94>5g9>053=99:07e?827?3;>j6343334>>h7?:f:?71`<6=o1688h514d8910728?m70;{tk=0;6<8t=b695=2<5;986n84=37f>f0<5;<26n84=350>f0<5;=n6n84=3;7>f0<5;226n84=3;e>f0<5;kh6n84=3a1>f0<5;9n6n84=36:>f0<5;h<6n84=377>f0<5;8=6n84=201>f0<5;io6n84=3f5>f0<5;8h6n84=20a>f0<5:oi6n84}r375?6=849]517<58>86<:8;<370?73?2wx=9=50;06873;3;3863<3g8`2>;28o0h:63;c68`2>;1;k0h:63<348`2>;4==0h:63<608`2>;4>l0h:63;a78`2>;3im0h:63;d48`2>;3m=0h:63;ed8`2>;3n<0h:63<7b8`2>;2;90h:6390`8`2>;19?0h:639238`2>;2:=0h:639528`2>;1:l0h:63:168`2>;2mo0h:63;9e8`2>;28;0h:63:e28`2>;1<>0h:6394e8`2>;30l0h:63;968`2>;3jh0h:63:fe8`2>;1<80h:639588`2>;2<<0h:6s|15694?5|58>?6<6;;<172?e134<>j7m9;|q603<72:q699951978Z02134??47?;7:p11>=839ow0;;8;3;0>;4;h0:855251;951><5=i86<;i;<6`1?72n278?=4>639>706=9=201>;n:06;?85103;?563<6`8211=:;?h1=8h4=5f`>42?34>oi7?;8:?66<<6<1169?l515:8936128>3708?8;37<>;19;0:85526069502<5?;o6<:7;<716?73027>ii4>499>0c`=9=2018k>:06;?853<3;?463<45820<=:<0?1=964=5`;>42?34?m;7?;8:?6b<<6=o16=nk5146894b728?m70?k9;37<>;6lh0:99521ea950`<5<=o6<:6;<7;4?72n27>o=4>489>1f5=9=k018j<:06;?831>3;>863:668227=:=h<1=8:4=4c4>43a34?j57?;9:?b4?73027>5:4>489>1g1=9<>01i<514d89a2=9?<01i;517489ag=9?=01il517589`?=9=201k9517189c?=9?901kk51758946728<<70??2;37e>;68?0:9=52115950654z?233<6<>169lm51978Z0ge34?jn7?74:p520=83=p1<99:0:7?854>3;?463<37820<=:<:;1=964=512>42>34>8;7?;8:?772<6<01v8;=:180832;3;396P:539>102=9==0q~;:4;297~;2==0:4952384951><5:3=6<:6;|q636<72:q69::51978Z01434?<97?;7:p123=83?p189::0:7?82f;3;?563;ac820==:4333ty>o:4?:2y>1f>=91?0R8m8;<7`=?73?2wx9n750;7x90e>282?70:jb;37=>;3n:0:85524g1951?<5<3<6<;;;|q60c<72:q698>51978Z02a34?>=7?;7:p107=83np18;>:0:7?827<3;=?63;048226=:<9<1=;=4=524>404349357?;8:?0<<<6<016?5h515:896>a28>270::d;357>;3=l0::>5244d9535<5=<;6<8<;|q``?6=;r7hi7?75:\``>;6;o0:8:5rs01e>5<69r7:?k4>859>75`=9=201>>i:06:?84a:3;?463=f3820<=::oh1=964=3da>42>348nn7?;8:?1ag<6<016?=;515:8966228>270=j9;37<>;4m00:84522e:951><5;n36<:6;<0f6?730279i?4>489~w0172908w0;81;3;1>X2?9169:<51558yv30:3:1?v3:7382<1=::;k1=964=30b>42>3ty>9o4?:2y>10e=91?0R8;m;<76`?73?2wx98j50;7x903c282?70=6c;37<>;41j0:84523`0951><5:k96<:6;|q6`0<72:q69i851978Z0b234?o;7?;7:p1a1=83?p18j8:0:7?824l3;?463;3e820<=:<=91=964=560>42>3ty53z?40?7?=2T{ti:0;6?u2a282<1=:9hk1=974}r5f>5<4s4=m6<6:;_5f?8ga28><7p}nf;296~;fn3;3863>b0820<=z{1:1<7=t=9395=351558yvd72909w0l?:0:7?87e03;?56s|8383>6}:0:0:485Q839>f4<6<>1vo?50;0x9g7=91>01:06:?xu?<3:1?v375;3;1>X?<27i>7?;7:pf7<72;q6n?4>859>765=9=30q~69:1808>0282>7S69;<`0>4203tyi?7>52z?a7?7?<27:o94>489~w=>=839p15751978Z=><5k>1=994}r`7>5<5s4h?6<6;;<3ae?7312wx9nm50;1x90ec282>7S;lc:?6gf<60=1v99j:18183dk3;?;63;7d82<1=z{==o6=4={<7`g?e>34>844>859~w12?2909w0;;9;373>;3<10:495rs564>5<5s4??57m6;<673?7?<2wx9:850;1x9010282>7S;86:?633<60=1v98;:181830>3;?;63;6582<1=z{=<>6=4={<742?e>34>=97?74:p1fg=839p18mm:0:6?[3di27>ol4>859~w1172909w0;la;373>;3?90:495rs552>5<5s4?hm7m6;<645?7?<2wx98750;1x903f282>7S;:9:?61<<60=1v>8i:18183213;?;63<6g82<1=z{<>o6=4<{<77a?7?=2T>8i5255f95=252z?60a<6<>169?;51968yv33j3:1?v3:4b82<0=Y==h018:m:0:7?xu5:80;6?u255`9511<5;8:6<6;;|q610<72:q698851978Z03234?>97?74:p740=838p18;::064?856>3;386s|19a94?5|582o6<6:;_3;g>;60j0:495rs0c6>5<5s4;3o7?;7:?2e0<60=1v<7<:18087><3;396P>929>5<5=91>0q~?nc;296~;61:0:8:521`a95=253z?2=3<60<1U=4;4=0;6>4>33ty:n>4?:3y>5<3=9==010;6>u218:95=3{t9ki1<742034;io7?74:p5127:544>859~w4e02909w0?69;373>;6k>0:495rs0;a>5<4s4;2o7?75:\2=g=:90h1=5:4}r3g0?6=:r7:5o4>469>5a2=91>0q~?6d;297~;61l0:485Q18f894?c282?7p}>e183>7}:90n1=994=0g3>4>33ty:5k4?:2y>5d6=91?0R<7i;<3:b?7?<2wx=hj50;0x94?a28><70?jd;3;0>{t9h;1<7=t=0c1>4>23W;j=63>a082<1=z{8l?6=4={<3b5?73?27:j94>859~w4g42908w0?n4;3;1>X6i:16=l=51968yv4783:1>v3>a28202=::9:1=5:4}r75=?6=;r7>:l4>849]13?<5<<26<6;;|q62g<72;q69;751558900e282?7p}:7983>6}:=>31=5;4^45;?83003;386s|56c94?4|5<=36<:8;<74e?7?<2wx9ol50;1x90dd282>7S;mb:?6fg<60=1v8lk:18183ej3;?;63:be82<1=z{oh525bg95=252z?6g`<6<>169i>51968yv32m3:1?v3:5g82<0=Y=:51978Z05434?8?7?74:p163=838p18=<:064?834=3;386s|57294?5|5<<:6<6:;_754>;2>90:495rs441>5<5s4?=<7?;7:?627<60=1v87i:18083f83;396P:9g9>1<`=91>0q~;n1;296~;21o0:8:525`395=2;7>53z?61=<60<1U9894=474>4>33ty=9l4?:3y>101=9==01;;n:0:7?xu6>o0;6?u25``9511<5853c=91>0q~?61;297~;61;0:485Q183894?6282?7p}68;296~;6180:8:529982<1=z{82n6=4<{<3;b?7?=2T:4h5219g95=2?n4>859~w05b2909w0;;2;l0:495rs4:7>5<4s4?397?75:\6<1=:=1>1=5:4}r7;2?6=:r7>494>469>1=0=91>0q~;62;297~;21:0:485Q580890?5282?7p}:9583>7}:=081=994=4;7>4>33ty>mi4?:2y>1dc=91?0R8ok;<7b`?7?<2wx9lh50;0x90gc28><70;nf;3;0>{tjo0;6>u2c182<0=Yjo16nk4>859~w41d2909w0li:064?870k3;386s|be83>6}:jl0:485Qbe9>fa<60=1v<98:1818dc28><70?87;3;0>{t<1?1<7:t=55f>42034>42?349n?7?;8:p02`=838p199k:064?820n3;386s|49594?4|5==m6<:8;<6;3?7?<2wx85>50;0x91>?28>370:70;3;0>{t<121<74>334>3:7?;7:p510=83i:w0?;6;3;0>;30?09<5524949651<5=?;6?>7;<664?47?27?:h4=099>03c=:9=0199n:32;?820i38;;63=32814==:::91>=94=37f>76?348>i7;75215897142;:370<83;033>;5?l09<55226g9651<5;3?6?>7;<0:0?47?278?k4=099>76`=:9=018>i:32;?837n38;;63=88814==::131>=94=3;e>76?3482j7lm5215897e52;:370;5;l09<55222g9651<5;>26?>7;<07=?47?279n:4=099>6g1=:9=01?;;:32;?842<38;;63;c6814==:=94=71a>76?34<8n7;521:896332;:370=:4;033>;4>809<5523739651<5:7;<15a?47?278554=099>7<>=:9=019o9:32;?82f>38;;63;ae814==:=94=5f6>76?34>o97;3n<09<5524g79651<5:=h6?>7;<14g?47?27>?=4=099>166=:9=01?<9:32;?845>38;;63<23814==:;;81>=94=72b>76?34<;m7;19?09<5526049651<5=;36?>7;<62>4=099>075=:9=01;<=:32;?805:38;;63:25814==:=;>1>=94=770>76?34<>?7;29>09<5525059651<57;<7fb?47?279j44=099>6c?=:9=01>>=:32;?857:38;;63=94=3d3>76?348m<7;4l:09<5523e19651<5:nn6?>7;<1ga?47?279oi4=099>6fb=:9=0197k:32;?82>l38;;63=d7814==::m<1>=94=421>76?34?;>7;38009<55241;9651<5=;;6?>7;<624?47?27=8i4=099>21b=:9=01?=94=2g6>76?349n97;5m909<5522d29651<5;o26?>7;<0f=?47?2788;4=099>710=:9=01>6::32;?85?=38;;63<8c814==:;1h1>=94=2;2>76?3492=7;4ij09<5523`a9651<5:l:6?>7;<1e5?47?27??>4=099>065=:9=019=6:32;?824138;;63;3g814==:<:l1>=94=566>76?34>?97b2;:370:7e;033>;31>09<5524859651<5=hj6?>7;<6ae?47?27>ji4=099>1cb=:9=01;:>:32;?803938;;63958814==:><31>=94=540>76?34>=?7{t:9o1<7?<5z?14`<60=168585c49>0=2=9?=0196;:06b?82?<3;?n63;85820f=:<1>1=9j4=5:7>42b34>387?;f:?7154e9>60e=9=o01?;l:06e?837n3i>70;5jo0:8o522cd951e<5;hm6<:k;<0ab?73m279nk4>4g9>6f6=9=k01?m?:06a?84d83;?o63=c1820a=::j:1=9k4=3a3>42a3488n7?;b:?17g<6>l515f8975e28>n70<;5;j0:8o5222a951e<5;9h6<:k;<00g?73m279?n4>4g9>610=9=i01?:9:06g?843>3;?i63=47820c=::==1=9m4=364>42c348?;7?;e:?102<6o:5175897d328>j70;5j=0:8n522c6951b<5;h?6<:j;<0a0?73n279n84>669>6g3=9=k01?l::06a?84e=3;?o63=b4820a=::k?1=9k4=3`6>42a348>=7?;d:?114<68?515d8973528>o70<:2;37a>;5=;0:8k524b59g0=:>:h1o8523279g0=:;<>1o8523739g0=:;42d349>h7?;d:?01a<6>63;e18216=:43134>n<7?:7:?7a5<6=1168h>514;891c728?j70:j0;36f>;3m90:9n524d2950b<5=o;6<;j;<6f4?71827?i=4>609>0`7=9<;019k>:071?82b93;>?63;e08210=:43034>n=7?:8:?7a4<6=0168h?514c891c628?i70:j1;36g>;3m80:9i524d3950c<5=o:6<8?;<6f5?719278;n4l5:?031<6n70=85;37b>;4?>0:8o52365951e<5:=<6<:k;<143?73m278;:4>4g9>72>=9=n01>97:06f?85003;?j63<78820a=:;>31=9k4=25:>42a34?8<7m:;<012?e23499>7m:;<43e?e2349:87m:;<61`?e234<::7m:;<629?7m:;<416?e234?987m:;<467?e234<9i7m:;<1a1?e234?:;7m:;<7fb?e2348m57m:;<136?e2349ij7m:;<0e4?e2349;o7m:;<1`e?e2349o?7m:;<1ga?e2348hh7m:;<6:`?e2348o:7m:;<736?e234?n?7m:;<473?e234>;57m:;<624?e2348?7m:;<60=?e234>8j7m:;<671?e234>>;7m:;<6;a?e234>2;7m:;<6ae?e234?mh7m:;<475?e234<>57m:;<7;4?71?27>4=4>4`9>1=6=9=h0186?:06`?83?83;?h63:81820`=:=1:1=9h4=4:2>40034?3=7?;a:?6<4<6628>o70;71;37a>;2080:8k524719g0=:>0:8o52f6820f=:n>0:8i52f6820`=:n>0:8k52f9820d=:n10:8o52f9820f=:n10:8i52f9820`=:n10:8k52f8820d=:n00:8o52f8820f=:n00:8i52f8820`=:n00:8k52f`820d=:nh0:8o52f`820f=:nh0:8i52f`820`=:nh0:8k52fd820c=:no0:8k52112951`<58::6<:i;<331?73n27:<;4>539>550=9<901<>9:076?877>3;>:63>078212=:99<1=864=025>43>34;;:7?:a:?243<6=k16==8514a8946128?o70??6;36a>;68?0::=521149537<58:<6<;=;<333?72;27:<:4>549>551=9<<01<>8:074?877?3;>463>06821<=:99=1=8o4=024>43e34;;;7?:c:?242<6=m16==9514g8946028<;70??7;355>;6810:9?5211:9505<58:36<;:;<3327:<54>569>55>=9<201<>7:07:?87703;>m63>09821g=:9921=8m4=02;>43c34;;47?:e:?24=<6>916==651738946>28?970??9;367>;6800:985211;9500<58:26<;8;<33=?72027:<44>589>55?=96:07a?87713;>o63>08821a=:9931=8k4=02:>40734;;57?91:p0=7=838p196?:06;?82?93;386s|49094?4|5=2;6<:6;<6;6?7?<2wx?lj50;3382?;3;?563;85820<=:;hn1=5:4=2`2>42?349i>7?;8:?0f6<6<116?ol515:896dd28>370=l4;37<>;4k>0:85523e2951><5:n:6<:7;<1ge?730278ho4>499>7ae=9=201>k<:06:?xu4j>0;6ku24919502<5=2?6<;;;<1a6?731278n>4>489>7g1=91>01>lm:06:?85ek3;?56342>349o=7?;9:?0`d<6<016?il515;896bd28>270=j3;360>{t;j:1<7ht=5:0>43a34>387?:f:?0f7<6==16?o=5146896e7282?70=l4;37=>;4k<0:84523b59502<5:i36<:7;<1g4?72<278h<4>559>7ag=9<>01>jm:077?85ck3;>863639>7g4=9l<:07e?85d03;?56343a349on7?:f:?0`f<6=o16?h=51708yv5c=3:15v3;828226=:<1>1=;=4=2`1>405349i?7?92:?0g=<6==16?i;5196896b>28>370=kc;356>;4m:0::>5rs5:0>5<5s4>3?7?74:?7<7<6<11v>h=:18782?<3;=863;9c820==:<0h1=974=2d1>4>33ty??l4?:3y>0=2=9??019=n:0:7?xu3<90;6?u24969530<5=>;6<6;;|q7<1<72;q685:5196891>528>27p}=2183>g}:<1=1=964=5:4>42>34>>=7?;8:?714<6<0168;h515:8910a28>270:8b;37<>;3?k0:845223295=2<5=?>6<:7;<75a?7302wx89h50;1x912?28><70:;6;373>;3=90:495rs565>5<5s4>?:7?74:?710<6<01v9:6:181823?3;?;63;4882<1=z{=?:6=4={<67=?73?27?9<4>859~w12f2909w0::0;373>;35<5s4>?m7?;8:?70g<60=1v9:l:181823i3;?563;4b82<1=z{=;:6=4>fz?70a<6<1168;o515:8910d28>370:86;37<>;3?10:855243;951><5=8j6<:7;<61f?73027?=<4>859>043=9=2019?k:06;?826m3;?463;1g820==:<;:1=964=502>42?34>;?7?;8:?741<6<1168=;515:8916128>370:?7;37<>;4n>0:85523g;951><5:lj6<:7;<1ef?7302784?4>499>00d=9=2019;k:06;?822m3;?463;5g820==:42?3ty?=44?:0dx912c28>270:;e;37<>;3>h0:845247`951><5===6<:6;<643?73027?>44>489>07g=9=30193;?463;1882<1=:<8n1=974=53f>42>34>:j7?;9:?765<6<0168??515;8916428>270:?4;37=>;38<0:8452414951?<5=:<6<:6;<1e3?731278j54>499>7=4=9=301>6<:06;?85f13;?463;5c820<=:<42>34>>j7?;9:?725<6<01v9:k:181823l3;3863;4b820==z{=8>6=4>ez?70`<6<0168;l515;8910d28>270:87;37=>;3?10:845243795=2<5=8j6<;;;<621?73127?=;4>489>04b=9<>019?j:077?826n3;>863;218211=:<;;1=8:4=520>43334>;87?:4:?740<6==168=851468916028??70=i8;37=>;4n00:84523gc951?<5:li6<:6;<1;7?731278ml4>499>00b=9<>019;j:077?822n3;>863;618211=:=:k1=974}r67a?6=:r7?8h4>859>01e=9=30q~:9d;296~;3>=0:8:5247g95=2=:7>52z?720<6<>168;851968yv21n3:1>v3;678202=:469>031=91>0q~:98;296~;3>>0:855247:95=2=57>52z?722<6<0168;751968yv2>m3:18v3;6`8211=:43334>2i7?74:p03g=838p198n:0:7?82113;?46s|47`94?4|5=j63;798211=:42?34>h>7?;8:?7g6<6<0168n:515;891e228>270=n9;37=>;4ih0:84525b09530<527m:7?;9:?e3?72n27m47?:f:?e=?72n27mm7?:f:?ea?71=27mj7?95:?245<6><16==?51778946528<=7p};6b83>7}:4333ty?;44?:3y>026=9==0199n:0:7?xu3?;0;6?u24639511<5==96<6;;|q73g<72;q68:<51558911e282?7p};7283>7}:<>k1=994=550>4>33ty?;94?:3y>025=9=20199;:0:7?xu3?<0;6?u2461951?<5==>6<6;;|q7a3<72=q68:851468911028??70:88;36b>;3m?0:495rs555>5<5s4><:7?74:?730<6<11v998:181820?3;3863;74820<=z{==36=4={<64559~w7552908w0<=d;373>;5;=0:845222195=251cy>67b=91>01?=>:06;?842k3;?463=64820==::?<1=964=34e>42?348<<7?;8:?13g<6<116>:m515:897?628>370<62;37<>;50?0:8552295951><5;3h6<:7;<0:`?730279m:4>499>6d>=9=201?li:06;?84d83;?463=3c820==:::i1=964=365>42?348?;7?;8:?1f1<6<116>o;515:8973628>370<:2;37<>{t:;l1<742?3489j7?74:p662=838p1?=;:0:7?844;3;?;6s|23g94?4|5;986n;4=30f>4>33ty9?=4?:3y>67`=9=201?=?:0:7?xu5;80;6?u223d951?<5;9:6<6;;|q113<728op1?=>:06:?842>3;3863=5c820==::?=1=964=34e>42>348<=7?;8:?13d<6<116>:l515;897?728>370<61;37=>;50<0:8552294951?<5;3i6<:7;<0:g?731279m;4>499>6d1=9=301?lj:06;?84en3;?563=3`820==:::h1=974=366>42?348?:7?;9:?1f6<6<116>o:515;8973728>370<:1;37=>;5k>0:85522e1951><5;n?6<:7;<11863=2d820==::;o1=974=37a>42>348>;7?;8:?112<6<016>;9515;8970628>370<91;37=>;5?90:8452263951?<5;489>62e=9=301?99:06;?840>3;?563=91820<=::081=974=3:`>42?3483o7?;9:?1<0<6<016>59515;897>628>370<71;37=>;51k0:845228f951?<5;3<6<:7;<0:3?731279m;4>489>6d>=9=301?o=:06;?84f:3;?563=bd820<=::j:1=974=3`b>42?348im7?;9:?17d<6<016>>m515;8975128>370<<6;37=>;5<<0:8452255951?<5;>:6<:7;<075?731279n>4>489>6g3=9=301?oi:06;?84fn3;?563=51820<=::<81=974=36`>42?348?o7?;9:?04`<60=16>k8515:897`028>370;4890:85522dg951><5::26<:7;<13e?7302wx>8j50;1x973128><70<:f;37=>;5=l0:495rs37;>5<5s48>j7?;8:?11=<60=1v?;i:181842n3;3863=5d8202=z{;?<6=4={<06a?e2348>;7?74:p60?=838p1?;7:06;?84213;386s|24c94?4|5;?36<:6;<06e?7?<2wx>8l50;0x973e282?70<:a;37<>{t:?:1<7?n{<06g?731279:=4>859>633=9=301?89:06:?841n3;>863=718211=::>h1=8:4=35`>4333482=7?:4:?1=7<6==16>585146897>028??70<6c;360>;51m0:99522`59502<5;k36<;;;<0ab?72<279o=4>559>66d=9<>01?=l:077?843>3;>863=468211=::k>1=8:4=3`6>433348>=7?:4:?117<6==1v?8n:1823~;5=j0:995227c95=2<5;=:6<;;;<04f?72n279;n4>5g9>6<7=93;>j63=86821c=::0i1=8h4=3;g>43a348j;7?:f:?1e=<6=o16>oh514d897e728?m70<;5;j0:9k52254950`<5;><6<;i;<0a0?72n279n84>5g9>607=943a348<97?74:?13d<6==16>4?5170897?528<970<76;356>;50>0::?5228a9534<5;3o6<8=;<0b3?71:279m54>639>6g`=9?801?m?:041?844j3;=>63=3b8227=::=<1=;<4=364>405348i87?92:?1f0<6>;16>8?51708973528<97p}=8c83>47|5;?h6<8=;<0;f?7?<2795=4>559>64043488n7?93:?17f<6>:16>9851718972028<870;5j<0::>522439535<5;?96<8<;|q1<5<7289p1?;l:040?84>93;=?63=938226=::1:1=5:4=3:6>4333482o7?94:?1=a<6>=16>l95176897g?28;5k90::95222`9532<5;9h6<8;;<072?71<2798:4>659>6g2=9?>01?l::047?84293;=863=538221=z{;3=6=4i{<06g?71<2795;4>859>601?o8:046?84f03;=963=bg8220=::j:1=;;4=31a>4023488o7?95:?103<6><16>995177897d328<>70;5=80::85224095335dz?11f<6><16>l?5196897g128??70;5k90::;5222`9530<5;9h6<89;<072?71>2798:4>679>6g2=9?<01?l::045?84293;=:63=538223=z{;h26=46{<06g?71>279n44>859>6gc=9<>01?=m:044?844k3;=;63=478222=::==1=;94=372>400348>>7?97:p663=83=p1?;l:044?844=3;3863=3`8211=::=<1=9o4=364>42f348>=7?;a:?117<642e348>>7?;b:p6dc=83hp1?;l:06a?84en3;=;63=c18222=:::h1=9o4=31`>42f348?:7?;b:?102<6lk5196897d428??70<:1;37g>;5=;0:8n5rs36a>5<4s48>o7?;c:?10g<60=16>8>51468yv42k3:1>v3=5b82<1=::469>63?=91>0q~<92;296~;5>00:8:5227095=252z?12<859~w7042909w0<92;37<>;5>:0:495rs347>5<5s48=>7?;9:?121<60=1v?8::181841=3;3863=65820==z{;<=6=4={<052?7?<279:94>489~w7002909w0<97;3;0>;5>=0:995rs351>5<4s48=m7?;7:?131<6<016>:=51968yv41k3:1>v3=75820==::?i1=5:4}r040?6=:r79;94>859>625=9==0q~<9b;296~;5?:0h963=6c82<1=z{;859~w70b2909w0<9c;37=>;5>l0:495rs34e>5<5s48=j7?74:?12`<6<11v?9?:18184083;3863=6d820<=z{;=:6=4={<045?7?<279:h4>559~w71c2908w0<85;373>;5?o0:845226g95=252z?13c<6<116>:951968yv40n3:1>v3=7g82<1=::>o1=994}r042?6=:r79;h4l5:?133<60=1v?97:181840?3;?463=7982<1=z{;=26=4={<043?731279;44>859~w71f2909w0<8a;3;0>;5?00:855rs35a>5<5s48489>6<2=91>0q~<7d;296~;51<0:855229f95=252z?1=0<60=16>4:51558yv4?k3:1>v3=958`1>;50j0:495rs3:f>5<5s483h7?;8:?1<`<60=1v?6i:18184?l3;?563=8g82<1=z{;3;6=4={<0:4?7?<2794k4>499~w7?62909w0<61;3;0>;50o0:845rs3;1>5<5s482>7?74:?1=j:18185413;?;63<3g82<1=z{:926=4;ez?07<<60=16>lo514d8935?28?m70=;c;360>;4669>721=9?<01>97:044?85013;=;63<1g821c=:>8>1=8h4=70a>42>34<9o7?;9:?1gd<6=o169k75170890`f28<970?le;36b>;6ko0:9k521e29534<58n:6<8=;<3ge?72n27:ho4>5g9>5ae=9?801e9820<=:9l31=8:4=0gb>43a34;mh7?:4:?2b`<6==169:h514d890>728<970;71;356>;2k;0:8o525b1951d<5m:4>639>eg<6>;1695m5146890?d28?m70;m5;37=>;2j?0:84525c5950`<543a34n96<8=;40534n?6<88;40034n36<88;40034nj6<:n;42f34nh6<:n;43334o96<;i;40134o?6<89;43334l86<;i;42f34lm6<:n;<334?73i27:<<4>4`9>554=9=h01<>::06b?87683;?563>10820<=:9821=974=03:>42>34;:h7?:4:?263<6=o16=?l51468945228??7p}<3c83>7}:;:l1=994=21a>4>33ty8?l4?:3y>76`=k<16?>o51968yv54k3:1>v3<3c820==:;:i1=5:4}r10`?6=:r78?o4>489>76b=91>0q~==c;291~;4;m0:855232f951?<5:8h6<6;;<104?71;278?<4>639~w6262908>v3<3`820<=:=931=974=5a7>43a3498=7?93:?014<6<116?8o515;8960>28>270=9c;36b>;3lj0:84524eg951?<5<826<:6;<71g?73027=<:4>499>25>=9=301;?<:06;?806m3;?463:23820<=:=ln1=974=5de>42>34?n=7?;9:?004<60=1684;515;891d?28>270;i7;37=>;2nh0:9k521bd9502<58n:6<;i;<3g=?73127:ho4>559>5ab=9j63:c3820d=:=j>1=9o4=`2951?<543334n86<;i;40134n26<89;40034o26<:6;43a34l36<8<;40434lm6<88;<335?71?27:<84>669>55>=9<:01<>6:073?xu28l0;6?u25119511<5<:m6<6;;|q646<72;np18><:0:7?837i3;?563:0c8211=:=9i1=974=42g>42>34>h?7?92:?7g1<6>;168n;51708962a28>370=:0;37=>;4=80:84524bf951><5=io6<:6;<71e?73027>>o4>489>17e=9=301863=c`8227=:42>34>i:7?;8:?6g7<6h70h9:041?8`02828i70hi:06a?87783;?n63>00820g=:9981=9m4=012>42>34;8>7?;8:?276<6<116=>:514d8945228?m70?<9;36b>;6;h0:9k5212`950`<589h6<;i;<30`?72n2wx9=:50;0x906a28><70;?4;3;0>{t=9?1<742?34?;97?74:p150=838p18>;:06:?837>3;386s|12294?5|5<:<6<:7;<733?73127:?=4>859~w0602909w0;?7;3;0>;28?0:855rs014>5<4s4?;47?;8:?64=<6<016=>951968yv3703:1>v3:0982<1=:=9<1=974}r73=?6=:r7><44>859>150=9<>0q~;>8;297c}:=9k1=8:4=42`>43334>h=7?;9:?7g7<6<0168n=5171891e328<870:l5;357>;4499>17g=9=3018863:2d8227=:;8n1=8h4=23f>43a349:j7?92:?65=<60=169370;=0;37=>;2:80:99525309502<5<;?6<:7;<720?73127><=4>499>0=e=9=20197<:06;?82e<3;?463;b7820<=:43334?h>7?;d:?6g6<6e28?m70;7c;36b>;20m0:9k5259g950`<5<2m6<;i;<7:=?72n27>5l4>5g9>1370h9:040?8`028<>70h7:046?8`>28<>70hn:046?8`b28>h70hi:06`?87783;?o63>00820f=:9981=9j4=00b>43a34;9n7?:f:?26f<6=o16=?j514d8944b28?m70?<2;37=>{t=9k1<74>334?;:7?:f:p15d=838p18>m:0:7?837>3;=>6s|51a94?4|5<:h6<6;;<732?71;2wx9<>50;0g837l3;>863;c08211=:40334>h87?94:?7g0<6>=1684h515;891c028>270;>e;37=>;29o0:84525329502<5<8:6<;i;<716?72n27>==4>859>143=9=2018>?:06:?82?k3;?563;92820<=:1=974=5`6>42?34?h>7?;e:?6g6<6e28<970;7c;356>;20m0::?5259g9534<5<2m6<8=;<7:=?71:27>5l4>639>1270h9:047?8`028<=70h7:045?8`>28<=70hn:045?8`b28>o70hi:06g?87783;?h63>00820a=:9981=9k4=012>43334;8?7?;9:p15b=838p18>k:0:7?837>3;=86s|29:94?5|5;2;6<:8;<0;e?731279444>859~w7>52909w0<7a;37<>;50;0:495rs3:b>5<5s483m7?74:?1<<<6<>1v?6>:18184?13i>70<71;3;0>{t:191<742?3483?7?74:p6=2=838p1?6=:06:?84?<3;386s|29794?4|5;2>6<6;;<0;0?7302wx>5850;0x97>1282?70<74;37=>{t:1=1<74>3348387?:4:p6859~w7g72909w0;51o0:8:5rs3;4>5<5s482j7m:;<0:3?7?<2wx>4750;0x97??28>370<69;3;0>{t:0k1<742>3482m7?74:p6i3;?46s|28a94?4|5;3h6<6;;<0:e?7312wx>4j50;0x97?c282?70<6a;360>{t:hh1<7=t=3c2>420348jh7?;9:?1ef<60=1v?on:18184f13;?;63=a`82<1=z{;k26=4<{<0b=?7?<27:j54>499>5c>=9=30q~52z?1ea<60=16>lm51558yv4f:3:1>v3=ab8`1>;5i;0:495rs3c7>5<5s48j?7?;8:?1e1<60=1v?o::18184f;3;?563=a482<1=z{;k=6=4={<0b2?7?<279m84>499~w7g02909w0;5i<0:845rs3c;>5<5s48j47?74:?1e0<6==1v8hj:182<~;5ih0::?52321951><5499>5g6=9=301:06;?87e?3;?563>b9820==:9kk1=964=0a3>42>34;h=7?;8:?2g6<6<016=n:515:894ed28>270?j5;37=>;6n80:84521g0951?<58li6<:6;<030?731279<84>489>20b=9=201;;k:06:?8ge28<87p}=c083>6}::k31=994=3a0>42>348h>7?74:p6gd=838p1?m<:06;?84ej3;386s|2b194?4|5;i86<6;;<0`6?73?2wx>oo50;0x97e52j?01?ln:0:7?xu5jj0;6?u22c`951><5;hh6<6;;|q1fa<72;q6>ol515;897dc282?7p}=bd83>7}::ko1=5:4=3`g>42?3ty9nk4?:3y>6g`=91>01?lk:06:?xu5k90;6?u22b295=2<5;ho6<;;;|q17a<72:q6>>;51558975a28>270<{t::=1<742?3488;7?74:p66`=838p1?=i:0:7?844m3;?;6s|22494?4|5;9n6n;4=315>4>33ty9?54?:3y>661=9=201?=7:0:7?xu5;00;6?u2225951?<5;926<6;;|q17d<72;q6>>o51968975>28>37p}=3c83>7}:::h1=5:4=31:>42>3ty9?n4?:3y>66e=91>01?=6:077?xu5<10;6>u22529511<5;>j6<:6;<07=?7?<2wx>9<50;0x972f28>370<;2;3;0>{t:=k1<74>3348?57?;7:p617=838p1?:6:b789726282?7p}=4283>7}::=81=964=360>4>33ty9894?:3y>614=9=301?:;:0:7?xu5<<0;6?u225795=2<5;>?6<:7;|q103<72;q6>9851968972328>27p}=4683>7}::==1=5:4=367>4333ty9n;4?:2y>6dc=9==01?l7:06:?84e?3;386s|2c294?4|5;h36<:7;<0a4?7?<2wx>o650;0x97d?282?70{t:hl1<7f3<5;km6<6;;|q1f4<72;q6>o>515:897d6282?7p}=b383>7}::k:1=974=3`1>4>33ty9n>4?:3y>6g5=91>01?l=:06;?xu5j=0;6?u22c695=2<5;h96<:6;|q1f0<72;q6>o;5196897d528??7p}=5283>6}::=h1=994=376>42>348>87?74:p61b=838p1?;::06;?843l3;386s|24794?4|5;?>6<6;;<060?73?2wx>9m50;0x97332j?01?:l:0:7?xu5<5;>n6<6;;|q10c<72;q6>9j515;8972a282?7p}=5183>7}::<:1=5:4=36e>42?3ty99<4?:3y>607=91>01?:i:06:?xu5=;0;6?u224095=2<5;>m6<;;;|q7g3<72:q68ol5155891e?28>270:l7;3;0>{t42?34>io7?74:p0f>=838p19m7:0:7?82d?3;?;6s|4cf94?4|5=hh6<:7;<6a`?7?<2wx8ok50;0x91dd28>270:me;3;0>{tn<0;6>u24cd951><5=hm6<:6;4>33ty?nk4?:3y>0g`=91>019lj:06;?xuak3:1?v3;c1820==:h<7>52z?7g5<60=168ok515;8yv2d13:1:v3;c0821c=:4>334>o>7?;9:?7`6<6==16i54>489~w1>a290?9v3;c08227=:40234>h87?95:?7g0<6><16?9h51468963728?m70=:1;36b>;3i:0:85524`6951><5=in6<:7;<6`a?73127?io4>499>0`e=9=201>9;:06b?850=3;?m63<79820d=:;>31=9o4=40b>43334?9n7?:f:?66f<6=o169?k51718967c28<970=>e;356>;49o0::>52532950`<5<8:6<8=;<716?71:27?jo4>499>0cd=9=30197<:077?82e>3;>863;b6821c=:42a34?h?7?;f:?6g1<6370;7b;357>;20j0::>5259f9535<5<2n6<8<;<7;b?71;27>544>629>1k3;=863ka;37f>;cj3;?n63kc;37f>;a>3;=963i7;353>;a03;=;63i9;353>;ai3;=;63ie;37a>;an3;?i63>01820`=:99;1=9k4=021>42a34;;97?;b:?26d<6>;16=?l51708944d28<970?=d;356>;6:l0::?521209502<58986<;;;|q7g4<72;q68n?5196891db28??7p};c383>7}:43a3ty?o>4?:3y>0f5=91>019lj:041?xu3k=0;6?u24b695=2<5=hn6<8<;|q7g0<72;q68n;5196891db287}:>::1=994=71a>4>33ty=?=4?:5y>266=91>01;=;:06;?80293;?463944820==z{?926=4={<402?73?27=?44>859~w3512908w08<6;3;0>;2j;0:85525c0951?52z?57g<6<>16:>?51968yv04:3:1>v3930820==:>:81=5:4}r407?6=:r7=?<4>489>265=91>0q~8;8;290~;1;=0:8452627951><5?>>6<:6;<47:50;0x9353282?708<3;37<>{t>9h1<7?i{<401?73127=<94>499>250=9=301;>8:06:?807j3;3863911820==:>8;1=964=731>42>34<:?7?;9:?551<6>;16:2708>e;37=>;1:10:855263c9502<5?8i6<;;;<41g?72<27>io4>499>1`e=9=2018h::06;?804n3;?463>cd8227=:9jl1=;<4=0f3>40434;o=7?93:?63c<6>;1695>5171890>628<8707k:06;?8?b28>3707i:06:?xu19>0;6>;t=716>43334<;87?;9:?540<6<116:=651468937728>2708>4;357>;19>0:495260a951?<5?;m6<:7;<414?73027=>54>489>27?=9=201;j6392b821c=:=l31=964=4gg>43334?m97?;9:?6b3<6<0169k95146890`>28<870;ia;357>;1;o0:84521e;9502<58nj6<8=;<3gf?71:27:hn4>629>5ab=9?901863>e8821c=:9lk1=;<4=45e>40434?3<7?94:?6<4<6>=165i4>489>=c<6==16m=4>559>1g2=9<>018l::077?83e>3;>863:b68227=:=k21=;<4=02g>42>34;;i7?:4:?24c<6==16=<>51468947628??70?>5;37=>;69?0:84521059502<58;36<;;;<32=?72<2wx:>;50;0x9352282?708<3;37=>{t>:=1<74>334<857?;8:p26>=838p1;=7:0:7?80413;?56s|32694?5|5:8h6<:8;<10859~w6542909w0=<2;373>;4;:0:495rs211>5<4s498>7?74:?2gg<6<116=nl515;8yv55l3:1>v3<39820==:;;n1=5:4}r10859>763=9==0q~=<6;296~;4;>0:855232495=252z?072<60=16?>;52158yv55m3:1>v3<2e820==:;;o1=5:4}r11b?6=:r78>i4>489>77`=91>0q~=<0;296~;4;90:495233d951>52z?074<60=16??h515;8yv52;3:1>v3<468202=:;<>1=5:4}r173?6=1r788:4>859>71e=9:k:041?851i3;=>63k1;356>;c:3;=?63k3;357>;b83;>j63j2;356>{t;<81<7420349>>7?74:p71c=839p1>:j:0:7?82cj3;?463;dc820<=z{:>36=4={<160?73?278854>859~w62>2909w0=;8;37<>;4<00:495rs26b>5<5s49?47?;9:?00d<60=1v97;:180853j3;?463<4c820<=:<0>1=5:4}r17f?6=:r788o4>859>71g=9=20q~;i0;29=~;47?93:p71e=838p1>:l:0:7?853i3;?56s|35f94?4|5:>o6<6;;<17e?72<2wx8i950;03853n3;>j63<518227=:;<;1=;<4=27a>42>349>o7?:f:?01a<6>>16?;651468960>28??70=9b;356>;4>j0::?524e595=2<5=nh6<;;;<6gb?73127?i=4>519>722=9=h01>9::06a?850?3;=;63<79820g=:;>31=9l4=e6951g<5m?1=9o4=e:951g<5m31=9o4=ec951e<5mh1=9m4=ea951e<5l;1=8h4=d19531<5l>1=;94=026>42d34;;:7?:1:?24=<6=81v977:18a853n3;=>63<518226=:;<;1=;=4=40b>43a34?9n7?92:?66f<6>;1695;37=>;3110:49524c7951?511y>71`=9?901>;?:047?85293;=863:2`8227=:=;h1=;=4=40`>404349mi7?74:?746<6=o168=:51708916228<970:?6;356>;38>0::?5244f9534<5=?n6<8=;<66b?71:27?:=4>639~w62a2909w0=;f;3;0>;4=;0:855rs273>5<5s49><7?74:?017<6<01v>;>:18185293;3863<538211=z{:<;6=4={<161?73?278:<4>859~w632290:ov3<5482<1=:;405349>h7?;a:?02=<6=o16?;7514d8960e28<870=9c;357>;3lo0:99524d39506<5:=?6<:l;<141?73k278;:4>4`9>72>=9=i01>96:06`?8b328>i70j::06a?8b?28>i70j6:06a?8bf28>o70jm:06g?8bd28>o70k>:041?8c428>j70k;:06b?877=3;?h63>068214=:9931=8?4}r16b?6=:r789h4>469>70`=91>0q~=:e;297~;4=l0:4952e6820==:m>0:845rs275>5<5s49==7?;7:?013<60=1v>;8:181852>3;?463<5682<1=z{:?36=4={<162?731278954>859~w6112908w0=:9;37<>;4=00:845236495=257>52z?01<<60=16?86515:8yv52i3:1>v3<5`82<1=:;<21=974}r16f?6=:r789o4>859>70>=9<>0q~=:c;296~;4=j0:495234:950`h7>52z?01a<60=16?8651708yv51l3:1>v3<638202=:;?o1=5:4}r156?6=9;q6?;<51968960?28<970=99;356>;4>h0::95237`9532<5:4d9~w6042909w0=9e;373>;4>:0:495rs247>5<5s49=?7?;8:?021<60=1v>8::181851;3;?563<6482<1=z{jl1<7=t=245>42?349=:7?;9:?`b?7?<2wx?;850;0x9601282?70=95;37<>{tl>0;6>u2375951><5:<<6<:6;4>33ty8::4?:3y>731=91>01>8::06:?xu4>10;6?u237:95=2<5:<>6<;;;|q02<<72;q6?;751968960228?m7p}<6`83>7}:;?k1=5:4=246>4053ty8:o4?:3y>73d=91>01>8::040?xu4>j0;6?u237a95=2<5:<>6<8;;|q0=2<72;q6?4<5155896??282?7p}<9383>7}:;081=5:4=50a>43a3ty8594?:3y>7<>=9==01>7;:0:7?xu41:0;6?u238:9g0=:;091=5:4}r1:1?6=:r78594>499>7<3=91>0q~=66;296~;41=0:845238495=2>>7>53z?0=6<6<116?4=515;89135282?7p};a483>7}:<0o1=994=5c5>4>33ty?m=4?:3y>0d0=9==019o?:0:7?xu31o0;6?u24`49g0=:<0l1=5:4}r6b5?6=:r7?m=4>499>0d7=91>0q~:n2;296~;3i90:84524`095=2j?7>52z?7e6<60=168l<515:8yv2f?3:1>v3;a5820<=:859>0d4=9=30q~:nc;296~;3i>0:8:524`f95=2j57>52z?7ea<6<>168l751968yv2f03:1>v3;ae8`1>;3i10:495rs5cb>5<5s4>j57?;8:?7ed<60=1v9om:18182f13;?563;ac82<1=z{=l=6=48{<6b489>0c6=9=2019h?:06:?82a>3;3863:43820==:==81=974}r6g0?6=;r7?o44>469>0a0=9=3019j::0:7?xu3kh0;6?u24e4951><5=ij6<6;;|q7`3<72;q68i85196891b228><7p};cc83>7}:4>33ty?on4?:3y>0fg=9=3019ml:0:7?xu3km0;6?u24bf95=2<5=ih6<:7;|q7g`<72;q68nk5196891ed28>27p}j6;297~;3ko0:85524bd951?<5l<1=5:4}r6`b?6=:r7?ok4>859>0fe=9<>0q~kj:18082c83;?463;d1820<=:ml0:495rs5f3>5<5s4>o<7?74:?7gf<6=o1v9j>:18182c93;3863;cb8227=z{=n96=4={<6g6?7?<27?on4>629~w1b42909w0:k3;3;0>;3kj0::95rs5g0>5<4s4>o;7?;7:?7a0<6<0168h:51968yv2b:3:1>v3;de8202=:859>`c<6<116hk4>489~w1b?2909w0:j5;37<>;3l10:495rs5g6>5<5s4>n97?74:?7a1<6<>1v9j6:18182c03;?463;d882<1=z{=nj6=4={<6g859~w1be2909w0:kb;3;0>;3lh0:855rs5f`>5<5s4>oo7?74:?7`d<6<01v9jj:18182cm3;3863;e3820==z{:=o6=46{<6gb?72n278;i4>859>722=9=n01>9::06g?8c728<870k>:040?8c528i7p};dg83>7}:42>3ty?i=4?:3y>0`6=91>019k=:077?xu3m80;6?u24d395=2<5=o96<;i;|q7aa<72;q68h85155891cb282?7p};e983>7}:4>33ty?i:4?:3y>0`c=k<168h951968yv2b13:1>v3;e9820==:489>0`g=91>0q~:jb;296~;3mk0:49524dc951>nj7>52z?7af<6<0168hh51968yv2bk3:1>v3;eb82<1=:469>0c3=91>0q~:i1;296~;3n<0:8:524g395=2m<7>52z?7b0859~w1`52909w0:i1;37<>;3n;0:495rs5d0>5<5s4>m=7?;9:?7b6<60=1v>9m:180851n3;?;63<7e8202=:;>i1=5:4}r14e?6=:r78;;4>469>72g=91>0q~=80;296~;4?j0:8:5236295=252z?035<6<116?:?51968yv50:3:1>v3<71820<=:;>81=5:4}rff>5<4s49859~w6142909w0=83;3;0>;4?;0:855rs257>5<5s49<87?74:?037<6<01v>9::181850=3;3863<738211=z{:=<6=4={<143?7?<278;l4>499~w61?2909w0=88;3;0>;4?h0:845rs25:>5<5s49<57?74:?03d<6==1v84>33ty>?<4?:4y>167=91>018<6:077?835i3;=?63:2c8221=:=;i1=;:4}r71a?6=:r7>>i4>469>17c=91>0q~;=d;297~;2:m0:495213;951><58826<:6;|q663<72;q69><515:89041282?7p}:3383>7}:=:81=5:4=413>4203ty>>:4?:3y>170=9=2018<8:0:7?xu2:10;6?u2534951?<5<836<6;;|q66<<72;q69?751968904?28>37p}:2`83>7}:=;k1=5:4=40;>42>3ty>>o4?:3y>17d=91>018<7:077?xu2:j0;6?u253a95=2<5<836<;i;|q160<72:q6>??51558974728><70<=6;3;0>{t:;81<74203489>7?74:p675=838p1?<=:06;?845;3;386s|23694?4|5;896<:6;<010?7?<2wx?<;50;6x974328>370=>5;3;0>;49h0:855230`951>58z?161<6<016?270;5k>0:84522e1951?<5:836<:6;<11=?7302wx>nh50;:x974328??70=>a;360>;49k0:99522b59502<5;im6<6;;<0g0?731278>54>559>77?=9=30q~==1;290~;49?0:8:523079511<5:886<:6;<116?7?<2wx??>50;0x967d28><70==0;3;0>{t;8i1<7=t=23`>4>334>i>7?;8:?7f7<6<01v>?8:181855;3;?463<1682<1=z{:886=4={<117?7?<278>?4>469~w67?2909w0=>7;37<>;4910:495rs23:>5<5s49:;7?;9:?05<<60=1v>?n:181856i3;3863<18820==z{;8<6=4<{<12f?72n279o:4>5g9>671=91>0q~=>b;296~;49k0:495230;951?52z?05a<60=16??>515:8yv56m3:1>v3<1d82<1=:;;:1=974}r12b?6=:r78=k4>859>776=9<>0q~8?9;296~;2nl0:8:5261c95=252z?54d<6<>169kh51968yv0783:1>v3:fg820==:>9:1=5:4}r435?6=:r7>jk4>489>257=91>0q~;m3;297~;18;0:8552610951?<537p}>1283>6}:>991=964=720>42>34;:?7?74:p255=838p1;><:0:7?80793;?56s|61694?4|5?:?6<6;;<435?72<2wx:?=50;17807=3;?5639078211=:>9=1=8:4=72;>43a34<:=7?;9:?557<6==16:<=51468937c28??708>e;360>;19o0:8452632951?<5?886<6;;<4144>489>27g=9?801;63:e`820==:=ln1=8h4=4d6>43334?m:7?:4:?6b2<6=o169k75176890`f28;6lh0::>521e`9535<58nh6<8;;<3g`?71<27:i:4>5g9>5`>=9;>m3;?5636f;36b>;f83;>j63:b5821c=:=k?1=8h4=4`5>43a34?i;7?93:?6f=<6>:16==j51468946b28?m70??f;36b>;6990:9k52103950`<58;>6<;;;<322?72<27:=:4>5g9>54>=97}:>9=1=5:4=722>4043ty=8h4?:36x936?28<9708>2;36b>;19:0:9k526069532<5?;m6<;;;<414?72<27=8h4>859>27?=9<>018km:06:?83bk3;?563:ee8227=:=o?1=8h4=4d5>43a34?m;7?92:?2`<<6>;16=h95170894c?28<970?j9;357>;6mh0::95256d9532<5<2;6<8:;<7;5?71=272i7?:4:?6f1<6>;169o;5170890d128<970??d;36b>;68l0::?5211d9534<58;;6<8=;<325?71:27:=84>5g9>540=963>188227=z{?:36=4={<43659~w6742909w0=?e;373>;49=0:495rs233>5<5s49:87?;7:?055<60=1v>>i:181856<3i=70=?f;3;0>{t;8;1<742?349:=7?74:p744=838p1>??:06:?856:3;386s|2g394?g|5:;96<:7;<0e5?7?<279j;4>489>6c1=9=301>>?:06:?857i3;?563=db820==::mo1=964=3g5>42?348n;7?;8:p6cg=832p1>?=:06:?84ai3;3863=fg820<=:;9k1=8:4=3fg>42?348oi7?;9:?1a3<6<016>h9515;8yv4bi3:15v3<138211=::o<1=8:4=3d4>433349;<7?:4:?1ad<60=16>hk515;8966f28?m70;5m>0:995rs227>57?:f:?041<60=16?=7515;897bd28>270;5ll0:9k522d49502<5;o<6<;i;|q76f<72;q68?;51558914c282?7p};2783>7}:<;n1=994=505>4>33ty?>:4?:3y>070=9=2019<8:0:7?xu3:10;6?u2434951?<5=836<6;;|q76<<72;q68?751968914?28>37p};2`83>7}:<;k1=5:4=50;>42>3ty?07d=9?8019>m:0:7?85a03;>863<828211=z{=8i6=4={<61f?7?<27?>54>559~w3722909w08?b;373>;19?0:495rs72`>5<5s4<::7?;7:?54f<60=1v;>k:181807k3;?46390e82<1=z{?:n6=4={<43g?73127=

859~w01b2908w08?f;37<>;18o0:845256g95=252z?54c<60=16:=k515:8yv0683:1>v391182<1=:>9o1=974}r425?6=:r7==<4>859>25c=9<>0q~8>2;296~;19;0:495261g950`52z?556<60=16:=k51708yv3b<3:1=5u26069533<5?826<;i;<7f0?7?<27>i44>489>1`g=9=3018km:077?83bk3;>863:f88220=:=ok1=;;4=0af>40434;hj7?93:?2`5<6>=16=i?5176894c>28;2?o0::8525929530<5<2:6<89;<7b2?71:27>m:4>629>1d?=9629~w1702909w0:>1;373>;3910:495rs531>5<5s4>:47?;7:?757<60=1v9?<:181826:3;?463;1282<1=z{=;?6=4={<626?73127?=94>859~w1722909w0:>5;3;0>;39=0:855rs535>5<5s4>::7?74:?751<6<01v9<=:18082613;?;63;25820<=:<;91=5:4}r62e?6=:r7?>94>499>04g=91>0q~:=4;296~;3:=0:49524319511:n7>52z?75d<6<1168v3;1`820<=:<8i1=5:4}r62`?6=:r7?=i4>859>04e=9=20q~=j0;291~;39l0::?524169532<5=:>6<8;;<1f4?7?<278j44>5g9~w17b2909w0:>e;3;0>;39j0:845rs2:5>5<2s4>:j7?92:?743<6>=16?ko514d896>1282?70::e;350>{t;1i1<7;t=53e>40434>;:7?95:?0bd<6>;16?5m51968913b28<>7p};1g83>7}:<8l1=5:4=53`>4333ty8544?:4y>076=9?8019>8:047?85aj3;>j63<9882<1=:<=4>629>051=9??01>hm:041?85>n3;3863;5g8220=z{=8;6=4={<614?7?<27?=n4>5g9~w14b290>w0:=1;356>;3:l0:495244f9532<5=<;6<8;;<70e?72n2wx8>:50;7x914628<870:<4;3;0>;3=m0::8524729533<5<9j6<8=;|q764<72;q68??51968917d28<97p}92083>7}:>8=1=994=701>4>33ty==54?:3y>274=9==01;?7:0:7?xu1900;6?u260:951><5?;26<6;;|q55d<72;q6:<6515;8937f282?7p}>d983>6}:>8h1=964=73a>42>34;o47?74:p24d=838p1;?m:0:7?806i3;?46s|60a94?4|5?;h6<6;;<42e?7312wx:a;360>{t>8o1<74>334<:m7?:f:p214=83hp1;?i:07e?83bj3;>j6394382<1=:9l=1=;=4=0g;>40434?i87?93:?6f0<6>:169o851718946c28<970??e;357>;69<0::?5rs73e>5<5s4<:j7?74:?55d<6>;1v8jj:18a80583;>j63:eb821c=:=mo1=5:4=4d5>40534;;j7?93:?255<6>:16=7;357>;6910::>5210;953552z?565<60=16:v3:198202=:=;>1=5:4}r72=?6=:r7>>94>469>14?=91>0q~;>a;296~;2900:855250c95=252z?65<<6<01694>33ty>=n4?:3y>14e=91>018?m:06;?xu2110;6>u250f951><5<;o6<:6;<7:b;37=>{t=8o1<74>334?:n7?:4:p14`=838p18?i:0:7?836j3;>j6s|53294?4|5<8;6<6;;<72f?71:2wx9??50;0x9046282?70;>b;357>{t=;81<74>334?:n7?94:p204=838p1;:j:064?802;3;386s|65d94?4|5??86<:8;<47b?7?<2wx:8>50;0x932a28>3708:0;3;0>{t><;1<742>34<>=7?74:p202=838p1;;>:06:?802<3;386s|63f94?5|5?886<:8;<41b?73127=>h4>859~w3432909w08=f;37<>;1:=0:495rs70e>5<5s4<9j7?74:?56`<6<>1v;<::181805<3;?46392482<1=z{?8=6=4={<410?73127=>;4>859~w{t>;=1<74>334<9:7?;8:p27>=838p1;<7:0:7?805>3;?56s|63;94?4|5?826<6;;<412?72<2wx:?o50;0x934f282?708=6;36b>{t>;h1<74>334<9:7?92:p27e=838p1;3;=?6s|3c694?5|5:ko6<:8;<1a2?731278n84>859~w6gb2909w0=m6;37<>;4il0:495rs2`5>5<5s49i:7?74:?0f0<6<>1v>oi:18185fm3;?463859~w6c1290iw0=m1;37=>;4j;0::>523cf951><5:i=6<:7;<1`b?731278h=4>5g9>7a?=9=301>jn:041?85b>3;3863559>7g5=9?901>lk:06:?85d>3;?563433349on7?92:?0af<60=1v>l>:18185e93;3863489~w6d42909w0=m3;3;0>;4j90:995rs435>5<5s4?:<7?;7:?652<60=1v8?>:181836?3;?;63:1082<1=z{<;96=4={<725?73027>=?4>859~w0742909w0;>1;37=>;29:0:495rs437>5<5s4?:87?74:?656<6<11v8?::181836=3;3863:12820<=z{ik4>859~w0c22909w0;jf;373>;2m<0:495rs4g5>5<5s4?n97?;8:?6a3<60=1v8k8:18183b=3;?563:e682<1=z{8io6=4<{<7fi54>489>5fb=91>0q~;j8;296~;2m10:49525d5951>52z?6a<<60=169h9515;8yv3bi3:1>v3:e`82<1=:=l=1=8:4}r7ff?6=:r7>io4>859>1`1=952z?6aa<60=169h951718yv4a03:1>v3=f08202=::o31=5:4}r0e7?6=:r79j44>469>6c5=91>0q~859~w7`22909w0;5n<0:495rs3d5>5<5s48m:7?74:?1b0<6<11v?h8:18184a?3;3863=f4820<=z{:::6=4<{<0ee?73?278<>4>489>754=91>0q~52z?046<60=16?=<51558yv4aj3:1>v3<038`2>;5nk0:495rs3dg>5<5s48mo7?;8:?1ba<60=1v?hj:18184ak3;?563=fd82<1=z{;lm6=4={<0eb?7?<279jh4>499~w6672909w0=?0;3;0>;5nl0:845rs2`f>5<5s49i;7?;7:?0fc<60=1v>l7:18185en3;?;63859~w6df2909w0=m8;37=>;4jh0:495rs2`a>5<5s49in7?74:?0fd<6<11v>ll:18185ek3;3863559~w7ca2909w0;5n90:495rs3g`>5<5s48m<7?;7:?1af<60=1v?km:18184a83i=70{t:ln1<742?348nh7?74:p6`c=838p1?kl:06:?84bm3;386s|31`94?5|5::?6<:8;<13`?731278859~w6612909w0=?d;37<>;48?0:495rs22g>5<5s49;h7?74:?04f<6<>1v>>::181857k3i=70=?5;3;0>{t;9=1<742?349;;7?74:p75>=838p1>>9:06:?85703;386s|31;94?4|5::26<6;;<13{t;j31<7420349hm7?74:p7f7=838p1>mn:064?85d93;386s|3b094?4|5:i:6<:7;<1`6?7?<2wx?n=50;0x96e628>270=l3;3;0>{t;j>1<74>3349h?7?;8:p7f3=838p1>m::0:7?85d;3;?56s|3b494?4|5:i=6<6;;<1`7?72<2wx?n950;0x96e0282?70=l3;36b>{t;j21<74>3349h?7?92:p7a4=839p1>mm:064?85c<3;?563859~w6b32909w0=k4;3;0>;4l:0:8:5rs2ag>5<5s49ho7?;8:?0ga<60=1v>mj:18185dk3;?563499~w6b72909w0=k0;3;0>;4kl0:845rs2f2>5<5s49o=7?74:?0g`<6==1v>jk:18085c=3;?;63499>7a0=91>0q~=kf;296~;4lo0:49523eg951152z?0`3<6<116?i951968yv5c03:1>v3859>7a>=9=20q~=ka;296~;4lh0:49523e:951?52z?0`g<60=16?i651468yv5ck3:1>v3469>6fc=9=301?mk:0:7?xu5kk0;6?u22b:9511<5;ii6<6;;|q1g=<72:q6>n651968945?28>370?<8;37=>{t:j?1<742?348h97?74:p6fc=838p1?mj:0:7?84dl3;?;6s|2b494?4|5;i>6<:7;<0`2?7?<2wx>n950;0x97e228>270{t:j31<74>3348hn7?;8:p6fg=838p1?mn:0:7?84dj3;?56s|48a94?4|5=336<:8;<6:`?7?<2wx84750;0x91?c28><70:69;3;0>{t<0k1<742?34>2m7?74:p0j3;386s|2e794?4|5;im6<:8;<0g2?7?<2wx>i>50;0x97b128><70{t:m;1<742?348o=7?74:p6a4=838p1?j?:06:?84c:3;386s|2e194?4|5;n86<6;;<0g6?7302wx>i:50;0x97b3282?70{t=9;1<742034?;>7?74:p0c1=838p18>=:064?82a?3;386s|4g:94?4|5=l<6<:7;<6e270:i9;3;0>{t4>334>m57?;8:p0cd=838p19hm:0:7?82a13;?56s|10`94?5|5=lh6<:7;<6eg?73127:=o4>859~w1`d2909w0:ic;3;0>;3n00:995rs002>5<4s4>mh7?;8:?7ba<6<016=??51968yv2al3:1>v3;fe82<1=:859>0c?=9?80q~:if;296~;3no0:49524g;953552z?645<60=168k751768yv3b:3:1>v3:dd8202=:=l91=5:4}r7gb?6=:r7>i>4>469>1a`=91>0q~;j0;296~;2lo0:85525d295=252z?6`c<6<0169h?51968yv03>3:1>v39438202=:>==1=5:4}r477?6=:r7=8:4>469>215=91>0q~8;4;296~;1<:0:855265695=252z?506<6<016:9;51968yv2703:1?v34>33ty8jk4?:3y>05g=9=201>hi:0:7?xu38h0;6?u241c95=2<5=:26<:8;|q745<72;q6?kh515:89167282?7p};0083>7}:;ol1=974=522>4>33ty?9n4?:2y>054=9=2019>=:06:?822k3;386s|41094?4|5=:96<6;;<635?7302wx8==50;0x9164282?70:?1;37=>{t<9>1<74>334>;=7?:4:p053=838p19>::0:7?82793;>j6s|41494?4|5=:=6<6;;<635?71:2wx8=950;0x9160282?70:?1;357>{t<9l1<742034>:<7?74:p05e=838p19??:064?827k3;386s|41f94?4|5=:h6<:7;<63`?7?<2wx8=k50;0x916d28>270:?e;3;0>{t42?34>;i7?;9:?7e`<60=1v;:l:18180303;?;6394e82<1=z{?>26=4={<47`?73?27=844>859~w32f2909w08;9;37<>;15<5s4:4>469>67e=91>0q~<=8;296~;5:j0:8:5223:95=252z?16=<6<116>?751968yv45i3:1>v3=29820<=::;k1=5:4}r11e?6=:r78>94>469>77d=91>0q~==4;290~;4:=0:495233;9502<58=>6<:7;<341?7312wx??;50;0x964e28><70==5;3;0>{t;;<1<742?3499:7?74:p771=838p1><::06:?855?3;386s|33:94?4|5:836<6;;<113?7302wx??750;0x964>282?70==7;37=>{t;l>1<7420349n97?74:p7`7=838p1>k::064?85b93;386s|3d094?4|5:o:6<:7;<1f6?7?<2wx?h=50;0x96c628>270=j3;3;0>{t;lk1<7420349nn7?74:p7`1=838p1>km:064?85b?3;386s|3d:94?4|5:o<6<:7;<1f270=j9;3;0>{t;oi1<7420349mh7?74:p7c5=838p1>hk:064?85a;3;386s|3g694?4|5:l86<:7;<1e0?7?<2wx?k;50;0x96`428>270=i5;3;0>{t=:31<7=t=2d5>42?349m:7?;9:?67<<60=1v>h9:18185a>3;3863489~w6`?2909w0=i8;3;0>;4n<0:995rs2d:>5<5s49m57?74:?0b0<6=o1v>hn:18185ai3;3863629~w7ba2909w0;5m90:495rs3f:>5<5s48n<7?;7:?1`<<60=1v?j7:18184b83i=70{t:mk1<742?348om7?74:p6ad=838p1?j6:06:?84cj3;386s|2ea94?4|5;nh6<6;;<0gf?7302wx>ij50;0x97bc282?70{t:mo1<74>3348on7?:4:p6`>=838p1?k>:064?84b13;386s|2d394?5|5;o:6<6;;<463?73027=9:4>489~w7c42909w0;5m:0:495rs3g1>5<5s48n57m9;<0f6?7?<2wx>h:50;0x97c428>370{t:l?1<742>348n97?74:p6`0=838p1?k9:0:7?84b=3;?46s|2d594?4|5;o<6<6;;<0f1?7312wx?9;50;0x962628><70=;6;3;0>{t;=81<7420349?>7?74:p715=838p1>:=:06;?853;3;386s|35694?4|5:>96<:6;<170?7?<2wx?5:50;0x961b28><70=75;3;0>{t;>o1<74>334?847?;8:p72`=838p1>6::064?850n3;386s|39294?4|5:=m6<:7;<1;4?7?<2wx?5?50;0x961a28>270=71;3;0>{t;181<74>33493=7?;8:p7=5=838p1>6<:0:7?85?93;?56s|39c94?4|5:2=6<:8;<1;f?7?<2wx?5950;0x96>e28><70=77;3;0>{t;121<742?349347?74:p7=?=838p1>68:06:?85?13;386s|38294?4|5:2h6<:8;<1:5?7?<2wx?5j50;0x96?628><70=7d;3;0>{t;1o1<742?3493i7?74:p7=`=838p1>6k:06:?85?n3;386s|38f94?4|5:326<:8;<1:a?7?<2wx?4o50;0x96?b28><70=6a;3;0>{t;0h1<742?3492n7?74:p77n:06:?85>k3;386s|3`194?4|5:3m6<:8;<1b0?7?<2wx?l>50;0x96g328><70=n0;3;0>{t;h;1<742?349j=7?74:p7d4=838p1>o?:06:?85f:3;386s|3``94?4|5:k>6<:8;<1bg?7?<2wx?l;50;0x96g2282?70;9e;37=>{t;h<1<7420349j:7?74:p7d1=838p1>o9:06;?85f?3;386s|3`:94?4|5:k=6<:6;<1b282?70=n8;37<>{t;hk1<74>3349j47?;9:p7c6=838p1>kl:064?85a93;386s|3df94?4|5:l:6<:8;<1f`?7?<2wx?hk50;0x96cc28>370=je;3;0>{t;ll1<742>349nj7?74:p064=838p19>50;0x914a28>370:<0;3;0>{t<:;1<742>34>8=7?74:p06>=838p19=;:064?82413;386s|42794?4|5=926<:8;<601?7?<2wx8>850;0x915228>370:<6;3;0>{t<:=1<742>34>8;7?74:p06c=838p19=n:064?824n3;386s|42`94?4|5=9m6<:8;<60f?7?<2wx8>m50;0x915e28>370:{t<:n1<742>34>8h7?74:p012=838p19:?:064?823=3;386s|45394?4|5=>>6<:8;<675?7?<2wx89<50;0x912628>370:;2;3;0>{t<=91<742>34>??7?74:p000=838p19;=:064?822?3;386s|44194?4|5=?<6<:8;<667?7?<2wx88:50;0x913428>370::4;3;0>{t<42>34>>97?74:p0=b=838p1966:064?82?m3;386s|49;94?4|5=226<6;;<70b28><70:7a;3;0>{t<1h1<742?34>3n7?74:p0=e=838p196n:06:?82?k3;386s|48494?4|5=3;6<:8;<6:3?7?<2wx84>50;0x91?7282?70;;3;37=>{t<0?1<742034>297?74:p0<7=838p1978:064?82>93;386s|48094?4|5=3:6<:7;<6:6?7?<2wx84=50;0x91?628>270:63;3;0>{t42034>im7?74:p0d`=838p19ln:064?82fn3;386s|4c294?4|5=km6<:7;<6a4?7?<2wx8o?50;0x91ga28>270:m1;3;0>{t4>334>i=7?;8:p57>=839p19l<:06;?82e;3;?563>2982<1=z{=h86=4={<6a7?7?<27?n<4>489~w1d32909w0:m4;3;0>;3j80:995rs5`6>5<5s4>i97?74:?7f4<6=o1v9l9:18182e>3;3863;b08227=z{=h<6=4={<6a3?7?<27?n<4>629~w1d?2909w0:m8;3;0>;3j80::95rs4d`>5<5s4?m<7?;7:?6ba<60=1v8hm:18183a03;?;63:fc82<1=z{499>542=9=30q~;i1;296~;2nm0:8:525g395=27>52z?6b4<6<1169k<51968yv3a;3:1>v3:f0820<=:=o91=5:4}r33f?6=;r7>j94>499>1c2=9=301<>m:0:7?xu2n=0;6?u25g695=2<527p}:f783>7}:=o<1=5:4=4d0>4333ty>j:4?:3y>1c1=91>018h<:07e?xu2n00;6?u25g;95=2<527p}94183>7}:>:i1=994=762>4>33ty=?n4?:2y>26e=91>018o::06;?83f=3;?56s|62f94?4|5?>:6<:8;<40`?7?<2wx:>k50;0x935c28>3708{t>:l1<742>34<8j7?74:p20>=838p1;;;:064?80213;386s|64794?4|5??26<:8;<461?7?<2wx:8850;0x933228>3708:6;3;0>{t><=1<742>34<>;7?74:p5dd=838p1370?n7;3;0>{t9h21<742>34;j47?74:p5d?=838p1<70?m2;3;0>{t9hn1<742034;jh7?74:p5dc=838p150;0x94d7282?70?nf;37<>{t9k;1<74>334;jj7?;9:p5gd=838p1282?70?j4;37<>;6m=0:845rs0`7>5<5s4;in7?;7:?2f1<60=1vb482<1=z{8h=6=4={<3a0?73127:n;4>859~w4d02909w0?m7;3;0>;6j?0:855rs0`;>5<5s4;i47?74:?2f3<6<01vc782<1=z{8i>6=4={<3`6?73?27:o84>859~w4e52908w0?l2;3;0>;6l>0:85521e5951?52z?2g3<6<>16=oj51968yv7em3:1>v3>be820==:9ko1=5:4}r3ab?6=:r7:ni4>489>5g`=91>0q~?l0;296~;6k90:49521cd951>52z?2g4<60=16=oh515;8yv7d;3:1>v3>c282<1=:9j?1=964}r3`0?6=:r7:o94>859>5f3=9=30q~?k3;296~;6k>0:8:521e195=27>52z?2ga<6<>16=i<51968yv7d03:1>v3>d28202=:9j21=5:4}r3`=?6=:r7:o54>499>5f?=91>0q~?la;296~;6k10:84521bc95=252z?2gg<60=16=no515:8yv7dk3:1>v3>cb82<1=:9jk1=974}r3`a?6=:r7:oh4>859>5a4=9=20q~?lf;296~;6ko0:49521e0951?52z?2`5<60=16=i<51468yv7c93:1>v3>d082<1=:9m81=8h4}r3gb?6=:r7:h94>469>5a`=91>0q~?ke;296~;6l10:8:521eg95=252z?2`c<6<>16=i;51968yv7c>3:1>v3>d4820==:9m<1=5:4}r3g3?6=:r7:h84>489>5a1=91>0q~?k9;296~;6l00:49521eg951>52z?2`d<60=16=ik515;8yv7cj3:1>v3>dc82<1=:9mo1=8:4}r3gg?6=:r7:hn4>859>5ac=952z?2a5<6<>16=hm51968yv7bj3:1>v3>e78202=:9lh1=5:4}r3f2?6=;r7:i;4>859>55e=9=201<>l:06:?xu6m80;6?u21da9511<58o:6<6;;|q2a7<72;q6=h?515:894c5282?7p}>e283>7}:9l;1=974=0g0>4>33ty:i94?:3y>5`2=91>0137p}>e983>7}:9l21=5:4=0ga>42>3ty:i44?:3y>5`?=91>01ed83>7}:9o91=994=0gf>4>33ty:ik4?:3y>5`c=9=20137p}>f383>7}:9o81=5:4=0d3>42>3ty:jk4?:3y>5c2=9==016<6;;|q2b3<72;q6=k;515:894`1282?7p}>f683>7}:9o?1=974=0d4>4>33ty:j54?:3y>5c>=91>01f8820==:9o31=974=`c95=252z?2b<<60=16=k9515;8yv7ai3:1>v3>f`82<1=:9o=1=8:4}r3ef?6=:r7:jo4>859>5c1=952z?2ba<60=16=k951718yv7am3:1>v3>fd82<1=:9o=1=;:4}r032?6=:r79<=4>469>650=91>0q~7>52z?144<6<116>=<51968yv47;3:1>v3=00820<=::991=5:4}r030?6=:r79<94>859>655=9=20q~52z?62g<6<>169;h51968yv31k3:1>v3:6g8202=:=?i1=5:4}r75`?6=:r7>:n4>499>13b=91>0q~;9e;296~;2>j0:845257g95=252z?63d<6<>1695=51968yv3?:3:1>v3:7d8202=:=181=5:4}r74f?6=:r7>4>4>469>12d=91>0q~;8c;296~;2?k0:855256a95=252z?63g<6<0169:j51968yv30n3:1>v3:7g82<1=:=181=964}r7;4?6=:r7>4=4>859>1=4=9=30q~;71;296~;2080:4952590950252z?6fa<6<>169n851968yv3d=3:1>v3:c08202=:=j?1=5:4}r7`5?6=;r7>o<4>859>ba<6<116ji4>489~w0db2909w0;l6;373>;2jl0:495rs4`e>5<5s4?ii7?;8:?6fc<60=1v8m?:18183em3;?563:c182<1=z{o84>499~w0e42909w0;l3;3;0>;2k<0:845rs4a7>5<5s4?h87?74:?6g0<6==1v8j;:18183c83;?;63:d582<1=z{h<4>859~w0b52909w0;k1;37<>;2l;0:495rs4f0>5<5s4?o=7?;9:?6`6<60=1v98=:18182203;?;63;6282<1=z{=<:6=4={<66g?73?27?:<4>859~w13>2909w0:93;373>;3=00:495rs57b>5<5s4>>57?;8:?71d<60=1v9;m:18182213;?563;5c82<1=z{=?o6=4={<66`?7?<27?:<4>499~w13b2909w0::e;3;0>;3>80:845rs57e>5<5s4>>j7?74:?724<6==1v98?:18182183;3863;60821c=z{<9i6=4={<701?73?27>?o4>859~w05f2909w0;<9;373>;2;h0:495rs415>5<5s4?8n7?;7:?673<60=1v8=8:181834>3;?463:3682<1=z{<936=4={<702?73127>?54>859~w00?2909w0;92;373>;2>10:495rs440>5<5s4?=47?;7:?626<60=1v88;:181831;3;?463:6582<1=z{<<>6=4={<757?73127>:84>859~w0012909w0;96;3;0>;2><0:855rs444>5<5s4?=;7?74:?620<6<01v8on:18183f93;?;63:a`82<1=z{m44>859~w0g?2908w0;n8;3;0>;2000:855259;951?7>52z?6ed<6<>169l<51968yv3f;3:1>v3:a3820==:=h91=5:4}r7b0?6=:r7>m?4>489>1d2=91>0q~;n5;296~;2i<0:49525`6951>52z?6e3<60=169l:515;8yv3f?3:1>v3:a682<1=:=h>1=8:4}r46a?6=:r7=9l4>469>20`=91>0q~8:b;296~;1=o0:8:5264`95=2o7>52z?51g<6<116:8m51968yv02l3:1>v395c820<=:>469>522=91>0q~?80;296~;6>l0:8:5216295=252z?235<6<>16=:;51968yv7093:1>v3>758202=:9>;1=5:4}r346?6=:r7:;<4>499>524=91>0q~?83;296~;6?80:845216195=242034k96<6;;|qb5?6=:r72o7?;7:?b5?7?<2wx544?:3y>e7<6<>16544>859~w0q~7m:1818?>28>2707m:0:7?xu>l3:1>v36d;3;0>;f93;?46s|9d83>7}:1l0:4952a0820<=z{0l1<75<5s4k;6<6;;43a3tyjo7>52z?b2?73?27jo7?74:peg<72;q6ml4>469>eg<60=1vl950;0x9de=9==01l951968yvg?2909w0o8:06;?8g?282?7p}n9;296~;f?3;?563n9;3;0>{t==>1<7=t=41f>42034>3j7?;7:?600<60=1v8=i:181833=3;?;63:3g82<1=z{<>;6=4={<70b?73027>8=4>859~w0262909w0;;2<80:495rs461>5<5s4??>7?74:?604<6<11v8:<:181833;3;3863:40820<=z{<3:6=4={<7;2?73?27>5<4>859~w0?72909w0;7a;373>;2190:495rs4:4>5<5s4?2=7?;7:?6<2<60=1v867:18183??3;?463:8982<1=z{<226=4={<7;3?73127>444>859~w0>e2909w0;7b;3;0>;2190:855rs4:`>5<5s4?3o7?74:?6=5<6<01v86k:18183?l3;3863:918211=z{<2n6=4={<7;a?7?<27>5=4>5g9~w0>a2909w0;7f;3;0>;2190::?5rs4;f>5<5s4?287?;7:?6=`<60=1v87k:18183>03;?;63:9e82<1=z{<3>6=4={<7:a?73?27>584>859~w0?12909w0;65;37<>;21?0:495rs4;4>5<5s4?297?;9:?6=2<60=1v876:18183>13;3863:9e820==z{<3j6=4={<7:e?7?<27>5i4>489~w0?e2909w0;6b;3;0>;21m0:995rs4;`>5<5s4?2o7?74:?6=a<6=o1v8ln:18183fn3;?;63:b`82<1=z{n44>859~w0d72909w0;ma;373>;2j90:495rs4`2>5<5s4?i<7?;8:?6f4<60=1v8l=:18183e83;?563:b382<1=z{n44>499~w0d22909w0;m5;3;0>;2j00:845rs4`5>5<5s4?i:7?74:?6f<<6==1v8l8:18183e?3;3863:b8821c=z{n44>639~w4>72909w0?8c;373>;6090:495rs05g>5<5s4;3<7?;7:?23a<60=1v<9j:181870l3;?463>7d82<1=z{8=m6=4={<34`?73127:;k4>859~w41e2909w0?87;373>;6?k0:495rs05;>5<5s4;7882<1=z{8=j6=4={<34859~wa0=838p1nh515589a0=91>0q~??4;297~;c83;?463k0;37=>;68=0:495rse294?4|5m:1=5:4=e4951>4>334n=6<:6;|qg6?6=:r7o>7?74:?g2?72<2wxh>4?:3y>`6<60=16h;4>5g9~wa2=838p1i:519689a0=9?80q~j::1818b2282?70j9:040?xucl3:1>v3k7;373>;cl3;386s|d983>7}:l10:4952de820==z{m31<75<5s4nj6<6;;4333tyon7>52z?gf?7?<27oh7?:f:p`f<72;q6hn4>859>`a<6>;1vh;50;0x9ac=9==01h;51968yvba2909w0ji:0:7?8c228>37p}j0;296~;b83;3863j5;37=>{tm80;6?u2e082<1=:m<0:995rsd094?4|5l81=5:4=d7950`4>334o>6<8=;|qf0?6=:r7n87?74:?f1?71;2wxii4?:3y>a3<6<>16ii4>859~w`1=838p1h9519689`b=9=20q~k7:1818c?282?70kk:06:?xub13:1>v3j9;3;0>;bl3;>86s|e`83>7}:mh0:4952ee821c=z{lh1<75<5s4oh6<6;;4043tym87>52z?fa?73?27m87?74:pac<72;q6ik4>859>b1<6<11vk>50;0x9c6=91>01k:515;8yv`62909w0h>:0:7?8`328??7p}i2;296~;a:3;3863i4;36b>{tn:0;6?u2f282<1=:n=0::?5rsg`94?4|5o?1=994=g`95=24>334li6<:7;|qe3?6=:r7m;7?74:?ef?7312wxj54?:3y>b=<60=16jo4>559~wc?=838p1k7519689cd=95<5s4lo6<6;;<337?7302wxjh4?:3y>b`<60=16===515;8yv`a2909w0hi:0:7?877;3;>86s|11294?4|58:;6<6;;<337?72n2wx==?50;0x9466282?70??3;356>{t9981<74>334;;?7?93:p55g=838p1<>;:064?877i3;386s|11794?4|58:>6<6;;<33e?7302wx==850;0x9461282?70??a;37=>{t99=1<74>334;;m7?:4:p55>=838p1<>7:0:7?877i3;>j6s|11;94?4|58:26<6;;<33e?71:2wx=<<50;0x946e28><70?>2;3;0>{t99i1<74>334;:>7?;8:p55b=838p1<>k:0:7?876:3;?56s|11g94?4|58:n6<6;;<326?72<2wx==h50;0x946a282?70?>2;36b>{t98:1<74>334;:>7?92:p547=838p1:0:7?876:3;=?6s|10c94?4|58;86<:8;<32e?7?<2wx=<:50;0x9473282?70?>a;37<>{t98?1<74>334;:m7?;9:p540=838p186s|10594?4|58;<6<6;;<32e?72n2wx=<650;0x947?282?70?>a;356>{t9831<74>334;:m7?93:p576=838p1{t98o1<74>334;9<7?:4:p54`=838p1j6s|13594?4|588:6<:8;<313?7?<2wx=?<50;0x9445282?70?=7;37<>{t9;91<74>334;9;7?;9:p572=838p1<<;:0:7?875?3;>86s|13794?4|588>6<6;;<313?72n2wx=?850;0x9441282?70?=7;356>{t9;l1<742034;9j7?74:p57?=838p1<<6:0:7?875n3;?46s|13c94?4|588j6<6;;<31b?7312wx=?l50;0x944e282?70?=f;360>{t9;i1<74>334;9j7?:f:p57b=838p1<6s|13g94?4|588n6<6;;<31b?71;2wx=>850;0x945728><70?<6;3;0>{t9:;1<74>334;8:7?;8:p564=838p1<==:0:7?874>3;?56s|12194?4|58986<6;;<302?72<2wx=>:50;0x9453282?70?<6;36b>{t9:?1<74>334;8:7?92:p56c=838p1<=8:064?874m3;386s|12:94?4|58936<6;;<30a?7302wx=>750;0x945>282?70?{t9:k1<74>334;8i7?:4:p56d=838p1<=m:0:7?874m3;>j6s|12a94?4|589h6<6;;<30a?71:2wx=>j50;0x945c282?70?{zf?2257>51zm2=?f290:wp`988`94?7|ug<35n4?:0y~j3>>l3:1=vsa69;f>5<6std=44h50;3xyk0?i90;61k=6=4>{|l54}zf?2j57>51zm2=gf290:wp`98``94?7|ug<3mn4?:0y~j3>fl3:1=vsa69cf>5<6std=4lh50;3xyk0?j90;61h=6=4>{|l54}zf?2i57>51zm2=df290:wp`98c`94?7|ug<3nn4?:0y~j3>el3:1=vsa69`f>5<6std=4oh50;3xyk0?k90;61i=6=4>{|l54}zf?2h57>51zm2=ef290:wp`98b`94?7|ug<3on4?:0y~j3>dl3:1=vsa69af>5<6std=4nh50;3xyk0?l90;61n=6=4>{|l54}zf?2o57>51zm2=bf290:wp`98e`94?7|ug<3hn4?:0y~j3>cl3:1=vsa69ff>5<6std=4ih50;3xyk0?m90;61o=6=4>{|l5<`1=83;pqc87e983>4}zf?2n57>51zm2=cf290:wp`98d`94?7|ug<3in4?:0y~j3>bl3:1=vsa69gf>5<6std=4hh50;3xyk0?n90;61l=6=4>{|l54}zf?2m57>51zm2=`f290:wp`98g`94?7|ug<3jn4?:0y~j3>al3:1=vsa69df>5<6std=4kh50;3xyk0>890;6=:182xh11991<7?t}o4:41<728qvb;7?5;295~{i>0:=6=4>{|l5=51=83;pqc860983>4}zf?3;57>51zm2<6f290:wp`991`94?7|ug<25<6std=5=h50;3xyk0>990;65;295~{i>0;=6=4>{|l5=41=83;pqc861983>4}zf?3:57>51zm2<7f290:wp`990`94?7|ug<2=n4?:0y~j3?6l3:1=vsa683f>5<6std=5:90;608=6=4>{|l5=71=83;pqc862983>4}zf?3957>51zm2<4f290:wp`993`94?7|ug<2>n4?:0y~j3?5l3:1=vsa680f>5<6std=5?h50;3xyk0>;90;609=6=4>{|l5=61=83;pqc863983>4}zf?3857>51zm2<5f290:wp`992`94?7|ug<2?n4?:0y~j3?4l3:1=vsa681f>5<6std=5>h50;3xyk0><90;60>=6=4>{|l5=11=83;pqc864983>4}zf?3?57>51zm2<2f290:wp`995`94?7|ug<28n4?:0y~j3?3l3:1=vsa686f>5<6std=59h50;3xyk0>=90;60?=6=4>{|l5=01=83;pqc865983>4}zf?3>57>51zm2<3f290:wp`994`94?7|ug<29n4?:0y~j3?2l3:1=vsa687f>5<6std=58h50;3xyk0>>90;60<=6=4>{|l5=31=83;pqc866983>4}zf?3=57>51zm2<0f290:wp`997`94?7|ug<2:n4?:0y~j3?1l3:1=vsa684f>5<6std=5;h50;3xyk0>?90;691<7?t}o4:31<728qvb;785;295~{i>0==6=4>{|l5=21=83;pqc867983>4}zf?3<57>51zm2<1f290:wp`996`94?7|ug<2;n4?:0y~j3?0l3:1=vsa685f>5<6std=5:h50;3xyk0>090;602=6=4>{|l5==1=83;pqc868983>4}zf?3357>51zm2<>f290:wp`999`94?7|ug<24n4?:0y~j3??l3:1=vsa68:f>5<6std=55h50;3xyk0>190;603=6=4>{|l5=<1=83;pqc869983>4}zf?3257>51zm2l3:1=vsa68;f>5<6std=54h50;3xyk0>i90;60k=6=4>{|l5=d1=83;pqc86a983>4}zf?3j57>51zm25<6std=5lh50;3xyk0>j90;60h=6=4>{|l5=g1=83;pqc86b983>4}zf?3i57>51zm25<6std=5oh50;3xyk0>k90;60i=6=4>{|l5=f1=83;pqc86c983>4}zf?3h57>51zm25<6std=5nh50;3xyk0>l90;60n=6=4>{|l5=a1=83;pqc86d983>4}zf?3o57>51zm25<6std=5ih50;3xyk0>m90;60o=6=4>{|l5=`1=83;pqc86e983>4}zf?3n57>51zm25<6std=5hh50;3xyk0>n90;60l=6=4>{|l5=c1=83;pqc86f983>4}zf?3m57>51zm2<`f290:wp`99g`94?7|ug<2jn4?:0y~j3?al3:1=vsa68df>5<6std=5kh50;3xyk0f890;6=:182xh1i991<7?t}o4b41<728qvb;o?5;295~{i>h:=6=4>{|l5e51=83;pqc8n0983>4}zf?k;57>51zm2d6f290:wp`9a1`94?7|ug5<6std=m=h50;3xyk0f990;65;295~{i>h;=6=4>{|l5e41=83;pqc8n1983>4}zf?k:57>51zm2d7f290:wp`9a0`94?7|ug5<6std=mh8=6=4>{|l5e71=83;pqc8n2983>4}zf?k957>51zm2d4f290:wp`9a3`94?7|ugn4?:0y~j3g5l3:1=vsa6`0f>5<6std=m?h50;3xyk0f;90;6h9=6=4>{|l5e61=83;pqc8n3983>4}zf?k857>51zm2d5f290:wp`9a2`94?7|ug5<6std=m>h50;3xyk0f<90;6h>=6=4>{|l5e11=83;pqc8n4983>4}zf?k?57>51zm2d2f290:wp`9a5`94?7|ug5<6std=m9h50;3xyk0f=90;6h?=6=4>{|l5e01=83;pqc8n5983>4}zf?k>57>51zm2d3f290:wp`9a4`94?7|ug5<6std=m8h50;3xyk0f>90;6h<=6=4>{|l5e31=83;pqc8n6983>4}zf?k=57>51zm2d0f290:wp`9a7`94?7|ug5<6std=m;h50;3xyk0f?90;691<7?t}o4b31<728qvb;o85;295~{i>h==6=4>{|l5e21=83;pqc8n7983>4}zf?k<57>51zm2d1f290:wp`9a6`94?7|ug5<6std=m:h50;3xyk0f090;6h2=6=4>{|l5e=1=83;pqc8n8983>4}zf?k357>51zm2d>f290:wp`9a9`94?7|ug5<6std=m5h50;3xyk0f190;6h3=6=4>{|l5e<1=83;pqc8n9983>4}zf?k257>51zm2d?f290:wp`9a8`94?7|ugl3:1=vsa6`;f>5<6std=m4h50;3xyk0fi90;6hk=6=4>{|l5ed1=83;pqc8na983>4}zf?kj57>51zm2dgf290:wp`9a``94?7|ug5<6std=mlh50;3xyk0fj90;6hh=6=4>{|l5eg1=83;pqc8nb983>4}zf?ki57>51zm2ddf290:wp`9ac`94?7|ug5<6std=moh50;3xyk0fk90;6hi=6=4>{|l5ef1=83;pqc8nc983>4}zf?kh57>51zm2def290:wp`9ab`94?7|ug5<6std=mnh50;3xyk0fl90;6hn=6=4>{|l5ea1=83;pqc8nd983>4}zf?ko57>51zm2dbf290:wp`9ae`94?7|ug5<6std=mih50;3xyk0fm90;6ho=6=4>{|l5e`1=83;pqc8ne983>4}zf?kn57>51zm2dcf290:wp`9ad`94?7|ug5<6std=mhh50;3xyk0fn90;6hl=6=4>{|l5ec1=83;pqc8nf983>4}zf?km57>51zm2d`f290:wp`9ag`94?7|ug5<6std=mkh50;3xyk0e890;6=:182xh1j991<7?t}o4a41<728qvb;l?5;295~{i>k:=6=4>{|l5f51=83;pqc8m0983>4}zf?h;57>51zm2g6f290:wp`9b1`94?7|ug5<6std=n=h50;3xyk0e990;65;295~{i>k;=6=4>{|l5f41=83;pqc8m1983>4}zf?h:57>51zm2g7f290:wp`9b0`94?7|ug5<6std=nk8=6=4>{|l5f71=83;pqc8m2983>4}zf?h957>51zm2g4f290:wp`9b3`94?7|ugn4?:0y~j3d5l3:1=vsa6c0f>5<6std=n?h50;3xyk0e;90;6k9=6=4>{|l5f61=83;pqc8m3983>4}zf?h857>51zm2g5f290:wp`9b2`94?7|ug5<6std=n>h50;3xyk0e<90;6k>=6=4>{|l5f11=83;pqc8m4983>4}zf?h?57>51zm2g2f290:wp`9b5`94?7|ug5<6std=n9h50;3xyk0e=90;6k?=6=4>{|l5f01=83;pqc8m5983>4}zf?h>57>51zm2g3f290:wp`9b4`94?7|ug5<6std=n8h50;3xyk0e>90;6k<=6=4>{|l5f31=83;pqc8m6983>4}zf?h=57>51zm2g0f290:wp`9b7`94?7|ug5<6std=n;h50;3xyk0e?90;691<7?t}o4a31<728qvb;l85;295~{i>k==6=4>{|l5f21=83;pqc8m7983>4}zf?h<57>51zm2g1f290:wp`9b6`94?7|ug5<6std=n:h50;3xyk0e090;6k2=6=4>{|l5f=1=83;pqc8m8983>4}zf?h357>51zm2g>f290:wp`9b9`94?7|ug5<6std=n5h50;3xyk0e190;6k3=6=4>{|l5f<1=83;pqc8m9983>4}zf?h257>51zm2g?f290:wp`9b8`94?7|ugl3:1=vsa6c;f>5<6std=n4h50;3xyk0ei90;6kk=6=4>{|l5fd1=83;pqc8ma983>4}zf?hj57>51zm2ggf290:wp`9b``94?7|ug5<6std=nlh50;3xyk0ej90;6kh=6=4>{|l5fg1=83;pqc8mb983>4}zf?hi57>51zm2gdf290:wp`9bc`94?7|ug5<6std=noh50;3xyk0ek90;6ki=6=4>{|l5ff1=83;pqc8mc983>4}zf?hh57>51zm2gef290:wp`9bb`94?7|ug5<6std=nnh50;3xyk0el90;6kn=6=4>{|l5fa1=83;pqc8md983>4}zf?ho57>51zm2gbf290:wp`9be`94?7|ug5<6std=nih50;3xyk0em90;6ko=6=4>{|l5f`1=83;pqc8me983>4}zf?hn57>51zm2gcf290:wp`9bd`94?7|ug5<6std=nhh50;3xyk0en90;6kl=6=4>{|l5fc1=83;pqc8mf983>4}zf?hm57>51zm2g`f290:wp`9bg`94?7|ug5<6std=nkh50;3xyk0d890;6=:182xh1k991<7?t}o4`41<728qvb;m?5;295~{i>j:=6=4>{|l5g51=83;pqc8l0983>4}zf?i;57>51zm2f6f290:wp`9c1`94?7|ug5<6std=o=h50;3xyk0d990;65;295~{i>j;=6=4>{|l5g41=83;pqc8l1983>4}zf?i:57>51zm2f7f290:wp`9c0`94?7|ug5<6std=oj8=6=4>{|l5g71=83;pqc8l2983>4}zf?i957>51zm2f4f290:wp`9c3`94?7|ugn4?:0y~j3e5l3:1=vsa6b0f>5<6std=o?h50;3xyk0d;90;6j9=6=4>{|l5g61=83;pqc8l3983>4}zf?i857>51zm2f5f290:wp`9c2`94?7|ug5<6std=o>h50;3xyk0d<90;6j>=6=4>{|l5g11=83;pqc8l4983>4}zf?i?57>51zm2f2f290:wp`9c5`94?7|ug5<6std=o9h50;3xyk0d=90;6j?=6=4>{|l5g01=83;pqc8l5983>4}zf?i>57>51zm2f3f290:wp`9c4`94?7|ug5<6std=o8h50;3xyk0d>90;6j<=6=4>{|l5g31=83;pqc8l6983>4}zf?i=57>51zm2f0f290:wp`9c7`94?7|ug5<6std=o;h50;3xyk0d?90;691<7?t}o4`31<728qvb;m85;295~{i>j==6=4>{|l5g21=83;pqc8l7983>4}zf?i<57>51zm2f1f290:wp`9c6`94?7|ug5<6std=o:h50;3xyk0d090;6j2=6=4>{|l5g=1=83;pqc8l8983>4}zf?i357>51zm2f>f290:wp`9c9`94?7|ug5<6std=o5h50;3xyk0d190;6j3=6=4>{|l5g<1=83;pqc8l9983>4}zf?i257>51zm2f?f290:wp`9c8`94?7|ugl3:1=vsa6b;f>5<6std=o4h50;3xyk0di90;6jk=6=4>{|l5gd1=83;pqc8la983>4}zf?ij57>51zm2fgf290:wp`9c``94?7|ug5<6std=olh50;3xyk0dj90;6jh=6=4>{|l5gg1=83;pqc8lb983>4}zf?ii57>51zm2fdf290:wp`9cc`94?7|ug5<6std=ooh50;3xyk0dk90;6ji=6=4>{|l5gf1=83;pqc8lc983>4}zf?ih57>51zm2fef290:wp`9cb`94?7|ug5<6std=onh50;3xyk0dl90;6jn=6=4>{|l5ga1=83;pqc8ld983>4}zf?io57>51zm2fbf290:wp`9ce`94?7|ug5<6std=oih50;3xyk0dm90;6jo=6=4>{|l5g`1=83;pqc8le983>4}zf?in57>51zm2fcf290:wp`9cd`94?7|ug5<6std=ohh50;3xyk0dn90;6jl=6=4>{|l5gc1=83;pqc8lf983>4}zf?im57>51zm2f`f290:wp`9cg`94?7|ug5<6std=okh50;3xyk0c890;6=:182xh1l991<7?t}o4g41<728qvb;j?5;295~{i>m:=6=4>{|l5`51=83;pqc8k0983>4}zf?n;57>51zm2a6f290:wp`9d1`94?7|ug5<6std=h=h50;3xyk0c990;65;295~{i>m;=6=4>{|l5`41=83;pqc8k1983>4}zf?n:57>51zm2a7f290:wp`9d0`94?7|ug5<6std=hm8=6=4>{|l5`71=83;pqc8k2983>4}zf?n957>51zm2a4f290:wp`9d3`94?7|ugn4?:0y~j3b5l3:1=vsa6e0f>5<6std=h?h50;3xyk0c;90;6m9=6=4>{|l5`61=83;pqc8k3983>4}zf?n857>51zm2a5f290:wp`9d2`94?7|ug5<6std=h>h50;3xyk0c<90;6m>=6=4>{|l5`11=83;pqc8k4983>4}zf?n?57>51zm2a2f290:wp`9d5`94?7|ug5<6std=h9h50;3xyk0c=90;6m?=6=4>{|l5`01=83;pqc8k5983>4}zf?n>57>51zm2a3f290:wp`9d4`94?7|ug5<6std=h8h50;3xyk0c>90;6m<=6=4>{|l5`31=83;pqc8k6983>4}zf?n=57>51zm2a0f290:wp`9d7`94?7|ug5<6std=h;h50;3xyk0c?90;691<7?t}o4g31<728qvb;j85;295~{i>m==6=4>{|l5`21=83;pqc8k7983>4}zf?n<57>51zm2a1f290:wp`9d6`94?7|ug5<6std=h:h50;3xyk0c090;6m2=6=4>{|l5`=1=83;pqc8k8983>4}zf?n357>51zm2a>f290:wp`9d9`94?7|ug5<6std=h5h50;3xyk0c190;67AZTQWW>WG;980;2<:4228JJUSS2HUM_O2=3;3=b>5=AGZ^X7]X<383:1=FFM8?7L@K359BJA233HDO995NNE47?DHC?=1JBI66;@]EWG:68730MRH\B=32:<=FWOYI0<<19:C\BVD;9:427LQISC>20;?89B[CUE48<556OPFR@?528>3HUM_O2>8?;8EZ@TJ5;2255N_GQA848>3HUM_O2=0?;8EZ@TJ58:245N_GQA8749j2KTJ^L32282:<=FWOYI0?=18:C\BVD;:720MRH\B=1=<>GXNZH78364A^DPF93902KTJ^L36?:8EZ@TJ5=546OPFR@?<;>9^KAQCeGXNZHT>=QFBTDg?DYA[KU9=RGMUGf8EZ@TJV89SDLZFe9B[CUEW;9TEO[Ic:C\BVDX:VCIYKm4A^DPFZ5XAK_Mo6OPFR@\0ZOE]Oi0MRH\B^7\MGSAk2KTJ^LP6^KAQCeGXNZHT4RGMUGa8EZ@TJV3TEO[I4:@BGQ2EKC;?NB55LLJ06AK7d3JF@>8KA_N@VB<=DDB8SSDAMe:AOO7^XAFHTEO[I7:AOOZ@TJj1H@FQISC]JFP@03JF@SDAMc:AOOZOHJVCIYK=4CMP:?FIJE@^_II94CSGBP@B63M=0Hlzn<1<4?Agsi5;5;6Jfs``oac=Cazki`hQnne2345773Mcxmobj_`lg456798:0Hd}nbmg\ekb789:9==5Kircah`Yffm:;<==>0:FjwddkmVkeh=>?0538A0=BW@EIm6KPIN@\MGSA:2LO86HKCD38C6=@FM;0E?5F039J57=N:;1B??5F439J17=N>;1B;95FABQ7?LDRN01BBDZ\T@VF7>OI^=1BCN]9;HRO6w6b3@ZG>>Pnnv3457a3@ZG>>Pnnv34576>2C[@?|>7:NLCLEFDh1G\@QWOS0\57=I8;1E=?5A269MAQQHZB;0C95@BTD6?JDRNL90C_<<;NP07>IU<81[o6^!21305574WE>0\L\[a:RJJZDR[@NSn6^FN^@VWKGJM=1[me:;Qcqo4716:PB846902XJ0>49QE949=2XJ0>0:;SC?0;3285]A=4=1>TF4>4>7_O38?78VD:>6<1YM@M\9:PB[5YHJ\Lj7_OP11]LFP@f3[KT=TFW=UDNXH6;SC\1ZIE]O30^LQ9_N@VB<=UIV=TCO[I9:PB[=YHJ\L27_OP9^MAQC1?119QAVBhflUjbi>?01324>TB[MeeiRoad12347773[OXHb`j_`lg4567;<1YI^|?5:PFWw723[OX~?;4RNO@Wd=UmhnrSDAMS89Qadb~W[KF56\jfTpdakr13[oxyaz9;RAPMFU13ZE^^NK:;RU?4;3<[^6:2:5\W=094;3<[^69245\W^2\MGSA12Y\Sd:ZJHLH_%QNI,= > RVVF%6)9)KXODG;;YMQ63=_[]FBN:5WSU]DJA1Yffm:;<=?6;^cm`5678;n0ocz Rdd@jq:76m1hby!]egAmp979l2iex"\jfBlw878c3jd#_kiCov?7;b7:a=df}%YikMat=7=`>ei|&XnjN`{<7ei|&XnjN`{_604?fhs'[omOczPM`fg[Zgcl9:;:5lnu-QacEi|VGjhiQPaef3456XEqeyS_k|umv?6;403jd#_kiCov\IdbcWVkoh=>?0^O{kwYUmzgx1=1269`jq)UmoIexRCnde]\eab789:TAua}_Sgpqir;<78<7n`{/SgeGkrXEhnoSRokd1234ZKg{UYi~{ct=7=62=df}%YikMat^Ob`aYXimn;<=>PMymq[Wct}e~7:3<8;blw+WcaKg~TAljk_^cg`5678VGscQ]erwop919:h1hby!]egAmpZKflmUTmij?012\V`urd}U;Sca{0123577?_^cm`5678;80ocz Rdd@jqYJimnTSljk0123[Zgil9:;<<<>;blw+WcaKg~TAljk_^cg`5678VUjbi>?0001?fhs'[omOczPM`fg[Zgcl9:;?01]\ekb78989>6mat.PfbFhsWDkohRQnde2345YXign;<=<>209`jq)UmoIexRCnde]\eab789:TSl`k012067=df}%YikMat^Ob`aYXimn;<=>P_`lg45649;;0ocz Rdd@jqYJimnTSljk0123[Zgil9:;8?<4cov,V``Df}UFmijP_`fg4567WVkeh=>?4002?fhs'[omOczPM`fg[Zgcl9:;?0^]bja678<;::6mat.PfbFhsWVkoh=>?0=2=53=df}%YikMat^]b`a67896:2<84cov,V``Df}UTmij?012?6;713jd#_kiCov\[dbc89:;0>0>6:amp*TbnJdSRokd12349299?1hby!]egAmpZYflm:;<=2:>048gkr(ZllHbyQPaef3456;>7;=7n`{/SgeGkrXWhno<=>?<6?2058gkr(ZllOcckPclr\at67899:;6mat.PfbAiimVif|Rk~01230417:amp*TbnMeeiRmbp^gr4567>8=0ocz RddGkkcXkdzTi|>?01523>ei|&XnjIaae^antZcv89:;4<94cov,V``CggoTo`~Pep2345?6<2iex"\jfEmmaZhh|9:;=h5lnu-QacSuold?h5lnu-QacSuold8n5lnu-Wmhch}}K:86mat.Vji`ir|HUTc>?0136?fhs']cfib{{A^]lv56788;=7n`{/UknajssIVUd~=>?00221>ei|&^bahazt@]\kw67898:96mat.Vji`ir|HUTc>?01121>ei|&^bahazt@]\kw6789>:96mat.Vji`ir|HUTc>?01721>ei|&^bahazt@]\kw6789<:96mat.Vji`ir|HUTc>?01521>ei|&^bahazt@]\kw67892:96mat.Vji`ir|HUTc>?01;`?fhs']cfib{{Bg9`jq)SadodyyL_rd34?fhs']cfib{{BQpf[Ziu89:;=55lnu-Wmhch}}H[~hQPos23457602iex"ZfmdmvpGVumVUd~=>?0337?fhs']cfib{{B^]lv56788?0ocz ThofkprEWVey<=>?1078gkr(\`gncxzM_^mq4567:8?0ocz ThofkprEWVey<=>?369`w*OFKZ20o~!FABQ2<>et'@KH_?64cr-JEFU4?2ix#DALS99`w*OHKZ;37n} INAP6==d{&CDO^=7;bq,MJET<11h"G@CR7;?fu(AFIX:55ls.KLGV1?3jy$EBM\869`w*ICKZ20o~!@DBQ2<>et'FNH_?64cr-L@FU402ix#BJLS5:8gv)UIDIX56m|/SCNGV7>3jy$^LCLS3;8gv)UIDIX?45ls.PBIFU312ix#_OBCR7:?fu(ZHGH_;74cr-QEHET?01h"\NMBQ;<>et'[EFO^74cr-QKHET9h1h"\@MBQ24d=d{&XDAN]>1`9`w*THEJY:>l5ls.PLIFU6;h1h"\@MBQ20d=d{&XDAN]>589`w*THEJY956m|/SMNGV5>3jy$^BCLS5;8gv)UGDIX945ls.PLIFU112ix#_ABCR5:?fu(ZFGH_574cr-QKHET101h"]LSHAPe>et'ZIXEN]>a:ap+VETAJY9m6m|/RAPMFU4i2ix#^M\IBQ7e>et'ZIXEN]:119`w*Pnl[KFO^Y]65i25>et'_co^LCLSVP50n7692ix#[gkR@O@WRT11:ap+SocZHGH_Z\94j625>et'_co^LCLSVP50n3692ix#[gkR@O@WRT11:ap+SocZHGH_Z\94j:`?fu(fF|n~aov0068gv)iGoy`lw?_`lg45679<1h"`@vdpoe|6Xign;<=>>c:ap+kIqm{fju?1038bvd(IZxmSca{01225==a{k%IMNZ6;gqa+GGD\830j~l B@AW6==a{k%II_\n;gqa+GCUZ9x:>6h|b.@FVW6uWVey<=>?129ewg)EM[X;~RQ`r12344743oyi#OK]R1p\[jt789:956h|b.@FVW7f3oyi#OK]R0p26>`tj&HN^_?}_^mq45679:1mo!MESP2vZYhz9:;<`tj&HN^_<6;gqa+GCUZ:30j~l BDPQ0<=a{k%II_\:b:dpf*Tbims;~<=4fr`,V`gcq9xTSb|?01220>`tj&Xnmiw?r^]lv56788;?7k}m/Sgb`|6uWVey<=>?2068bvd(Zlkou=|P_np34564j2lxn"\jae{2v45?0037?cue'[ojht?}_^mq4567:8>0j~l Rdcg}4tXWfx;<=>`tj&Xnmiw=r^]lv56788;?7k}m/Sgb`|4uWVey<=>?2068bvd(Zlkou?|P_np34564991mo!]e`fz[jt789;:=6h|b.PfeaXg{:;<1:dpf*TbimsTc>?0004?cue'_ZJ==5isc-UTDYXign;<=>>1:dpf*PWIVUjbi>?013e?cue'_ZJSRa}012355=a{k%]\LQPos23457682lxn"X_A^]lv5678;;;7k}m/WRB[Ziu89:;?<>4fr`,RUGXWfx;<=>;119ewg)QXHUTc>?01724>`tj&\[MRQ`r12343773oyi#[^N_^mq4567?8:0j~l VQC\[jt789:3==5isc-UTDYXg{:;<=7n;gqa+kDBZ[;j7k}m/oFWEFM6:2lxn"`KT@AH[Ziu89:;=>5isc-m@QGDCVUd~=>?0030?cue'gN_MNEP_np3456502lxn"`YP@;8bvd(f_ZJ=45isc-mRUG512cdn`!NLD225>ohjd%J@H>Pmtz34566:2cdn`!NLD2\ip~789::=?5foco,EIC7Wds<=>?2008mjdj'HFN27damm.@FVWgi;hmai*DBZ[xmSC>>b:klfh)EM[Xy~<=4in`n+GCUZ{xTbbz?01320>ohjd%II_\}r^llp56798=0eblb/CEe?liee&HLSRa}0123b>ohjd%IKRQ`r123546?10;8mjdj'KMy=l5foco,@QGDCk1bcoc DUC@O4eohjd%OXLMDrs37?liee&N_MNE}r^llp56798?0eblb/EVBGNtuWge<=>>1`9jkgk(MZ6;2l5foco,AV:66h1bcoc ER>1:d=ngkg$I^2<>`9jkgk(MZ6?245foco,AVY79;1bcoc ER]3[Zgil9:;<<=4in`n+@UX8VUjbi>?01327>ohjd%N_R>P_`lg4567:890eblb/DQ\4ZYffm:;<==>3:klfh)B[V:TSl`k01230<=ngkg$I^Q>139jkgk(MZU:SRoad123445?189jkgk(MZU8=?5foco,AVY4WVkeh=>?0018mjdj'LYT?RQnne23457>3`eia"K\_531?liee&OXS9QPaof34566;2cdn`!JS^6\[dhc89:;=?:4in`n+@UXEhnoSRokd1234ZKg{UYi~{ct=3=61=ngkg$I^QBaef\[dbc89:;S@v`r^Pfwpjs4;4986g`bl-FWZKflmUTmij?012\I}iuW[oxyaz33?07?liee&OXS@okd^]b`a6789UFtb|PRdqvhq:368o0eblb/DQ\IdbcWVkoh=>?0^]bja6789;m7damm.GP[HgclVUjhi>?01]\ekb789::=h5foco,AVYJimnTSljk0123[Zgil9:;=e:klfh)B[VGjhiQPaef3456XWhdo<=>=1g9jkgk(MZUFmijP_`fg4567WVkeh=>?2031?liee&OXS\Q>_np34566;2cdn`!JS^S\5Ziu89:;=<=4in`n+@UXYV;Tc>?01027>ohjd%N_R_P1^mq4567;890eblb/DQ\[dbc89:;0<0>3:klfh)B[VUjhi>?01>1:45g9jkgk(MZUjbi>?0033?liee&OXSl`k01225467f:klfh)B[Vey<=>?9`9jkgk(M]KHG<<4in`n+@RFKBUjbi>?0130?liee&O_MNEPaof345669:1bcoc EUC@OZgil9:;:129jkgk(M]KHGRoad1234312:klfh)NGHI_Sl`k012256=ngkg$EBOLT^cm`56798;87damm.KLEFRXign;<=?=129jkgk(AFKHXRoad12356743`eia"G@ABV\ekb789;?=>5foco,MJGD\Vkeh=>?1430?liee&CDMNZPaof345719:1bcoc INC@PZgil9:;=:?=;hmai*OHIJ^Taxv?01227>ohjd%BCLM[_lw{45679890eblb/HMBGQYj}q:;<=<>3:klfh)NGHI_S`{w0123745:?6g`bl-JKDESWds<=>?5018mjdj'@EJOYQbuy234506;2cdn`!FO@AW[hs89:;;o5foco,MJDBZ[;87damm.KLF@TUWds<=>?159jkgk(AFHN^_Qbuy234576=2cdn`!FOCGQVZkrp9:;<<>>5:klfh)NGKOY^Rczx1234476=2cdn`!FOCGQVZkrp9:;<<<>5:klfh)NGKOY^Rczx1234456=2cdn`!FOCGQVZkrp9:;<<:>4:klfh)NGKOY^Rczx12347733`eia"G@BDPQ[hs89:;?<:4in`n+LIEM[XTaxv?012751=ngkg$EBLJRS]nq}6789?:86g`bl-JKGCUZVg~t=>?0737?liee&CDNH\]_lw{4567?8>0eblb/HMAAWTXe|r;<=>7159jkgk(AFHN^_Qbuy2345?e3`eia"G@RDSq54=ngkg$EB]PFRO\BCb69=1bcoc INQ\BVKXNOn:!D`>5:klfh)NGZUM_@QIFe3.Mk76=2cdn`!FOR]EWHYANm;&Ec<>5:klfh)NGZUM_@QIFe3.Mk56=2cdn`!FOR]EWHYANm;&Ec:>1:klfh)NGZUM_@QIFe020>ohjd%BC^QISL]EBa4*Ag;>7damm.KLWZ@TEVLMh?#Fn035?liee&CD_RH\M^DE`7+Nf8:::6g`bl-JKVYA[DUMJi<"Io3253=ngkg$EB]PFRO\BCb5%@d:><;4in`n+LITWOYFSKHk2,Km643149jkgk(AFYTJ^CPFGf0)Lh59<1bcoc INQ\BVKXNOn8!D`<149jkgk(AFYTJ^CPFGf0)Lh39<1bcoc INQ\BVKXNOn8!D`:149jkgk(AFYTJ^CPFGf0)Lh19<1bcoc INQ\BVKXNOn8!D`8149jkgk(AFYTJ^CPFGf0)Lh?9<1bcoc INQ\BVKXNOn8!D`68:klfh)UMZ30eblb/SGP5d=ngkg$^H]}f`9jkgk(ZLYy~<<4in`n+WCTz{Uecy>?0030?liee&XN_|Pnnv34576i2cdn`!YP@pee>ohjd%]\L|}139jkgk(^YKy~R``t123545?00120>ohjd%eM^azt^llp5679=n0eblb/oClqqIB9k1bcoc nEkmH@753`eia"`KioNF[jt789::?6g`bl-m@lhKMVey<=>?1b9jkgk(fMe~xBK>4:klfh)iLfCHQaou2344723`eia"`KotvLAZhh|9:;=?:;hmai*hCg|~DIR``t12351723`eia"`KotvLAZhh|9:;=874in`n+kJC[8;0eblb/oNGWZhh|9:;=<<4in`n+kJC[Vddx=>?1031?liee&dGH^Qaou234446:2cdn`!aLEQ\jjr789;8==5foco,jIBTWfx;<=?>1:klfh)iDMYTc>?00325>ohjd%e@I]Pos23444692cdn`!aLEQ\kw67889:=6g`bl-mHAUXg{:;<<:>1:klfh)iDMYTc>?00725>ohjd%e@I]Pos23440692cdn`!aLEQ\kw6788=27damm.lV@V763`eia"`ZDR]mkq6788;97damm.lV@VYig}:;<2:klfh)i]MYTbbz?013157=ngkg$bXJ\_omw4566;01bcoc nWOB57=ngkg$b[CN_^cm`5678890eblb/oTNEZYffm:;<=?>1:klfh)i^DKTSb|?01226>ohjd%eZ@OP_np345669;1bcoc nWOB[Ziu89:;><<4in`n+kPJIVUd~=>?0231?liee&d]ALQPos234526:2cdn`!aVLC\[jt789:>=?5foco,jSKFWVey<=>?6008mjdj'g\FMRQ`r12342763`eia"`YM@]bja6789;97damm.lUIDYffm:;<=?>2:klfh)i^DKTmcj?012157=ngkg$b[CN_`lg4567;880eblb/oTNEZgil9:;<9?=;hmai*hQEHUjbi>?01726>ohjd%eZ@OPaof345619;1bcoc nWOB[dhc89:;;45focq,EIC712cdn~!NLD325>ohjz%J@H?P_np34576:2cdn~!NLD3\[jt789;:56g`br-AAWT6:2cdn~!MESP\[dhc89:;=>5focq,F@TUWVkeh=>?0032?lie{&HN^_QPos2345753`ei"LJRS]\kw6789;:>6g`br-AAWTXWfx;<=>=139jkgu(JLXYSRa}01237442:klfv)EM[XTSb|?0125f>ohjz%H`ho}1068mjdt'Jfnm?P_`lg45679=1bco} Cmgbv4Yffm:;<=?m;hmaw*OHIJ^y56g`br-JKI6692cdn~!FOM2\ip~789::>6g`br-JKI6Xe|r;<=>>139jkgu(AFF;S`{w01236442:klfv)NGE:Taxv?0126=>ohjz%BCA?>1:klfv)NGE;Tbbz?01326>ohjz%BCA?Pnnv34576i2cdn~!FOSGR57=ngky$EB\JQ^ov|5678890ebl|/HMQATYj}q:;<=?>4:klfv)NG[OZS`{w012355743`ei"G@RDS\ip~789:9=>5focq,MJTBYVg~t=>?0230?lie{&CD^H_Pmtz345639:1bco} INPFUZkrp9:;<8?<;hmaw*OHZL[Taxv?012556=ngky$EB\JQ^ov|5678>;87dams.KLV@WXe|r;<=>7129jkgu(AFXN]Rczx1234Pmtz34566;2cdn~!FOSU3[hs89:;=<=4in`p+LIU_9Ufyu>?01027>ohjz%BC_Y?_lw{4567;890ebl|/HMQS5Yj}q:;<=:>2:klfv)NG[];Sca{012256=ngky$EB\X0^llp56798;87dams.KLVR6Xff~;<=?=129jkgu(AFX\5focq,MJTP8Vddx=>?1430?lie{&CD^Z>Pnnv345719:1bco} INPT4Zhh|9:;=:o4in`p+LIU_8;97dams.KLVR7Xign;<=>>3:klfv)NG[]:Sl`k0123545?3018mjdt'@EY[?014`?lie{&CD^hoky078mjdt'@EYiljv_^cm`56788<0ebl|/HMQadb~WVkeh=>?0035?lie{&CD^hoky^]bja6789827dams.KLQ5763`ei"G@U1]nq}6789;97dams.KLQ5Yj}q:;<=?>2:klfv)NG\:Taxv?012157=ngky$EB[?_lw{4567;880ebl|/HMV4Zkrp9:;<9?=;hmaw*OH]9Ufyu>?017:?lie{&CDY;hmaw*OH]8Uecy>?0031?lie{&CDY;hmaw*IiklUTc>?0131?lie{&EeohQPos234576:2cdn~!@nbg\[jt789:9=?5focq,KkebWVey<=>?3008mjdt'FdhiRQ`r12341753`ei"Aacd]\kw6789?:>6g`br-LjfcXWfx;<=>9139jkgu(GginSRa}01233463:klfv)W[VLXARHId3/Jj42ohjz%[_RH\M^DE`7+Nf:;?7dams.RP[CUJWOLo> Ga4068mjdt'YYTJ^CPFGf1)Lh29=1bco} PR]EWHYANm8&Ec8>4:klfv)W[VLXARHId3/Jj2733`ei"^\_GQN[C@c:$Ce4<:4in`p+UUXNZGTJKj=-Hl:55=ngky$\^QISL]Lqq6692cdn~!_S^DPIZIr|9;:=6g`br-SWZ@TEVE~x=<>2:klfv)W[Vif|Rk~0123e>ohjz%Yiljvb:klfv)Umhnr=o5focq,V`gcq;h0ebl|/Sgb`|56:2cdn~!]e`fz[dhc89:;=>5focq,V`gcqVkeh=>?0030?lie{&XnmiwPaof345659:1bco} Rdcg}Zgil9:;<>?<;hmaw*TbimsTmcj?012756=ngky$^hoky^cm`5678hFLf@H>0bNO\9:l@EVYHJ\Lj7cJ[ABI\BVDa3gN_MNEPFR@\KGSAi2dOXLMD_HMAb>hC\HI@SDAM_H@VB3=iL`dGIo5aDhlOAZIE]O<0bIgaOD`8jAoiGLUDNXH8;oFlqqIBk2dOcxz@E^MAQC3l5aIQN1[LDRN11e@I]PFR@g?kJC[VLXNRGMUG:8jIBTW@EIi6`CDR]JKGYHJ\LN?6`@E99mK@YHJ\L<7c\NMMUFg>hUIDF\IRAMUG58jWGJ]^Oh7c\NMTUF[JDRN=1e^L]6;oPBWZIE]O>0b_K\9:lQAVYNJ\L=7c\@MBQa?kTHEJYTCO[I6:lQKHQBj2dYC@YJ_N@VB==i]MYTJ^Lk;oWGWZ@TJVCIYK64nTFP[LIEm2d^H^QFOC]LFP@B02d]ALQFOCg8jSKFW@EISBLZFD:8jSVFWOYIh6`YP@]EWGYHJ\L37cX_A^KLFa=i^YKTEBLPICWE3>hPMVLXNn5aWD]EWGYNJ\Lm7ca{0123[dhc89:;==5aou2345Yffm:;<=?>1:llp5678Vkeh=>?00225>hh|9:;?0^cm`567888:=6``t1234Zgil9:;<<=>1:llp5678Vkeh=>?00624>hh|9:;?_`lg4567;8:0bbz?012\ekb789:?==5aou2345Yffm:;<=;>0:llp5678Vkeh=>?0733?kis89:;Sl`k0123346Paof3456?991ecy>?01]bja6789327ob/CC@Pw>tfe&EeohQPos23457692xja"Aacd]\kw67898:=6|nm.Mmg`YXg{:;<==>1:pbi*IiklUTc>?01625>tfe&EeohQPos23453692xja"Aacd]\kw6789?1078vdk(ZHGOE^?Pmtz3456688?0~lc R@OGMV7Xe|r;<=>>1078vdk(ZHGOE^?Pmtz34566:8?0~lc R@OGMV7Xe|r;<=>>3078vdk(ZHGOE^?Pmtz34566<8?0~lc R@OGMV7Xe|r;<=>>5078vdk(ZHGOE^?Pmtz34566>8?0~lc R@OGMV7Xe|r;<=>>7078vdk(ZHGOE^?Pmtz3456608?0~lc R@OGMV7Xe|r;<=>>9068vdk(ZHGOE^?Pmtz345659<1ym`!]ALFJW4Yj}q:;<=4:pbi*TFEMCX=Rczx12341733{kf#_OBDHQ2[hs89:;9<:4r`o,VDKCAZ;Taxv?012551=uid%YM@JFS0]nq}6789=:86|nm.PBIAOT9Vg~t=>?0937?wgj'[KFHD]>_lw{45671k1ym`!]ALFJW7743{kf#_OBDHQ1[Ziu89:;=95}al-QEHBN[;UTc>?01320>tfe&XJAIG\2^]lv5678;;?7ob/SCN@LU5WVey<=>?3068vdk(ZHGOE^4:pbi*TFEMCX>RQ`r12343733{kf#_OBDHQ1[Ziu89:;;<:4r`o,VDKCAZ8TSb|?012;f>tfe&XJAIG\3c9qeh)UIDNB_9l4r`o,VDKCAZ?h7ob/SCNV`gcq8>0~lc R@OQadb~Wds<=>?149qeh)UIDXnmiwPmtz345669?1ym`!]ALPfeaXe|r;<=>>0048vdk(ZHGYiljv_lw{456798;=7ob/SCNV`gcqVg~t=>?00022>tfe&XJA_kndx]nq}6789;8=85}al-QEHTbimsTaxv?012150=uid%YM@\jae{\ip~789:8=85}al-QEHTbimsTaxv?012750=uid%YM@\jae{\ip~789:>=85}al-QEHTbimsTaxv?012550=uid%YM@\jae{\ip~789:<=85}al-QEHTbimsTaxv?012;50=uid%YM@\jae{\ip~789:256|nm.PBW@J692xja"\NSDN\ip~789::>6|nm.PBW@JXe|r;<=>>129qeh)UIZOGS`{w012355743{kf#_O\EM]nq}6789;:=>5}al-QEVCKWds<=>?1330?wgj'[KXIAQbuy2345749:1ym`!]ARGO[hs89:;=9?<;scn+WGTMEUfyu>?013656=uid%YM^KC_lw{45679?;87ob/SCPAIYj}q:;<=?8129qeh)UIZOGS`{w01235=743{kf#_O\EM]nq}6789;2=?5}al-QEVCKWds<=>?2018vdk(ZHYN@Rczx1234766;2xja"\NSDN\ip~789:9=<=4r`o,VDUBDVg~t=>?03027>tfe&XJ_HBPmtz34565;890~lc R@QFHZkrp9:;3:pbi*TF[LFTaxv?01211456|nm.PBW@JXe|r;<=><139qeh)UIZOGS`{w01230442:pbi*TF[LFTaxv?012457=uid%YM^KC_lw{45670880~lc R@QFHZkrp9:;<4??;scn+WGX8Vg~t=>?0038vdk(ZHU;S`{w0123547?4028vdk(ZHU:S`{w012354=uid%YMR?Pmtz34566981ym`!]A^3\ip~789:9=<5}al-QEZ7Xe|r;<=><109qeh)UIV;Taxv?012755=uid%YMR1:pbi*TFW;Ufyu>?01125>tfe&XJS?Qbuy23452682xja"\N_2]nq}6789;:7ob/SC\7Zkrp9:;<;scn+WGX;Vg~t=>?0332?wgj'[KT?Rczx12346763{kf#_OP3^ov|5678=;;7ob/SC\0Zkrp9:;<?1038vdk(ZHU?S`{w01236474r`o,VDY2Wds<=>?109qeh)UIV?Taxv?012254=uid%YMR;Pmtz34565981ym`!]A^7\ip~789:8=<5}al-QEZ3Xe|r;<=>;119qeh)UIVtfe&XJS;Qbuy23457692xja"\N_7]nq}67898:=6|nm.PB[3Yj}q:;<==>1:pbi*TFW?Ufyu>?01624>tfe&XJS:Qbuy2345763{kf#_OP7^ov|56788;:7ob/SC\3Zkrp9:;;scn+WGX?Vg~t=>?0232?wgj'[KT;Rczx12341773{kf#_OP8^ov|56788;0~lc R@];[hs89:;=?2038vdk(ZHU3S`{w0123747?6028vdk(ZHU2S`{w012354=uid%YMR7Pmtz34566981ym`!]A^;\ip~789:9=<5}al-QEZ?Xe|r;<=><109qeh)UIV3Taxv?0127b>tfe&XXSK]B_GDg544159qeh)U[VLXARHId0/Jj466<2xja"\\_GQN[C@c9$Ce=-Hl2642tfe&XXSK]B_GDg5(Oi9<;87ob/SQ\BVKXNOn:!D`=129qeh)U[VLXARHId0/Jj6743{kf#_]PFRO\BCb6%@d?=>5}al-QWZ@TEVLMh<#Fn430?wgj'[YTJ^CPFGf2)Lh19:1ym`!]S^DPIZ@Al8'Bb:?<;scn+WUXNZGTJKj>-Hl;56=uid%Y_RH\M^DE`4+Nf0l0~lc RR]EWHYANm8:>6|nm.PP[CUJWOLo> Ga129qeh)U[VLXARHId3/Jj4733{kf#_]PFRO\BCb5%@d:<<:4r`o,VVYA[DUMJi<"Io3251=uid%Y_RH\M^DE`7+Nf88:86|nm.PP[CUJWOLo> Ga1237?wgj'[YTJ^CPFGf1)Lh6<8>0~lc RR]EWHYANm8&Ec?:159qeh)U[VLXARHId3/Jj406<2xja"\\_GQN[C@c:$Ce=:?;;scn+WUXNZGTJKj=-Hl2<425}al-QWZ@TEVLMh?#Fn337?wgj'[YTJ^CPFGf1)Lh588>0~lc RR]EWHYANm8&Ec<>159qeh)U[VLXARHId3/Jj746<2xja"\\_GQN[C@c:$Ce>>?<;scn+WUXNZGTJKj=-Hl056=uid%Y_RH\M^DE`7+Nf=;87ob/SQ\BVKXNOn9!D`:129qeh)U[VLXARHId3/Jj3743{kf#_]PFRO\BCb5%@d<=>5}al-QWZ@TEVLMh?#Fn930?wgj'[YTJ^CPFGf1)Lh>n2xja"\\_GQN[C@c;880~lc RR]EWHYANm9&Ec?<;scn+WUXNZGTJKj<-Hl251=uid%Y_RH\M^DE`6+Nf8::86|nm.PP[CUJWOLo? Ga1037?wgj'[YTJ^CPFGf0)Lh6:8>0~lc RR]EWHYANm9&Ec?<129qeh)U[VLXARHId2/Jj7743{kf#_]PFRO\BCb4%@d8=>5}al-QWZ@TEVLMh>#Fn530?wgj'[YTJ^CPFGf0)Lh29:1ym`!]S^DPIZ@Al:'Bb;?<;scn+WUXNZGTJKj<-Hl456=uid%Y_RH\M^DE`6+Nf1;87ob/SQ\BVKXNOn8!D`6f:pbi*TTWOYFSB{{8028vdk(ZZUM_@Q@uu:255=uid%Y_RH\M^Mvp=4>3{kf#_kndxc8vdk(Zlkoutfe&dHM^??;scn+kEF[Vddx=>?1038vdk(fJKXSca{01225<=uid%e@ZK>119qeh)iD^OTmcj?01225>tfe&dG[HQnne23457692xja"`CWD]bja67898:=6|nm.lOS@Yffm:;<==>1:pbi*hK_LUjbi>?0164?wgj'gEN46|nm.lLA4>?2038vdk(f\]NSl`k0123747hem3<0(om5b69~W1d=k90=:9n9:6x[ac83>4<62:;mw^:l:b293f<6;=22m54=0e05?!d22?l0(:j54208fg0=83>i6?h7:23eMd43-h96o84Z2a97~7=:391qd:7:188k66c2900e?hl:188k17=831d?>?50;9j77d=831d??750;9l775=831b?=;50;9l74`=831d?=l50;9l75?=831b??;50;9j75`=831d>kk50;9l757=831d?k4?::k052<722e8><4?::k076<722e8>i4?::m05a<722e9j94?::m1b<<722c8=44?::k70?6=3`9;;7>5;h65>5<!1a21?0b:k50:9l<1<72-=m65;4n6g95>=h0o0;6)9i:978j2c=:21d4h4?:%5e>=3o1?65`8e83>!1a21?0b:k54:9l=h0k0;6)9i:978j2c=>21d4l4?:%5e>=3o1;65`8883>!1a21?0b:k58:9l<=<72-=m65;4n6g9=>=h0>0;6)9i:978j2c=i21d4>4?:%5e>=3o1n65f1`83>!1a2830b:k50:9j5=<72-=m6<74n6g95>=n9>0;6)9i:0;8j2c=:21b=;4?:%5e>4?o1?65f1583>!1a2830b:k54:9j56<72-=m6<74n6g91>=n9;0;6)9i:0;8j2c=>21b=<4?:%5e>4?o1;65f1183>!1a2830b:k58:9jb?6=,>l1=45a7d8:?>ob290/;k4>9:l4a?g<3`n1<7*8f;3:?k1b2k10en4?:%5e>4?o1o65fb;29 2`=901e;h4k;:k16?6=,>l1=45a7d8f?>o593:1(:h5189m3`5$6d95<=i?l0:<65f1g83>!1a2830b:k51098m4c=83.44<3`;o6=4+7g82=>h0m3;876g>c;29 2`=901e;h4>4:9j5g<72-=m6<74n6g950=4;hcg>5<#?o0ji6`8e;38?lgd290/;k4ne:l4a?4<3khj6=4>:183!d526<729q/n?4:9:Ja=>Ne;2.=47>4i2f94?=n50z&a6?3>3Ah27El<;%4;>5=n;m0;66g;d;29?j>52900qoo;:180>5<7s-h96874Hc;8Lg5<,?21<6gt$c091<=Oj01Cn>5+6983?l5c2900e9j50;9l<7<722wi:h4?:283>5}#j;0>56Fm9:Ja7>"103:0e>j50;9j0a<722e3>7>5;|`64?6==3:13Ah87)87:19j7`<722c?m7>5;h1g>5<>{e1m0;684?:1y'f7<2i2Bi56Fm3:&5>o4l3:17d:k:188k=4=831vn<6i:186>5<7s-h968o4Hc;8Lg5>o4l3:17d:k:188k=4=831vn<>;:186>5<7s-h968o4Hc;8Lg5>o4l3:17d:k:188k=4=831vn:186>5<7s-h968o4Hc;8Lg5>o4l3:17d:k:188k=4=831vnk>50;794?6|,k819l5Gb89Kf6=#>10;7d=j:188m1g=831b?i4?::k7`?6=3f296=44}c3b0?6==3:13Ah87)87:19j7`<722c?m7>5;h1g>5<>{e:?<1<7;50;2x g4==h1Cn45Gb29j7`<722c?m7>5;h1g>5<>{e9k31<7=50;2x g4==01Cn45Gb29j7a<722c?h7>5;n:1>5<55;294~"e:3?j7El6;I`0?l5b2900e9o50;9j7a<722c?h7>5;n:1>5<55;294~"e:3?j7El6;I`0?l5b2900e9o50;9j7a<722c?h7>5;n:1>5<55;294~"e:3?j7El6;I`0?l5b2900e9o50;9j7a<722c?h7>5;n:1>5<55;294~"e:3?j7El6;I`0?l5b2900e9o50;9j7a<722c?h7>5;n:1>5<7>55;294~"e:3?j7El6;I`0?l5b2900e9o50;9j7a<722c?h7>5;n:1>5<55;294~"e:3?j7El6;I`0?l5b2900e9o50;9j7a<722c?h7>5;n:1>5<55;294~"e:3?j7El6;I`0?l5b2900e9o50;9j7a<722c?h7>5;n:1>5<55;294~"e:3?j7El6;I`0?l5b2900e9o50;9j7a<722c?h7>5;n:1>5<55;294~"e:3?j7El6;I`0?l5b2900e9o50;9j7a<722c?h7>5;n:1>5<55;294~"e:3?j7El6;I`0?!0?291b?h4?::k7e?6=3`9o6=44i5f94?=h0;0;66sm26c94?3=83:p(o<55`9Kf<=Oj:1b?h4?::k7e?6=3`9o6=44i5f94?=h0;0;66sm29594?5=83:p(o<5589Kf<=Oj:1b?i4?::k7`?6=3f296=44}c3ab?6=;3:13Ah87d=k:188m1b=831d4?4?::a5f4=8391<7>t$c091<=Oj01Cn>5f3e83>>o3l3:17b6=:188yg7d=3:1?7>50z&a6?3>3Ah27El<;h1g>5<>{e9j21<7=50;2x g4==01Cn45Gb29j7a<722c?h7>5;n:1>5<53;294~"e:3?27El6;I`0?l5c2900e9j50;9l<7<722wi?;l50;794?6|,k819l5Gb89Kf6=#>10;7d=j:188m1g=831b?i4?::k7`?6=3f296=44}c173?6==3:13Ah87)87:19j7`<722c?m7>5;h1g>5<>{ekj0;6>4?:1y'f7<212Bi56Fm3:&5>i?:3:17plld;297?6=8r.i>7;6;I`:?Md43-<36=5f3e83>>o3l3:17b6=:188ygeb29086=4?{%`1>0?<@k30Do=4$7:94>o4l3:17d:k:188k=4=831vnnh50;194?6|,k81945Gb89Kf6=#>10;7d=k:188m1b=831d4?4?::a`5<72:0;6=u+b386=>Ne12Bi?6*98;28m6b=831b8i4?::m;6?6=3tho=7>53;294~"e:3?27El6;I`0?!0?291b?i4?::k7`?6=3f296=44}cf1>5<4290;w)l=:4;8Lg?<@k90(;650:k0`?6=3`>o6=44o9094?=zjm91<7=50;2x g4==01Cn45Gb29'2=<73`9o6=44i5f94?=h0;0;66sm14194?3=83:p(o<55`9Kf<=Oj:1/:54?;h1f>5<>o3l3:17b6=:188yg72>3:197>50z&a6?3f3Ah27El<;%4;>5=n;l0;66g;a;29?l5c2900e9j50;9l<7<722wi>9j50;194?6|,k81945Gb89Kf6=n;m0;66g;d;29?j>52900qo7;6;I`:?Md43`9o6=44i5f94?=h0;0;66sm18494?5=83:p(o<5589Kf<=Oj:1b?i4?::k7`?6=3f296=44}c3e2?6=;3:13Ah87d=k:188m1b=831d4?4?::a5c5=8391<7>t$c091<=Oj01Cn>5f3e83>>o3l3:17b6=:188yg44k3:1?7>50z&a6?3>3Ah27El<;h1g>5<>{e9h;1<7=50;2x g4==01Cn45Gb29j7a<722c?h7>5;n:1>5<53;294~"e:3?27El6;I`0?l5c2900e9j50;9l<7<722wi=o?50;194?6|,k81945Gb89Kf6=n;m0;66g;d;29?j>52900qo<89;297?6=8r.i>7;6;I`:?Md43`9o6=44i5f94?=h0;0;66sm28794?5=83:p(o<5589Kf<=Oj:1b?i4?::k7`?6=3f296=44}c172?6=;3:13Ah87d=k:188m1b=831d4?4?::a72>=8391<7>t$c091<=Oj01Cn>5+6983?l5c2900e9j50;9l<7<722wi?5950;194?6|,k81945Gb89Kf6=#>10;7d=k:188m1b=831d4?4?::a706=8391<7>t$c091<=Oj01Cn>5+6983?l5c2900e9j50;9l<7<722wi8<850;194?6|,k81945Gb89Kf6=#>10;7d=k:188m1b=831d4?4?::a637=8391<7>t$c091<=Oj01Cn>5f3e83>>o3l3:17b6=:188yg42<3:1?7>50z&a6?3>3Ah27El<;h1g>5<>{e:=91<7=50;2x g4==01Cn45Gb29j7a<722c?h7>5;n:1>5<53;294~"e:3?27El6;I`0?l5c2900e9j50;9l<7<722wi=k<50;194?6|,k81945Gb89Kf6=n;m0;66g;d;29?j>52900qo<7;6;I`:?Md43`9o6=44i5f94?=h0;0;66sm18g94?5=83:p(o<5589Kf<=Oj:1b?i4?::k7`?6=3f296=44}c00b?6=;3:13Ah87d=k:188m1b=831d4?4?::a5g6=8391<7>t$c091<=Oj01Cn>5f3e83>>o3l3:17b6=:188yg2603:1?7>50z&a6?3>3Ah27El<;h1g>5<>{e:1k1<7=50;2x g4==01Cn45Gb29'2=<73`9o6=44i5f94?=h0;0;66sm2c`94?3=83:p(o<55`9Kf<=Oj:1b?h4?::k7e?6=3`9o6=44i5f94?=h0;0;66sm29`94?3=83:p(o<55`9Kf<=Oj:1b?h4?::k7e?6=3`9o6=44i5f94?=h0;0;66sm2`394?3=83:p(o<55`9Kf<=Oj:1b?h4?::k7e?6=3`9o6=44i5f94?=h0;0;66sm2b094?3=83:p(o<55`9Kf<=Oj:1b?h4?::k7e?6=3`9o6=44i5f94?=h0;0;66sm28094?3=83:p(o<55`9Kf<=Oj:1b?h4?::k7e?6=3`9o6=44i5f94?=h0;0;66sm2`d94?3=83:p(o<55`9Kf<=Oj:1b?h4?::k7e?6=3`9o6=44i5f94?=h0;0;66sm14;94?5=83:p(o<5589Kf<=Oj:1/:54?;h1g>5<>{e:831<7=50;2x g4==01Cn45Gb29'2=<73`9o6=44i5f94?=h0;0;66sm1dc94?5=83:p(o<5589Kf<=Oj:1/:54?;h1g>5<>{e9hk1<7=50;2x g4==01Cn45Gb29'2=<73`9o6=44i5f94?=h0;0;66sm1`f94?5=83:p(o<5589Kf<=Oj:1/:54?;h1g>5<>{e:8k1<7=50;2x g4==01Cn45Gb29'2=<73`9o6=44i5f94?=h0;0;66sm23294?5=83:p(o<5589Kf<=Oj:1/:54?;h1g>5<>{e:;o1<7=50;2x g4==01Cn45Gb29'2=<73`9o6=44i5f94?=h0;0;66sm2bd94?5=83:p(o<5589Kf<=Oj:1/:54?;h1g>5<>{e:m91<7=50;2x g4==01Cn45Gb29'2=<73`9o6=44i5f94?=h0;0;66sm3e594?5=83:p(o<5589Kf<=Oj:1/:54?;h1g>5<>{e;l21<7=50;2x g4==01Cn45Gb29'2=<73`9o6=44i5f94?=h0;0;66sm41394?5=83:p(o<5589Kf<=Oj:1/:54?;h1g>5<>{e;9:1<7=50;2x g4=;k1Cn45Gb29j1a<722c>:7>5;n56>5<4i5g94?=ng><@k30Do=4i4g94?=n=o0;66a85;29?xu3m3:1>vP;e:?ab?3a3ty?j7>52z\7b>;en3?n7p}n9;296~Xf127ij79:;|a`<<72:0:6>uGb29'f7<082c?i7>5;h6e>5<6<729q/n?4m8:Ja=>Ne;2c>i7>5;h7e>5<?1<75rs5g94?4|V=o01oh55g9~w1`=838pR9h4=cd91`=z{h31<74<4sAh87)l=:628m1c=831b8k4?::mb=?6=3khm6=4<:183!d52k20Do74Hc18m0c=831b9k4?::m41?6=3ty?i7>52z\7a>;en3?m7p};f;296~X3n27ij7;j;|qb=?6=:rTj563mf;56?x{el>0;6>4>:2yKf6=#j;0<<6g;e;29?l2a2900cl750;9afc<72:0;6=u+b38a<>Ne12Bi?6g:e;29?l3a2900c:;50;9~w1c=838pR9k4=cd91c=z{=l1<75<42808wEl<;%`1>26>if13:17oli:180>5<7s-h96o64Hc;8Lg5>i0=3:17p};e;296~X3m27ij7;i;|q7b?6=:rT?j63mf;7f?xuf13:1>vPn9:?ab?123twih84?:282>6}Oj:1/n?480:k7a?6=3`>m6=44o`;94?=ejo0;6>4?:1y'f7:0e9k50;9j0c<722ej57>5;c`e>5<4290;w)l=:c:8Lg?<@k90e8k50;9j1c<722e<97>5;|q7a?6=:rT?i63mf;7e?xu3n3:1>vP;f:?ab?3b3tyj57>52z\b=>;en3=>7psm1bg94?5=939pDo=4$c0935=n2900noh50;194?6|,k81n55Gb89Kf6=n=l0;66g:f;29?j122900q~:j:181[2b34hm68h4}r6e>5<5sW>m70li:4g8yvg>2909wSo6;<`e>236}Oj:1/n?480:k7a?6=3`>m6=44o`;94?=ejo0;6>4?:1y'f7"e:3=;7d:j:188m1`=831dm44?::`ab?6=;3:13Ah87d;j:188m0`=831d;84?::p0`<72;qU8h52bg86b>{tfc<2m2wxm44?:3y]e<=:jo0<96srb0aa>5<42808wEl<;%`1>26>if13:17oli:180>5<7s-h96o64Hc;8Lg5>i0=3:17p};e;296~X3m27ij7;i;|q7b?6=:rT?j63mf;7f?xuf13:1>vPn9:?ab?123twi8?4?:383>5}#j;0=56Fm9:Ja7>o2l3:17b9::188yg4ai3:1>7>50z&a6?0>3Ah27El<;h7g>5<?1<75rb9394?4=83:p(o<5689Kf<=Oj:1b9i4?::m41?6=3thh>7>52;294~"e:3<27El6;I`0?l3c2900c:;50;9~ff5=8391<7>t$c090>Ne12Bi?6g:e;29?l3a2900c:;50;9~f75b29096=4?{%`1>3?<@k30Do=4i4f94?=h?<0;66sm6e83>7<729q/n?499:Ja=>Ne;2c>h7>5;n56>5<97>53;294~"e:3>0Do74Hc18m0c=831b9k4?::m41?6=3th?=l4?:383>5}#j;0=56Fm9:Ja7>o2l3:17b9::188yg50=3:1>7>50z&a6?0>3Ah27El<;h7g>5<?1<75rb255>5<5290;w)l=:7;8Lg?<@k90e8j50;9l30<722wi?:950;194?6|,k8186Fm9:Ja7>o2m3:17d;i:188k23=831vn95<7s-h96;74Hc;8Lg5>{e<8h1<7<50;2x g4=>01Cn45Gb29j1a<722e<97>5;|`76f<72;0;6=u+b385=>Ne12Bi?6g:d;29?j122900qo:>4;296?6=8r.i>786;I`:?Md43`?o6=44o6794?=zj=;>6=4<:183!d52=1Cn45Gb29j1`<722c>j7>5;n56>5<53;294~"e:3=37El6;I`0?l3b2900e8h50;9l30<722wi>o750;094?6|,k81:45Gb89Kf6=n=m0;66a85;29?xd5jh0;6>4?:1y'f7<33Ah27El<;h7f>5<>{e:>l1<7=50;2x g4=<2Bi56Fm3:k6a?6=3`?m6=44o6794?=zj;i?6=4=:183!d52?30Do74Hc18m0b=831d;84?::a6<2=8381<7>t$c092<=Oj01Cn>5f5e83>>i0=3:17pl=8883>6<729q/n?4;;I`:?Md43`?n6=44i4d94?=h?<0;66sm16394?4=83:p(o<5689Kf<=Oj:1b9i4?::m41?6=3th:;?4?:383>5}#j;0=56Fm9:Ja7>o2l3:17b9::188yg70;3:1?7>50z&a6?1?3Ah27El<;h7f>5<>{e9><1<7<50;2x g4=>01Cn45Gb29j1a<722e<97>5;|`232<72;0;6=u+b385=>Ne12Bi?6g:d;29?j122900qo?88;297?6=8r.i>797;I`:?Md43`?n6=44i4d94?=h?<0;66sm16`94?4=83:p(o<5689Kf<=Oj:1b9i4?::m41?6=3th:;n4?:383>5}#j;0=56Fm9:Ja7>o2l3:17b9::188yg70l3:1?7>50z&a6?1?3Ah27El<;h7f>5<>{e9?>1<7<50;2x g4=>01Cn45Gb29j1a<722e<97>5;|`220<72:0;6=u+b384<>Ne12Bi?6g:e;29?l3a2900c:;50;9~f40>29096=4?{%`1>3?<@k30Do=4i4f94?=h?<0;66sm17c94?5=83:p(o<5799Kf<=Oj:1b9h4?::k6b?6=3f=>6=44}c3;7?6=;3:15;|`2=4<72;0;6=u+b385=>Ne12Bi?6g:d;29?j122900qo?62;297?6=8r.i>797;I`:?Md43`?n6=44i4d94?=h?<0;66sm14f94?4=83:p(o<5689Kf<=Oj:1b9i4?::m41?6=3th:;k4?:383>5}#j;0=56Fm9:Ja7>o2l3:17b9::188yg7?83:1?7>50z&a6?2<@k30Do=4i4g94?=n=o0;66a85;29?xd6=o0;6?4?:1y'f7<112Bi56Fm3:k6`?6=3f=>6=44}c354?6=;3:13Ah87d;j:188m0`=831d;84?::a5a2=8391<7>t$c090>Ne12Bi?6g:e;29?l3a2900c:;50;9~f4b529086=4?{%`1>1=Oj01Cn>5f5d83>>o2n3:17b9::188yg7c83:1?7>50z&a6?2<@k30Do=4i4g94?=n=o0;66a85;29?xd6k80;6>4?:1y'f7<33Ah27El<;h7f>5<>{e9j>1<7=50;2x g4=<2Bi56Fm3:k6a?6=3`?m6=44o6794?=zj8i<6=4<:183!d52=1Cn45Gb29j1`<722c>j7>5;n56>5<53;294~"e:3>0Do74Hc18m0c=831b9k4?::m41?6=3th9:54?:383>5}#j;0=56Fm9:Ja7>o2l3:17b9::188yg7f?3:1>7>50z&a6?0>3Ah27El<;h7g>5<?1<75rb0c;>5<4290;w)l=:6:8Lg?<@k90e8k50;9j1c<722e<97>5;|`2ef<72;0;6=u+b385=>Ne12Bi?6g:d;29?j122900qo?n3;296?6=8r.i>786;I`:?Md43`?o6=44o6794?=zj;>96=4=:183!d52?30Do74Hc18m0b=831d;84?::a5g5=8381<7>t$c092<=Oj01Cn>5f5e83>>i0=3:17pl>f983>6<729q/n?4;;I`:?Md43`?n6=44i4d94?=h?<0;66sm1`;94?5=83:p(o<54:Ja=>Ne;2c>i7>5;h7e>5<?1<75rb0ff>5<5290;w)l=:7;8Lg?<@k90e8j50;9l30<722wi=ih50;194?6|,k8186Fm9:Ja7>o2m3:17d;i:188k23=831vn5<7s-h9695Gb89Kf6=n=l0;66g:f;29?j122900qo?6d;297?6=8r.i>7:4Hc;8Lg5>i0=3:17pl=3`83>6<729q/n?4;;I`:?Md43`?n6=44i4d94?=h?<0;66sm25;94?4=83:p(o<5689Kf<=Oj:1b9i4?::m41?6=3thon7>52;294~"e:3<27El6;I`0?l3c2900c:;50;9~f`3=8391<7>t$c090>Ne12Bi?6g:e;29?l3a2900c:;50;9~f`7=8391<7>t$c090>Ne12Bi?6g:e;29?l3a2900c:;50;9~fab=8391<7>t$c090>Ne12Bi?6g:e;29?l3a2900c:;50;9~fc5=8391<7>t$c093==Oj01Cn>5f5d83>>o2n3:17b9::188yg76;3:1>7>50z&a6?0>3Ah27El<;h7g>5<?1<75rb037>5<4290;w)l=:6:8Lg?<@k90e8k50;9j1c<722e<97>5;|`ea?6=:3:13Ah87d;k:188k23=831vn?k<:181>5<7s-h96;74Hc;8Lg5>{e:l>1<7=50;2x g4=<2Bi56Fm3:k6a?6=3`?m6=44o6794?=zj=;:6=4=:183!d52?30Do74Hc18m0b=831d;84?::a044=8391<7>t$c090>Ne12Bi?6g:e;29?l3a2900c:;50;9~f43029096=4?{%`1>3?<@k30Do=4i4f94?=h?<0;66sm1g694?4=83:p(o<5689Kf<=Oj:1b9i4?::m41?6=3th:m=4?:383>5}#j;0=56Fm9:Ja7>o2l3:17b9::188yg72j3:1>7>50z&a6?0>3Ah27El<;h7g>5<?1<75rb36`>5<4290;w)l=:59Kf<=Oj:1b9h4?::k6b?6=3f=>6=44}c3g2?6=:3:13Ah87d;k:188k23=831vn5<7s-h96;74Hc;8Lg5>{e9m21<7=50;2x g4=<2Bi56Fm3:k6a?6=3`?m6=44o6794?=zj;l>6=4=:183!d52?30Do74Hc18m0b=831d;84?::a6c0=8381<7>t$c092<=Oj01Cn>5f5e83>>i0=3:17pl=f683>6<729q/n?4;;I`:?Md43`?n6=44i4d94?=h?<0;66sm43094?4=83:p(o<5689Kf<=Oj:1b9i4?::m41?6=3th?>>4?:283>5}#j;0<46Fm9:Ja7>o2m3:17d;i:188k23=831vn95<7s-h96:64Hc;8Lg5>i0=3:17pl>9583>7<729q/n?499:Ja=>Ne;2c>h7>5;n56>5<53;294~"e:3=37El6;I`0?l3b2900e8h50;9l30<722wi=5k50;194?6|,k81;55Gb89Kf6=n=l0;66g:f;29?j122900qo<:6;296?6=8r.i>786;I`:?Md43`?o6=44o6794?=zj;?<6=4=:183!d52?30Do74Hc18m0b=831d;84?::a60>=8391<7>t$c093==Oj01Cn>5f5d83>>o2n3:17b9::188yg41;3:1>7>50z&a6?0>3Ah27El<;h7g>5<?1<75rb347>5<5290;w)l=:7;8Lg?<@k90e8j50;9l30<722wi>;;50;194?6|,k81;55Gb89Kf6=n=l0;66g:f;29?j122900qo;=:186>5<7s-h96;5Gb89Kf6=n=l0;66g:f;29?l072900e;?50;9l30<722wi9>4?:383>5}#j;0=56Fm9:Ja7>o2l3:17b9::188yg3329086=4?{%`1>1=Oj01Cn>5f5d83>>o2n3:17b9::188yg3229086=4?{%`1>1=Oj01Cn>5f5d83>>o2n3:17b9::188yg?a29096=4?{%`1>3?<@k30Do=4i4f94?=h?<0;66sma183>7<729q/n?499:Ja=>Ne;2c>h7>5;n56>5<j7>5;h43>5<?1<75rb066>5<4290;w)l=:59Kf<=Oj:1b9h4?::k6b?6=3f=>6=44}c372?6=:3:13Ah87d;k:188k23=831vn<:8:181>5<7s-h96;74Hc;8Lg5>{e9=21<7=50;2x g4=<2Bi56Fm3:k6a?6=3`?m6=44o6794?=zj8>26=4<:183!d52=1Cn45Gb29j1`<722c>j7>5;n56>5<59;294~"e:3k0Do74Hc18m0c=831b9k4?::k54?6=3`<:6=44i7094?=n>:0;66g94;29?l022900c:;50;9~f47e29026=4?{%`1>d=Oj01Cn>5f5d83>>o2n3:17d8?:188m37=831b:?4?::k57?6=3`Ne;2c>i7>5;h7e>5<?1<75rb004>5<5290;w)l=:7;8Lg?<@k90e8j50;9l30<722wi=?650;094?6|,k81:45Gb89Kf6=n=m0;66a85;29?xd6:00;6?4?:1y'f7<112Bi56Fm3:k6`?6=3f=>6=44}c31e?6==3:15;h42>5<?1<75rb2f0>5<4290;w)l=:59Kf<=Oj:1b9h4?::k6b?6=3f=>6=44}c1g0?6=:3:13Ah87d;k:188k23=831vn>j::180>5<7s-h9695Gb89Kf6=n=l0;66g:f;29?j122900qo=k6;297?6=8r.i>797;I`:?Md43`?n6=44i4d94?=h?<0;66sm3b594?5=83:p(o<54:Ja=>Ne;2c>i7>5;h7e>5<?1<75rb2a;>5<5290;w)l=:7;8Lg?<@k90e8j50;9l30<722wi?n750;194?6|,k8186Fm9:Ja7>o2m3:17d;i:188k23=831vn>mn:180>5<7s-h96:64Hc;8Lg5>i0=3:17pl6<729q/n?4;;I`:?Md43`?n6=44i4d94?=h?<0;66sm3b194?4=83:p(o<5689Kf<=Oj:1b9i4?::m41?6=3th8o94?:283>5}#j;0?7El6;I`0?l3b2900e8h50;9l30<722wi?n;50;194?6|,k81;55Gb89Kf6=n=l0;66g:f;29?j122900qo=md;297?6=8r.i>7:4Hc;8Lg5>i0=3:17pl7<729q/n?499:Ja=>Ne;2c>h7>5;n56>5<53;294~"e:3>0Do74Hc18m0c=831b9k4?::m41?6=3th8o=4?:283>5}#j;0<46Fm9:Ja7>o2m3:17d;i:188k23=831vn>l7:180>5<7s-h9695Gb89Kf6=n=l0;66g:f;29?j122900qo=m9;296?6=8r.i>786;I`:?Md43`?o6=44o6794?=zj:hj6=4<:183!d52=1Cn45Gb29j1`<722c>j7>5;n56>5<53;294~"e:3=37El6;I`0?l3b2900e8h50;9l30<722wi?o=50;194?6|,k8186Fm9:Ja7>o2m3:17d;i:188k23=831vn>l;:181>5<7s-h96;74Hc;8Lg5>{e;k?1<7=50;2x g4=<2Bi56Fm3:k6a?6=3`?m6=44o6794?=zj:h=6=4<:183!d52>20Do74Hc18m0c=831b9k4?::m41?6=3th8mh4?:283>5}#j;0?7El6;I`0?l3b2900e8h50;9l30<722wi?lh50;094?6|,k81:45Gb89Kf6=n=m0;66a85;29?xd4j90;6>4?:1y'f7<33Ah27El<;h7f>5<>{e;k;1<7=50;2x g4=?11Cn45Gb29j1`<722c>j7>5;n56>5<53;294~"e:3>0Do74Hc18m0c=831b9k4?::m41?6=3th8ml4?:383>5}#j;0=56Fm9:Ja7>o2l3:17b9::188yg5fj3:1?7>50z&a6?2<@k30Do=4i4g94?=n=o0;66a85;29?xd4ij0;6>4?:1y'f7<002Bi56Fm3:k6a?6=3`?m6=44o6794?=zj:k?6=4<:183!d52=1Cn45Gb29j1`<722c>j7>5;n56>5<52;294~"e:3<27El6;I`0?l3c2900c:;50;9~f6g129086=4?{%`1>1=Oj01Cn>5f5d83>>o2n3:17b9::188yg5f?3:1?7>50z&a6?1?3Ah27El<;h7f>5<>{e<8n1<7<50;2x g4=>01Cn45Gb29j1a<722e<97>5;|`75`<72;0;6=u+b385=>Ne12Bi?6g:d;29?j122900qo:>f;296?6=8r.i>786;I`:?Md43`?o6=44o6794?=zj=8;6=4::183!d52?1Cn45Gb29j1`<722c>j7>5;h43>5<>{e<;o1<7<50;2x g4=>01Cn45Gb29j1a<722e<97>5;|`76c<72;0;6=u+b385=>Ne12Bi?6g:d;29?j122900qo:<0;296?6=8r.i>786;I`:?Md43`?o6=44o6794?=zj=9:6=4::183!d52?1Cn45Gb29j1`<722c>j7>5;h43>5<>{e:kn1<7=50;2x g4=<2Bi56Fm3:k6a?6=3`?m6=44o6794?=zj;hn6=4=:183!d52?30Do74Hc18m0b=831d;84?::a6g`=8381<7>t$c092<=Oj01Cn>5f5e83>>i0=3:17pl=c183>6<729q/n?4;;I`:?Md43`?n6=44i4d94?=h?<0;66sm2b394?5=83:p(o<5799Kf<=Oj:1b9h4?::k6b?6=3f=>6=44}c0;`?6=;3:15;|`1<`<72;0;6=u+b385=>Ne12Bi?6g:d;29?j122900qo<7f;296?6=8r.i>786;I`:?Md43`?o6=44o6794?=zj;3;6=4<:183!d52=1Cn45Gb29j1`<722c>j7>5;n56>5<53;294~"e:3=37El6;I`0?l3b2900e8h50;9l30<722wi>l=50;194?6|,k8186Fm9:Ja7>o2m3:17d;i:188k23=831vn?o;:181>5<7s-h96;74Hc;8Lg5>{e:h?1<7=50;2x g4=<2Bi56Fm3:k6a?6=3`?m6=44o6794?=zj;k=6=4<:183!d52>20Do74Hc18m0c=831b9k4?::m41?6=3th9j<4?:283>5}#j;0?7El6;I`0?l3b2900e8h50;9l30<722wi>k<50;094?6|,k81:45Gb89Kf6=n=m0;66a85;29?xd5n:0;6>4?:1y'f7<33Ah27El<;h7f>5<>{e:l=1<7<50;2x g4=>01Cn45Gb29j1a<722e<97>5;|`1a=<72;0;6=u+b385=>Ne12Bi?6g:d;29?j122900qo7;4Hc;8Lg5>o183:17b9::188yg71>3:1>7>50z&a6?0>3Ah27El<;h7g>5<?1<75rb04a>5<5290;w)l=:7;8Lg?<@k90e8j50;9l30<722wi=;m50;094?6|,k81:45Gb89Kf6=n=m0;66a85;29?xd6>m0;6?4?:1y'f7<112Bi56Fm3:k6`?6=3f=>6=44}c35a?6==3:15;h42>5<?1<75rbg794?4=83:p(o<5689Kf<=Oj:1b9i4?::m41?6=3thm:7>52;294~"e:3<27El6;I`0?l3c2900c:;50;9~fc1=8381<7>t$c092<=Oj01Cn>5f5e83>>i0=3:17pli8;296?6=8r.i>786;I`:?Md43`?o6=44o6794?=zjo31<7<50;2x g4=>01Cn45Gb29j1a<722e<97>5;|`ee?6=:3:13Ah87d;k:188k23=831vnkl50;094?6|,k81:45Gb89Kf6=n=m0;66a85;29?xdak3:1>7>50z&a6?0>3Ah27El<;h7g>5<?1<75rbgf94??=83:p(o<5a:Ja=>Ne;2c>i7>5;h7e>5<>o1:3:17d8<:188m32=831b:84?::m41?6=3th:<=4?:283>5}#j;0?7El6;I`0?l3b2900e8h50;9l30<722wi==?50;094?6|,k81:45Gb89Kf6=n=m0;66a85;29?xd68;0;6>4?:1y'f7<33Ah27El<;h7f>5<>{e9991<7=50;2x g4=<2Bi56Fm3:k6a?6=3`?m6=44o6794?=zj;9;6=4<:183!d52=1Cn45Gb29j1`<722c>j7>5;n56>5<53;294~"e:3>0Do74Hc18m0c=831b9k4?::m41?6=3th9??4?:383>5}#j;0=56Fm9:Ja7>o2l3:17b9::188yg44;3:1>7>50z&a6?0>3Ah27El<;h7g>5<?1<75rb317>5<5290;w)l=:7;8Lg?<@k90e8j50;9l30<722wi>>;50;194?6|,k8186Fm9:Ja7>o2m3:17d;i:188k23=831vn?=9:180>5<7s-h96:64Hc;8Lg5>i0=3:17pl=3683>1<729q/n?4:;I`:?Md43`?n6=44i4d94?=n>90;66a85;29?xd5;10;6>4?:1y'f7<002Bi56Fm3:k6a?6=3`?m6=44o6794?=zj;896=4=:183!d52?30Do74Hc18m0b=831d;84?::a670=8381<7>t$c092<=Oj01Cn>5f5e83>>i0=3:17pl=2683>7<729q/n?499:Ja=>Ne;2c>h7>5;n56>5<52;294~"e:3<27El6;I`0?l3c2900c:;50;9~f74>29096=4?{%`1>3?<@k30Do=4i4f94?=h?<0;66sm23c94?4=83:p(o<5689Kf<=Oj:1b9i4?::m41?6=3th9>o4?:383>5}#j;0=56Fm9:Ja7>o2l3:17b9::188yg45k3:197>50z&a6?0<@k30Do=4i4g94?=n=o0;66g90;29?l062900c:;50;9~f74c29086=4?{%`1>1=Oj01Cn>5f5d83>>o2n3:17b9::188yg45;3:187>50z&a6?1>3Ah27El<;h7f>5<>i0=3:17pl=2583>6<729q/n?4;;I`:?Md43`?n6=44i4d94?=h?<0;66sm23794?5=83:p(o<5799Kf<=Oj:1b9h4?::k6b?6=3f=>6=44}c02g?6=;3:15;|`15a<72;0;6=u+b385=>Ne12Bi?6g:d;29?j122900qo<>e;297?6=8r.i>7:4Hc;8Lg5>i0=3:17pl=1g83>6<729q/n?488:Ja=>Ne;2c>i7>5;h7e>5<?1<75rb3f6>5<5290;w)l=:7;8Lg?<@k90e8j50;9l30<722wi>io50;194?6|,k81;55Gb89Kf6=n=l0;66g:f;29?j122900qo7:4Hc;8Lg5>i0=3:17pl=db83>7<729q/n?499:Ja=>Ne;2c>h7>5;n56>5<52;294~"e:3<27El6;I`0?l3c2900c:;50;9~f7bb29086=4?{%`1>1=Oj01Cn>5f5d83>>o2n3:17b9::188yg4cn3:1>7>50z&a6?0>3Ah27El<;h7g>5<?1<75rb3g3>5<4290;w)l=:59Kf<=Oj:1b9h4?::k6b?6=3f=>6=44}c0f5?6=;3:15;|`1`3<72:0;6=u+b387?Md>3Ah87d;j:188m0`=831d;84?::a6a1=8391<7>t$c093==Oj01Cn>5f5d83>>o2n3:17b9::188yg4c03:1?7>50z&a6?2<@k30Do=4i4g94?=n=o0;66a85;29?xd5l00;694?:1y'f7<012Bi56Fm3:k6a?6=3`?m6=44i7294?=h?<0;66sm2e394?5=83:p(o<54:Ja=>Ne;2c>i7>5;h7e>5<?1<75rb3f1>5<4290;w)l=:6:8Lg?<@k90e8k50;9j1c<722e<97>5;|`746<72;0;6=u+b385=>Ne12Bi?6g:d;29?j122900qo:?8;297?6=8r.i>7:4Hc;8Lg5>i0=3:17pl;0883>7<729q/n?499:Ja=>Ne;2c>h7>5;n56>5<;m7>52;294~"e:3<27El6;I`0?l3c2900c:;50;9~f16e29096=4?{%`1>3?<@k30Do=4i4f94?=h?<0;66sm41a94?4=83:p(o<5689Kf<=Oj:1b9i4?::m41?6=3th?5}#j;0?7El6;I`0?l3b2900e8h50;9l30<722wi8=k50;194?6|,k8186Fm9:Ja7>o2m3:17d;i:188k23=831vn9>i:180>5<7s-h96:64Hc;8Lg5>i0=3:17pl;0583>1<729q/n?4:;I`:?Md43`?n6=44i4d94?=n>90;66a85;29?xd38<0;6>4?:1y'f7<002Bi56Fm3:k6a?6=3`?m6=44o6794?=zj=:=6=4;:183!d52<1Cn45Gb29j1`<722c>j7>5;h43>5<?1<75rb524>5<4290;w)l=:6:8Lg?<@k90e8k50;9j1c<722e<97>5;|`0`<<72:0;6=u+b387?Md>3Ah87d;j:188m0`=831d;84?::a7`6=8381<7>t$c092<=Oj01Cn>5f5e83>>i0=3:17pl6<729q/n?4;;I`:?Md43`?n6=44i4d94?=h?<0;66sm3d094?4=83:p(o<5689Kf<=Oj:1b9i4?::m41?6=3th8i>4?:283>5}#j;0?7El6;I`0?l3b2900e8h50;9l30<722wi?h:50;094?6|,k81:45Gb89Kf6=n=m0;66a85;29?xd4m<0;6?4?:1y'f7<112Bi56Fm3:k6`?6=3f=>6=44}c1f2?6=;3:15;|`0a2<72:0;6=u+b387?Md>3Ah87d;j:188m0`=831d;84?::a7ag=8391<7>t$c090>Ne12Bi?6g:e;29?l3a2900c:;50;9~f6be29086=4?{%`1>2><@k30Do=4i4g94?=n=o0;66a85;29?xd4lj0;6>4?:1y'f7<33Ah27El<;h7f>5<>{e;mn1<7=50;2x g4=?11Cn45Gb29j1`<722c>j7>5;n56>5<53;294~"e:3>0Do74Hc18m0c=831b9k4?::m41?6=3th8hk4?:283>5}#j;0<46Fm9:Ja7>o2m3:17d;i:188k23=831vn:187>5<7s-h9685Gb89Kf6=n=l0;66g:f;29?l072900c:;50;9~f4c529096=4?{%`1>3?<@k30Do=4i4f94?=h?<0;66sm1d194?4=83:p(o<5689Kf<=Oj:1b9i4?::m41?6=3th:i94?:383>5}#j;0=56Fm9:Ja7>o2l3:17b9::188yg7b=3:1>7>50z&a6?0>3Ah27El<;h7g>5<?1<75rb0g5>5<5290;w)l=:7;8Lg?<@k90e8j50;9l30<722wi=h950;694?6|,k8196Fm9:Ja7>o2m3:17d;i:188m36=831d;84?::a5`>=8391<7>t$c093==Oj01Cn>5f5d83>>o2n3:17b9::188yg7b13:187>50z&a6?3<@k30Do=4i4g94?=n=o0;66g90;29?j122900qo?68;297?6=8r.i>797;I`:?Md43`?n6=44i4d94?=h?<0;66sm18;94?4=83:p(o<5689Kf<=Oj:1b9i4?::m41?6=3th:5l4?:283>5}#j;0?7El6;I`0?l3b2900e8h50;9l30<722wi=4l50;194?6|,k81;55Gb89Kf6=n=l0;66g:f;29?j122900qo?mb;296?6=8r.i>786;I`:?Md43`?o6=44o6794?=zj8hh6=4=:183!d52?30Do74Hc18m0b=831d;84?::a5gb=8391<7>t$c090>Ne12Bi?6g:e;29?l3a2900c:;50;9~f4db29086=4?{%`1>2><@k30Do=4i4g94?=n=o0;66a85;29?xd58k0;6?4?:1y'f7<112Bi56Fm3:k6`?6=3f=>6=44}c025?6=:3:13Ah87d;k:188k23=831vn??=:181>5<7s-h96;74Hc;8Lg5>{e:891<7=50;2x g4=<2Bi56Fm3:k6a?6=3`?m6=44o6794?=zj;;?6=4=:183!d52?30Do74Hc18m0b=831d;84?::a643=8381<7>t$c092<=Oj01Cn>5f5e83>>i0=3:17pl=1783>6<729q/n?488:Ja=>Ne;2c>i7>5;h7e>5<?1<75rb334>5<4290;w)l=:59Kf<=Oj:1b9h4?::k6b?6=3f=>6=44}c025;|`14f<72:0;6=u+b387?Md>3Ah87d;j:188m0`=831d;84?::a65b=83>1<7>t$c091>Ne12Bi?6g:e;29?l3a2900e;>50;9l30<722wi>=k50;694?6|,k81;45Gb89Kf6=n=l0;66g:f;29?l072900c:;50;9~f76a29086=4?{%`1>1=Oj01Cn>5f5d83>>o2n3:17b9::188yg4683:1?7>50z&a6?1?3Ah27El<;h7f>5<>{e:981<7<50;2x g4=>01Cn45Gb29j1a<722e<97>5;|`146<72:0;6=u+b384<>Ne12Bi?6g:e;29?l3a2900c:;50;9~f76329096=4?{%`1>3?<@k30Do=4i4f94?=h?<0;66sm21794?5=83:p(o<5799Kf<=Oj:1b9h4?::k6b?6=3f=>6=44}c032?6=;3:15;|`142<72:0;6=u+b387?Md>3Ah87d;j:188m0`=831d;84?::a65>=8391<7>t$c093==Oj01Cn>5f5d83>>o2n3:17b9::188yg5bi3:1>7>50z&a6?0>3Ah27El<;h7g>5<?1<75rb2d6>5<5290;w)l=:7;8Lg?<@k90e8j50;9l30<722wi?ko50;194?6|,k8186Fm9:Ja7>o2m3:17d;i:188k23=831vn>hm:181>5<7s-h96;74Hc;8Lg5>{e;oi1<7<50;2x g4=>01Cn45Gb29j1a<722e<97>5;|`0ba<72;0;6=u+b385=>Ne12Bi?6g:d;29?j122900qo=ie;296?6=8r.i>786;I`:?Md43`?o6=44o6794?=zj:lm6=4=:183!d52?30Do74Hc18m0b=831d;84?::a056=8381<7>t$c092<=Oj01Cn>5f5e83>>i0=3:17pl7<729q/n?499:Ja=>Ne;2c>h7>5;n56>5<52;294~"e:3<27El6;I`0?l3c2900c:;50;9~f6cc29086=4?{%`1>1=Oj01Cn>5f5d83>>o2n3:17b9::188yg5bm3:1?7>50z&a6?2<@k30Do=4i4g94?=n=o0;66a85;29?xd4mo0;6>4?:1y'f7<33Ah27El<;h7f>5<>{e;o:1<7=50;2x g4=<2Bi56Fm3:k6a?6=3`?m6=44o6794?=zj:l:6=4::183!d52?1Cn45Gb29j1`<722c>j7>5;h43>5<>{e;o81<7=50;2x g4=?11Cn45Gb29j1`<722c>j7>5;n56>5<53;294~"e:3=37El6;I`0?l3b2900e8h50;9l30<722wi?k:50;194?6|,k8186Fm9:Ja7>o2m3:17d;i:188k23=831vn>h9:180>5<7s-h9695Gb89Kf6=n=l0;66g:f;29?j122900qo=i7;297?6=8r.i>797;I`:?Md43`?n6=44i4d94?=h?<0;66sm3g:94?5=83:p(o<54:Ja=>Ne;2c>i7>5;h7e>5<?1<75rb2d:>5<4290;w)l=:6:8Lg?<@k90e8k50;9j1c<722e<97>5;|`03d<72:0;6=u+b387?Md>3Ah87d;j:188m0`=831d;84?::a72`=8391<7>t$c093==Oj01Cn>5f5d83>>o2n3:17b9::188yg5?83:1>7>50z&a6?0>3Ah27El<;h7g>5<?1<75rb2:2>5<5290;w)l=:7;8Lg?<@k90e8j50;9l30<722wi?5<50;094?6|,k81:45Gb89Kf6=n=m0;66a85;29?xd40:0;6?4?:1y'f7<112Bi56Fm3:k6`?6=3f=>6=44}c1;0?6=:3:13Ah87d;k:188k23=831vn>6::180>5<7s-h96:64Hc;8Lg5>i0=3:17pl<8783>6<729q/n?4;;I`:?Md43`?n6=44i4d94?=h?<0;66sm36`94?5=83:p(o<54:Ja=>Ne;2c>i7>5;h7e>5<?1<75rb25`>5<4290;w)l=:6:8Lg?<@k90e8k50;9j1c<722e<97>5;|`03a<72:0;6=u+b384<>Ne12Bi?6g:e;29?l3a2900c:;50;9~f61b290?6=4?{%`1>0=Oj01Cn>5f5d83>>o2n3:17d8?:188k23=831vn<5<7s-h96;74Hc;8Lg5>{e9;n1<7=50;2x g4=<2Bi56Fm3:k6a?6=3`?m6=44o6794?=zj88n6=4=:183!d52?30Do74Hc18m0b=831d;84?::a57`=8381<7>t$c092<=Oj01Cn>5f5e83>>i0=3:17pl>3183>6<729q/n?4;;I`:?Md43`?n6=44i4d94?=h?<0;66sm12394?5=83:p(o<54:Ja=>Ne;2c>i7>5;h7e>5<?1<75rb011>5<4290;w)l=:6:8Lg?<@k90e8k50;9j1c<722e<97>5;|`276<72:0;6=u+b387?Md>3Ah87d;j:188m0`=831d;84?::a704=8391<7>t$c090>Ne12Bi?6g:e;29?l3a2900c:;50;9~f63c29096=4?{%`1>3?<@k30Do=4i4f94?=h?<0;66sm37694?5=83:p(o<54:Ja=>Ne;2c>i7>5;h7e>5<?1<75rb246>5<5290;w)l=:7;8Lg?<@k90e8j50;9l30<722wi?;850;094?6|,k81:45Gb89Kf6=n=m0;66a85;29?xd4>>0;6?4?:1y'f7<112Bi56Fm3:k6`?6=3f=>6=44}c153Ah87d;k:188k23=831vn>86:181>5<7s-h96;74Hc;8Lg5>{e;?k1<7<50;2x g4=>01Cn45Gb29j1a<722e<97>5;|`016<72;0;6=u+b385=>Ne12Bi?6g:d;29?j122900qo=:4;296?6=8r.i>786;I`:?Md43`?o6=44o6794?=zj:?>6=4=:183!d52?30Do74Hc18m0b=831d;84?::a700=8381<7>t$c092<=Oj01Cn>5f5e83>>i0=3:17pl<5683>6<729q/n?4;;I`:?Md43`?n6=44i4d94?=h?<0;66sm34:94?5=83:p(o<54:Ja=>Ne;2c>i7>5;h7e>5<?1<75rb27:>5<3290;w)l=:6;8Lg?<@k90e8k50;9j1c<722c=<7>5;n56>5<m7>56;294~"e:3=0Do74Hc18m0c=831b9k4?::k54?6=3`<:6=44i7094?=h?<0;66sm34`94?5=83:p(o<5799Kf<=Oj:1b9h4?::k6b?6=3f=>6=44}c16g?6=<3:15;n56>5<i7>53;294~"e:3=37El6;I`0?l3b2900e8h50;9l30<722wi?8h50;194?6|,k8186Fm9:Ja7>o2m3:17d;i:188k23=831vn>8?:180>5<7s-h9695Gb89Kf6=n=l0;66g:f;29?j122900qo=91;297?6=8r.i>797;I`:?Md43`?n6=44i4d94?=h?<0;66sm37094?5=83:p(o<54:Ja=>Ne;2c>i7>5;h7e>5<?1<75rb240>5<4290;w)l=:6:8Lg?<@k90e8k50;9j1c<722e<97>5;|`0<<<72;0;6=u+b385=>Ne12Bi?6g:d;29?j122900qo=64;296?6=8r.i>786;I`:?Md43`?o6=44o6794?=zj:3h6=4=:183!d52?30Do74Hc18m0b=831d;84?::a7t$c092<=Oj01Cn>5f5e83>>i0=3:17pl<9d83>7<729q/n?499:Ja=>Ne;2c>h7>5;n56>5<53;294~"e:3>0Do74Hc18m0c=831b9k4?::m41?6=3th8m=4?:383>5}#j;0=56Fm9:Ja7>o2l3:17b9::188yg5f93:1>7>50z&a6?0>3Ah27El<;h7g>5<?1<75rb2c1>5<5290;w)l=:7;8Lg?<@k90e8j50;9l30<722wi?5o50;094?6|,k81:45Gb89Kf6=n=m0;66a85;29?xd40k0;6?4?:1y'f7<112Bi56Fm3:k6`?6=3f=>6=44}c1;g?6=:3:13Ah87d;k:188k23=831vn>6k:181>5<7s-h96;74Hc;8Lg5>{e;1o1<7=50;2x g4=<2Bi56Fm3:k6a?6=3`?m6=44o6794?=zj:2m6=4;:183!d52<1Cn45Gb29j1`<722c>j7>5;h43>5<?1<75rb2;3>5<4290;w)l=:59Kf<=Oj:1b9h4?::k6b?6=3f=>6=44}c1:5?6=<3:15;n56>5<7>53;294~"e:3=37El6;I`0?l3b2900e8h50;9l30<722wi?4=50;194?6|,k81;55Gb89Kf6=n=l0;66g:f;29?j122900qo=65;290?6=8r.i>7;4Hc;8Lg5>o183:17b9::188yg5>>3:1?7>50z&a6?2<@k30Do=4i4g94?=n=o0;66a85;29?xd41>0;6>4?:1y'f7<002Bi56Fm3:k6a?6=3`?m6=44o6794?=zj:336=4;:183!d52<1Cn45Gb29j1`<722c>j7>5;h43>5<?1<75rb2;:>5<4290;w)l=:6:8Lg?<@k90e8k50;9j1c<722e<97>5;|`0=d<72:0;6=u+b387?Md>3Ah87d;j:188m0`=831d;84?::a7t$c093==Oj01Cn>5f5d83>>o2n3:17b9::188yg73j3:197>50z&a6?0<@k30Do=4i4g94?=n=o0;66g90;29?l062900c:;50;9~f42d29086=4?{%`1>1=Oj01Cn>5f5d83>>o2n3:17b9::188yg73l3:1?7>50z&a6?d?3Ah27El<;h7f>5<>{e9=o1<7<50;2x g4=>01Cn45Gb29j1a<722e<97>5;|`20c<72;0;6=u+b385=>Ne12Bi?6g:d;29?j122900qo?:0;297?6=8r.i>7:4Hc;8Lg5>i0=3:17pl>5083>6<729q/n?4;;I`:?Md43`?n6=44i4d94?=h?<0;66sm14094?2=83:p(o<55:Ja=>Ne;2c>i7>5;h7e>5<>{e9li1<7<50;2x g4=>01Cn45Gb29j1a<722e<97>5;|`2aa<72;0;6=u+b385=>Ne12Bi?6g:d;29?j122900qo?je;296?6=8r.i>786;I`:?Md43`?o6=44o6794?=zj8om6=4=:183!d52?30Do74Hc18m0b=831d;84?::a5c6=8381<7>t$c092<=Oj01Cn>5f5e83>>i0=3:17pl>f083>2<729q/n?47;I`:?Md43`?n6=44i4d94?=n>90;66g91;29?l052900e;=50;9l30<722wi>;o50;094?6|,k81:45Gb89Kf6=n=m0;66a85;29?xd5>k0;6?4?:1y'f7<112Bi56Fm3:k6`?6=3f=>6=44}c05g?6=:3:13Ah87d;k:188k23=831vn?8k:181>5<7s-h96;74Hc;8Lg5>{e:?o1<7<50;2x g4=>01Cn45Gb29j1a<722e<97>5;|`12c<72?0;6=u+b384?Md>3Ah87d;j:188m0`=831b:=4?::k55?6=3`<96=44o6794?=zj;=;6=4<:183!d52>20Do74Hc18m0c=831b9k4?::m41?6=3th9;?4?:483>5}#j;0=7El6;I`0?l3b2900e8h50;9j25<722c==7>5;n56>5<53;294~"e:3>0Do74Hc18m0c=831b9k4?::m41?6=3th9;94?:383>5}#j;0=56Fm9:Ja7>o2l3:17b9::188yg40=3:1>7>50z&a6?0>3Ah27El<;h7g>5<?1<75rb355>5<4290;w)l=:59Kf<=Oj:1b9h4?::k6b?6=3f=>6=44}c043?6=;3:15;|`13=<72:0;6=u+b387?Md>3Ah87d;j:188m0`=831d;84?::a550=8381<7>t$c092<=Oj01Cn>5f5e83>>i0=3:17pl>0983>7<729q/n?499:Ja=>Ne;2c>h7>5;n56>5<52;294~"e:3<27El6;I`0?l3c2900c:;50;9~f46f29096=4?{%`1>3?<@k30Do=4i4f94?=h?<0;66sm11`94?4=83:p(o<5689Kf<=Oj:1b9i4?::m41?6=3th:5}#j;0=56Fm9:Ja7>o2l3:17b9::188yg77l3:1>7>50z&a6?0>3Ah27El<;h7g>5<?1<75rb02f>5<5290;w)l=:7;8Lg?<@k90e8j50;9l30<722wi==h50;:94?6|,k8156Fm9:Ja7>o2m3:17d;i:188m36=831b:<4?::k56?6=3`<86=44i7694?=h?<0;66sm11594?5=83:p(o<5799Kf<=Oj:1b9h4?::k6b?6=3f=>6=44}c0a5?6=;3:15;|`1f7<72:0;6=u+b384<>Ne12Bi?6g:e;29?l3a2900c:;50;9~f7d429096=4?{%`1>3?<@k30Do=4i4f94?=h?<0;66sm2c694?4=83:p(o<5689Kf<=Oj:1b9i4?::m41?6=3th9n84?:283>5}#j;0?7El6;I`0?l3b2900e8h50;9l30<722wi>o850;694?6|,k8196Fm9:Ja7>o2m3:17d;i:188m36=831d;84?::a613=8381<7>t$c092<=Oj01Cn>5f5e83>>i0=3:17pl=4783>7<729q/n?499:Ja=>Ne;2c>h7>5;n56>5<53;294~"e:3=37El6;I`0?l3b2900e8h50;9l30<722wi>9650;194?6|,k8186Fm9:Ja7>o2m3:17d;i:188k23=831vn<=::181>5<7s-h96;74Hc;8Lg5>{e9:i1<7<50;2x g4=>01Cn45Gb29j1a<722e<97>5;|`27a<72;0;6=u+b385=>Ne12Bi?6g:d;29?j122900qo?786;I`:?Md43`?o6=44o6794?=zj89m6=4<:183!d52=1Cn45Gb29j1`<722c>j7>5;n56>5<52;294~"e:3<27El6;I`0?l3c2900c:;50;9~f42629096=4?{%`1>3?<@k30Do=4i4f94?=h?<0;66sm15094?4=83:p(o<5689Kf<=Oj:1b9i4?::m41?6=3th:8>4?:283>5}#j;0?7El6;I`0?l3b2900e8h50;9l30<722wi=>850;194?6|,k8186Fm9:Ja7>o2m3:17d;i:188k23=831vn<=8:180>5<7s-h9695Gb89Kf6=n=l0;66g:f;29?j122900qo?<8;297?6=8r.i>797;I`:?Md43`?n6=44i4d94?=h?<0;66sm12;94?5=83:p(o<54:Ja=>Ne;2c>i7>5;h7e>5<?1<75rb01b>5<4290;w)l=:6:8Lg?<@k90e8k50;9j1c<722e<97>5;|`27g<72=0;6=u+b386?Md>3Ah87d;j:188m0`=831b:=4?::m41?6=3th9io4?:383>5}#j;0=56Fm9:Ja7>o2l3:17b9::188yg4bk3:1>7>50z&a6?0>3Ah27El<;h7g>5<?1<75rb3gg>5<5290;w)l=:7;8Lg?<@k90e8j50;9l30<722wi>hk50;094?6|,k81:45Gb89Kf6=n=m0;66a85;29?xd5mo0;684?:1y'f7<13Ah27El<;h7f>5<>o193:17b9::188yg5313:1>7>50z&a6?0>3Ah27El<;h7g>5<?1<75rb26b>5<5290;w)l=:7;8Lg?<@k90e8j50;9l30<722wi?9l50;094?6|,k81:45Gb89Kf6=n=m0;66a85;29?xd46=44}c17`?6==3:15;h42>5<?1<75rb26f>5<4290;w)l=:6:8Lg?<@k90e8k50;9j1c<722e<97>5;|`0gf<72;0;6=u+b385=>Ne12Bi?6g:d;29?j122900qo=ld;296?6=8r.i>786;I`:?Md43`?o6=44o6794?=zj:in6=4;:183!d52<1Cn45Gb29j1`<722c>j7>5;h43>5<?1<75rb2ae>5<4290;w)l=:6:8Lg?<@k90e8k50;9j1c<722e<97>5;|`0`5<72:0;6=u+b387?Md>3Ah87d;j:188m0`=831d;84?::a7a7=8391<7>t$c093==Oj01Cn>5f5d83>>o2n3:17b9::188yg4?93:1>7>50z&a6?0>3Ah27El<;h7g>5<?1<75rb3:1>5<5290;w)l=:7;8Lg?<@k90e8j50;9l30<722wi>5=50;094?6|,k81:45Gb89Kf6=n=m0;66a85;29?xd50=0;6?4?:1y'f7<112Bi56Fm3:k6`?6=3f=>6=44}c0;1?6==3:15;h42>5<?1<75rb3:5>5<4290;w)l=:6:8Lg?<@k90e8k50;9j1c<722e<97>5;|`11d<72;0;6=u+b385=>Ne12Bi?6g:d;29?j122900qo<:b;296?6=8r.i>786;I`:?Md43`?o6=44o6794?=zj;?h6=4=:183!d52?30Do74Hc18m0b=831d;84?::a60b=8391<7>t$c093==Oj01Cn>5f5d83>>o2n3:17b9::188yg42m3:187>50z&a6?3<@k30Do=4i4g94?=n=o0;66g90;29?j122900qo<:f;297?6=8r.i>7:4Hc;8Lg5>i0=3:17pl=6183>6<729q/n?488:Ja=>Ne;2c>i7>5;h7e>5<?1<75rb36e>5<5290;w)l=:7;8Lg?<@k90e8j50;9l30<722wi>8>50;094?6|,k81:45Gb89Kf6=n=m0;66a85;29?xd5=80;6?4?:1y'f7<112Bi56Fm3:k6`?6=3f=>6=44}c066?6=;3:15;|`116<72:0;6=u+b384<>Ne12Bi?6g:e;29?l3a2900c:;50;9~f4`f29096=4?{%`1>3?<@k30Do=4i4f94?=h?<0;66sm1g`94?4=83:p(o<5689Kf<=Oj:1b9i4?::m41?6=3th:jn4?:383>5}#j;0=56Fm9:Ja7>o2l3:17b9::188yg7al3:1>7>50z&a6?0>3Ah27El<;h7g>5<?1<75rb0df>5<5290;w)l=:7;8Lg?<@k90e8j50;9l30<722wi=kh50;794?6|,k81:6Fm9:Ja7>o2m3:17d;i:188m36=831b:<4?::m41?6=3th9<=4?:283>5}#j;0<46Fm9:Ja7>o2m3:17d;i:188k23=831vn5<7s-h9695Gb89Kf6=n=l0;66g:f;29?j122900qo?>7;296?6=8r.i>786;I`:?Md43`?o6=44o6794?=zj8;36=4<:183!d52>20Do74Hc18m0c=831b9k4?::m41?6=3th8:i4?:283>5}#j;0?7El6;I`0?l3b2900e8h50;9l30<722wi?;k50;194?6|,k81;55Gb89Kf6=n=l0;66g:f;29?j122900qo=9f;296?6=8r.i>786;I`:?Md43`?o6=44o6794?=zj:=;6=4=:183!d52?30Do74Hc18m0b=831d;84?::a727=8381<7>t$c092<=Oj01Cn>5f5e83>>i0=3:17pl<7383>6<729q/n?4;;I`:?Md43`?n6=44i4d94?=h?<0;66sm36194?2=83:p(o<55:Ja=>Ne;2c>i7>5;h7e>5<>{e;>>1<7=50;2x g4=?11Cn45Gb29j1`<722c>j7>5;n56>5<53;294~"e:3=37El6;I`0?l3b2900e8h50;9l30<722wi=4?:1y'f7<33Ah27El<;h7f>5<>{e9;;1<7<50;2x g4=>01Cn45Gb29j1a<722e<97>5;|`267<72:0;6=u+b387?Md>3Ah87d;j:188m0`=831d;84?::a575=8391<7>t$c090>Ne12Bi?6g:e;29?l3a2900c:;50;9~f44329086=4?{%`1>1=Oj01Cn>5f5d83>>o2n3:17b9::188yg75=3:197>50z&a6?1f3Ah27El<;h7f>5<>o193:17b9::188yg25=3:1>7>50z&a6?0>3Ah27El<;h7g>5<?1<75rb505>5<5290;w)l=:7;8Lg?<@k90e8j50;9l30<722wi8?950;094?6|,k81:45Gb89Kf6=n=m0;66a85;29?xd3:10;694?:1y'f7<012Bi56Fm3:k6a?6=3`?m6=44i7294?=h?<0;66sm43;94?5=83:p(o<54:Ja=>Ne;2c>i7>5;h7e>5<?1<75rb21;>5<4290;w)l=:59Kf<=Oj:1b9h4?::k6b?6=3f=>6=44}c10a?6=;3:13Ah87d;j:188m0`=831d;84?::a76`=83?1<7>t$c092>Ne12Bi?6g:e;29?l3a2900e;>50;9j24<722e<97>5;|`005<72;0;6=u+b385=>Ne12Bi?6g:d;29?j122900qo=;1;296?6=8r.i>786;I`:?Md43`?o6=44o6794?=zj:>96=4=:183!d52?30Do74Hc18m0b=831d;84?::a715=8381<7>t$c092<=Oj01Cn>5f5e83>>i0=3:17pl<4583>7<729q/n?499:Ja=>Ne;2c>h7>5;n56>5<53;294~"e:3>0Do74Hc18m0c=831b9k4?::m41?6=3th8?44?:483>5}#j;0=7El6;I`0?l3b2900e8h50;9j25<722c==7>5;n56>5<53;294~"e:3>0Do74Hc18m0c=831b9k4?::m41?6=3th8?o4?:283>5}#j;0<46Fm9:Ja7>o2m3:17d;i:188k23=831vn>=l:180>5<7s-h9695Gb89Kf6=n=l0;66g:f;29?j122900qo=7:4Hc;8Lg5>i0=3:17pl=9683>7<729q/n?499:Ja=>Ne;2c>h7>5;n56>5<53;294~"e:3=37El6;I`0?l3b2900e8h50;9l30<722wi>4o50;194?6|,k8186Fm9:Ja7>o2m3:17d;i:188k23=831vn?7m:181>5<7s-h96;74Hc;8Lg5>{e:0i1<7<50;2x g4=>01Cn45Gb29j1a<722e<97>5;|`1=a<72:0;6=u+b387?Md>3Ah87d;j:188m0`=831d;84?::a6t$c090>Ne12Bi?6g:e;29?l3a2900c:;50;9~f7?a29086=4?{%`1>2><@k30Do=4i4g94?=n=o0;66a85;29?xd5i90;6>4?:1y'f7<33Ah27El<;h7f>5<>{e:021<7=50;2x g4=?11Cn45Gb29j1`<722c>j7>5;n56>5<52;294~"e:3<27El6;I`0?l3c2900c:;50;9~f7e>29096=4?{%`1>3?<@k30Do=4i4f94?=h?<0;66sm2bc94?4=83:p(o<5689Kf<=Oj:1b9i4?::m41?6=3th9oo4?:283>5}#j;0?7El6;I`0?l3b2900e8h50;9l30<722wi>nm50;194?6|,k81;55Gb89Kf6=n=l0;66g:f;29?j122900qo7:4Hc;8Lg5>i0=3:17pl=cd83>6<729q/n?488:Ja=>Ne;2c>i7>5;h7e>5<?1<75rb3c;>5<4290;w)l=:59Kf<=Oj:1b9h4?::k6b?6=3f=>6=44}c0b=?6=:3:13Ah87d;k:188k23=831vn?on:181>5<7s-h96;74Hc;8Lg5>{e:hh1<7<50;2x g4=>01Cn45Gb29j1a<722e<97>5;|`1ef<72:0;6=u+b387?Md>3Ah87d;j:188m0`=831d;84?::a6db=8391<7>t$c093==Oj01Cn>5f5d83>>o2n3:17b9::188yg4fm3:187>50z&a6?3<@k30Do=4i4g94?=n=o0;66g90;29?j122900qo?75;296?6=8r.i>786;I`:?Md43`?o6=44o6794?=zj82=6=4=:183!d52?30Do74Hc18m0b=831d;84?::a5=1=8381<7>t$c092<=Oj01Cn>5f5e83>>i0=3:17pl>8983>7<729q/n?499:Ja=>Ne;2c>h7>5;n56>5<52;294~"e:3<27El6;I`0?l3c2900c:;50;9~f4>f29086=4?{%`1>2><@k30Do=4i4g94?=n=o0;66a85;29?xd60k0;6>4?:1y'f7<002Bi56Fm3:k6a?6=3`?m6=44o6794?=zj82h6=49:183!d52>1Cn45Gb29j1`<722c>j7>5;h43>5<>i0=3:17pl>8e83>6<729q/n?488:Ja=>Ne;2c>i7>5;h7e>5<?1<75rbd594?2=83:p(o<55:Ja=>Ne;2c>i7>5;h7e>5<>{elo0;694?:1y'f7<23Ah27El<;h7f>5<>i0=3:17plj3;290?6=8r.i>7;4Hc;8Lg5>o183:17b9::188yg2>29096=4?{%`1>31<@k30Do=4i4f94?=h?<0;66sm2gf94?4=83:p(o<5669Kf<=Oj:1b9i4?::m41?6=3th8>n4?:383>5}#j;0=;6Fm9:Ja7>o2l3:17b9::188yg57>3:1>7>50z&a6?003Ah27El<;h7g>5<?1<75rb205>5<5290;w)l=:758Lg?<@k90e8j50;9l30<722wi?<>50;094?6|,k81::5Gb89Kf6=n=m0;66a85;29?xd4910;6?4?:1y'f7<1?2Bi56Fm3:k6`?6=3f=>6=44}c100?6=:3:13Ah87d;k:188k23=831vn>?n:181>5<7s-h96;94Hc;8Lg5>{e<<0;6?4?:1y'f7<1?2Bi56Fm3:k6`?6=3f=>6=44}c133Ah87d;k:188k23=831vn9950;094?6|,k81::5Gb89Kf6=n=m0;66a85;29?xd203:1>7>50z&a6?003Ah27El<;h7g>5<?1<75rb215>5<5290;w)l=:758Lg?<@k90e8j50;9l30<722wi?<4?:383>5}#j;0=;6Fm9:Ja7>o2l3:17b9::188yg5729096=4?{%`1>31<@k30Do=4i4f94?=h?<0;66sm2g83>7<729q/n?497:Ja=>Ne;2c>h7>5;n56>5<Ne12Bi?6g:d;29?j122900qo5<7s-h96;94Hc;8Lg5>{e:h0;6?4?:1y'f7<1?2Bi56Fm3:k6`?6=3f=>6=44}c0:>5<5290;w)l=:758Lg?<@k90e8j50;9l30<722wi>54?:383>5}#j;0=;6Fm9:Ja7>o2l3:17b9::188yg4029096=4?{%`1>31<@k30Do=4i4f94?=h?<0;66sm2783>7<729q/n?497:Ja=>Ne;2c>h7>5;n56>5<6=4=:183!d52?=0Do74Hc18m0b=831d;84?::a61<72;0;6=u+b3853>Ne12Bi?6g:d;29?j122900qo<<:181>5<7s-h96;94Hc;8Lg5>{e;00;6?4?:1y'f7<1?2Bi56Fm3:k6`?6=3f=>6=44}c1;>5<5290;w)l=:758Lg?<@k90e8j50;9l30<722wi?:4?:383>5}#j;0=;6Fm9:Ja7>o2l3:17b9::188yg5129096=4?{%`1>31<@k30Do=4i4f94?=h?<0;66sm3483>7<729q/n?497:Ja=>Ne;2c>h7>5;n56>5<Ne12Bi?6g:d;29?j122900qo==:181>5<7s-h96;94Hc;8Lg5>{e:m0;6?4?:1y'f7<1?2Bi56Fm3:k6`?6=3f=>6=44}c`2>5<5290;w)l=:758Lg?<@k90e8j50;9l30<722win=4?:383>5}#j;0=;6Fm9:Ja7>o2l3:17b9::188yg57m3:1>7>50z&a6?113Ah27El<;h7g>5<?1<75rb5194?4=83:p(o<5779Kf<=Oj:1b9i4?::m41?6=3th8??4?:383>5}#j;0<:6Fm9:Ja7>o2l3:17b9::188yg55i3:1>7>50z&a6?113Ah27El<;h7g>5<?1<75rb207>5<5290;w)l=:648Lg?<@k90e8j50;9l30<722wi??>50;094?6|,k81;;5Gb89Kf6=n=m0;66a85;29?xd48j0;6?4?:1y'f7<0>2Bi56Fm3:k6`?6=3f=>6=44}c13e?6=:3:13Ah87d;k:188k23=831vn?hi:180>5<7s-h96:94Hc;8Lg5>i0=3:17pl<0383>7<729q/n?486:Ja=>Ne;2c>h7>5;n56>5<;6=4=:183!d52><0Do74Hc18m0b=831d;84?::a774=8381<7>t$c0933=Oj01Cn>5f5e83>>i0=3:17pl<2d83>6<729q/n?487:Ja=>Ne;2c>h7>5;h75>5<?1<75rb23f>5<5290;w)l=:648Lg?<@k90e8j50;9l30<722wi>k650;094?6|,k81;;5Gb89Kf6=n=m0;66a85;29?xd5nk0;6?4?:1y'f7<0>2Bi56Fm3:k6`?6=3f=>6=44}c113Ah87d;k:188k23=831vn>?=:181>5<7s-h96:84Hc;8Lg5>{e;8i1<7=50;2x g4=?>1Cn45Gb29j1a<722c>:7>5;n56>5<53;294~"e:3=<7El6;I`0?l3c2900e8850;9l30<722wi?<:50;094?6|,k81;;5Gb89Kf6=n=m0;66a85;29?xd49?0;6?4?:1y'f7<0>2Bi56Fm3:k6`?6=3f=>6=44}c130?6=:3:13Ah87d;k:188k23=831vn4=50;094?6|,k81;;5Gb89Kf6=n=m0;66a85;29?xd>:3:1>7>50z&a6?113Ah27El<;h7g>5<?1<75rb8a94?4=83:p(o<5779Kf<=Oj:1b9i4?::m41?6=3th2n7>52;294~"e:3==7El6;I`0?l3c2900c:;50;9~ft$c0933=Oj01Cn>5f5e83>>i0=3:17pl69;296?6=8r.i>799;I`:?Md43`?o6=44o6794?=zj021<7<50;2x g4=??1Cn45Gb29j1a<722e<97>5;|`:3?6=:3:13Ah87d;k:188k23=831vn4850;094?6|,k81;;5Gb89Kf6=n=m0;66a85;29?xd>=3:1>7>50z&a6?113Ah27El<;h7g>5<?1<75rb8694?4=83:p(o<5779Kf<=Oj:1b9i4?::m41?6=3th2=7>52;294~"e:3==7El6;I`0?l3c2900c:;50;9~wg<72;qUn63=3;7g?xud2909wSm4=3691a=z{m0;6?uQd:?11?3c3tyn6=4={_g8970==m1vk4?:3y]b>;5?3?o7p}>0;296~X6827947;k;|q25?6=:rT:=63=9;7g?xu6:3:1>vP>2:?1e?3c3ty:?7>52z\27>;5j3?o7p}>4;296~X6<279o7;k;|q21?6=:rT:963=d;7g?xu6>3:1>vP>6:?1a?3c3ty:;7>52z\23>;5n3?o7p}>8;296~X60278<7;k;|q2e?6=:rT:m63<1;7g?xu6j3:1>vP>b:?06?3c3ty:o7>52z\2g>;4;3?o7p}>d;296~X6l27887;k;|q2a?6=:rT:i63<5;7g?xu6n3:1>vP>f:?02?3c3ty9<7>52z\14>;4?3?o7p}=1;296~X5927847;k;|q16?6=:rT9>63<9;7g?xu5;3:19v3>1`854>;4i?0>i63<3g86a>;4<90>h63=3;56?xu5<3:19v3>1`855>;4ik0>i63<3g86b>;4<80>h63=4;56?xu5=3:19v3>1`856>;4j90>i63<3g854>;4<;0>h63=5;56?xu5>3:19v3>1`857>;4j<0>i63>1d86a>;4;10>j63=6;56?xu5?3:1:v3>1`850>;4jh0>i63>1d86b>;4;o0==63<4286`>;5?3=>7p}=8;290~;69h0=963;4;10>i63=8;56?xu513:18v3>1c86a>;4k=0>i63>4c86b>;513=>7p}=a;290~;69k0>j63;6i63=a;56?xu5j3:18v3>1c854>;6;5j3=>7p}=c;291~;69k0==63;6?0q~3?o70?>b;40?87503?o70?=d;7e?873k3?m70?;1;7g?87593?o70>50;`x94222?50;`x942022<50;0x96g>2<5749~w65=838p1>oj:4d8965=?<1v>:50;0x96d42:5749~w63=838p1>l7:4d8963=?<1v>850;0x96dc285749~w61=838p1>m=:4d8961=?<1v>650;6x947f2m8:4d8965b265749~w6?=83>p1=j:4d896?=?<1v>o50;0g853>3>o70=;7;6g?851j3>o70o70?84;6g?87013>o70?92;6g?871?3>o70?>0;6g?877<3>o70?>1;6g?872=3?n7070?>3;7g?872?3?o703?m70=j1;7e?85aj3?o70=i1;7f?85?93?o70=96;7g?852i3?m70=n2;7g?85>=3?m70??e;7g?84e93?n70=;c;7g?84?<3?o70?>7;7g?85093?o70<6a;7e?84di3?o707;k;<`3>23<5=:19i5rs5394?4|V=;019=5749~w15=838p19<5749>06<2l2wx894?:3y]01=:<<0>h6s|4483>4>|58k?6>j4=345>6b<58h26>j4=0`e>6b<58i96>j4=0a6>6b<58i36>j4=36g>6b<5;:26>j4=0;5>6b<58l=6>j4=0d0>6b<5;9h6>j4=0c2>6b<5;>;6>j4=0`2>6b<58oj6>j4=0cb>6b<58ko6>j4=33b>6b<5;8;6>j4=30f>6b<58k;68j4=57930=z{=<1<7e1<4l27=n7=k;<4f>6b<5<:1?i529e80`>;60o08h63>0580`>;69808h63i0;1g?876839o70?9f;1g?870<39o70?89;1g?871:39o70?97;1g?87?939o70j4=e397a=:l;08h63k3;1g?872;39o70?:6;1g?840139o70<65;1g?853>39o70=88;1g?85??39o70=:0;1g?826>39o70<7a;1g?84ej39o70<7b;1g?84f939o70:39o70?0q~:7:181[2?34>268j4}r6:>5<2s4;m87;k;<006?3c3489m7;k;<3ea?3c34>26:;4}r73>5<4s4?;65<4=9391a=:91=19i5rs4394?4|5<:1?h5254841>{t=;0;6?u253841>;2=3?n7p}:3;296~;2;3=>70;;:4d8yv332909w0;;:678903==o1v8950;0xZ01<5<219i5rs4:94?4|58l969j4=4:930=z{?<1<7=t=0:0>0`<583968k4=03`>231b<5<81:<5rs7a94?4|5?h18i526e841>{t>l0;6?u26d8;6>;2<3?n7p}81;295a}:jh0>n63:0;6b?8?c2=k01<6i:5c894632=k01:5c89c6=630=54`9>53`=52?=531=6g1=:j54`9>6f0=:o54`9>73d=500=ol54`9>6=d=l?54`9>6f4=4<54`9>6d`=?7:k;|q47?6=:r78<=485:?2e<<2n2wx4=4?:3y><4<0=2785290841>{t0=0;6?uQ859>=7<0=2wx4;4?:3y]<3=:1:0<96s|8683>7}Y0>1659485:p<=<72;qU455294841>{t000;6?uQ889>=3<0=2wx4l4?:3y]0<96s|8c83>7}Y0k1655485:p{t0m0;6?uQ8e9>=d<0=2wx4h4?:3y]<`=:1k0<96s|8g83>7}Y0o165n485:p=5<7288p19?::4g891632k<:4d896`b2km:4f8961f26?:4f894542>?01>88:4f8963227k:4f896g626j:4g8962c2mk:4f896eb2?:01>8j:4d891412:18185f?3=>707>:4f8yv?32909w0=nc;56?8?32707::4f8yv?12909w0=m6;56?8?127078:4f8yv??2909w0=l0;56?8??27076:4f8yv?f2909w0=la;56?8?f2707m:4f8yv?d2909w0=k6;56?8?d2e4<0=2wx5k4?:3y>=c<0=27j=7;j;|qb4?6=:r7j<79:;36=4<5h918i525386b>;f83?o7p}n3;290~;f;32970o;:5f8904==l165k4:d:pe1<72:q6m9472:?66?0734k:68h4}rc6>5<5s4>9m7;k;<30f?123tyj:7>53z?233<2l27:4=4:e:?1a<<0=2wxm:4?:2y>043=?<16=:?55e9>5=0==m1vl650;4x94642>?019>7:4g8916a2k>:4g896>328k:4d8yvgf2909w0m=:4f8942>2>?0q~ol:181[gd34h;68j4}rcg>5<5sWko70l>:4f8yvd6290?w0?<5;7g?874n3?n70?>f;7g?8d62>?0q~m>:1808e42>?01<8::4g8940c2?01n=55g9~wf2=83=p1nm5839>`1<3m27on7;k;0`<5o?19i5211491a=:lo0=<6s|c483>3}:km03>63k4;6e?8bc255>==m16hk4:f:pg3<720b<5ml19h5rsb594?0|5jl14?52d787b>;b93?n70h7:4f8946f2=83?p1i>5839>`2<3n27m57;k;<33g?3c34o868k4}ra:>5i63ia;7g?877:3?n70=ia;7f?851<3?n70=6f;7e?877j3?o70k8:4d8yvef2902w0j=:9089a?=1`<5oi19i5211291`=:;o>19h5234d91`=:;0<19h5rsea94?4|5m?18h52de841>{tll0;69u2d787a>;b93?m70ji:6789`5=>91vh>50;0x9a1=4=d1930=z{l>1<77}:lh0?i63j7;56?xub03:1>v3lc;6g?8be2>?0q~k6:1818ec2=n01i:5a89~w`g=838p1nk54e9>`0gc<3l27o:7o6;|qfg?6=:r7o<7:k;d?1b<5m21m45rsdg94?4|5m818i52d88b=>{tmo0;6?u2d287`>;ci3k27p}i0;293~;a832970??1;7g?85bk3?o70=8f;7f?852>3?o70=6c;7g?85?i3?o7p}i1;296~;a83>o70hj:678yv`52909w0h?:2g89c5=?<1vk:50;7x9c5==o16=<:55d9>b`<2l27mh79:;<322?3b3tym97>52z?e1?1234lo68k4}rd5>5<5s4l=6:;4=gf91c=z{o=1<77}:n10<963id;42?xua13:1>v3i9;56?8`c2?80q~hn:1818`f2>?01kj5629~wcd=838p1kl5749>ba<1<2wxjn4?:3y>bf<0=27mh78:;|qeb?6=:r7:<=485:?246<2m2wx==>50;0x94662>?01<>=:4d8yv7793:1>v3>03841>;68:0>j6s|11694?4|58:?65<4=267>0b52z?241<4m27:<:485:p550=838p1<>9:678946a20g86b>{t9931<723<58:m6;>4}r33e?6=:r7:?01<>i:718yv77k3:1>v3>0b841>;68o0=>6s|11f94?4|58:o6:;4=02e>3252z?24`<0=27:<:4:f:p55`=838p1<>i:678946020;296~;69903>63l3;7f?xu6980;6?u21039<7=:98<19k5rs031>5<5s4;:=7=j;<320?123ty:=>4?:3y>545=?<16=<:55g9~w4722909w0?>0;1f?87603=>7p}>1783>7}:98<1;85210:91`=z{8;<6=4={<323?1234;:47;i;|q25<<72;q6=54e==l1v70?>c;7e?xu69m0;6?u210g930=:9;819k5rs03f>5<5s4;:j79:;<317?3a3ty:=k4?:3y>576=?<16=?:55d9~w4472909w0?=1;56?875<3?m7p}>2083>7}:9;81;85213791c=z{8896=4={<317?1234;9978?;|q266<72;q6=?:5749>573=>81v<<9:180872=3?m70?=a;56?875;3?n7p}>2683>7}:9;=1;85213c91c=z{8836=4={<3157g=>81v<70?<0;7e?xu6:j0;6?u213f930=:9:;19h5rs00g>5<5s4;9i79:;<305?3a3ty:>h4?:3y>57`=?<16=>=55g9~w44a2909w0?<0;56?874:3?n7p}>3183>7}:9:;1;85212091c=z{89:6=4={<306?1234;8?7;j;|q271<72;q6=>;5749>515==o1v<=::181874k3=>70?<6;7f?xu6;?0;6?u2125930=:9:k19k5rs014>5<5s4;8479:;<30=?3b3ty:?54?:3y>56?=?<16=>o55d9~w45>2909w0?3b83>7}:9:n1;85212491c=z{89o6=4={<30a?1234;857;i;|q27`<72;q6=>h5749>561==l1v<=i:18187383=>70?<7;7e?xu6<90;6?u2153930=:9:h19h5rs062>5<5s4;?>79:;<30f?073ty:8?4?:3y>515=?<16=>655d9~w4242909w0?<6;56?87403?m7p}>4583>7}:9=?1;85215;91`=z{8>>6=4={<372?1234;?47;j;|q203<72;q6=995749>51>==o1v<:8:18187303=>70?;9;7e?xu6u2140930=:9;819h5235791`=z{8>i6=4={<37f?1234;>>7;i;|q20f<72;q6=9m5749>507==l1v<:k:181873l3=>70?:0;7f?xu65<5s4;?j79:;<366?073ty:9=4?:3y>506=?<16=8?55g9~w4362909w0?:1;56?872:3?n7p}>5283>7}:9<914?5214490a=z{8??6=4={<367?5b34;>979:;|q213<7257c==m16=>>55d9>51b==l16=>j55e9~w43?2909w0?:6;1f?872?3=>7p}>5883>7}:9<314?5217291`=z{8?j6=4<{<36=?5c34>:47=k;<36f?123ty:9n4?:3y>50?=6083>6}:91o19h5217g930=:91i19k5rs041>5<5s4;=>76=;<352?3c3ty::>4?:3y>534=;l16=;;5749~w4032909w0?94;56?871=3?m7p}>6783>7}:9?<1;85217g91`=z{8<<6=4={<353?>534;=o7;k;|q22=<72;q6=;953d9>53g=?<1v<86:18187113=>70?9a;7e?xu6>k0;6?u217`930=:9?o19k5rs04`>5<5s4;=o79:;<35a?073ty::i4?:3y>53b=?<16=;k5609~w40a2909w0?9f;:1?87?j3?n7p}>7183>7}:9?l1?h52161930=z{8=:6=4={<345?1234;525==o1v<9;:181870<32970?8f;7g?xu6?<0;6?u216697`=:9>21;85rs055>5<5s4;<:79:;<34521=?<16=:655g9~w41>2909w0?89;:1?87?i3?n7p}>7`83>7}:9>31?h5216f930=z{8=i6=4={<34f?1234;52b==o1v<9j:18187?83=>70?78;7g?xu6?o0;6?u216d930=:91:19k5rs0:2>5<5s4;3=76=;<3:0?3c3ty:4?4?:3y>5=7=32908w0?71;1f?87?n39n70?7d;56?xu60<0;6?u2197930=:91k19k5rs0:5>5<5s4;3:79:;<3;f?3a3ty:4:4?:3y>5=1=?<16=5m5609~w4>?2909w0?78;56?87?k3<97p}>8883>7}:9131;85219f91c=z{82j6=4={<3;e?1234;3o7;j;|q25=e=>91v<6l:18187?k3=>70?7d;7f?xu60o0;6?u219d9<7=:;9o19i5rs0;3>5<5s4;3j7:k;<3:6?123ty:5<4?:3y>5<7=?<16=4<55g9~w4?42909w0?64;56?87>=3?m7p}>9783>7}:90<14?522g791a=z{83<6=4={<3:2?2c34;2n79:;|q2==<72;q6=465749>513=>70?6a;7e?xu61h0;6?u218c930=:90h19k5rs0;`>5<4s4;2h79:;<016?3c34;mm7;k;|q2=`<72;q6=4k5839>5m39o70<91;1g?842<39o70<;a;1g?843;39o70<9;1g?87e839o70?n0;56?xu6i80;6?u21`39<7=:90n19h5rs0c1>5<4s4;j=7:k;<3:a?2c34;j?79:;|q2e1<720q6=l:5839>756==?16=k655g9>61?==m16>>?55d9>6c`==?16??k5579>74e==?16?>>5579~w4g22908w0?n4;6g?87f03?n70?n9;56?xu6i?0;6?u21`697`=:9h21;85rs0c4>5<5s4;j;79:;<3b5dg=0;16=lj54e9>5d1==m1vo70?nc;56?xu6im0;6?u21`f9<7=:9h319h5rs0cf>5<4s4;jj79:;<012?3c34;mo7;k;|q2f5<72;q6=o>5839>5d`==o1v:18187e932970?nf;7f?xu6j;0;6>u21c390a=:9k:18i521c1930=z{8h?6=46{<3a=?>534;hn7:j;<3g4?3a34;n=7;j;<3f7?3c34;io7;k;<3fg?3c348=n7;k;<046?3a3ty:nl4?:3y>5g?=bb83>7}:9ki1;8521cf91c=z{8ho6=4={<3a`?1234;ii7;i;|q2f0<721q6=oh5839>5fd=55d9>5`7==o16=h<55e9>5`c==m16>;o55e9>624=>91vo70?l1;56?xu6j?0;6:u21b09<7=:9ji18k521e091`=:9l<19i521g291a=::?i19i52260924=z{8i86=4={<3`6?2c34;h879:;|q2f2<72>q6=n;5839>5fb=5`3==m16=hh55e9>63b==m16>::55e9~w4e12909w0?l5;6g?87d?3=>7p}>b983>2}:9j214?521bg90c=:9l;1:=521d691a=:9o;19k5227g91a=::>?19i5rs0a:>5<5s4;h47:k;<3`e?123ty:ok4?:2y>5fe=5a6=?<1v:18087dl3>n70?k4;7e?87c:3=>7p}>d283>7}:9jo18h521e6930=z{8n>6=4:{<3`5?3b34;h87;j;<3`3?3b34;hm7;j;<3g5a0=?<16=i655d9~w4b02909w0?k7;56?87c03?m7p}>d883>7}:9jh1m4521b391c=z{8nj6=4={<3`g?g>34;h87;i;|q2`g<72;q6=nj5a89>5f1==o1vu21ed930=:9m=19i521cg91`=z{8nn6=4={<3ga?1234;oj7;i;|q2a5<72:q6=i855e9>5`?=?<16=ol55e9~w4c62909w0?j1;56?87b03?n7p}>e383>7}:9l81;8521d591c=z{8o86=4={<3f7?1234;n;7;j;|q2a1<72;q6=h:5749>5`1=>91v70?j9;7f?xu6m?0;6?u21d4930=:9l31:=5rs0g4>5<5s4;n;79:;<3f5`>=?<16=h755g9~w4cf2908w0?ja;:1?845?3?o70?id;7g?xu6mk0;6?u21dc90a=:9o;1;85rs0g`>5<5s4;no79:;<3e5?3b3ty:ii4?:3y>5`b=?<16=k?5619~w4cb2909w0?je;56?87a93<97p}>eg83>7}:9ll1;8521g3924=z{8l;6=4={<3e4?1234;m=78<;|q2b7<72:q6=k=54e9>5c4=0;16=ik55e9~w4`42909w0?i3;:1?87cn3?n7p}>f483>7}:9o81?i521g6930=z{8l=6=4<{<3e2?>53483m7:k;<046?3b3ty:j:4?:2y>5c>=?<16=4655d9>655==l1v6;7f?847=3?n705<5s4;mn79:;<3eb?3a3ty:jn4?:3y>5ce=?<16=kh5619~w4`c2909w0?id;56?87an3<:7p}>fd83>7}:9oo1;85221291c=z{8lm6=4={<3eb?12348;<7;j;|q144<72;q6=k854e9>65>=?<1v?>=:181847:3=>705<5s48;879:;<032?3a3ty9<84?:3y>653=?<16>=955g9~w7612909w07}::9=1;85221:91`=z{;:26=4;{<03=?>5348i57;k;<04b?3a348;j7;j;|q14d<72;q6>=754e9>646=?<1v?>m:181847j3=>70<>6;7e?xu58j0;6?u221a930=::9o19k5rs32g>5<5s48;h79:;<024?3a3ty965c=?<16>=h55g9~w76a2909w07}::8;1;85220591c=z{;;96=4={<026?12348;i78?;|q156<72;q6><=5749>65b==o1v??;:181846<3=>70<>8;7e?xu59<0;6?u2207930=::9n1:=5rs335>5<5s48::79:;<03g?3a3ty9=:4?:3y>641=?<16>=k55d9~w77?2909w0<>8;56?847l3?n7p}=1883>6}::8314?521g:91`=:::;19k5rs33b>5n7;k;<06`?3b3ty9=o4?:3y>64g=c;56?846n3?n7p}=1e83>7}::8n1;85220g91c=z{;;n6=4={<02a?12348:j7;i;|q165<72lq6>?>5839>61e==l16>>>55g9>665==m16>?:55d9>64c==l16=4l55d9>65d==m16><=55g9>641==l16>=955d9>610==m16>8h55d9>61`==m1v?<>:18184583>o70<=5;56?xu5:;0;6?u2230930=::;i19h5rs300>5<5s489?79:;<010?3a3ty9>94?:3y>672=?<16>?;55d9~w7412909w0<=6;56?845k3?m7p}=2683>7}::;=1;85223a925=z{;836=4={<01;|q16<<72;q6>?75749>675==l1v?70<=3;43?xu5:k0;6?u223`930=::;n19k5rs30`>5<5s489o79:;<017?3a3ty9>i4?:3y>67b=?<16>?;55g9~w74b290mw0<=e;:1?844>3?n70<=9;7g?845l3?n70<>c;7f?846l3?o70?68;7e?846:3?o70<>8;7f?847:3?o705<5s488<79:;<00667=?<16>>;55d9~w7552909w0<<2;56?844=3?m7p}=3283>7}:::91;85222591`=z{;9?6=4={<000?123488;78?;|q170<72;q6>>;5749>660==o1v?=9:181844>3=>70<<7;7e?xu5;>0;6?u2225930=:::219k5rs31:>5<4s488m79:;<01>l5839>66g==o1v?=l:181844k32970<;6=:0?m63=3d841>{t::l1<7=4<5;=868h4}r074?6=:r798=472:?136<2m2wx>9?50;1x97272=n01?=i:5f897252>?0q~<;3;297~;5<:03>63<0186`>;5no0>h6s|25694?4|5;>869j4=36;>2352z?100<0=2798:4:f:p610=838p1?:9:678972?20<963=4986a>{t:=k1<7=4<5:;968j4}r07f?6=:r798l4;d:?10f<0=2wx>9j50;0x972c21801>?9:4f8yv43m3:1>v3=4e87`>;5=:0<96s|25d94?4|5;>m6:;4=371>0c<7>52z?115<0=2799?4:f:p607=838p1?;>:67897342{t:<>1<7=4<5:;h68j4}r061?6=:r79994;d:?11=<0=2wx>8850;0x97312>?01?;7:4g8yv42?3:1>v3=56841>;5=10>j6s|24;94?5|5;?=68j4=340>0b<5;<;6:;4}r06e?6=:r799l485:?11a<2n2wx>8l50;0x973e2>?01?;j:4g8yv42k3:1>v3=5b841>;5=l0=<6s|24f94?4|5;?o6:;4=37e>0`i7>52z?11`<0=279:=4:f:p60`=838p1?;i:67897072803>63<2d86`>{t:?81<71b<5;<>6:;4}r057?6=:r79:>485:?120<2m2wx>;:50;0x97032>?01?8::4d8yv41>3:1?v3=678;6>;6mm0>h63<3186`>{t:?=1<71b<5;<36:;4}r05=?6=:r79:;4;o50;0x970f2>?01?8i:4d8yv41j3:1>v3=6c841>;5>o0>i6s|27a94?4|5;3652z?12a<0=279:k491:p63c=838p1?8j:678970a2?80q~<9f;296~;5>o0<963=7186b>{t:>;1<7=t=34;>0b<5;=;68k4=35;>237>52z?137<0=279;54:e:p625=838p1?9<:67897102{t:>?1<723<5;==68h4}r042?6=:r79;;485:?132<2n2wx>:950;0x97102>?01?97:4d8yv4013:1>v3=788;6>;5n?0>h6s|26c94?`|5;=j65<4=3db>0b<5;2268h4=3`g>0c<5;hn68j4=3:g>0c<5;2n68j4=3c0>0c<5;k?68j4=3fb>0`<5;h868j4=3ga>0b<5;2868j4=3;:>0`<5;ko68k4}r04f?6=:r79;l4:j50;1x971c21801<8n:4g8940e2{t:1:1<7=t=35g>6c<5;h<6>k4=3:5>2352z?1<4<0=279484:f:p6=4=838p1?6=:67897>22?:0q~<73;296~;50:0<963=84855>{t:1>1<723<5;2=68h4}r0;1?6=:r7948485:?1<3<2m2wx>5950;0x97>021801?9l:4g8yv4?03:1>v3=8687`>;5000<96s|29c94?0|5;2j65<4=3f6>0b<5;nn68h4=3f2>0`<5;2968j4=3;4>0b52z?1?0q~<7d;296~;50m0<963=9086a>{t:1o1<723<5;3;68k4}r0;b?6=:r794k485:?1=5<2n2wx>4>50;0x97?72>?01?7>:4d8yv4>:3:1>v3=938;6>;50m0>j6s|28194?4|5;3969j4=3;7>2352z?1=0?0q~<67;296~;51>0<963=9e86b>{t:031<723<5;3m68k4}r0:e?6=:r795l485:?1=`<2m2wx>4l50;0x97?e2>?01?7j:4d8yv4>k3:1>v3=9b841>;5i90>j6s|28f94?4|5;3o6:;4=3;;>0c52z?1=`<0=2795k4:f:p6<`=838p1?7i:67897g72{t:h;1<7=t=3c2>=4<5;?h68j4=372>0b7>52z?1e4<3l279m;485:p6d5=838p1?o<:67897g12{t:h?1<723<5;k=68h4}r0b3?6=:r79m<4l650;0x97g?2>?01?ol:4g8yv4f13:1>v3=a8841>;5ij0>j6s|2`c94?4|5;kj6:;4=3cf>0c52z?1eg<0=279mh490:p6de=838p1?ol:67897gc2{t:hl1<7=4<5;k868h4}r0a4?6=;r79mk4:67897d12{t:k91<723<5;h>68h4}r0a0?6=:r79n9485:?1f3<182wx>o;50;0x97d22>?01?l9:4g8yv4e?3:1>v3=b68;6>;5m10>h6s|2c:94?4|5;h<69j4=3`b>2352z?1f<<0=279nl4:f:p6gd=838p1?lm:90897032{t:kn1<723<5;i:68k4}r0aa?6=:r79nh485:?1g5<2m2wx>oh50;0x97da2>?01?m?:4d8yv4d83:1>v3=c1841>;5k80>j6s|2b094?4|5;i965<4=3`g>0`52z?1g7<3l279o9485:p6f3=83?p1?9n:5f897e221801?m=:2g897?52:o01?9l:4d8yv4d>3:1:v3=c78;6>;5lj0>h63=b586`>;50<0>i63=9c86`>;5i00>h6s|2b594?4|5;i=6>k4=3af>2352z?1g=<0=279oo4:e:p6f?=838p1?m6:67897ee2{t:jh1<723<5;ih68h4}r0`g?6=:r79on485:?1ga<2n2wx>nj50;0x97ec2>?01?mj:4g8yv4dn3:15v3=cg8;6>;5m:0>h63=de86`>;5ll0>i63=d086a>;5j;0>i63=9b86`>;5k10>h63=ac86`>{t:m:1<71b<5;n96:;4}r0g5?6=:r79h<485:?1`7<2n2wx>i=50;ax97b421801?k;:4g897bf2:4f897?>22v3=d287`>;5l00<96s|2e794?4|5;n>6:;4=3g3>0`52z?1`3<0=279h4490:p6a1=838p1?j8:67897b?2{t:mk1<723<5;n<68k4}r0gf?6=:r79ho485:?1a4<2m2wx>im50;0x97bd2>?01?k>:4d8yv4cl3:1>v3=de841>;5l10>j6s|2eg94?4|5;nn6:;4=3f5>0c52z?1`c<0=279h;4:f:p6`6=838p1?k?:67897b>2{t:l81<723<5;o?68h4}r0f1?6==r79;44;d:?1fg<4m2794o42{t:lk1<7=t=3g4>0b<5;om6:;4=3a`>0c52z?1ag<0=279ik4:e:p6`e=838p1?kl:67897ca2{t:lo1<723<5;om6;?4}r0e4?6=:r79j<485:?1b6<2m2wx>k?50;0x97`52>?01?h<:4d8yv4a<3:1>vP=f59>6c>=?<1v?h::18184a=3=>705<5s48m;79:;<0ekm50;0xZ7`d348mh7;k;|q1ba<72kq6=8j55e9>50`==m168?=55d9>07d==o16=4;55d9>5=c==o16807c==m16>k<55e9>07>==o16>kj5749~w7`b2909wS79:;|q047<72;q6>975749>754==m1v>><:181[57;278<9485:p752=838p1<6j:678966320;6?uQ3158966?2h63<09841>{t;931<77p}<0c83>7}Y;9h01>>l:678yv57l3:1>vP<0e9>75c=?<1v>>i:181[57n278==4:d:p746=838p1?0q~=>1;296~X49816?<<5749~w6742909wS=>3:?051<0=2wx?<:50;0x97`42>?01>?;:4f8yv56=3:1>vP<149>740=?<1v>?8:181[56?278=54:d:p74>=838p1;j55e9>74>=?<1v>?6:181[561278=l4:d:p74g=83>p1?7;:4f8917a2?0q~=>b;296~X49k16?d:?05`<0=2wx??01>?j:4f8yv56n3:1>vP<1g9>776=?<1v>70==0;7g?xu4:80;6?uQ333896452>?0q~==2;296~;3:j0<963<2386`>{t;;91<77p}<2583>7}:<;31;85233691a=z{:8>6=4={_111>;4:?0>h6s|33494?4|5;9n68j4=205>2352z\062=:;;21;85rs20;>5<5s4>9m79:;<1144?:3y]77?<5:8j6:;4}r11e?6=:r7?>o485:?06d<2l2wx??l50;0xZ64e3499o7;k;|q06f<72=q6>n:55e9>066==m16>oh55e9>77e=?<1v>h485:p77`=838pR>=50;0xZ654349887;k;|q071<72;q6>9<55e9>762=?<1v>=::181[54=278?;4:d:p760=83kp1?oi:5f891452:4d8942a2?0q~=<7;297~;6?k0>h63<3e841>;60<0>h6s|32:94?4|5:936:;4=21b>0c52z?07<<0=278?o4:f:p76g=838p1>=n:678965c2{t;:i1<723<5:9o68h4}r10a?6=:r78?h485:?000<2n2wx?>h50;0x965a2>?01>=m:4g8yv5383:1>v3<41841>;4;00>i6s|35394?4|5:>:6:;4=21:>0`7>52z?007<0=278?4490:p715=838p1>:<:678965>2?;0q~=;4;296~;4<=0<963<3b86b>{t;=?1<723<5:9j68h4}r172?6==r788;472:?0bc<2l278;k4:f:?02d<2l278594:d:p711=83=p1>:8:908916f2k<:4g8961f2;6:4d896>>28k:4g8yv5303:1>v3<4680a>;426:;4=26g>0`52z?00d<0=2788i490:p71d=838p1>:m:678962c2?;0q~=;c;296~;4{t;=n1<723<5:>n68k4}r17b?6=50;0x963721801>99:4f8yv5293:1>v3<5187`>;4>:0<96s|34094?4|5:?96:;4=27a>0c?7>52z?016<0=2789l490:p702=838p1>;;:678963f2?;0q~=:5;296~;4=<0<963<5`856>{t;<<1<723<5:<968h4}r163?6=:r789:485:?01g<2n2wx?8650;0x963?2>?01>;j:4g8yv5213:1>v3<58841>;4=j0>j6s|34c94?4|5:?j6:;4=242>0`n7>52z?01g<0=278:>4:e:p70e=838p1>;l:678963b2{t;23<5:?m68h4}r16b?6=:r789k485:?025<2n2wx?;>50;0x96072>?01>8>:4g8yv5193:1>v3<60841>;4>;0>i6s|37094?4|5:<96:;4=240>0`52z?021<0=278:=4:e:p733=838p1>8::678963?2?0<963<5886a>{t;?=1<723<5:?26;>4}r152>?01>;l:728yv51i3:1>v3<6`841>;4=h0>i6s|37`94?4|5:0b52z?02g<4m278;9485:p73b=838p1>8k:67896142l0<963<7386a>{t;?l1<723<5:=968h4}r144?6=:r78;=485:?036<182wx?:?50;0x96162>?01>9;:4d8yv50:3:1>v3<73841>;4?:0>i6s|36194?4|5:=86:;4=257>0c52z?030<0=278;:4:e:p720=838p1>99:6789610263;1586`>{t;>31<71b<5:=n6:;4}r14e?6=:r78;l485:?0<0<2n2wx?:l50;0x961e2>?01>9j:728yv50k3:1>v3<7b841>;4?m0>j6s|36f94?4|5:=o6:;4=25f>0`52z?03c<0=2784;4:e:p7=6=838p1>6?:67896>12{t;181<723<5:=n68k4}r1;7?6=:r784>485:?03g<2m2wx?5:50;0x96>32>?01>9m:4d8yv5?=3:1>v3<84841>;4?m0>i6s|39494?4|5:2=6:;4=25`>0c517y>7=1=0;1687a5==l16?i:55e9>7f1==l16?n655e9>7f4==l16?n=55e9>7gb==l16?ok55e9>7g>==l16?o755e9>7g5==l16?o:55e9>7dc==l16?lh55e9>7d?==l16?lo55e9>7d2==l16?l;55e9>7fe==m16?nk55g9~w6>?2909w0=77;6g?85>j3=>7p}<8883>7}:;131;85239g91c=z{:2j6=4={<1;e?123492478?;|q076l:18185?k3=>70=61;7f?xu40m0;6?u239f930=:;0;1:=5rs2:f>5<5s493i79:;<1:6?3b3ty84k4?:3y>7=`=?<16?4<55g9~w6?72909w0=60;56?85>;3?n7p}<9083>7}:;0;1;85238`91c=z{:396=4={<1:6?12349297;j;|q0=6<72;q6?4=5749>7<0==o1v>7;:18185><3=>70=7f;7e?xu41<0;6?u2387930=:;0=19k5rs2;5>5<5s492:79:;<1:7<1=?<16?4755d9~w6??2909w0=68;56?85>13?m7p}<9883>7}:;031;85238c91`=z{:3j6=4={<1:e?123492n7;j;|q0=f<72;q6?4m5749>7=`==l1v>7k:18185>l3=>70=7f;43?xu41l0;6?u238g930=:;0?1:=5rs2;e>5<5s492j79:;<1:7d6=?<16?4>55d9~w6g62909w0=n1;56?85>83?m7p}7}:;h81;85238191c=z{:k86=4={<1b0?12349j;7;j;|q0e1<72;q6?l;5749>7d0==o1v>o::18185f>3=>70=n7;7e?xu4i10;6?u23`;930=:;hi19h5rs2c:>5<5s49jm79:;<1bf?3a3ty8ml4?:3y>7dd=?<16?lm55g9~w6gc2909w0=ne;56?85e93?n7p}7}:;hl1;8523c291c=z{:km6=4={<1a4?12349i=7;i;|q0f7<72;q6?o=5749>7g0==l1v>l<:18185e<3=>70=m5;7e?xu4j=0;6?u23c7930=:;k<19k5rs2`4>5<5s49i479:;<1af?3b3ty8n54?:3y>7g?=?<16?oo55g9~w6d>2909w0=ma;56?85ej3?m7p}7}:;kn1;8523b291`=z{:ho6=4={<1aa?12349ij7;i;|q0f`<72;q6?oh5749>7f6==o1v>m>:18185d:3=>70=l5;7f?xu4k;0;6?u23b1930=:;j>19k5rs2a0>5<5s49h879:;<1`1?3a3ty8o;4?:3y>7f1=?<16?no55d9~w6e02909w0=l8;56?85d13?m7p}7}:;j31;8523bc91c=z{:ii6=4={<1`g?12349hj7;j;|q0gf<72;q6?nj5749>7f`==o1v>mk:18185dm3=>70=k1;7e?xu4kl0;6?u23bd930=:;m:19k5rs2ae>5<5s49o<79:;<1g5?3b3ty8h?4?:3y>7a5=?<16?i855d9~w6b42909w0=k4;56?85c=3?m7p}7}:;m?1;8523e491c=z{:n<6=4>7z?0`2ji:678yv5c13:1>v3;4lk0>i6s|3ec94?4|5:nj6:;4=2f`>0`52z?0`g<0=278hk4:e:p7ae=838p1>jl:67896bc2{t;mo1<723<5:nm68h4}r1f4?6=:r78i=485:?0a3<2n2wx?h?50;0x96c62>?01>jj:4g8yv5b:3:1>v3;4m>0>j6s|3d194?4|5:o86:;4=2f`>0c52z?0a1<0=278hl4:e:p7`3=838p1>k::67896bf2{t;l=1<723<5:no68k4}r1f044==l168=755e9>05b==l16?i755g9>7`3==m16?ho55e9>7ce==m16?hk55d9>7=4==m16?;;55e9>701==l16?5l55e9>7<7==o16?9o55e9>73`==m1v>k6:18185b03>o70=i9;56?xu4mh0;6?u23dc930=:;ln19k5rs2ga>5<5s49nn79:;<1e5?063ty8in4?:3y>7`e=?<16?k655g9~w6cc2909w0=jd;56?85a:3?n7p}7}:;lo1;8523g091c=z{:om6=4={<1fb?12349m?7;j;|q0b5<72;q6?k>5749>7c5==o1v>h>:18185a93=>70=i7;7e?xu4n;0;6?u23g0930=:;o319h5rs2d0>5<5s49m?79:;<1e0?3a3ty8j94?:3y>7c2=?<16?k855g9~w6`22909w0=i5;56?85bm3?m7p}7}:;o<1;8523g591`=z{:l<6=4={<1e3?12349m47;j;|q0b=<72;q6?k65749>7c?==o1v>hn:18185ai3=>70=i6;7f?xu4nk0;6?u23g`930=:;ll19h5rs2d`>5<5s49mo79:;<1fb?3a3ty8ji4?:3y>7cb=?<16?k>55d9~w6`b2909w0=ie;56?85a83?m7p}7}:;ol1;8523g391c=z{=:;6=4={<634?12349m=78?;|q744<72mq68=?5839>05e==m16?h<55e9>7`0==l16?hj55d9>7=5==m16?8<55g9>73?==m16?8:55e9>7=b==m16?4955d9>71?==m16?:>55e9~w1652909w0:?1;6g?827?3=>7p};0283>7}:<991;85241f91c=z{=:?6=4={<630?1234>;97;i;|q740<72;q68=;5749>050==l1v9>9:181827>3=>70:?7;7e?xu3810;6?u241:930=:<9o19h5rs52:>5<5s4>;579:;<63a?3a3ty?05g=?<168=h55g9~w16e2909w0:?b;56?827<3<;7p};0b83>7}:<9i1;852414925=z{=:o6=4={<63`?1234>;;7;j;|q74`<72;q68=k5749>053==l1v9>i:181827n3=>70:?4;7f?xu3990;6?u2403930=:<8819k5rs530>5<5s4>:879:;<621?3a3ty?=;4?:2y>040=0;16j>4:e:?762<2l2wx8<950;0x91712=n019?=:678yv2603:1>v3;198;6>;48j0>h6s|40;94?4|5=;369j4=53b>23:o7>52z?75g<2l27?>=485:p04b=838p19?k:67891472e;296~;39l0<963;21854>{t<8l1<723<5=8;6;?4}r615?6=:r7?>?485:?766<2n2wx8?:50;0x91422>?019<7:4g8yv25=3:1>v3;27841>;3:10=<6s|43494?4|5=8<6:;4=50:>0`9;7>52z?76=<0=27?>44:e:p07b=838p19?0q~:=e;296~;3:l0<963;3086b>{t<;l1<723<5=9:6;>4}r604?6=:r7??=485:?774<192wvbom6:182Md43tdiol4?:0yKf6=zfkii6=4>{I`0?xhekj0;65rncae>5<6sAh87p`md183>4}Oj:1vboj>:182Md43tdih?4?:0yKf6=zfkn86=4>{I`0?xhel=0;65rncf4>5<6sAh87p`md983>4}Oj:1vboj6:182Md43tdihl4?:0yKf6=zfkni6=4>{I`0?xhelj0;65rncfe>5<6sAh87p`me183>4}Oj:1vbok>:182Md43tdii?4?:0yKf6=zfko86=4>{I`0?xhem=0;65rncg4>5<6sAh87p`me983>4}Oj:1vbok6:182Md43tdiil4?:0yKf6=zfkoi6=4>{I`0?xhemj0;65rncge>5<6sAh87p`mf183>4}Oj:1vboh>:182Md43tdij?4?:0yKf6=zfkl86=4>{I`0?xhen=0;65rncd4>5<6sAh87p`mf983>4}Oj:1vboh6:182Md43tdijl4?:0yKf6=zfkli6=4>{I`0?xhenj0;65rncde>5<6sAh87p`l0183>4}Oj:1vbn>>:182Md43tdh{I`0?xhd8=0;65rnb24>5<6sAh87p`l0983>4}Oj:1vbn>6:182Md43tdh{I`0?xhd8j0;65rnb2e>5<6sAh87p`l1183>4}Oj:1vbn?>:182Md43tdh=?4?:0yKf6=zfj;86=4>{I`0?xhd9=0;65rnb34>5<6sAh87p`l1983>4}Oj:1vbn?6:182Md43tdh=l4?:0yKf6=zfj;i6=4>{I`0?xhd9j0;65rnb3e>5<6sAh87p`l2183>4}Oj:1vbn<>:182Md43tdh>?4?:0yKf6=zfj886=4>{I`0?xhd:=0;65rnb04>5<6sAh87p`l2983>4}Oj:1vbn<6:182Md43tdh>l4?:0yKf6=zfj8i6=4>{I`0?xhd:j0;65rnb0e>5<6sAh87p`l3183>4}Oj:1vbn=>:182Md43tdh??4?:0yKf6=zfj986=4>{I`0?xhd;=0;65rnb14>5<6sAh87p`l3983>4}Oj:1vbn=6:182Md43tdh?l4?:3yKf6=zfj9i6=4={I`0?xhd;j0;6?uGb29~jf5c2909wEl<;|l`7`<72;qCn>5rnb1e>5<5sAh87p`l4183>7}Oj:1vbn:>:181Md43tdh8?4?:3yKf6=zfj>86=4={I`0?xhd<=0;6?uGb29~jf222909wEl<;|l`03<72;qCn>5rnb64>5<5sAh87p`l4983>4}Oj:1vbn:6:181Md43tdh8l4?:3yKf6=zfj>i6=4={I`0?xhd5rnb6e>5<5sAh87p`l5183>4}Oj:1vbn;>:182Md43tdh9?4?:0yKf6=zfj?86=4>{I`0?xhd==0;65rnb74>5<6sAh87p`l5983>4}Oj:1vbn;6:182Md43tdh9l4?:0yKf6=zfj?i6=4>{I`0?xhd=j0;65rnb7e>5<6sAh87p`l6183>4}Oj:1vbn8>:182Md43tdh:?4?:0yKf6=zfj<86=4>{I`0?xhd>=0;65rnb44>5<6sAh87p`l6983>4}Oj:1vbn86:182Md43tdh:l4?:0yKf6=zfj{I`0?xhd>j0;65rnb4e>5<6sAh87p`l7183>4}Oj:1vbn9>:182Md43tdh;?4?:0yKf6=zfj=86=4>{I`0?xhd?=0;65rnb54>5<6sAh87p`l7983>4}Oj:1vbn96:182Md43tdh;l4?:0yKf6=zfj=i6=4>{I`0?xhd?j0;65rnb5e>5<6sAh87p`l8183>4}Oj:1vbn6>:182Md43tdh4?4?:0yKf6=zfj286=4>{I`0?xhd0=0;62290:wEl<;|l`<3<728qCn>5rnb:4>5<6sAh87p`l8983>4}Oj:1vbn66:182Md43tdh4l4?:0yKf6=zfj2i6=4>{I`0?xhd0j0;6c290:wEl<;|l`<`<728qCn>5rnb:e>5<6sAh87p`l9183>4}Oj:1vbn7>:182Md43tdh5?4?:0yKf6=zfj386=4>{I`0?xhd1=0;65rnb;4>5<6sAh87p`l9983>4}Oj:1vbn76:182Md43tdh5l4?:0yKf6=zfj3i6=4>{I`0?xhd1j0;65rnb;e>5<6sAh87p`la183>4}Oj:1vbno>:182Md43tdhm?4?:0yKf6=zfjk86=4>{I`0?xhdi=0;65rnbc4>5<6sAh87p`la983>4}Oj:1vbno6:182Md43tdhml4?:0yKf6=zfjki6=4>{I`0?xhdij0;65rnbce>5<6sAh87p`lb183>4}Oj:1vbnl>:182Md43tdhn?4?:0yKf6=zfjh86=4>{I`0?xhdj=0;65rnb`4>5<6sAh87p`lb983>4}Oj:1vbnl6:182Md43tdhnl4?:0yKf6=zfjhi6=4>{I`0?xhdjj0;65rnb`e>5<6sAh87p`lc183>4}Oj:1vbnm>:182Md43tdho?4?:0yKf6=zfji86=4>{I`0?xhdk=0;65rnba4>5<6sAh87p`lc983>4}Oj:1vbnm6:182Md43tdhol4?:0yKf6=zfjii6=4>{I`0?xhdkj0;65rnbae>5<6sAh87p`ld183>4}Oj:1vbnj>:182Md43tdhh?4?:0yKf6=zfjn86=4>{I`0?xhdl=0;65rnbf4>5<6sAh87p`ld983>4}Oj:1vbnj6:182Md43tdhhl4?:0yKf6=zfjni6=4>{I`0?xhdlj0;65rnbfe>5<6sAh87p`le183>4}Oj:1vbnk>:182Md43tdhi?4?:0yKf6=zfjo86=4>{I`0?xhdm=0;6?uGb29~jfc2290:wEl<;|l`a3<728qCn>5rnbg4>5<6sAh87p`le983>4}Oj:1vbnk6:181Md43tdhil4?:0yKf6=zfjoi6=4={I`0?xhdmj0;65rnbge>5<5sAh87p`lf183>4}Oj:1vbnh>:181Md43tdhj?4?:3yKf6=zfjl86=4={I`0?xhdn=0;65rnbd4>5<5sAh87p`lf983>7}Oj:1vbnh6:181Md43tdhjl4?:3yKf6=zfjli6=4>{I`0?xhdnj0;65rnbde>5<6sAh87p`k0183>4}Oj:1vbi>>:182Md43tdo{I`0?xhc8=0;65rne24>5<6sAh87p`k0983>4}Oj:1vbi>6:182Md43tdo{I`0?xhc8j0;65rne2e>5<6sAh87p`k1183>4}Oj:1vbi?>:182Md43tdo=?4?:0yKf6=zfm;86=4>{I`0?xhc9=0;65rne34>5<6sAh87p`k1983>4}Oj:1vbi?6:182Md43tdo=l4?:0yKf6=zfm;i6=4>{I`0?xhc9j0;65rne3e>5<6sAh87p`k2183>4}Oj:1vbi<>:182Md43tdo>?4?:0yKf6=zfm886=4>{I`0?xhc:=0;65rne04>5<6sAh87p`k2983>4}Oj:1vbi<6:182Md43tdo>l4?:0yKf6=zfm8i6=4>{I`0?xhc:j0;65rne0e>5<6sAh87p`k3183>4}Oj:1vbi=>:182Md43tdo??4?:0yKf6=zfm986=4>{I`0?xhc;=0;65rne14>5<6sAh87p`k3983>4}Oj:1vbi=6:182Md43tdo?l4?:0yKf6=zfm9i6=4>{I`0?xhc;j0;65rne1e>5<6sAh87p`k4183>4}Oj:1vbi:>:182Md43tdo8?4?:0yKf6=zfm>86=4>{I`0?xhc<=0;65rne64>5<6sAh87p`k4983>4}Oj:1vbi:6:182Md43tdo8l4?:0yKf6=zfm>i6=4>{I`0?xhc5rne6e>5<6sAh87p`k5183>4}Oj:1vbi;>:182Md43tdo9?4?:0yKf6=zfm?86=4>{I`0?xhc==0;65rne74>5<6sAh87p`k5983>4}Oj:1vbi;6:182Md43tdo9l4?:0yKf6=zfm?i6=4>{I`0?xhc=j0;65rne7e>5<6sAh87p`k6183>4}Oj:1vbi8>:182Md43tdo:?4?:0yKf6=zfm<86=4>{I`0?xhc>=0;65rne44>5<6sAh87p`k6983>4}Oj:1vbi86:182Md43tdo:l4?:0yKf6=zfm{I`0?xhc>j0;65rne4e>5<6sAh87p`k7183>4}Oj:1vbi9>:182Md43tdo;?4?:0yKf6=zfm=86=4>{I`0?xhc?=0;65rne54>5<6sAh87p`k7983>4}Oj:1vbi96:182Md43tdo;l4?:0yKf6=zfm=i6=4>{I`0?xhc?j0;65rne5e>5<6sAh87p`k8183>4}Oj:1vbi6>:182Md43tdo4?4?:0yKf6=zfm286=4>{I`0?xhc0=0;62290:wEl<;|lg<3<728qCn>5rne:4>5<6sAh87p`k8983>4}Oj:1vbi66:182Md43tdo4l4?:0yKf6=zfm2i6=4>{I`0?xhc0j0;6c290:wEl<;|lg<`<728qCn>5rne:e>5<6sAh87p`k9183>4}Oj:1vbi7>:182Md43tdo5?4?:0yKf6=zfm386=4>{I`0?xhc1=0;65rne;4>5<6sAh87p`k9983>4}Oj:1vbi76:182Md43tdo5l4?:0yKf6=zfm3i6=4>{I`0?xhc1j0;65rne;e>5<6sAh87p`ka183>4}Oj:1vbio>:182Md43tdom?4?:0yKf6=zfmk86=4>{I`0?xhci=0;65rnec4>5<6sAh87p`ka983>4}Oj:1vbio6:182Md43tdoml4?:0yKf6=zfmki6=4>{I`0?xhcij0;65rnece>5<6sAh87p`kb183>4}Oj:1vbil>:182Md43tdon?4?:0yKf6=zfmh86=4>{I`0?xhcj=0;65rne`4>5<6sAh87p`kb983>4}Oj:1vbil6:182Md43tdonl4?:0yKf6=zfmhi6=4>{I`0?xhcjj0;65rne`e>5<6sAh87p`kc183>4}Oj:1vbim>:182Md43tdoo?4?:0yKf6=zfmi86=4>{I`0?xhck=0;65rnea4>5<6sAh87p`kc983>4}Oj:1vbim6:182Md43tdool4?:0yKf6=zfmii6=4>{I`0?xhckj0;65rneae>5<6sAh87p`kd183>4}Oj:1vbij>:182Md43tdoh?4?:0yKf6=zfmn86=4>{I`0?xhcl=0;65rnef4>5<6sAh87p`kd983>4}Oj:1vbij6:182Md43tdohl4?:0yKf6=zfmni6=4>{I`0?xhclj0;65rnefe>5<6sAh87p`ke183>4}Oj:1vbik>:182Md43tdoi?4?:0yKf6=zfmo86=4>{I`0?xhcm=0;65rneg4>5<6sAh87p`ke983>4}Oj:1vbik6:182Md43tdoil4?:0yKf6=zfmoi6=4>{I`0?xhcmj0;65rnege>5<6sAh87p`kf183>4}Oj:1vbih>:182Md43tdoj?4?:0yKf6=zfml86=4>{I`0?xhcn=0;65rned4>5<6sAh87p`kf983>4}Oj:1vbih6:182Md43tdojl4?:0yKf6=zfmli6=4>{I`0?xhcnj0;65rnede>5<6sAh87p`j0183>4}Oj:1vbh>>:182Md43tdn{I`0?xhb8=0;65rnd24>5<6sAh87p`j0983>4}Oj:1vbh>6:182Md43tdn{I`0?xhb8j0;65rnd2e>5<6sAh87p`j1183>4}Oj:1vbh?>:182Md43tdn=?4?:0yKf6=zfl;86=4>{I`0?xhb9=0;65rnd34>5<6sAh87p`j1983>4}Oj:1vbh?6:182Md43tdn=l4?:0yKf6=zfl;i6=4>{I`0?xhb9j0;65rnd3e>5<6sAh87p`j2183>4}Oj:1vbh<>:182Md43tdn>?4?:0yKf6=zfl886=4>{I`0?xhb:=0;65rnd04>5<6sAh87p`j2983>4}Oj:1vbh<6:182Md43tdn>l4?:0yKf6=zfl8i6=4>{I`0?xhb:j0;65rnd0e>5<6sAh87p`j3183>4}Oj:1vbh=>:182Md43tdn??4?:0yKf6=zfl986=4>{I`0?xhb;=0;65rnd14>5<6sAh87p`j3983>4}Oj:1vbh=6:182Md43tdn?l4?:0yKf6=zfl9i6=4>{I`0?xhb;j0;65rnd1e>5<6sAh87p`j4183>4}Oj:1vbh:>:182Md43tdn8?4?:0yKf6=zfl>86=4>{I`0?xhb<=0;65rnd64>5<6sAh87p`j4983>4}Oj:1vbh:6:182Md43tdn8l4?:0yKf6=zfl>i6=4>{I`0?xhb5rnd6e>5<6sAh87p`j5183>4}Oj:1vbh;>:182Md43tdn9?4?:0yKf6=zfl?86=4>{I`0?xhb==0;65rnd74>5<6sAh87p`j5983>4}Oj:1vbh;6:182Md43tdn9l4?:0yKf6=zfl?i6=4>{I`0?xhb=j0;65rnd7e>5<6sAh87p`j6183>4}Oj:1vbh8>:182Md43tdn:?4?:0yKf6=zfl<86=4>{I`0?xhb>=0;65rnd44>5<6sAh87p`j6983>4}Oj:1vbh86:182Md43tdn:l4?:0yKf6=zfl{I`0?xhb>j0;65rnd4e>5<6sAh87p`j7183>4}Oj:1vbh9>:182Md43tdn;?4?:0yKf6=zfl=86=4>{I`0?xhb?=0;65rnd54>5<6sAh87p`j7983>4}Oj:1vbh96:182Md43tdn;l4?:0yKf6=zfl=i6=4>{I`0?xhb?j0;65rnd5e>5<6sAh87p`j8183>4}Oj:1vbh6>:182Md43tdn4?4?:0yKf6=zfl286=4>{I`0?xhb0=0;62290:wEl<;|lf<3<728qCn>5rnd:4>5<6sAh87p`j8983>4}Oj:1vbh66:182Md43tdn4l4?:0yKf6=zfl2i6=4>{I`0?xhb0j0;6c290:wEl<;|lf<`<728qCn>5rnd:e>5<6sAh87p`j9183>4}Oj:1vbh7>:182Md43tdn5?4?:0yKf6=zfl386=4>{I`0?xhb1=0;65rnd;4>5<6sAh87p`j9983>4}Oj:1vbh76:182Md43tdn5l4?:0yKf6=zfl3i6=4>{I`0?xhb1j0;65rnd;e>5<6sAh87p`ja183>4}Oj:1vbho>:182Md43tdnm?4?:0yKf6=zflk86=4>{I`0?xhbi=0;65rndc4>5<6sAh87p`ja983>4}Oj:1vbho6:182Md43tdnml4?:0yKf6=zflki6=4>{I`0?xhbij0;65rndce>5<6sAh87p`jb183>4}Oj:1vbhl>:182Md43tdnn?4?:0yKf6=zflh86=4>{I`0?xhbj=0;65rnd`4>5<6sAh87p`jb983>4}Oj:1vbhl6:182Md43tdnnl4?:0yKf6=zflhi6=4>{I`0?xhbjj0;65rnd`e>5<6sAh87p`jc183>4}Oj:1vbhm>:182Md43tdno?4?:0yKf6=zfli86=4>{I`0?xhbk=0;65rnda4>5<6sAh87p`jc983>4}Oj:1vbhm6:182Md43tdnol4?:0yKf6=zflii6=4>{I`0?xhbkj0;65rndae>5<6sAh87p`jd183>4}Oj:1vbhj>:182Md43tdnh?4?:0yKf6=zfln86=4>{I`0?xhbl=0;65rndf4>5<6sAh87p`jd983>4}Oj:1vbhj6:182Md43tdnhl4?:0yKf6=zflni6=4>{I`0?xhblj0;65rndfe>5<6sAh87p`je183>4}Oj:1vbhk>:182Md43tdni?4?:0yKf6=zflo86=4>{I`0?xhbm=0;65rndg4>5<6sAh87psr}AB@447?3ljj:=;a5CDG}7uIJ[wpNO \ No newline at end of file +$5654=79;1:>7AZTQWW>WG;980;2<:4228JJUSS2HUM_O2=3;3=b>5=AGZ^X7]X<282:1=FFM8?7L@K359BJA233HDO995NNE47?DHC001JSK]M<02==>GXNZH7=<06;@]EWG:6:730MRH\B=30:<=FWOYI0<:19:C\BVD;9<427LQISC>22;?89B[CUE482556OPFR@?5<8?3HUM_O2>>89B[CUE4;:556OPFR@?648>3HUM_O2=2?`8EZ@TJ5886<06;@]EWG:5;720MRH\B=0=<>GXNZH7?364A^DPF92902KTJ^L35?:8EZ@TJ5<546OPFR@?3;>3^KAQCbGXNZHT=;QFBTDg?DYA[KU:;RGMUGf8EZ@TJV;3SDLZFe9B[CUEW83TEO[Ic:C\BVDX9VCIYKj4A^DPFZ47W@H^Ji5N_GQA[77XAK_Mh6OPFR@\67YNJ\Lo7LQISC]17ZOE]Oi0MRH\B^0\MGSAk2KTJ^LP3^KAQCeGXNZHT9RGMUGa8EZ@TJVXAK_Mo6OPFR@\=ZOE]O>0NLM[4:@VB@>E58DOEn6M=0LGM[JDRN?1H>8CJNc9@60KBFVEIYK=4C9O;?F>JW@H^J?5LE29@HW?;D48AZOE]O>0JNBD9:D@HNYNJ\L97KJ;;GF@A4=@;2MEH<5F2:K36>O6:2C9>6G<2:K76>O2:2C=>6G84:KBGV26G@a:KLFVYUmhnr:6G@BTDF0>OHKZ=0@BIFC@Nb?IVJWQEY>R?=;O20?K66:2D:>6@=7:LFPRIUC81D86AMUG78KGSAM:1D^?=4OS12?Ue??12]O0>VFZ]k0\D@PBTQJ@]d1:0=UI59596\N<5<6?WG;=7?0^L29>49QE919=2XJ050:;SC?=;33[KT9RAMUG;8VDY1WFH^J45]A^5\KGSA12XJS5Q@BTD:?WGX1VEIYK;4RNO@W3=Umzgx;5\OTP@A0=T_5;596]X<3<4?VQ;;3;596]X<2<7?Q_WMj1^_H\PVHQJFIC43_IH56XFEV]W]UC13^ky|^K>d:ZJHLH_%QNI,= > RVVF%6)9)KXODG;;YMQ63=_[]FBN:5WSU]DJA1?1018gkr(IfCHQbuy23454>3jd#D^C2s32?fhs'@ZG>Qaou2344753jd#D^C2s]mkq6788;o7n`{/MVji`t;87o0ocz LUknaw:687o0ocz LUknaw:697o0ocz LUknaw:6:7o0ocz LUknaw:6;7n0ocz LUknaw:66m1hby!CThofv949l2iex"B[ilgq868c3jd#AZfmdp?0;b6:a=df}%GXdcjr=4=`>ei|&F_e`k}<6b9`jq)K\`gn~R>>6:amp*JSadoyS=Q?_omw45679>1hby!CThofvZ6X8Vddx=>?0036?fhs'E^bah|P0^]bja6789;=7n`{/MVji`tX8VUjbi>?01322>ei|&F_e`k}_1]\ekb789:9=;5lnu-OPlkbzV:TSl`k01237f=df}%GXdcjr^3g?fhs'E^bah|P1135?fhs'E^bah|P11]\ekb789::;6mat.NWmhcuW8:TSl`k0123541?1058gkr(D]cfiQ>1^]bja67898o7n`{/MVji`tX9;;=7n`{/MVji`tX9;UTmcj?01223>ei|&F_e`k}_00\[dhc89:;=<94cov,HQojm{U:>RQnne23454c3jd#AZfmdp\56713jd#AZfmdp\56YXign;<=>>7:amp*JSadoyS<=P_`lg456798=0ocz LUknawY6;VUjbi>?01021>ei|&F_e`k}_0]\ekb789:::6mat.NWmhcuW8UTmcj?012253=df}%GXdcjr^3\[dhc89:;>n5lnu-OPlkbzV8:96mat.NWmhcuW;UTmcj?01222>ei|&F_e`k}_3]\ekb789::=;5lnu-OPlkbzV8TSl`k01236f=df}%GXdcjr^121>ei|&F_e`k}_2]\ekb789:::6mat.NWmhcuW:UTmcj?012253=df}%GXdcjr^1\[dhc89:;>n5lnu-OPlkbzV>:96mat.NWmhcuW=UTmcj?01222>ei|&F_e`k}_5]\ekb789::=;5lnu-OPlkbzV>TSl`k01236f=df}%GXdcjr^721>ei|&F_e`k}_4]\ekb789:::6mat.NWmhcuWn5lnu-OPlkbzV<:96mat.NWmhcuW?UTmcj?01222>ei|&F_e`k}_7]\ekb789::=;5lnu-OPlkbzVei|&F_e`k}_6]\ekb789:::6mat.NWmhcuW>UTmcj?012253=df}%GXdcjr^5\[dhc89:;>n5lnu-OPlkbzV2:96mat.NWmhcuW1UTmcj?01222>ei|&F_e`k}_9]\ekb789::=;5lnu-OPlkbzV2TSl`k01236f=df}%GXdcjr^;21>ei|&F_e`k}_8]\ekb789:::6mat.NWmhcuW0UTmcj?012253=df}%GXdcjr^;\[dhc89:;>?64cov,HQojm{UFmijP_`fg4567WDrd~R\jstnw8469:11hby!CThofvZKflmUTmij?012\I}iuW[oxyaz310<1<>ei|&F_e`k}_Lcg`ZYflm:;<=QBxnp\V`urd}6:>3<7;blw+IRnelxTAljk_^cg`5678VGscQ]erwop9746;=0ocz LUknawYJimnTSljk0123[H~hzVXnxb{<0<13>ei|&F_e`k}_Lcg`ZYflm:;<=QBxnp\V`urd}692?94cov,HQojm{UFmijP_`fg4567WDrd~R\jstnw8685?2iex"B[ilgq[HgclVUjhi>?01]N|jtXZly~`y2;>358gkr(D]cfiQBaef\[dbc89:;S@v`r^Pfwpjs4<49;6mat.NWmhcuWDkohRQnde2345YJpfxT^h}zlu>5:71?_LzlvZTb{|f0:0=7:amp*JSadoyS@okd^]b`a6789UFtb|PRdqvhq:?6;=0ocz LUknawYJimnTSljk0123[H~hzVXnxb{<8<15>ei|&F_e`k}_Lcg`ZYflm:;<=QPaof34565:2iex"B[ilgq[HgclVUjhi>?01]\ekb789::><5lnu-OPlkbzVGjhiQPaef3456XWhdo<=>>239`jq)K\`gn~RCnde]\eab789:TSl`k0122577?_^cm`567:;80ocz LUknawYJimnTSljk0123[Zgil9:;><<>;blw+IRnelxTAljk_^cg`5678VUjbi>?0201?fhs'E^bah|PM`fg[Zgcl9:;?01]\ekb789>9>6mat.NWmhcuWDkohRQnde2345YXign;<=:>209`jq)K\`gn~RCnde]\eab789:TSl`k012667=df}%GXdcjr^Ob`aYXimn;<=>P_`lg45629;;0ocz LUknawYJimnTSljk0123[Zgil9:;:?<4cov,HQojm{UFmijP_`fg4567WVkeh=>?6002?fhs'E^bah|PM`fg[Zgcl9:;?0^]bja678>;9>6mat.NWmhcuWDkohRQnde2345YXign;<=9=209`jq)K\`gn~RCnde]\eab789:TSl`k012;67=df}%GXdcjr^Ob`aYXimn;<=>P_`lg456?9;;0ocz LUknawYJimnTSljk0123[Zgil9:;5?<4cov,HQojm{UFmijP_`fg4567WVkeh=>?9001?fhs'E^bah|PM`fg[Zgcl9:;?01]\ekb78938><5lnu-OPlkbzVGjhiQPaef3456XWhdo<=??239`jq)K\`gn~RCnde]\eab789:TSl`k0133577?_^cm`5669;80ocz LUknawYJimnTSljk0123[Zgil9::=1hby!CThofvZYflm:;<=2>1?34?fhs'E^bah|P_`fg45674885=:5lnu-OPlkbzVUjhi>?01>27;713jd#AZfmdp\[dbc89:;0<0>6:amp*JSadoySRokd12349499?1hby!CThofvZYflm:;<=2<>048gkr(D]cfiQPaef3456;<7;=7n`{/MVji`tXWhno<=>?<4<22>ei|&F_e`k}_^cg`56785<5=;5lnu-OPlkbzVUjhi>?01>4:405lnu-OPlkbzVkeh=>?0032?fhs'YEY_RH\M^DE`4733jd#]A]S^DPIZ@Al8'Bb<;4cov,TJTTWOYFSKHk1,Km543<;4cov,TJTTWOYFSKHk1,Km743149`jq)WG[YTJ^CPFGf1)Lh59<1hby!_OSQ\BVKXNOn9!D`<149`jq)WG[YTJ^CPFGf1)Lh39<1hby!_OSQ\BVKXNOn9!D`:109`jq)WG[YTJ^CPOtv057=df}%[C_]PFRO\Kpr49880ocz PNPP[CUJWF???<;blw+UIU[Vif|Rk~0120e>ei|&Xnj_k~109`jq)UmoXn}Ra}012357=df}%Yik\jq^mq45679880ocz RddQatYhz9:;?01126>ei|&Xnj_k~_np345639;1hby!]egPfuZiu89:;9<<4cov,V``UmxUd~=>?0731?fhs'[om^hPos234516:2iex"\jfSgr[jt789:3h6mat.PfbPt`mg~:86mat.PfbPt`mg~Tc>?0136?fhs'[omYijnu]lv56788;>7n`{/SgeQwabf}Ud~=>?0336?fhs'[omYijnu]lv5678:;>7n`{/SgeQwabf}Ud~=>?0536?fhs'[omYijnu]lv5678<;>7n`{/SgeQwabf}Ud~=>?0736?fhs'[omYijnu]lv5678>;>7n`{/SgeQwabf}Ud~=>?09a8gkr(\`gn~1>1c:amp*Rnelx7=3m4cov,Plkbz585o6mat.Vji`t;;7h0ocz ThofvQE6>2iex"ZfmdpWGZejxVoz<=>?169`jq)SadoyXNQlmq]fu56788;<7n`{/UknawRDWjg{Sh?012152=df}%_e`k}TB]`iuYby9:;<>?8;blw+Qojm{^HSnc_ds34563j2iex"Zfmdp\442ei|&^bah|P1068gkr(\`gn~R?P_`lg45679<1hby![ilgq[4YXign;<=>>149`jq)SadoyS0ocz ThofvZ5XWhdo<=>?149`jq)SadoyS>QPaof345669<1hby![ilgq[6YXign;<=>=279`jq)SadoyS@okd^]b`a6789UFtb|PRdqvhq:66;<0ocz ThofvZKflmUTmij?012\I}iuW[oxyaz32?05?fhs']cfiQBaef\[dbc89:;S@v`r^Pfwpjs4:49<6mat.Vji`tXEhnoSRokd1234ZYffm:;<=<>;blw+Qojm{UFmijP_`fg4567WVkeh=>?0003?fhs']cfiQBaef\[dbc89:;SRoad123577P_`lg456698?0ocz ThofvZYflm:;<=2>>078gkr(\`gn~RQnde2345:568?0ocz ThofvZYflm:;<=2<>c9`jq)iJ[UBCO?<;blw+kDUW@EIS`{w012351=df}%eN_QFOC]nq}6789;:86mat.lAVZOHJVg~t=>?0337?fhs'gHYSDAM_lw{4567;8>0ocz nCP\MJDXe|r;<=>;159`jq)iJ[UBCOQbuy234536<2iex"`MR^KLFZkrp9:;<;l4cov,jWCTg|~:?6mat.lQAVir|Vg~t=>?0068gkr(f[OXcxzPmtz345669=1hby!aRDQlqqYj}q:;<=<8;bq,MDET02ix#DOLS0:8gv)NIJY946m|/HC@W61et'@EH_et'@EH_864cr-JKFU102ix#DALS6:8gv)NGJY346m|/HM@W<13jy$^LCLS2;8gv)UIDIX845ls.PBIFU212ix#_OBCR4:?fu(ZHGH_:74cr-QEHET011h"\@MBQ:?fu(ZFGH_<74cr-QKHET:01h"\@MBQ0=>et'[EFO^:6;bq,VJKD[<30o~!]OLAP2<=d{&XDAN]8c:ap+kIqm{fju=?;;bq,jJpbzekr;gqa+DUunVddx=>?10:8bvd(JHI_56h|b.@BGQ7>3oyi#OOLT3`8bvd(Zlkou=|>3:dpf*Tbims;~RQ`r123442?01020>`tj&Xnmiw?r^]lv5678:h0j~l Rdcg}4t6;2lxn"\jae{2vZYhz9:;<<:4fr`,V`gcq8xTSb|?012251=a{k%Yiljv1s]\kw67898:86h|b.Pfea6zVUd~=>?0258bvd(^YK:<6h|b.TSEZYffm:;<=?>;gqa+SVFWVkeh=>?00d8bvd(^YKTSb|?01224>`tj&\[MRQ`r12344773oyi#[^N_^mq4567:8:0j~l VQC\[jt789:8==5isc-UTDYXg{:;<=:>0:dpf*PWIVUd~=>?0433?cue'_ZJSRa}01232463:dpf*hC\HI@SRa}01236==a{k%eZ]O6;gqa+kPWI830j~l nWRB6<=ngkg$MAK?109jkgk(IEO;S`{w012357=ngkg$MAK?_lw{45679880eblb/@NF4Zkrp9:;?01126>ohjd%J@H>Pmtz3456312cdn`!MESPb?liee&HN^_?m;hmai*DBZ[xmi6g`bl-AAWTunVD;j6g`bl-AAWTunVD;=o5foco,F@TUz{;87damm.@FVWtuWge<=>>159jkgk(JLXY~Qaou2344703`eia"LHf:klfh)EOVddx=>?1028mjdj'KMTbbz?0132e>ohjd%OXLMDb:klfh)C\HI@=n5foco,@QGDC{lh7damm.FWEFMuz8>0eblb/EVBGNtuWge<=>>149jkgk(L]KHG|Pnnv34576j2cdn`!KotvLA45?0037?liee&NdyyAJ_`lg4567:h1bcoc ER>3:d=ngkg$I^2>>`9jkgk(MZ692l5foco,AV:46h1bcoc ER>7:<=ngkg$I^Q?139jkgk(MZU;SRoad123445?2018mjdj'LYT?013:?liee&OXS??=;hmai*CTW;UTmcj?01227>ohjd%N_R>3:klfh)B[V>TSl`k012357295foco,AVYJimnTSljk0123[H~hzVXnxb{<3<10>ohjd%N_RCnde]\eab789:TAua}_Sgpqir;;78?7damm.GP[HgclVUjhi>?01]N|jtXZly~`y2;>0g8mjdj'LYTAljk_^cg`5678VUjbi>?013e?liee&OXS@okd^]b`a6789UTmcj?01225`=ngkg$I^QBaef\[dbc89:;SRoad12354`P_`lg45659o1bcoc ER]NeabXWhno<=>?_^cm`567:8;97damm.GP[TY6Wfx;<=>>3:klfh)B[V[T=Ra}0123545?3018mjdj'LYTSljk01238486;2cdn`!JS^]b`a6789692<=4in`n+@UXWhno<=>?<2<27>ohjd%N_RQnde2345:36o1bcoc ER]bja6788;;7damm.GP[dhc89::=<>4in`n+@UXign;<=?=e:klfh)B[Vey<=>?f:klfh)B[Vey<=>?1g9jkgk(MZUd~=>?03d8mjdj'LYTc>?011e?liee&OXSb|?0127b>ohjd%N_Ra}01231c=ngkg$I^Q`r12343`>129jkgk(M]KHGRoad12347743`eia"K[ABI\ekb789:8=>5foco,AQGDCVkeh=>?0530?liee&O_MNEPaof345629:1bcoc EUC@OZgil9:;<;94in`n+@t?3`eia"K}2`9jkgk(AFKHX<<4in`n+LIFK]Ujbi>?0130?liee&CDMNZPaof345669:1bcoc INC@PZgil9:;:129jkgk(AFKHXRoad12343743`eia"G@ABV\ekb789:<=?5foco,MJGD\Vg~t=>?0018mjdj'@EJOYQbuy234576;2cdn`!FO@AW[hs89:;><=4in`n+LIFK]Ufyu>?01127>ohjd%BCLM[_lw{4567<890eblb/HMBGQYj}q:;<=;>3:klfh)NGHI_S`{w0123245<;4in`n+LIEM[XTaxv?0122743?0537?liee&CDNH\]_lw{4567=8>0eblb/HMAAWTXe|r;<=>9159jkgk(AFHN^_Qbuy234516<2cdn`!FOCGQVZkrp9:;<5?;;hmai*OHJLXYS`{w0123=g=ngkg$EB\JQs32?liee&CD_RH\M^DE`4733`eia"G@S^DPIZ@Al8'Bb<;4in`n+LITWOYFSKHk1,Km543<;4in`n+LITWOYFSKHk1,Km743179jkgk(AFYTJ^CPFGf1)Lh688<0eblb/HMP[CUJWOLo> Ga1035?liee&CD_RH\M^DE`7+Nf88:96g`bl-JKVYA[DUMJi<"Io021>ohjd%BC^QISL]EBa4*Ag9:96g`bl-JKVYA[DUMJi<"Io621>ohjd%BC^QISL]EBa4*Ag?:96g`bl-JKVYA[DUMJi<"Io421>ohjd%BC^QISL]EBa4*Ag=:96g`bl-JKVYA[DUMJi<"Io:21>ohjd%BC^QISL]EBa4*Ag3:=6g`bl-JKVYA[DUMJi=>4:klfh)NGZUM_@QIFe1.Mk723`eia"G@S^DPIZ@Al:'Bb?:;hmai*OH[VLXARHId2/Jj1723`eia"G@S^DPIZ@Al:'Bb8?:;hmai*OH[VLXARHId2/Jj3723`eia"G@S^DPIZ@Al:'Bb:?:;hmai*OH[VLXARHId2/Jj=723`eia"G@S^DPIZ@Al:'Bb464in`n+WCT12cdn`!]ER3b?liee&XN_hn;hmai*TB[{x:>6g`bl-QAVtuWge<=>>129jkgk(ZLYy~R``t12354gohjd%]\L|}_omw45669k1bcoc n@Qlqq743`eia"`NSnww[kis89::=95foco,jDUh}}Uecy>?00320>ohjd%eM^azt^llp5679;;?7damm.lBWjssWge<=>>3068mjdj'gKXcxzPnnv3457312cdn`!aARpa?liee&dOecBJ139jkgk(fMce@HQ`r1234453`eia"`CDR32?liee&dGH^Qaou2344753`eia"`CDR]mkq6788;:>6g`bl-mHAUXff~;<=?=139jkgk(fENXSca{0122746=<5foco,jIBTWfx;<=?9109jkgk(fENXSb|?0134=>ohjd%eYI]>1:klfh)i]MYTbbz?01326>ohjd%eYI]Pnnv345769;1bcoc nTFP[kis89::><<4in`n+kSC[Vddx=>?12;8mjdj'g\FM<<4in`n+kPJIVUjbi>?0130?liee&d]ALQPaof34566981bcoc nWOB[Ziu89:;=?5foco,jSKFWVey<=>?1008mjdj'g\FMRQ`r12347753`eia"`YM@]\kw67899:>6g`bl-mRHGXWfx;<=>;139jkgk(f_GJSRa}01231441:klfh)i^DKTmcj?01226>ohjd%eZ@OPaof345669;1bcoc nWOB[dhc89:;><<4in`n+kPJIVkeh=>?0231?liee&d]ALQnne234526:2cdn`!aVLC\ekb789:>=?5foco,jSKFWhdo<=>?6008mjdj'g\FMRoad12342?>139jkgu(IEO:SRa}01225g=ngky$Oaknr037?lie{&Igil|>_^cm`56788>0ebl|/Bnfew7Xign;<=>>b:klfv)NGHI_~k5focq,MJDTW[ojht??;hmaw*OHJZUYiljv1028mjdt'@EI_R\jae{155=ngky$EBL\_Sgb`|56?2cdn~!FOCQ\V`gcqVkeh=>?00:8mjdt'@EI_R\jae{\ekb789::=55focq,MJDTW[ojhtQnne23454602cdn~!FOCQ\V`gcqVkeh=>?023;?lie{&CDN^Q]e`fz[dhc89:;8<64in`p+LIE[VXnmiwPaof3456212cdn~!FOM225>ohjz%BCA>Pmtz34566:2cdn~!FOM2\ip~789::=?5focq,MJJ7Wds<=>?2008mjdt'@EG:>6g`br-JKI6Xe|r;<=>:9:klfv)NGE;:=6g`br-JKI7Xff~;<=?>2:klfv)NGE;Tbbz?0132e>ohjz%BC_K^139jkgu(AFXN]Rczx123445?1130?lie{&CD^H_Pmtz345659:1bco} INPFUZkrp9:;<>?<;hmaw*OHZL[Taxv?012756=ngky$EB\JQ^ov|5678<;87dams.KLV@WXe|r;<=>9129jkgu(AFXN]Rczx12342743`ei"G@RDS\ip~789:3=>5focq,MJTBYVg~t=>?08c8mjdt'@EY[=?=;hmaw*OHZ^:Taxv?01227>ohjz%BC_Y?_lw{45679890ebl|/HMQS5Yj}q:;<=<>3:klfv)NG[];S`{w0123745:>6g`br-JKWQ7Wge<=>>129jkgu(AFX\5focq,MJTP8Vddx=>?1230?lie{&CD^Z>Pnnv345739:1bco} INPT4Zhh|9:;=8?<;hmaw*OHZ^:Tbbz?013556=ngky$EB\X0^llp5679>k0ebl|/HMQS4753`ei"G@RV3\ekb789::?6g`br-JKWQ6Whdo<=>?1018mjdt'@EY[?01627>ohjz%BC_Y>_`lg4567=890ebl|/HMQS4Yffm:;<=8l;hmaw*OHZlkou<;4in`p+LIUmhnrSRoad123440?0031?lie{&CDY=Qbuy234546:2cdn~!FOT2\ip~789:8=?5focq,MJS7Wds<=>?4008mjdt'@E^2:klfv)HfjoTSb|?012057=ngky$Ccmj_^mq4567<880ebl|/Nl`aZYhz9:;<8?=;hmaw*IiklUTc>?01426>ohjz%DbnkP_np34560991bco} PR]EWHYANm;:?6g`br-SWZ@TEVLMh<#Fn068mjdt'YYTJ^CPFGf2)Lh69=1bco} PR]EWHYANm;&Ec<>0:klfv)W[VLXARHId330?lie{&ZXSK]B_GDg6(Oi9=1bco} PR]EWHYANm8&Ec?>5:klfv)W[VLXARHId3/Jj466=2cdn~!_S^DPIZ@Al;'Bb5:klfv)W[VLXARHId3/Jj446=2cdn~!_S^DPIZ@Al;'Bb<=>4:klfv)W[VLXARHId3/Jj7733`ei"^\_GQN[C@c:$Ce?<:4in`p+UUXNZGTJKj=-Hl751=ngky$\^QISL]EBa4*Ag?:86g`br-SWZ@TEVLMh?#Fn737?lie{&ZXSK]B_GDg6(Oi?8>0ebl|/QQ\BVKXNOn9!D`7159jkgu(XZUM_@QIFe0.Mk?682cdn~!_S^DPIZIr|9;:7dams.RP[CUJWF<;hmaw*VTWOYFSB{{0331?lie{&ZXSnc_ds3456b3`ei"`MESP\BVD6>2cdn~!aBDPQ[CUEWVey<=>?169jkgu(fKOY^RH\B^]lv56788;<7dams.lAAWTXNZHTSb|?012152=ngky$bOK]R^DPFZYhz9:;<>l4in`p+kBnfFOh7dams.lGmkIB9j1bco} nEkmK@4d3`ei"`KioMF7<=iIMe~xAK?a:lB@jssDL::m6`NDnwwH@65i2dJHb{{LD20a>hFLf@H>POCWE=>hFLf@H?n;oCGkprKM8;n7cOKotvOA4YHJ\L<7cO\_GQAg>hF[VLXNRGMUG58jDUXAFHo7cO\_HMA[JDRNL;=7cO\_HMA[kGTW@EIcxzP0^34?kGh}}ENo6`NotvLAZIE]O30bOK]R^DPF`=iJLXYSK]M_N@VB<=iJLXYSDAMe:lAAWTXAFHTEO[I7:lACZOHJj1eNJQFOC]JFP@03gHYSDAMc:lAVZOHJVEIYK:4nBCP=>hDIZUDNXHn;oFWEFMXNZHm7cJ[ABI\BVDXGK_Mm6`KT@AH[LIEn2dOXLMD_HMA[LDRN?1eHd`CEc9m@lhKMVEIYK84nEkmK@dhUMZUBCO[IEb9mV@UXff~;<=?k;oPFWZhh|9:;=<84nSMNGVd?00227>tfe&XJAH@Pmtz345669890~lc R@OFJZkrp9:;<<<>3:pbi*TFELDTaxv?0122745:?6|nm.PBI@HXe|r;<=>>5018vdk(ZHGNBRczx1234406;2xja"\NMDL\ip~789::;<<4r`o,VDKBFVg~t=>?0331?wgj'[KFICQbuy234556:2xja"\NMDL\ip~789:?=?5}al-QEHCIWds<=>?5008vdk(ZHGNBRczx12343753{kf#_OBEO]nq}6789=:>6|nm.PBI@HXe|r;<=>7139qeh)UIDOES`{w0123=f=uid%YM@\jae{20>tfe&XJA_kndx]nq}6789;>7ob/SCNV`gcqVg~t=>?0035?wgj'[KF^hoky^ov|56788:::6|nm.PBIWcflpUfyu>?013253=uid%YM@\jae{\ip~789::><84r`o,VDKUmhnrS`{w012356713{kf#_OBRdcg}Zkrp9:;<<:>6:pbi*TFE[ojhtQbuy2345729<1ym`!]ALPfeaXe|r;<=>=149qeh)UIDXnmiwPmtz345649<1ym`!]ALPfeaXe|r;<=>;149qeh)UIDXnmiwPmtz345629<1ym`!]ALPfeaXe|r;<=>9149qeh)UIDXnmiwPmtz345609<1ym`!]ALPfeaXe|r;<=>7149qeh)UIDXnmiwPmtz3456>l2xja"\NM^Pfeab3{kf#_OB_Sgb`|7b3{kf#_OB_Sgb`|4>3{kf#_O\EM32?wgj'[KXIAQbuy2345753{kf#_O\EM]nq}6789;:?6|nm.PBW@JXe|r;<=>>0018vdk(ZHYN@Rczx1234476;2xja"\NSDN\ip~789::><=4r`o,VDUBDVg~t=>?00127>tfe&XJ_HBPmtz34566<890~lc R@QFHZkrp9:;<<;>3:pbi*TF[LFTaxv?0122245>8018vdk(ZHYN@Rczx12344?6:2xja"\NSDN\ip~789:9=>5}al-QEVCKWds<=>?2130?wgj'[KXIAQbuy2345469;1ym`!]ARGO[hs89:;?<<4r`o,VDUBDVg~t=>?0531?wgj'[KXIAQbuy234536:2xja"\NSDN\ip~789:==?5}al-QEVCKWds<=>?7008vdk(ZHYN@Rczx1234=753{kf#_O\EM]nq}67893:<6|nm.PB[5Yj}q:;<=?>;scn+WGX8Vg~t=>?0032?wgj'[KT?0038vdk(ZHU:S`{w0123547_lw{4567:8;0~lc R@]2[hs89:;??4028vdk(ZHU9S`{w012354=uid%YMR<109qeh)UIV8Taxv?012755=uid%YMR=Pmtz3456692xja"\N_2]nq}6789;:=6|nm.PB[6Yj}q:;<=<>1:pbi*TFW:Ufyu>?01125>tfe&XJS>Qbuy23452682xja"\N_5]nq}6789;:7ob/SC\0Zkrp9:;<;scn+WGX?0332?wgj'[KT8Rczx12346763{kf#_OP4^ov|5678=;;7ob/SC\1Zkrp9:;<?1038vdk(ZHU>S`{w01236474r`o,VDY1Wds<=>?109qeh)UIV;119qeh)UIV=Taxv?01225>tfe&XJS:Qbuy23457692xja"\N_6]nq}67898:=6|nm.PB[2Yj}q:;<==>1:pbi*TFW>Ufyu>?01624>tfe&XJS5Qbuy2345763{kf#_OP8^ov|56788;:7ob/SC\;scn+WGX0Vg~t=>?0232?wgj'[KT4Rczx12341763{kf#_OP8^ov|5678<;:7ob/SC\?0038vdk(ZHU2S`{w0123547Wds<=>?4g9qeh)U[VLXARHId031?wgj'[YTJ^CPFGf2)Lh6;2xja"\\_GQN[C@c9$Ce=<:4r`o,VVYA[DUMJi?"Io3351=uid%Y_RH\M^DE`4+Nf8;:86|nm.PP[CUJWOLo= Ga1337?wgj'[YTJ^CPFGf2)Lh6;8>0~lc RR]EWHYANm;&Ec?;129qeh)U[VLXARHId0/Jj7743{kf#_]PFRO\BCb6%@d8=>5}al-QWZ@TEVLMh<#Fn530?wgj'[YTJ^CPFGf2)Lh29:1ym`!]S^DPIZ@Al8'Bb;?<;scn+WUXNZGTJKj>-Hl456=uid%Y_RH\M^DE`4+Nf1;87ob/SQ\BVKXNOn:!D`6f:pbi*TTWOYFSKHk2008vdk(ZZUM_@QIFe0.Mk743{kf#_]PFRO\BCb5%@d:=95}al-QWZ@TEVLMh?#Fn0220>tfe&XXSK]B_GDg6(Oi98;?7ob/SQ\BVKXNOn9!D`>2068vdk(ZZUM_@QIFe0.Mk749=1ym`!]S^DPIZ@Al;'Bb<:>4:pbi*TTWOYFSKHk2,Km50743{kf#_]PFRO\BCb5%@d9=>5}al-QWZ@TEVLMh?#Fn230?wgj'[YTJ^CPFGf1)Lh39:1ym`!]S^DPIZ@Al;'Bb8?<;scn+WUXNZGTJKj=-Hl556=uid%Y_RH\M^DE`7+Nf>;87ob/SQ\BVKXNOn9!D`7129qeh)U[VLXARHId3/Jj<`5}al-QWZ@TEVLMh>#Fn037?wgj'[YTJ^CPFGf0)Lh688>0~lc RR]EWHYANm9&Ec?>159qeh)U[VLXARHId2/Jj446;2xja"\\_GQN[C@c;$Ce><=4r`o,VVYA[DUMJi="Io127>tfe&XXSK]B_GDg7(Oi<890~lc RR]EWHYANm9&Ec;>3:pbi*TTWOYFSKHk3,Km245?0068vdk(ZllOcckP_np345669=1ym`!]egFlj`YXg{:;<=<>4:pbi*TbnMeeiRQ`r12346733{kf#_kiDnlf[Ziu89:;8l5}al-QacTF[h1ym`!]egPfu`=uid%Yik\jqR{mg44>129qeh)UmoXn}Road12347b1:pbi*Tbo\xlicz\yoa`?wgj'Vg~t=>?099qeh)iKHY:<6|nm.l@EVYig}:;<;scn+kEF[Vddx=>?10;8vdk(fE]N=<>4r`o,jIQBWhdo<=>?109qeh)iD^OTmcj?012254=uid%e@ZKPaof34565981ym`!aLVG\ekb789:8=<5}al-mHRCXign;<=>;7:pbi*hHM11ym`!aOD3;?wgj'gEN>55}al-mVDU>3{kf#c\NS0;8vdk(f[KX>45}al-mVDU412xja"`]AR6:?wgj'gXJ_8l4r`o,jWIJKZ;i7ob/oPLIRC612xja"`ZWD324>tfe&d^[HQnne2345763{kf#c[XE^cm`56788;:7ob/oWTAZgil9:;;scn+kSPMVkeh=>?0232?wgj'g_\IRoad12341><=vc}ABs576b3IJs5i4I:382V2?2h=1;?4>35`3f4m1;:b5d=c1m0;6<4>{R6;>d1=?;0:?9l?b08;e5b<~]3?6=4>:0804c}T<10j;79=:017f5d621k;h6*6c;46?!142=>>7o7k:187f?4bi39;jvF6a:&:=??c3S9i6>u>:380>x-4>90;66a3:17b:>:188k63b2900e>:?:188k63f2900c>:9:188k6232900e9m50;9j0`<722c88l4?::m07<<722e8?n4?::k017<722e89n4?::k035<722e8:l4?::m01=<722c??7>5;h1g>5<5<5<5<5<n6=44o273>5<5<k1<7*85;5:?k132910c:650;&41?1>3g=?6<54o9194?"0=3=27c9;:398k=4=83.<9796;o57>6=50;&41?1>3g=?6854o6d94?"0=3=27c9;:798k2c=83.<9796;o57>2=n1<7*85;5:?k132110c:m50;&41?1>3g=?6454o6`94?"0=3=27c9;:`98k21=83.<9796;o57>g=6=2=5$6795==i?=0276gk:18'30<602d<87o4;ha94?"0=3;37c9;:c98mg<72-=>6<64n669g>=ni3:1(:;5199m315$6795==i?=0n76g=0;29 23=911e;94i;:k2b?6=,>?1=55a75824>=n9l0;6)9::0:8j22=9810e5<#?<0:46`84;30?>o6j3:1(:;5199m31<6<21b=l4?:%56>4>>1=854i0694?"0=3;37c9;:048?l??290/;8467:l40?6<3`3=6=4+748:3>h0<3;07d7::18'30<>?2d<87<4;cc2>5<6290;w)76:418Ld6<@0k0c8<50;9~fd4=83;1<7>t$8;9=g=Oi91C5l5`7083>>{ejm0;684?:1y'=<<292Bj<6F6a:k71?6=3`>=6=44i2a94?=n<00;66a86;29?xdd<3:197>50z&:=?363Ak;7E7n;h66>5<>o313:17b99:188ygb?290>6=4?{%;:>07<@h:0D4o4i5794?=n2900c:850;9~fae=83?1<7>t$8;914=Oi91C5l5f4483>>o3>3:17d=l:188m1?=831d;;4?::aa5<72<0;6=u+98865>Nf82B2m6g;5;29?l212900e>m50;9j0<<722e<:7>5;|`f0?6==3:15<2290;w)76:438Ld6<@0k0e9;50;9j03<722c8o7>5;h6:>5<<1<75rbda94?3=83:p(475509Ke5=O1h1b884?::k72?6=3`9h6=44i5;94?=h??0;66smf183>0<729q/544:1:Jb4>N>i2c?97>5;h65>5<>i0>3:17pli4;291?6=8r.257;>;Ic3?M?f3`>>6=44i5494?=n;j0;66g;9;29?j112900qom::186>5<7s-3268?4H`28L>o4k3:17d:6:188k20=831vnn750;794?6|,0319<5Ga19K=d=n<<0;66g;6;29?l5d2900e9750;9l33<722wioi4?:483>5}#100>=6Fn0:J:e>o3=3:17d:9:188m6e=831b844?::m42?6=3tho=7>55;294~">13?:7Eo?;I;b?l222900e9850;9j7f<722c?57>5;n55>5<53;294~">13?;7Eo?;I;b?!3a291b?n4?::k7=?6=3f==6=44}c353?6=;3:15;n55>5<53;294~">13?;7Eo?;I;b?!3a291b?n4?::k7=?6=3f==6=44}c36a?6=;3:15;n55>5<55;294~">13?:7Eo?;I;b?l222900e9850;9j7f<722c?57>5;n55>5<53;294~">13?;7Eo?;I;b?l5d2900e9750;9l33<722wi=i=50;794?6|,0319<5Ga19K=d=n<<0;66g;6;29?l5d2900e9750;9l33<722wi??850;794?6|,0319<5Ga19K=d=n<<0;66g;6;29?l5d2900e9750;9l33<722wi?=850;794?6|,0319<5Ga19K=d=#=o0;7d:::188m10=831b?n4?::k7=?6=3f==6=44}c0a7?6==3:1t$8;915=Oi91C5l5f3b83>>o313:17b99:188yg47;3:1?7>50z&:=?373Ak;7E7n;h1`>5<>{e:9<1<7=50;2x 5;n55>5<53;294~">13?;7Eo?;I;b?l5d2900e9750;9l33<722wi?=;50;194?6|,0319=5Ga19K=d=n;j0;66g;9;29?j112900qo?me;291?6=8r.257;>;Ic3?M?f3`>>6=44i5494?=n;j0;66g;9;29?j112900qo?l3;291?6=8r.257;>;Ic3?M?f3`>>6=44i5494?=n;j0;66g;9;29?j112900qo?l8;291?6=8r.257;>;Ic3?M?f3`>>6=44i5494?=n;j0;66g;9;29?j112900qo;Ic3?M?f3`>>6=44i5494?=n;j0;66g;9;29?j112900qo:>9;291?6=8r.257;>;Ic3?M?f3`>>6=44i5494?=n;j0;66g;9;29?j112900qo?m0;291?6=8r.257;>;Ic3?M?f3-?m6=5f4483>>o3>3:17d=l:188m1?=831d;;4?::a5g5=83?1<7>t$8;914=Oi91C5l5+5g83?l222900e9850;9j7f<722c?57>5;n55>5<53;294~">13?;7Eo?;I;b?l5d2900e9750;9l33<722wi=9>50;194?6|,0319=5Ga19K=d=n;j0;66g;9;29?j112900qo?:8;297?6=8r.257;?;Ic3?M?f3`9h6=44i5;94?=h??0;66sm25494?5=83:p(475519Ke5=O1h1b?n4?::k7=?6=3f==6=44}c3ge?6=;3:1t$8;915=Oi91C5l5f3b83>>o313:17b99:188yg44<3:1?7>50z&:=?373Ak;7E7n;h1`>5<>{e:>31<7=50;2x 5;n55>5<53;294~">13?;7Eo?;I;b?l5d2900e9750;9l33<722wi>:j50;194?6|,0319=5Ga19K=d=n;j0;66g;9;29?j112900qo?je;297?6=8r.257;?;Ic3?M?f3`9h6=44i5;94?=h??0;66sm29494?5=83:p(475519Ke5=O1h1b?n4?::k7=?6=3f==6=44}c0a6?6=;3:1t$8;915=Oi91C5l5f3b83>>o313:17b99:188yg25=3:1?7>50z&:=?373Ak;7E7n;h1`>5<>{e<;:1<7=50;2x 5;n55>5<957>53;294~">13?;7Eo?;I;b?l5d2900e9750;9l33<722wi8??50;194?6|,0319=5Ga19K=d=n;j0;66g;9;29?j112900qo=84;297?6=8r.257;?;Ic3?M?f3`9h6=44i5;94?=h??0;66sm36794?5=83:p(475519Ke5=O1h1/9k4?;h1`>5<>{e;121<7=50;2x 5<>{e<8l1<7=50;2x Nf82B2m6g2900c:850;9~fde=8391<7>t$8;915=Oi91C5l5f3b83>>o313:17b99:188yg4>i3:1?7>50z&:=?373Ak;7E7n;h1`>5<>{e:1n1<7=50;2x 5;n55>5<53;294~">13?;7Eo?;I;b?l5d2900e9750;9l33<722wi>5950;194?6|,0319=5Ga19K=d=n;j0;66g;9;29?j112900qo<<3;297?6=8r.257;?;Ic3?M?f3`9h6=44i5;94?=h??0;66sm26:94?5=83:p(475519Ke5=O1h1b?n4?::k7=?6=3f==6=44}c3f6?6=;3:1t$8;915=Oi91C5l5f3b83>>o313:17b99:188yg7bl3:1?7>50z&:=?373Ak;7E7n;h1`>5<>{e<;h1<7=50;2x 5;n55>5<53;294~">13?;7Eo?;I;b?!3a291b?n4?::k7=?6=3f==6=44}c0ef?6==3:15;n55>5<55;294~">13?:7Eo?;I;b?!3a291b884?::k72?6=3`9h6=44i5;94?=h??0;66sm24494?5=83:p(475519Ke5=O1h1/9k4?;h1`>5<>{e:;h1<7=50;2x 5<>{e9;l1<7=50;2x 5<>{e:<=1<7=50;2x 5<>{e:?h1<7=50;2x 5<>{e;891<7=50;2x 5<>{e;o=1<7=50;2x 5<>{e;:h1<7=50;2x 5;n4`>5<53;397~N>i2.25789;h6b>5<>df>3:1?7>50z&:=??a3Ak;7E7n;h76>5<>{te3<2>2wx8o4?:3y]0g=:i?0>96s|9283>7}Y1:16m;49c:~f41729086<4<{I;b?!?>2?<0e9o50;9j0g<722e2?7>5;cc5>5<4290;w)76:8d8Ld6<@0k0e8;50;9j13<722e=o7>5;|q7e?6=:rT?m63n6;75?xu3j3:1>vP;b:?b2?323ty2?7>52z\:7>;f>35<5sW>i70o9:478yv?42909wS7<;3e53;397~N>i2.25789;h6b>5<>df>3:1?7>50z&:=??a3Ak;7E7n;h76>5<>{te3<2>2wx8o4?:3y]0g=:i?0>96s|9283>7}Y1:16m;49c:~fcg=8391=7=tH8c8 ?1b8l4?::k7f?6=3f386=44b`494?5=83:p(4759g9Ke5=O1h1b984?::k62?6=3f5<5sW>j70o9:448yv2e2909wS:m;0353;294~">133m7Eo?;I;b?l322900e8850;9l2f<722wx8l4?:3y]0d=:i?0>:6s|4c83>7}Y52a785g>{zjo21<7=51;1xL>o3j3:17b7<:188fd0=8391<7>t$8;9=c=Oi91C5l5f5483>>o2>3:17b8l:188yv2f2909wS:n;00i6=4={_6a?8g12uG9`9'=<<1>2c?m7>5;h6a>5<6<729q/5446f:Jb4>N>i2c>97>5;h75>5<j1vqo??3;297?7=;rB2m6*69;45?l2f2900e9l50;9l=6<722hj:7>53;294~">133m7Eo?;I;b?l322900e8850;9l2f<722wx8l4?:3y]0d=:i?0>:6s|4c83>7}Y52a785g>{zj8:96=4<:080M?f3-326;84i5c94?=n<`<@h:0D4o4i4794?=n=?0;66a9c;29?xu3i3:1>vP;a:?b2?313ty?n7>52z\7f>;f>3?>7p}63;296~X>;27j:78l;|a557=8391=7=tH8c8 ?1b8l4?::k7f?6=3f386=44b`494?5=83:p(4759g9Ke5=O1h1b984?::k62?6=3f5<5sW>j70o9:448yv2e2909wS:m;030183>6<62:qC5l5+98852>o3i3:17d:m:188k<5=831im;4?:283>5}#1002j6Fn0:J:e>o2=3:17d;9:188k3e=831v9o50;0xZ1g<5h<19;5rs5`94?4|V=h01l85549~w<5=838pR4=4=`492f=zuklm6=4<:080M?f3-326;84i5c94?=n<`<@h:0D4o4i4794?=n=?0;66a9c;29?xu3i3:1>vP;a:?b2?313ty?n7>52z\7f>;f>3?>7p}63;296~X>;27j:78l;|ab`<72:0:6>uG9`9'=<<1>2c?m7>5;h6a>5<6<729q/5446f:Jb4>N>i2c>97>5;h75>5<j1vqohk:180>4<4sA3j7)76:748m1g=831b8o4?::m:7?6=3kk=6=4<:183!?>20l0Dl>4H8c8m03=831b9;4?::m5g?6=3ty?m7>52z\7e>;f>3?=7p};b;296~X3j27j:7;:;|q:7?6=:rT2?63n6;4`?x{enj0;6>4>:2yK=d=#100=:6g;a;29?l2e2900c4=50;9ae3<72:0;6=u+988:b>Nf82B2m6g:5;29?l312900c;m50;9~w1g=838pR9o4=`4913=z{=h1<7j6=44i5`94?=h1:0;66ln6;297?6=8r.2577i;Ic3?M?f3`?>6=44i4494?=h>j0;66s|4`83>7}Y{t1:0;6?uQ929>e3<1k2wvn?>j:180>4<4sA3j7)76:748m1g=831b8o4?::m:7?6=3kk=6=4<:183!?>20l0Dl>4H8c8m03=831b9;4?::m5g?6=3ty?m7>52z\7e>;f>3?=7p};b;296~X3j27j:7;:;|q:7?6=:rT2?63n6;4`?x{e:9n1<7=51;1xL>o3j3:17b7<:188fd0=8391<7>t$8;9=c=Oi91C5l5f5483>>o2>3:17b8l:188yv2f2909wS:n;00i6=4={_6a?8g12uG9`9'=<<1>2c?m7>5;h6a>5<6<729q/5446f:Jb4>N>i2c>97>5;h75>5<j1vqo=<5;296?6=8r.2578?;Ic3?M?f3`??6=44o7a94?=zj:<86=4=:183!?>2?:0Dl>4H8c8m02=831d:n4?::a5g4=8391<7>t$8;90>Nf82B2m6g:5;29?l312900c;m50;9~f14c29096=4?{%;:>36<@h:0D4o4i4694?=h>j0;66sm43594?4=83:p(475619Ke5=O1h1b994?::m5g?6=3th?>54?:283>5}#100?7Eo?;I;b?l322900e8850;9l2f<722wi8?=50;094?6|,031:=5Ga19K=d=n==0;66a9c;29?xd3:=0;6>4?:1y'=<<33Ak;7E7n;h76>5<>{e<:n1<7<50;2x 91Cm=5G9`9j11<722e=o7>5;|`76`<72;0;6=u+98854>Nf82B2m6g:4;29?j0d2900qo:2?:0Dl>4H8c8m02=831d:n4?::a7t$8;90>Nf82B2m6g:5;29?l312900c;m50;9~f7`529096=4?{%;:>36<@h:0D4o4i4694?=h>j0;66sm2g194?5=83:p(4754:Jb4>N>i2c>97>5;h75>5<5<4290;w)76:7d8Ld6<@0k0e8;50;9j13<722e=o7>5;|`06=<72;0;6=u+98854>Nf82B2m6g:4;29?j0d2900qo==9;296?6=8r.2578?;Ic3?M?f3`??6=44o7a94?=zj:8j6=4<:183!?>2?l0Dl>4H8c8m03=831b9;4?::m5g?6=3th8<94?:383>5}#100=<6Fn0:J:e>o2<3:17b8l:188yg4dl3:1>7>50z&:=?073Ak;7E7n;h77>5<5<4290;w)76:59Ke5=O1h1b984?::k62?6=3f:181>5<7s-326;>4H`28L1<75`6b83>>{e9j81<7=50;2x o1Cm=5G9`9j10<722c>:7>5;n4`>5<52;294~">13<;7Eo?;I;b?l332900c;m50;9~f4e129096=4?{%;:>36<@h:0D4o4i4694?=h>j0;66sm1b594?5=83:p(4756g9Ke5=O1h1b984?::k62?6=3f5;|`2`0<72;0;6=u+98854>Nf82B2m6g:4;29?j0d2900qo?k6;297?6=8r.2578i;Ic3?M?f3`?>6=44i4494?=h>j0;66sm1cc94?4=83:p(475619Ke5=O1h1b994?::m5g?6=3th:nn4?:383>5}#100=<6Fn0:J:e>o2<3:17b8l:188yg7el3:1?7>50z&:=?0a3Ak;7E7n;h76>5<>{e:8?1<7=50;2x 2=1Cm=5G9`9j10<722c>:7>5;n4`>5<53;294~">13>0Dl>4H8c8m03=831b9;4?::m5g?6=3th95}#100?7Eo?;I;b?l322900e8850;9l2f<722wi>=;50;194?6|,03186Fn0:J:e>o2=3:17d;9:188k3e=831vn?>7:180>5<7s-32695Ga19K=d=n=<0;66g:6;29?j0d2900qo>i1k3:17pl=a083>7<729q/54490:Jb4>N>i2c>87>5;n4`>5<52;294~">13<;7Eo?;I;b?l332900c;m50;9~f71a29096=4?{%;:>36<@h:0D4o4i4694?=h>j0;66sm1g294?4=83:p(475619Ke5=O1h1b994?::m5g?6=3th:il4?:383>5}#100=<6Fn0:J:e>o2<3:17b8l:188yg46n3:1>7>50z&:=?073Ak;7E7n;h77>5<5<4290;w)76:59Ke5=O1h1b984?::k62?6=3f5;|`2a4<72:0;6=u+9887?Mg73A3j7d;::188m00=831d:n4?::a621=8391<7>t$8;90>Nf82B2m6g:5;29?l312900c;m50;9~f41229086=4?{%;:>1=Oi91C5l5f5483>>o2>3:17b8l:188yg70;3:1?7>50z&:=?2<@h:0D4o4i4794?=n=?0;66a9c;29?xd6>90;6?4?:1y'=<<182Bj<6F6a:k60?6=3f5<7s-32695Ga19K=d=n=<0;66g:6;29?j0d2900qo?95;296?6=8r.2578?;Ic3?M?f3`??6=44o7a94?=zj8<=6=4<:183!?>2=1Cm=5G9`9j10<722c>:7>5;n4`>5<52;294~">13<;7Eo?;I;b?l332900c;m50;9~f40f29086=4?{%;:>1=Oi91C5l5f5483>>o2>3:17b8l:188yg71l3:1>7>50z&:=?073Ak;7E7n;h77>5<5<4290;w)76:59Ke5=O1h1b984?::k62?6=3f5;|`257<72:0;6=u+9887?Mg73A3j7d;::188m00=831d:n4?::a55c=8391<7>t$8;90>Nf82B2m6g:5;29?l312900c;m50;9~f46f29086=4?{%;:>1=Oi91C5l5f5483>>o2>3:17b8l:188yg77>3:1?7>50z&:=?2<@h:0D4o4i4794?=n=?0;66a9c;29?xdd93:1>7>50z&:=?073Ak;7E7n;h77>5<53;294~">13>0Dl>4H8c8m03=831b9;4?::m5g?6=3tho:7>52;294~">13<;7Eo?;I;b?l332900c;m50;9~fa1=8391<7>t$8;90>Nf82B2m6g:5;29?l312900c;m50;9~fag=8381<7>t$8;925=Oi91C5l5f5583>>i1k3:17plkb;297?6=8r.257:4H`28L>i1k3:17plke;296?6=8r.2578?;Ic3?M?f3`??6=44o7a94?=zjml1<7=50;2x 91Cm=5G9`9j11<722e=o7>5;|`f7?6=;3:15;|`f2?6=:3:1o2=3:17d;9:188k3e=831vnho50;094?6|,031:=5Ga19K=d=n==0;66a9c;29?xdbj3:1?7>50z&:=?2<@h:0D4o4i4794?=n=?0;66a9c;29?xdbm3:1>7>50z&:=?073Ak;7E7n;h77>5<N>i2c>97>5;h75>5<53;294~">13>0Dl>4H8c8m03=831b9;4?::m5g?6=3thm:7>52;294~">13<;7Eo?;I;b?l332900c;m50;9~fc1=8391<7>t$8;90>Nf82B2m6g:5;29?l312900c;m50;9~ff1=8381<7>t$8;925=Oi91C5l5f5583>>i1k3:17pll8;297?6=8r.257:4H`28L>i1k3:17pllb;296?6=8r.2578?;Ic3?M?f3`??6=44o7a94?=zjji1<7=50;2x 91Cm=5G9`9j11<722e=o7>5;|`g4?6=;3:15;|`g7?6=:3:1o2=3:17d;9:188k3e=831vnlk50;094?6|,031:=5Ga19K=d=n==0;66a9c;29?xdfi3:1>7>50z&:=?073Ak;7E7n;h77>5<N>i2c>97>5;h75>5<5<4290;w)76:59Ke5=O1h1b984?::k62?6=3f5<7s-32695Ga19K=d=n=<0;66g:6;29?j0d2900qo==3;296?6=8r.2578?;Ic3?M?f3`??6=44o7a94?=zj:8?6=4<:183!?>2=1Cm=5G9`9j10<722c>:7>5;n4`>5<:;7>52;294~">13<;7Eo?;I;b?l332900c;m50;9~f17?29086=4?{%;:>1=Oi91C5l5f5483>>o2>3:17b8l:188yg40j3:1>7>50z&:=?073Ak;7E7n;h77>5<5<5290;w)76:728Ld6<@0k0e8:50;9l2f<722wink4?:383>5}#100=<6Fn0:J:e>o2<3:17b8l:188yg7b<3:1>7>50z&:=?073Ak;7E7n;h77>5<5<5290;w)76:728Ld6<@0k0e8:50;9l2f<722wi>5750;194?6|,03186Fn0:J:e>o2=3:17d;9:188k3e=831vn??8:181>5<7s-326;>4H`28L1<75`6b83>>{e:821<7<50;2x 91Cm=5G9`9j11<722e=o7>5;|`15<<72:0;6=u+9887?Mg73A3j7d;::188m00=831d:n4?::a766=8381<7>t$8;925=Oi91C5l5f5583>>i1k3:17pl<3083>7<729q/54490:Jb4>N>i2c>87>5;n4`>5<7>53;294~">13>0Dl>4H8c8m03=831b9;4?::m5g?6=3th??84?:383>5}#100=<6Fn0:J:e>o2<3:17b8l:188yg24>3:1?7>50z&:=?0a3Ak;7E7n;h76>5<>{e<:o1<7=50;2x o1Cm=5G9`9j10<722c>:7>5;n4`>5<52;294~">13<;7Eo?;I;b?l332900c;m50;9~f4b>29086=4?{%;:>3`<@h:0D4o4i4794?=n=?0;66a9c;29?xd50k0;6?4?:1y'=<<182Bj<6F6a:k60?6=3ft$8;925=Oi91C5l5f5583>>i1k3:17pl=9e83>7<729q/54490:Jb4>N>i2c>87>5;n4`>5<53;294~">135h50;094?6|,031:=5Ga19K=d=n==0;66a9c;29?xd5190;6?4?:1y'=<<182Bj<6F6a:k60?6=3ft$8;9=>Nf82B2m6g:5;29?l312900e8950;9j1=<722c>57>5;h7b>5<>i1k3:17pl>8683><<729q/5446;Ic3?M?f3`?>6=44i4494?=n=>0;66g:8;29?l3>2900e8o50;9j1g<722c>o7>5;n4`>5<53;294~">13>0Dl>4H8c8m03=831b9;4?::m5g?6=3th:5o4?:383>5}#100=<6Fn0:J:e>o2<3:17b8l:188yg7>k3:1>7>50z&:=?073Ak;7E7n;h77>5<5<5290;w)76:728Ld6<@0k0e8:50;9l2f<722wi=4k50;794?6|,031:6Fn0:J:e>o2=3:17d;9:188m01=831b954?::m5g?6=3th8i>4?:283>5}#100?7Eo?;I;b?l322900e8850;9l2f<722wi?h:50;094?6|,031:=5Ga19K=d=n==0;66a9c;29?xd4m<0;6>4?:1y'=<<33Ak;7E7n;h76>5<>{e;l<1<7=50;2x o1Cm=5G9`9j10<722c>:7>5;n4`>5<53;294~">13>0Dl>4H8c8m03=831b9;4?::m5g?6=3th8h54?:383>5}#100=<6Fn0:J:e>o2<3:17b8l:188yg5c13:1?7>50z&:=?2<@h:0D4o4i4794?=n=?0;66a9c;29?xd4lh0;6>4?:1y'=<<1n2Bj<6F6a:k61?6=3`?=6=44o7a94?=zj:n96=4<:183!?>2=1Cm=5G9`9j10<722c>:7>5;n4`>5<52;294~">13<;7Eo?;I;b?l332900c;m50;9~f6b329086=4?{%;:>1=Oi91C5l5f5483>>o2>3:17b8l:188yg5c=3:1?7>50z&:=?0a3Ak;7E7n;h76>5<>{e;jn1<7=50;2x 2?:0Dl>4H8c8m02=831d:n4?::a7f`=8391<7>t$8;90>Nf82B2m6g:5;29?l312900c;m50;9~f6b729086=4?{%;:>3`<@h:0D4o4i4794?=n=?0;66a9c;29?xd4k10;6>4?:1y'=<<33Ak;7E7n;h76>5<>{e;j31<7<50;2x 91Cm=5G9`9j11<722e=o7>5;|`0gd<72:0;6=u+9887?Mg73A3j7d;::188m00=831d:n4?::a7fd=8391<7>t$8;92c=Oi91C5l5f5483>>o2>3:17b8l:188yg5d;3:1?7>50z&:=?2<@h:0D4o4i4794?=n=?0;66a9c;29?xd4k=0;6?4?:1y'=<<182Bj<6F6a:k60?6=3f5;|`0g3<72:0;6=u+9885b>Nf82B2m6g:5;29?l312900c;m50;9~f6db29086=4?{%;:>1=Oi91C5l5f5483>>o2>3:17b8l:188yg5en3:1>7>50z&:=?073Ak;7E7n;h77>5<5<4290;w)76:59Ke5=O1h1b984?::k62?6=3ft$8;90>Nf82B2m6g:5;29?l312900c;m50;9~f6df29096=4?{%;:>36<@h:0D4o4i4694?=h>j0;66sm3c`94?5=83:p(4754:Jb4>N>i2c>97>5;h75>5<5<4290;w)76:7d8Ld6<@0k0e8;50;9j13<722e=o7>5;|`0f1<72:0;6=u+9887?Mg73A3j7d;::188m00=831d:n4?::a7g3=8381<7>t$8;925=Oi91C5l5f5583>>i1k3:17pl6<729q/544;;Ic3?M?f3`?>6=44i4494?=h>j0;66sm3c594?5=83:p(4756g9Ke5=O1h1b984?::k62?6=3f:181>5<7s-326;>4H`28L1<75`6b83>>{e<:81<7<50;2x 91Cm=5G9`9j11<722e=o7>5;|`776<72<0;6=u+9885?Mg73A3j7d;::188m00=831b9:4?::k65<7s-326;>4H`28L1<75`6b83>>{e<=91<7<50;2x 91Cm=5G9`9j11<722e=o7>5;|`701<72<0;6=u+9885?Mg73A3j7d;::188m00=831b9:4?::k65;|`1b`<72;0;6=u+98854>Nf82B2m6g:4;29?j0d2900qo2=1Cm=5G9`9j10<722c>:7>5;n4`>5<53;294~">13n850;194?6|,03186Fn0:J:e>o2=3:17d;9:188k3e=831vn?m8:181>5<7s-326;>4H`28L1<75`6b83>>{e:j21<7<50;2x 91Cm=5G9`9j11<722e=o7>5;|`1g<<72:0;6=u+9887?Mg73A3j7d;::188m00=831d:n4?::a6fg=8391<7>t$8;92c=Oi91C5l5f5483>>o2>3:17b8l:188yg4ck3:1?7>50z&:=?2<@h:0D4o4i4794?=n=?0;66a9c;29?xd5lm0;6?4?:1y'=<<182Bj<6F6a:k60?6=3f5;|`1`c<72:0;6=u+9885b>Nf82B2m6g:5;29?l312900c;m50;9~f64d29086=4?{%;:>1=Oi91C5l5f5483>>o2>3:17b8l:188yg55l3:1>7>50z&:=?073Ak;7E7n;h77>5<5<4290;w)76:59Ke5=O1h1b984?::k62?6=3f5<7s-326;>4H`28L1<75`6b83>>{e:kn1<7:50;2x j0;66sm19194?5=83:p(4756g9Ke5=O1h1b984?::k62?6=3f5;|`21d<72;0;6=u+98854>Nf82B2m6g:4;29?j0d2900qo?:b;296?6=8r.2578?;Ic3?M?f3`??6=44o7a94?=zj8?h6=4=:183!?>2?:0Dl>4H8c8m02=831d:n4?::a50b=83?1<7>t$8;92>Nf82B2m6g:5;29?l312900e8950;9j1=<722e=o7>5;|`272<72;0;6=u+98854>Nf82B2m6g:4;29?j0d2900qo?<8;296?6=8r.2578?;Ic3?M?f3`??6=44o7a94?=zj8926=4<:183!?>2=1Cm=5G9`9j10<722c>:7>5;n4`>5<53;294~">13>0Dl>4H8c8m03=831b9;4?::m5g?6=3th:?o4?:283>5}#100=j6Fn0:J:e>o2=3:17d;9:188k3e=831vn<=>:180>5<7s-32695Ga19K=d=n=<0;66g:6;29?j0d2900qo?<2;296?6=8r.2578?;Ic3?M?f3`??6=44o7a94?=zj8986=4<:183!?>2=1Cm=5G9`9j10<722c>:7>5;n4`>5<53;294~">13;j50;194?6|,03186Fn0:J:e>o2=3:17d;9:188k3e=831vn?8j:180>5<7s-32695Ga19K=d=n=<0;66g:6;29?j0d2900qo<9f;296?6=8r.2578?;Ic3?M?f3`??6=44o7a94?=zj;=;6=4=:183!?>2?:0Dl>4H8c8m02=831d:n4?::a627=8381<7>t$8;925=Oi91C5l5f5583>>i1k3:17pl=7383>6<729q/544;;Ic3?M?f3`?>6=44i4494?=h>j0;66sm26194?5=83:p(4756g9Ke5=O1h1b984?::k62?6=3f;7>5;n4`>5<53;294~">138h50;094?6|,031:=5Ga19K=d=n==0;66a9c;29?xd5>:0;6?4?:1y'=<<182Bj<6F6a:k60?6=3f5<7s-326;>4H`28L1<75`6b83>>{e:?<1<7<50;2x 91Cm=5G9`9j11<722e=o7>5;|`122<72;0;6=u+98854>Nf82B2m6g:4;29?j0d2900qo<98;296?6=8r.2578?;Ic3?M?f3`??6=44o7a94?=zj;<26=4::183!?>2?1Cm=5G9`9j10<722c>:7>5;h74>5<>{e:?k1<7=50;2x 2>:0Dl>4H8c8m03=831b9;4?::k63?6=3f5;|`127<72:0;6=u+9885b>Nf82B2m6g:5;29?l312900c;m50;9~f73>29086=4?{%;:>1=Oi91C5l5f5483>>o2>3:17b8l:188yg42i3:1>7>50z&:=?073Ak;7E7n;h77>5<5<4290;w)76:59Ke5=O1h1b984?::k62?6=3ft$8;925=Oi91C5l5f5583>>i1k3:17pl<1`83>6<729q/5449f:Jb4>N>i2c>97>5;h75>5<5<4290;w)76:59Ke5=O1h1b984?::k62?6=3f?k:181>5<7s-326;>4H`28L1<75`6b83>>{e;8o1<7=50;2x 2?:0Dl>4H8c8m02=831d:n4?::a776=8391<7>t$8;90>Nf82B2m6g:5;29?l312900c;m50;9~f64629086=4?{%;:>1=Oi91C5l5f5483>>o2>3:17b8l:188yg56>3:1?7>50z&:=?2<@h:0D4o4i4794?=n=?0;66a9c;29?xd49>0;6>4?:1y'=<<1n2Bj<6F6a:k61?6=3`?=6=44o7a94?=zj:;36=4<:183!?>2=1Cm=5G9`9j10<722c>:7>5;n4`>5<54;294~">13=;7Eo?;I;b?l322900e8850;9j12<722e=o7>5;|`054<72:0;6=u+9887?Mg73A3j7d;::188m00=831d:n4?::a744=8391<7>t$8;92c=Oi91C5l5f5483>>o2>3:17b8l:188yg27i3:1>7>50z&:=?073Ak;7E7n;h77>5<5<4290;w)76:59Ke5=O1h1b984?::k62?6=3f5<7s-326;h4H`28L>i1k3:17pl;1083>7<729q/54490:Jb4>N>i2c>87>5;n4`>5<:>7>52;294~">13<;7Eo?;I;b?l332900c;m50;9~f17429086=4?{%;:>1=Oi91C5l5f5483>>o2>3:17b8l:188yg26<3:1?7>50z&:=?2<@h:0D4o4i4794?=n=?0;66a9c;29?xd39<0;694?:1y'=<<23Ak;7E7n;h76>5<>i1k3:17pl;0c83>6<729q/5449f:Jb4>N>i2c>97>5;h75>5<5<3290;w)76:49Ke5=O1h1b984?::k62?6=3`?<6=44o7a94?=zj=:o6=4<:183!?>2?l0Dl>4H8c8m03=831b9;4?::m5g?6=3th8j44?:383>5}#100=<6Fn0:J:e>o2<3:17b8l:188yg2783:1>7>50z&:=?073Ak;7E7n;h77>5<5<4290;w)76:59Ke5=O1h1b984?::k62?6=3f<:181>5<7s-326;>4H`28L1<75`6b83>>{e<9>1<7<50;2x 91Cm=5G9`9j11<722e=o7>5;|`740<72:0;6=u+9887?Mg73A3j7d;::188m00=831d:n4?::a050=8391<7>t$8;90>Nf82B2m6g:5;29?l312900c;m50;9~f16029086=4?{%;:>3`<@h:0D4o4i4794?=n=?0;66a9c;29?xd4nh0;6>4?:1y'=<<1n2Bj<6F6a:k61?6=3`?=6=44o7a94?=zj:li6=4<:183!?>2=1Cm=5G9`9j10<722c>:7>5;n4`>5<53;294~">13>0Dl>4H8c8m03=831b9;4?::m5g?6=3th8ji4?:283>5}#100=j6Fn0:J:e>o2=3:17d;9:188k3e=831vn>hj:180>5<7s-32695Ga19K=d=n=<0;66g:6;29?j0d2900qo=if;297?6=8r.2578i;Ic3?M?f3`?>6=44i4494?=h>j0;66sm3d;94?5=83:p(4754:Jb4>N>i2c>97>5;h75>5<5<5290;w)76:728Ld6<@0k0e8:50;9l2f<722wi?k>50;194?6|,03186Fn0:J:e>o2=3:17d;9:188k3e=831vn>h>:181>5<7s-326;>4H`28L1<75`6b83>>{e;o81<7<50;2x 91Cm=5G9`9j11<722e=o7>5;|`0b6<72;0;6=u+98854>Nf82B2m6g:4;29?j0d2900qo=i4;296?6=8r.2578?;Ic3?M?f3`??6=44o7a94?=zj:l>6=4<:183!?>2=1Cm=5G9`9j10<722c>:7>5;n4`>5<53;294~">13>0Dl>4H8c8m03=831b9;4?::m5g?6=3th8il4?:483>5}#100=7Eo?;I;b?l322900e8850;9j12<722c>47>5;n4`>5<53;294~">13>i1k3:17pl6<729q/5449f:Jb4>N>i2c>97>5;h75>5<5<3290;w)76:49Ke5=O1h1b984?::k62?6=3`?<6=44o7a94?=zj;886=4=:183!?>2?:0Dl>4H8c8m02=831d:n4?::a672=8381<7>t$8;925=Oi91C5l5f5583>>i1k3:17pl=2483>7<729q/54490:Jb4>N>i2c>87>5;n4`>5<52;294~">13<;7Eo?;I;b?l332900c;m50;9~f74029096=4?{%;:>36<@h:0D4o4i4694?=h>j0;66sm23:94?2=83:p(4755:Jb4>N>i2c>97>5;h75>5<>{e:;31<7=50;2x o1Cm=5G9`9j10<722c>:7>5;n4`>5<54;294~">13?0Dl>4H8c8m03=831b9;4?::k63?6=3ft$8;925=Oi91C5l5f5583>>i1k3:17pl>dd83>6<729q/544;;Ic3?M?f3`?>6=44i4494?=h>j0;66sm1ed94?5=83:p(4756g9Ke5=O1h1b984?::k62?6=3f5;|`20a<72;0;6=u+98854>Nf82B2m6g:4;29?j0d2900qo?;e;296?6=8r.2578?;Ic3?M?f3`??6=44o7a94?=zj8>m6=4=:183!?>2?:0Dl>4H8c8m02=831d:n4?::a506=8381<7>t$8;925=Oi91C5l5f5583>>i1k3:17pl>5083>6<729q/544;;Ic3?M?f3`?>6=44i4494?=h>j0;66sm14094?3=83:p(4756:Jb4>N>i2c>97>5;h75>5<>i1k3:17pl>5283>6<729q/5449f:Jb4>N>i2c>97>5;h75>5<5<4290;w)76:7d8Ld6<@0k0e8;50;9j13<722e=o7>5;|`206<72;0;6=u+98854>Nf82B2m6g:4;29?j0d2900qo?;4;296?6=8r.2578?;Ic3?M?f3`??6=44o7a94?=zj8>>6=4=:183!?>2?:0Dl>4H8c8m02=831d:n4?::a510=8381<7>t$8;925=Oi91C5l5f5583>>i1k3:17pl>4683>6<729q/544;;Ic3?M?f3`?>6=44i4494?=h>j0;66sm15:94?3=83:p(4756:Jb4>N>i2c>97>5;h75>5<>i1k3:17pl>4883>6<729q/5449f:Jb4>N>i2c>97>5;h75>5<5<4290;w)76:59Ke5=O1h1b984?::k62?6=3f5<7s-32695Ga19K=d=n=<0;66g:6;29?j0d2900qo?8e;297?6=8r.2578i;Ic3?M?f3`?>6=44i4494?=h>j0;66sm16d94?5=83:p(4754:Jb4>N>i2c>97>5;h75>5<5<4290;w)76:7d8Ld6<@0k0e8;50;9j13<722e=o7>5;|`2bf<72;0;6=u+98854>Nf82B2m6g:4;29?j0d2900qo?id;296?6=8r.2578?;Ic3?M?f3`??6=44o7a94?=zj8ln6=4<:183!?>2=1Cm=5G9`9j10<722c>:7>5;n4`>5<53;294~">139650;094?6|,031:=5Ga19K=d=n==0;66a9c;29?xd55<7s-32695Ga19K=d=n=<0;66g:6;29?j0d2900qo<:1;296?6=8r.2578?;Ic3?M?f3`??6=44o7a94?=zj;?96=4=:183!?>2?:0Dl>4H8c8m02=831d:n4?::a605=8391<7>t$8;92c=Oi91C5l5f5483>>o2>3:17b8l:188yg42<3:1?7>50z&:=?2<@h:0D4o4i4794?=n=?0;66a9c;29?xd5=<0;6>4?:1y'=<<33Ak;7E7n;h76>5<>{e:=31<7=50;2x j6=4;:183!?>2<1Cm=5G9`9j10<722c>:7>5;h74>5<5<3290;w)76:628Ld6<@0k0e8;50;9j13<722c>;7>5;n4`>5<53;294~">13>0Dl>4H8c8m03=831b9;4?::m5g?6=3th98i4?:283>5}#100=j6Fn0:J:e>o2=3:17d;9:188k3e=831vn?=i:181>5<7s-326;>4H`28L1<75`6b83>>{e:=:1<7=50;2x o1Cm=5G9`9j10<722c>:7>5;n4`>5<52;294~">13<;7Eo?;I;b?l332900c;m50;9~f72529086=4?{%;:>3`<@h:0D4o4i4794?=n=?0;66a9c;29?xd5<:0;6>4?:1y'=<<33Ak;7E7n;h76>5<>{e:=>1<7=50;2x >6=4<:183!?>2?l0Dl>4H8c8m03=831b9;4?::m5g?6=3th:m=4?:383>5}#100=<6Fn0:J:e>o2<3:17b8l:188yg7f93:1?7>50z&:=?2<@h:0D4o4i4794?=n=?0;66a9c;29?xd6i;0;6?4?:1y'=<<182Bj<6F6a:k60?6=3f5<7s-32695Ga19K=d=n=<0;66g:6;29?j0d2900qo?n5;297?6=8r.257:4H`28L>i1k3:17pl>a783>6<729q/5449f:Jb4>N>i2c>97>5;h75>5<5<4290;w)76:59Ke5=O1h1b984?::k62?6=3fo6:180>5<7s-32695Ga19K=d=n=<0;66g:6;29?j0d2900qo=nc;296?6=8r.2578?;Ic3?M?f3`??6=44o7a94?=zj:ko6=4=:183!?>2?:0Dl>4H8c8m02=831d:n4?::a7dc=8381<7>t$8;925=Oi91C5l5f5583>>i1k3:17pl7<729q/54490:Jb4>N>i2c>87>5;n4`>5<52;294~">13<;7Eo?;I;b?l332900c;m50;9~f6d629096=4?{%;:>36<@h:0D4o4i4694?=h>j0;66sm3c094?4=83:p(475619Ke5=O1h1b994?::m5g?6=3th85k4?:283>5}#100?7Eo?;I;b?l322900e8850;9l2f<722wi?l>50;694?6|,03196Fn0:J:e>o2=3:17d;9:188m01=831d:n4?::a7d7=8391<7>t$8;90>Nf82B2m6g:5;29?l312900c;m50;9~f6g5290?6=4?{%;:>0=Oi91C5l5f5483>>o2>3:17d;8:188k3e=831vn>o<:180>5<7s-326;h4H`28L>i1k3:17pl6<729q/5449f:Jb4>N>i2c>97>5;h75>5<5<4290;w)76:59Ke5=O1h1b984?::k62?6=3ft$8;90>Nf82B2m6g:5;29?l312900c;m50;9~f6g?29086=4?{%;:>3`<@h:0D4o4i4794?=n=?0;66a9c;29?xd4ih0;6>4?:1y'=<<33Ak;7E7n;h76>5<>{e;hh1<7=50;2x o1Cm=5G9`9j10<722c>:7>5;n4`>5<53;294~">1350;194?6|,03186Fn0:J:e>o2=3:17d;9:188k3e=831vn>6>:181>5<7s-326;>4H`28L1<75`6b83>>{e;181<7<50;2x 91Cm=5G9`9j11<722e=o7>5;|`0<6<72;0;6=u+98854>Nf82B2m6g:4;29?j0d2900qo=74;296?6=8r.2578?;Ic3?M?f3`??6=44o7a94?=zj:2>6=4=:183!?>2?:0Dl>4H8c8m02=831d:n4?::a7=0=8381<7>t$8;925=Oi91C5l5f5583>>i1k3:17pl<8683>7<729q/54490:Jb4>N>i2c>87>5;n4`>5<53;294~">13o2=3:17d;9:188m01=831b954?::m5g?6=3th8;l4?:283>5}#100?7Eo?;I;b?l322900e8850;9l2f<722wi?:l50;194?6|,031:k5Ga19K=d=n=<0;66g:6;29?j0d2900qo=8c;297?6=8r.257:4H`28L>i1k3:17pl<7e83>6<729q/5449f:Jb4>N>i2c>97>5;h75>5<5<4290;w)76:59Ke5=O1h1b984?::k62?6=3ft$8;925=Oi91C5l5f5583>>i1k3:17pl<9083>7<729q/54490:Jb4>N>i2c>87>5;n4`>5<7>52;294~">13<;7Eo?;I;b?l332900c;m50;9~f6?429096=4?{%;:>36<@h:0D4o4i4694?=h>j0;66sm38694?4=83:p(475619Ke5=O1h1b994?::m5g?6=3th8584?:383>5}#100=<6Fn0:J:e>o2<3:17b8l:188yg5>>3:1>7>50z&:=?073Ak;7E7n;h77>5<5<4290;w)76:59Ke5=O1h1b984?::k62?6=3ft$8;90>Nf82B2m6g:5;29?l312900c;m50;9~f6>d29086=4?{%;:>3`<@h:0D4o4i4794?=n=?0;66a9c;29?xd40m0;6>4?:1y'=<<33Ak;7E7n;h76>5<>{e;1o1<7=50;2x o1Cm=5G9`9j10<722c>:7>5;n4`>5<53;294~">1350;694?6|,03196Fn0:J:e>o2=3:17d;9:188m01=831d:n4?::a67b=8381<7>t$8;925=Oi91C5l5f5583>>i1k3:17pl=2d83>7<729q/54490:Jb4>N>i2c>87>5;n4`>5<52;294~">13<;7Eo?;I;b?l332900c;m50;9~f75729096=4?{%;:>36<@h:0D4o4i4694?=h>j0;66sm22394?4=83:p(475619Ke5=O1h1b994?::m5g?6=3th9??4?:683>5}#10037Eo?;I;b?l322900e8850;9j12<722c>47>5;h7:>5<>{e:h91<7<50;2x 91Cm=5G9`9j11<722e=o7>5;|`1e1<72;0;6=u+98854>Nf82B2m6g:4;29?j0d2900qo2?:0Dl>4H8c8m02=831d:n4?::a6d1=8381<7>t$8;925=Oi91C5l5f5583>>i1k3:17pl=a983>3<729q/5448;Ic3?M?f3`?>6=44i4494?=n=>0;66g:8;29?l3>2900c;m50;9~f7g>29086=4?{%;:>3`<@h:0D4o4i4794?=n=?0;66a9c;29?xd5ik0;684?:1y'=<<13Ak;7E7n;h76>5<>o203:17b8l:188yg4fk3:1?7>50z&:=?2<@h:0D4o4i4794?=n=?0;66a9c;29?xd5im0;6?4?:1y'=<<182Bj<6F6a:k60?6=3f5<7s-32695Ga19K=d=n=<0;66g:6;29?j0d2900qo>i1k3:17pl=b083>6<729q/544;;Ic3?M?f3`?>6=44i4494?=h>j0;66sm40`94?4=83:p(475619Ke5=O1h1b994?::m5g?6=3th?=n4?:383>5}#100=<6Fn0:J:e>o2<3:17b8l:188yg26l3:1?7>50z&:=?2<@h:0D4o4i4794?=n=?0;66a9c;29?xd39l0;6>4?:1y'=<<1n2Bj<6F6a:k61?6=3`?=6=44o7a94?=zj;oj6=4<:183!?>2=1Cm=5G9`9j10<722c>:7>5;n4`>5<53;294~">13hm50;094?6|,031:=5Ga19K=d=n==0;66a9c;29?xd5mm0;6?4?:1y'=<<182Bj<6F6a:k60?6=3f5;|`1ac<72=0;6=u+9886?Mg73A3j7d;::188m00=831b9:4?::m5g?6=3th94?4?:383>5}#100=<6Fn0:J:e>o2<3:17b8l:188yg4?;3:1>7>50z&:=?073Ak;7E7n;h77>5<5<4290;w)76:7d8Ld6<@0k0e8;50;9j13<722e=o7>5;|`1<0<72:0;6=u+9887?Mg73A3j7d;::188m00=831d:n4?::a5c5=8391<7>t$8;92c=Oi91C5l5f5483>>o2>3:17b8l:188yg7a<3:1?7>50z&:=?2<@h:0D4o4i4794?=n=?0;66a9c;29?xd6i00;6?4?:1y'=<<182Bj<6F6a:k60?6=3f5<7s-326;>4H`28L1<75`6b83>>{e9hi1<7<50;2x 91Cm=5G9`9j11<722e=o7>5;|`2ea<72;0;6=u+98854>Nf82B2m6g:4;29?j0d2900qo?ne;292?6=8r.25794H`28L>o2?3:17d;7:188m0?=831d:n4?::a5d`=8391<7>t$8;92c=Oi91C5l5f5483>>o2>3:17b8l:188yg4en3:1>7>50z&:=?073Ak;7E7n;h77>5<5<5290;w)76:728Ld6<@0k0e8:50;9l2f<722wi>n?50;094?6|,031:=5Ga19K=d=n==0;66a9c;29?xd5k;0;6?4?:1y'=<<182Bj<6F6a:k60?6=3f;7>5;h7;>5<5<5290;w)76:728Ld6<@0k0e8:50;9l2f<722wi?ij50;094?6|,031:=5Ga19K=d=n==0;66a9c;29?xd4ll0;694?:1y'=<<23Ak;7E7n;h76>5<>i1k3:17pl6<729q/5449f:Jb4>N>i2c>97>5;h75>5<5<4290;w)76:59Ke5=O1h1b984?::k62?6=3ft$8;925=Oi91C5l5f5583>>i1k3:17pl=f783>7<729q/54490:Jb4>N>i2c>87>5;n4`>5<52;294~">13<;7Eo?;I;b?l332900c;m50;9~f7`?29096=4?{%;:>36<@h:0D4o4i4694?=h>j0;66sm2g;94?3=83:p(4756:Jb4>N>i2c>97>5;h75>5<>i1k3:17pl=f`83>6<729q/5449f:Jb4>N>i2c>97>5;h75>5<5<5290;w)76:728Ld6<@0k0e8:50;9l2f<722wi>4:50;094?6|,031:=5Ga19K=d=n==0;66a9c;29?xd51<0;6?4?:1y'=<<182Bj<6F6a:k60?6=3f1<7>t$8;91>Nf82B2m6g:5;29?l312900e8950;9l2f<722wi>4650;194?6|,03186Fn0:J:e>o2=3:17d;9:188k3e=831vn?76:180>5<7s-326;h4H`28L>i1k3:17pl=3683>7<729q/54490:Jb4>N>i2c>87>5;n4`>5<52;294~">13<;7Eo?;I;b?l332900c;m50;9~f75>29096=4?{%;:>36<@h:0D4o4i4694?=h>j0;66sm22c94?4=83:p(475619Ke5=O1h1b994?::m5g?6=3th9?o4?:383>5}#100=<6Fn0:J:e>o2<3:17b8l:188yg44k3:197>50z&:=?0<@h:0D4o4i4794?=n=?0;66g:7;29?l3?2900c;m50;9~f75c29086=4?{%;:>3`<@h:0D4o4i4794?=n=?0;66a9c;29?xd60h0;6?4?:1y'=<<182Bj<6F6a:k60?6=3f;7>5;h7;>5<5<4290;w)76:7d8Ld6<@0k0e8;50;9j13<722e=o7>5;|`2=1<72;0;6=u+98854>Nf82B2m6g:4;29?j0d2900qo?65;297?6=8r.257:4H`28L>i1k3:17pl>9783>7<729q/54490:Jb4>N>i2c>87>5;n4`>5<52;294~">13<;7Eo?;I;b?l332900c;m50;9~f4??29086=4?{%;:>1=Oi91C5l5f5483>>o2>3:17b8l:188yg7>13:1?7>50z&:=?2<@h:0D4o4i4794?=n=?0;66a9c;29?xd60k0;6>4?:1y'=<<33Ak;7E7n;h76>5<>{e91i1<7=50;2x o1Cm=5G9`9j10<722c>:7>5;n4`>5<54;294~">13?0Dl>4H8c8m03=831b9;4?::k63?6=3f5;|`2Nf82B2m6g:5;29?l312900c;m50;9~f4?729086=4?{%;:>1=Oi91C5l5f5483>>o2>3:17b8l:188yg7>93:1?7>50z&:=?0a3Ak;7E7n;h76>5<>{e<:21<7<50;2x 91Cm=5G9`9j11<722e=o7>5;|`77<<72;0;6=u+98854>Nf82B2m6g:4;29?j0d2900qo:2>:0Dl>4H8c8m03=831b9;4?::k63?6=3f5;|`1`5<72;0;6=u+98854>Nf82B2m6g:4;29?j0d2900qo6=44i4494?=h>j0;66sm2e194?5=83:p(4754:Jb4>N>i2c>97>5;h75>5<5<5290;w)76:728Ld6<@0k0e8:50;9l2f<722wi>i;50;094?6|,031:=5Ga19K=d=n==0;66a9c;29?xd5l?0;6>4?:1y'=<<33Ak;7E7n;h76>5<>{e:m=1<7=50;2x 2?l0Dl>4H8c8m03=831b9;4?::m5g?6=3th9h44?:283>5}#100?7Eo?;I;b?l322900e8850;9l2f<722wi>i?50;194?6|,031:k5Ga19K=d=n=<0;66g:6;29?j0d2900qo=?8;296?6=8r.2578?;Ic3?M?f3`??6=44o7a94?=zj::26=4=:183!?>2?:0Dl>4H8c8m02=831d:n4?::a75g=8381<7>t$8;925=Oi91C5l5f5583>>i1k3:17pl<0c83>6<729q/544;;Ic3?M?f3`?>6=44i4494?=h>j0;66sm31a94?5=83:p(4756g9Ke5=O1h1b984?::k62?6=3f5;|`04`<72:0;6=u+9885b>Nf82B2m6g:5;29?l312900c;m50;9~f7c629086=4?{%;:>1=Oi91C5l5f5483>>o2>3:17b8l:188yg4b:3:1>7>50z&:=?073Ak;7E7n;h77>5<5<5290;w)76:728Ld6<@0k0e8:50;9l2f<722wi>h:50;094?6|,031:=5Ga19K=d=n==0;66a9c;29?xd5m<0;6>4?:1y'=<<33Ak;7E7n;h76>5<>{e:l<1<7=50;2x o1Cm=5G9`9j10<722c>:7>5;n4`>5<54;294~">13?0Dl>4H8c8m03=831b9;4?::k63?6=3f5<7s-326;>4H`28L1<75`6b83>>{e9jo1<7<50;2x 91Cm=5G9`9j11<722e=o7>5;|`2gc<72:0;6=u+9885b>Nf82B2m6g:5;29?l312900c;m50;9~f4b729086=4?{%;:>3`<@h:0D4o4i4794?=n=?0;66a9c;29?xd6l80;6>4?:1y'=<<33Ak;7E7n;h76>5<>{e9m81<7=50;2x o1Cm=5G9`9j10<722c>:7>5;n4`>5<54;294~">13?0Dl>4H8c8m03=831b9;4?::k63?6=3f;7>5;n4`>5<54;294~">13?0Dl>4H8c8m03=831b9;4?::k63?6=3f;7>5;h7;>5<5<1290;w)76:69Ke5=O1h1b984?::k62?6=3`?<6=44i4:94?=n=00;66a9c;29?xd69=0;644?:1y'=<<>3Ak;7E7n;h76>5<>o203:17d;6:188m0g=831b9o4?::k6g?6=3f5;|`25<<7200;6=u+988:?Mg73A3j7d;::188m00=831b9:4?::k6a;290?6=8r.257;4H`28L>o2?3:17b8l:188yg76j3:1?7>50z&:=?2<@h:0D4o4i4794?=n=?0;66a9c;29?xd4;10;6?4?:1y'=<<2m2Bj<6F6a:k60?6=3f:>:181>5<7s-3268k4H`28L1<75`6b83>>{e5<5290;w)76:4g8Ld6<@0k0e8:50;9l2f<722wi?9l50;094?6|,0319h5Ga19K=d=n==0;66a9c;29?xd4=:0;6?4?:1y'=<<2m2Bj<6F6a:k60?6=3f7>50z&:=?3b3Ak;7E7n;h77>5<5<5290;w)76:4g8Ld6<@0k0e8:50;9l2f<722wi?9=50;094?6|,0319h5Ga19K=d=n==0;66a9c;29?xd4?:0;6?4?:1y'=<<2m2Bj<6F6a:k60?6=3f5<5290;w)76:4g8Ld6<@0k0e8:50;9l2f<722wi>k4?:383>5}#100>i6Fn0:J:e>o2<3:17b8l:188yg4b29096=4?{%;:>0c<@h:0D4o4i4694?=h>j0;66sm2e83>7<729q/544:e:Jb4>N>i2c>87>5;n4`>5<24H8c8m02=831d:n4?::a6d<72;0;6=u+9886a>Nf82B2m6g:4;29?j0d2900qo<6:181>5<7s-3268k4H`28L1<75`6b83>>{e:10;6?4?:1y'=<<2m2Bj<6F6a:k60?6=3f5<5290;w)76:4g8Ld6<@0k0e8:50;9l2f<722wi>;4?:383>5}#100>i6Fn0:J:e>o2<3:17b8l:188yg4229096=4?{%;:>0c<@h:0D4o4i4694?=h>j0;66sm2583>7<729q/544:e:Jb4>N>i2c>87>5;n4`>5<24H8c8m02=831d:n4?::a67<72;0;6=u+9886a>Nf82B2m6g:4;29?j0d2900qo=7:181>5<7s-3268k4H`28L1<75`6b83>>{e;>0;6?4?:1y'=<<2m2Bj<6F6a:k60?6=3f5<5290;w)76:4g8Ld6<@0k0e8:50;9l2f<722wi?84?:383>5}#100>i6Fn0:J:e>o2<3:17b8l:188yg5329096=4?{%;:>0c<@h:0D4o4i4694?=h>j0;66sm3283>7<729q/544:e:Jb4>N>i2c>87>5;n4`>5<24H8c8m02=831d:n4?::a74<72;0;6=u+9886a>Nf82B2m6g:4;29?j0d2900qo5<7s-3268k4H`28L1<75`6b83>>{e;?;1<7:50;2x :1Cm=5G9`9j11<722c?o7>5;n4`>5<5}#100=h6Fn0:J:e>o2<3:17b8l:188yg51n3:1>7>50z&:=?0c3Ak;7E7n;h77>5<5<5290;w)76:7f8Ld6<@0k0e8:50;9l2f<722wi8?4?:383>5}#100=h6Fn0:J:e>o2<3:17b8l:188yg52n3:1>7>50z&:=?0c3Ak;7E7n;h77>5<5<5290;w)76:7f8Ld6<@0k0e8:50;9l2f<722wi?9950;094?6|,031:i5Ga19K=d=n==0;66a9c;29?xd4<<0;6?4?:1y'=<<1l2Bj<6F6a:k60?6=3ft$8;92a=Oi91C5l5f5583>>i1k3:17pl<5e83>7<729q/5449d:Jb4>N>i2c>87>5;n4`>5<53;294~">138::181>5<7s-326;j4H`28L1<75`6b83>>{e;=n1<7<50;2x m1Cm=5G9`9j11<722e=o7>5;|`012<72:0;6=u+9885a>Nf82B2m6g:4;29?l2d2900c;m50;9~f60c29086=4?{%;:>3c<@h:0D4o4i4694?=n=i:181>5<7s-326;j4H`28L1<75`6b83>>{e010;6?4?:1y'=<<1l2Bj<6F6a:k60?6=3f5<5290;w)76:7f8Ld6<@0k0e8:50;9l2f<722wi5<4?:383>5}#100=h6Fn0:J:e>o2<3:17b8l:188yg?729096=4?{%;:>3b<@h:0D4o4i4694?=h>j0;66sm8g83>7<729q/5449d:Jb4>N>i2c>87>5;n4`>5<2?n0Dl>4H8c8m02=831d:n4?::aNf82B2m6g:4;29?j0d2900qo6l:181>5<7s-326;j4H`28L1<75`6b83>>{e0k0;6?4?:1y'=<<1l2Bj<6F6a:k60?6=3f5<5290;w)76:7f8Ld6<@0k0e8:50;9l2f<722wi444?:383>5}#100=h6Fn0:J:e>o2<3:17b8l:188yg>129096=4?{%;:>3b<@h:0D4o4i4694?=h>j0;66s|a;296~Xf348968:4}r`94?4|Vk16>>4:4:pg?6=:rTh70<;:468yvb=838pRi5224860>{tm3:1>vPj;<05>0262<2<2wx==4?:3y]55=::10>86s|1083>7}Y9816>44:4:p57<72;qU=?522`860>{t9:0;6?uQ129>6g<2<2wx=94?:3y]51=::j0>86s|1483>7}Y9<16>i4:4:p53<72;qU=;522d860>{t9>0;6?uQ169>6c<2<2wx=44?:3y]5<=:;90>86s|1`83>7}Y9h16?<4:4:p5g<72;qU=o5233860>{t9j0;6?uQ1b9>76<2<2wx=i4?:3y]5a=:;=0>86s|1d83>7}Y9l16?84:4:p5c<72;qU=k5237860>{t:90;6?uQ219>72<2<2wx><4?:3y]64=:;10>86s|2383>6}:91<19:523c4910=::;0=o6s|2283>6}:91<195523c`910=:::0=o6s|2583>6}:91<194523b2910=::=0=o6s|2483>1}:91<19l523b7910=:909198522485g>{t:?0;69u219491g=:;jk19852181913=::?0=o6s|2683>6}:91<19n523bd910=::>0=o6s|2983>1}:91=198523e6910=:908198522985g>{t:00;69u2195913=:;m319852180913=::00=o6s|2`83>1}:91=19:523d2910=:90819:522`85g>{t:k0;68u219591==:;l?1985218091==::k0=o6378;77?xu5k3:1>v3;5k30>563>9c860>;4m:0>:63>a8860>;6190>963=d;4`?xu5m3:14v3>8686e>;61j0>863>a0862>;6ik0>863>8`860>;61<0>:63=e;4`?8>02<>0q~>3??70?68;76?87>13?>70l3??70?n3;77?87fl3??7093?>70=?:7a8yv562909w0=m9;75?8562?i0q~==:18185em3?=70==:7a8yv542909w0=l3;75?8542?i0q~=;:18185d03?=70=;:7a8yv522909w0=ld;75?8522?i0q~=9:18185c:3?=70=9:7a8yv502908w0?76;76?85c?3?=70=8:7a8yv5?2908w0?76;75?85cm3?>70=7:7a8yv5>290:hv3<7587=>;48?0?563>bd87=>;6k:0?563>b3861>;5n:0>963<28860>;6k80>863>c7860>;6kh0>963>d4860>;6jm0=o63>b5860>;49k0>:63;0b862>;4n00>863;00862>;4n90>:63<9d860>;4i<0>963<81861>;40=0>863<92860>;5mh0>963=f9860>;5l:0>:63<0`860>;5m80>:63>cd860>{t;m0;6?uQ3e9>7`<2<2wx?h4?:05x97?a2:i01<:2a897612:i01?>6:2a897212:i012:i0112:i01:2a897302:i01?;k:2a8970e2:i01j1v>h50;0xZ6`<5=:1:n5rs5394?4|V=;019<56b9~w15=838pR9=4=56911=z{=>1<7;t=cd911=::?l19952275911=:::h199524585g>{t0a<2<2wx8i4?:8y>53d=;j16=;953b9>535=;j16=8k53b9>51g=;j16=9>53b9>50>=;j16>>=5489>0a<1k2wx8h4?:3y]0`=:86s|4g83>70|58n86>m4=205>6e<5::=6>m4=3`0>6e<5;h=6>m4=226>6e<58hn6>m4=0a0>6e<58i36>m4=3d3>6e<5=;26>m4=0`3>6e<58h86>m4=3`1>6e<5;in6>m4=506>6e<5=8;6>m4=50:>6e<5=8:6>m4=257>6e<5:=>6>m4=2:;>6e<5:3h6>m4=53e>6e<5;h26>m4=3da>6e<5;i?6>m4=3fb>6e<5::96>m4=3aa>6e<5;o36>m4=22e>6e<5:;86>m4=2g4>6e<5:l<6>m4=52;>6e<58h368:4=5d92f=z{00<58n=68;4=0:;>3e58z?06f<2=279ni4:6:?05g<2=279il4:6:?2=4<1k279h>4:5:?04a<2=279i<4:5:p22<72;8p1l?5539>fa<3>27h87:9;10<5mi18;52e1872>;b<3>=70k7:5489`e=6984=b;903=:km0?:63k1;65?84>n3>=70?k3;65?855>3>=70=?6;65?84e;3>=70?me;65?87d;3>=70?l8;65?84a83>=70:>9;65?87e;3>=70=70=70=70?j8;65?85193??7p}98;296~;4>80=o63=7c860>{t>00;69u2a3845>;6j90?563;0;77?8252<>0q~8n:181854j3vP87:?;2?0d3ty<47>52z\4<>;??3vP8c:?;e?0d3ty52z\4`>;?j3vP70:?;a?0d3ty3=7>52z\;5>;?n3;39<0>:63;02860>;4mh0>963>a685g>;4i00>:63;4il0>863<81862>;41;0>863<96861>;4lm0>863;3;00>86s|8483>6}:;0h1:n521b2911=:9jn1995rs9494?4|5:h<6;m4=94911=z{131<73e<5131995rs9c94?4|5:i:6;m4=9c911=z{1h1<73e<51h1995rs9a94?4|5:ii6;m4=9a911=z{1n1<73e<51n1995rs9g94?4|5:n>6;m4=9g911=z{1l1<73e<51l1995rs8294?4|5:o:6;m4=82911=z{0;1<73e<50;1995rs8094?4|5=9o68:4=0ce>3e20<5:9i69m4=3:`>03<5:9j69m4=21g>02<5:1e<5:5<5s4k36974=``92f=z{hk1<7:6s|ab83>6}:ij0<:63>33860>;6?m0>96s|ae83>7}:ij0?563ne;4`?xufn3:14v3md;55?8`d2=k01<>9:4489f4===16==65569>55e==016=<;5579>54g==>1vok50;358dc2:i01n;53b9>g<<4k27hh7=l;6e<5j>1?n52d980g>;ck39h70k?:2a89`2=;j16i54m4=g697f=:i108o63=3280g>;60808o63>7880g>;6;<08o63>2g80g>;fk39h70li:7a8yve72909w0lk:5;89f5=>j1vn?50;0x9f7=>j16o>4:5:pg7<72;q6o?49c:?`7?313tyi87>57z?`0?1134lh69l4=025>03<58:36884=02`>0><58;?68m4=03b>0320<5o218o5210f913=:98319;5rsb494?4|5j?18452c985g>{tk>0;6?u2c685g>;d03?=7p}m1;290~;d13==70h6:5`8947a2<<01j1vnl50;0x9fd=>j16on4:6:pf7<72:q6oi486:?ee?2e34;:j7;:;|q`a?6=:r7hh7:6;3e3e<5m:19;5rsc194?1|5m;1;;52fc87f>;6:l0>963>82861>;6;10>863>38861>;6;80>:6s|d383>7}:l80?563k4;4`?xuc;3:1>v3k3;4`?8b32<<0q~j::1818e32=301i956b9~wa0=838p1i856b9>`2<2>2wxn84?:7y>`=<0>27mh7:m;<333e3e<5mh19;5rsc494?0|5mi1;;52fd87f>;68h0>963>0b862>;69=0>m63>1886f>{tlm0;6?u2db87=>;cn3w0k?:6489c`=542==016=<755b9~w`7=838p1h>5489>a6<1k2wxi?4?:3y>a7<1k27n?7;9;|qar7n8799;<334?2e34;;i7;:;<324?3134;:87;7;<32=?3f3tyn97>52z?f0?2>34o<6;m4}rg5>5<5s4o=6;m4=d5913=z{k31<7;t=d:933=:99;18o52102910=:98>19:5210;91==z{l31<77}:mh0=o63jb;75?xuei3:19v3jc;55?877:3>i70?>2;76?876<3?>70?>9;7:?xubl3:1>v3jc;6:?8ca2?i0q~kj:1818cb2?i01hh5579~wgd=83>p1k>5779>555=54?==>1vk?50;0x9c6=<016j>49c:pb7<72;q6j?49c:?e7?313tyio7>55z?e0?1134;;87:m;<323?3234;:h7;8;<32=?323tym97>52z?e0?2>34l<6;m4}rd5>5<5s4l=6;m4=g5913=z{8:>6=4={1g<58:=6;m4}r333?6=;r7mi7:n;<33e?3134;;478l;|q24<<72;q6jk4;a:?24d<1k2wx==l50;6x94672=k01<>j:44894772<=01<>l:7a8yv77l3:1>v3>0087e>;68l0=o6s|11d94?5|58:969o4=031>00<58;;6;m4}r325?6=:r7:<>4;a:?257<1k2wx=<=50;6x94632=k01v3>1585g>;69<0>96s|10494?4|5o218l5210592f=z{8;36=4<{1g<58;m6894=03a>3e52z?25<<1k27:=o4:5:p54g=838p1c;296~;ai3>j70?>d;4`?xu69l0;6?u2fc87e>;69o0=o6s|13294?4|5o215>52c9861>{t9;;1<796s|13094?4|5ok15>52d1861>{t9;91<796s|13694?4|5oi15>52d6861>{t9;?1<796s|13494?4|5oo15>52dg861>{t9;=1<796s|13:94?4|58:;64=4=d5910=z{8826=4={<335??434oi68;4}r31e?6=:r7:o4?:3y>555=1:16j>4:5:p57e=838p1<>;:8189c1==<1v<01i85559>`d<2<27oi7;;;02<5l<19952e`860>;bm3??70h=:4689c0===16o:4:4:?`f?3334im68:4=e1911=:9;o1:n5rs00e>5<1s4;9j799;<30a?3234;8;7;;;<300?3234;57`=<016=>:56b9~w4562909w0?<1;4`?874;3?>7p}>3383>7}:9:81:n52121913=z{8986=4={<307?0d34;887;9;|q270<72;5779>56b===16=>o5549>567==<16=:h5549~w4512909w0?<5;6:?874j33683>7}:9:=1:n5212;913=z{8936=4={<30756b9>56d==<1v<=n:181874i35<4s4ki68;4=01f>3e<582?68;4}r374?6=:r7:8=486:?764<312wx=9?50;0x94272=301<:6:7a8yv73:3:1>v3>4385g>;6<>0>96s|15194?4|58>86;m4=064>0052z?201<1k27:854:6:p513=838p1<:::7a8942?2<=0q~?;6;296~;64986<>{t9==1<73e<58>268;4}r372wx=9o50;0x942f2><019<6:5;8yv73j3:1>v3>4`87=>;6=:0=o6s|15a94?4|58>h6;m4=072>0352z?20a<1k27:9<4:6:p51c=838p1<:j:7a894352<<0q~?;f;296~;653863>{t9<:1<73e<58?96864}r365?6=:r7:9<49c:?216<2=2wx=8<50;0x94352?i01<;<:448yv7203:1=9u2be871>;a<3>>70h?:5789`e=<<16i54;5:?f0?2234o;69;4=ea900=:l10?963l4;66?8b62=?01nj5449>g<<3=27h97::;<3650b=>j1v<;n:181872i35<5s4;>o78l;<36`?3?3ty:994?:6y>50c=??16=;h54`9>525==?16=;?5559>50g===16=9k5559>512===1v<;i:181872m3>270?92;4`?xu6>90;6?u217292f=:9?81985rs042>5<5s4;==78l;<356?313ty:984?:9y>535=??16=;h54c9>525==<16=8l5559>51e==?16=9h5559>514==<16=9;5559~w4032909w0?93;6:?871>36483>7}:9??1:n52174913=z{8?=6=47{<353?1134;<<7:m;<341?3234;>o7;;;<37g?3234;><7;;;<376?3134;?:7;;;|q22=<72;q6=;95489>53g=>j1v<86:181871130;6:u217`933=:9>;18o5214f910=:9=n19952140910=:9=91995215:910=z{834;=i78l;|q22a<72;q6=;j56b9>53c==?1v<9=:18087083>j70?85;75?870;37583>7}:9>;18l5216792f=z{8==6=4={<35b??434;=:7;:;|q232<72;q6=:>5929>53g==<1v<97:181870933870?9e;76?xu6?00;68u216;933=:ih0>863>7c862>;6?l0>963<44860>{t9>k1<71?<582;6;m4}r34f?6=:r7:;o49c:?2<5<2=2wx=:m50;0x941d2?i01<9k:448yv70l3:1>v3>7e85g>;6?l0>:6s|16g94?4|58=n6;m4=05e>0052z?23c<1k27:4=4:6:p5=7=839p1<6>:64896042<>01<6<:448yv7?:3:1>v3>8087=>;60=0=o6s|19194?4|58286;m4=0:7>0052z?2<3<1k27:454:5:p5=0=838p1<68:7a894>?2<<0q~?79;296~;60h0=o63>99862>{t91k1<73e<582n68;4}r3;f?6=:r7:4n49c:?2c2?i01<6j:448yv7?l3:1>v3>8d85g>;60o0>:6s|19g94?4|582m6;m4=0;3>0052z?2=5<1k27:5<4:6:p5<4=838p1<7<:7a894>c2<<0q~?63;296~;61=0=o63>98862>{t90>1<73e<582i68;4}r3:1?6=:r7:5;49c:?22wx=4850;0x94?02?i01<6k:458yv7>?3:1>v3>9985g>;60o0>96s|18:94?4|58326;m4=0:`>0352z?2j3:1>v3>9c85g>;61l0>:6s|18a94?4|583h6;m4=0;f>0152z?2=a<1k27:5h4:8:p5<`=838p1a4861>{t9h;1<73e<58k>6884}r3b6?6=:r7:m>49c:?2e2<2>2wx=l=50;0x94g32?i01v3>a485g>;6i?0>:6s|1`794?4|58k=6;m4=0c4>0352z?2e<<1k27:mh4:6:p5d?=838p1ad863>{t9hh1<73e<58kn6864}r3bg?6=:r7:mi49c:?2e`<212wx=lj50;0x94gb2?i01v3>b1842>;6j:0?56s|1c394?4|58h;69;4=0`1>3e56z?2f6<0>27:m?4:4:?2e1<2=27:ml4:4:?2=1<2<27:584:5:p5g3=838p1be861>{t9k=1<7=t=0`5>6e<5=8i6>m4=0`;>3e52z?2f3<3127:nl49c:p5gd=838p1d1861>{t9kl1<713<58i96;m4}r3`4?6=:r7:o=49c:?2g7<2=2wx=n?50;0x94e62?i01v3>c2842>;6ko0>96s|1b694?4|58i869;4=0a4>3e52z?2g0<1k27:o:4:5:p5f0=838p1d9860>{t9j31<71?<58ij6;m4}r3`f?6=;r7:o54;5:?2`6<3=27:h?49c:p5fe=838p1d1862>{t9jo1<73e<58n96884}r3`b?6=:r7:ok49c:?2`4<2=2wx=i>50;0x94b72?i01:448yv7c93:1>v3>d085g>;6l;0>96s|1e194?4|58n86:84=26:>0252z?2`6<3127:h;49c:p5a3=838p1d8862>{t9mk1<720<5:9;68:4}r3gf?6=:r7:hl4;9:?2`c<1k2wx=im50;0x94bd2?i01v3>de85g>;6ll0>:6s|1eg94?4|58nn6;m4=0fe>0053z?2a4<1k2799k4:4:?172<2<2wx=h<50;0x94c52><01:448yv7b;3:1mv3>e380g>;51h08o63=8e80g>;50>08o63=8180g>;5?j08o63=7980g>;5=?08o63>ee80g>;6m=0=o6s|1d794?4|58o>6:84=0g2>0353z?2a0<3127:i?4;9:?2a2<1k2wx=h650;0x94c?2><01?8j:478yv7b13:1>v3>e987=>;6mh0=o6s|1d`94?5|58oh6;m4=340>02<5;9268:4}r3f`?6=:r7:ii486:?2af<2>2wx=hk50;0x94cb2><01ed87=>;6mm0?563>f185g>{t9o;1<720<5;2i68:4}r3e6?6=:r7:j<4;9:?2b1<1k2wx=k=50;0x94`42?i01f`842>;58j0?m63=10862>;5:;0>963=25860>;6nm0>863=2e860>;5i=0>863=ac862>{t9oh1<71?<58lm6;m4}r3eg?6=:r7:jn49c:?2b`<2=2wx=kj50;0x94`c2?i01v3>fd85g>;6no0>:6s|1g494?>|5;:;6:84=32`>1d<5;;:68;4=301>00<5;8868:4=30e>02<5;k868:4=3ca>0152z?145<31279<:648976c2=h01??<:47897402<>01?=>:46897g22<>01?om:4:8yv47<3:1>v3=0287=>;58<0=o6s|1g:94?1|5;:=6:84=32f>1d<5;;>68;4=305>02<5;9;68:4=3c5>02<5;ko68:4}r033?6=:r79<;4;9:?14=<1k2wx=k750;5x976>2><01?>i:5`897452<=01?<::46897552<<01?o8:46897gb2<>0q~{t:8:1<7=t=32g>1g<5;;86884=332>3e7>53z?14`<3i279=84:6:?156<1k2wx><:50;0x976a2=k01??::7a8yv46>3:19v3=03861>;58<0>963=09861>;58k0>963=1885g>{t:8=1<73e<5;;268;4}r022wx>=:448yv46j3:1>v3=0e8:7>;58<0>:6s|20a94?4|5;:n64=4=32;>0052z?14c<>;27901v3=1g85g>;5:90>:6s|23394?5|5;;<68:4=30b>3e<58lh68:4}r016?6=:r79>?49c:?16<<2=2wx>?=50;0x97442?i01?<7:448yv45<3:1>v3=2585g>;5:10>96s|23794?4|5;8>6;m4=30;>0152z?163<1k279>l4:5:p671=838p1?<8:7a8974f2<=0q~<=8;296~;5:10=o63=28862>{t:;31<73e<5;8j6884}r01f?6=;r79>o486:?121<2<279?l4:4:p67e=838p1?{t:;o1<73e<5;996894}r01b?6=:r79>k49c:?177<212wx>>>50;0x97572?i01?==:4:8yv4493:1>v3=3085g>;5;;0>m6s|22194?5|5;9?6974=310>20<5;;m68:4}r000?6=:r79?9486:?165<2=2wx>>;50;1x97522><01?l6:5;897ge2863=52861>;5<;0>963=3e85g>{t::=1<73e<5;9h68;4}r002wx>>750;0x975>2?i01?=l:458yv44i3:1>v3=3`85g>;5;j0>46s|22`94?4|5;9i6;m4=31g>0052z?17f<1k279?i4:5:p66c=838p1?=::5;897222?i0q~<{t:=:1<73e<5;>868;4}r075?6=:r798<49c:?106<2>2wx>9<50;0x97252?i01?:;:448yv43;3:1>v3=4285g>;5<<0>:6s|25694?4|5;>?6;m4=366>0354z?103<0>279j?4:4:?06=<2<2798n4:5:p611=838p1?:9:5;8972c2?i0q~<;8;296~;5<10=o63=52862>{t:=31<73e<5;>i6884}r07e?6=:r798l49c:?10a<2>2wx>9l50;0x972e2?i01?:l:448yv43k3:1>v3=4b85g>;596s|25g94?4|5;>n6;m4=377>0052z?10c<1k2798o4:7:p606=838p1?;?:7a8972f2<<0q~<:1;296~;5=80=o63=54862>{t:<81<73e<5;>j6894}r067?6=:r799>49c:?10<<2>2wx>8:50;0x97332?i01?:m:478yv42=3:1>v3=5485g>;596s|24494?2|5;?=6:84=34f>00<58nh68;4=363>03;7>5ez?112<0>279444:6:?12a<2=279;<4:4:?12=<2<279944:6:?2`a<2<2798h4:4:?115<2=279844:5:?104<2<279494:5:?1=1<2<2795;4:5:p60>=838p1?;8:5;8973d2?i0q~<:9;296~;5=00=o63=5b861>{t:3e<5;?i6884}r06f?6=:r799o49c:?11f<2>2wx>8j50;gx973c2><01?66:478970c2<<01?9?:46897062v3=5e87=>;5>;0=o6s|24d94?4|5;?m6;m4=34:>0352z?125<1k279:<4:6:p637=838p1?8>:7a897052:0=o63=68862>{t:?>1<73e<5;<26894}r051?6=:r79:849c:?12<<202wx>;850;0x97012?i01?8?:478yv41?3:1>v3=6685g>;5>90>;6s|27:94?4|5;<36;m4=34b>0052z?12<<1k279:=4:6:p63g=838p1?8n:7a897052<<0q~<9b;29b~;5>k0<:63=72861>;5>?0>863=6`861>;5=00>963=5`860>;6lj0>:63=4g860>;5=<0>963=3g860>;5<90>:63=83860>;6n:0>:63=92860>;51>0>:6s|27a94?4|5;3e52z?12a<1k279;84:5:p63c=838p1?8j:7a897152o0=o63=73862>{t:>:1<73e<5;=?68;4}r045?6=:r79;<49c:?131<2?2wx>:<50;0x97152?i01?9<:448yv40;3:1>v3=7285g>;5?=0>:6s|26694?4|5;=?6;m4=356>0053z?132<1k279:84:4:?17=<2<2wx>:650;0x971?2><01?98:448yv4013:1>v3=78842>;5?>0>96s|26c94?2|5;=26974=35;>1?<58h;6984=35a>3e52z?13f<0>279mn4:6:p62b=838p1?9k:64897gd2;5?o0=o6s|29294?5|5;2;6:84=21a>02<5:9j68:4}r0;5?6=:r794=4;9:?1<0<1k2wx>5<50;0x97>52?i01?6;:448yv4?;3:1>v3=8285g>;50<0>:6s|29694?4|5;2?6;m4=3:6>0352z?1<3<0>27:i54;5:p6=1=838p1?68:648962c2<>0q~<78;296~;50>0?563=8885g>{t:1k1<73e<5;2h6884}r0;`?6=:r794i486:?012<2<2wx>5k50;0x97>c2=301?7>:7a8yv4?n3:1>v3=8g85g>;5180>96s|28294?4|5;3;6;m4=3;2>007>53z?1=f<2<2794k4:4:?1=<<1k2wx>4=50;0x97?42?i01?79:448yv4><3:1>v3=9585g>;51>0>96s|28794?4|5;3>6;m4=3;4>0152z?1=3<1k279554:6:p6<1=838p1?78:7a897?>2<<0q~<68;296~;5110=o63=98861>{t:0k1<720<5:4m50;0x97?d2?i01?7j:478yv4>l3:1>v3=9e85g>;51l0>:6s|28d94?5|5;3m6:84=30f>02<5:l<50;0x97?a2=?01?o6:7a8yv4f;3:1>v3=a285g>;5i10>:6s|2`694?4|5;k?6;m4=3c;>0352z?1e0<1k279m54:7:p6d0=838p1?o9:7a897g?2<20q~0=o63=a986=>{t:h21<73e<5;k26884}r0be?6=;r79m<4:4:?1e<<2=279n<49c:p6dd=838p1?om:7a897d62{t:hn1<73e<5;km68;4}r0ba?6=:r79mh49c:?1ec<2>2wx>lh50;0x97ga2?i01?l?:448yv4e83:1>v3=b185g>;5j80>:6s|2c094?4|5;h96:84=212>025fz?1f6<0>278?84:4:?1f=<2>279ji4:5:?1b`<2<279o;4:5:?1g2<2<279hn4:5:?1`a<2<278=l4:6:?1af<2<279nk4:4:?1b2<2<279h?4:6:?1a3<2=2wx>o:50;0x97d42=?01?l::7a8yv4e>3:1>v3=b7842>;5j<0>96s|2c594?4|5;h=6974=3`;>3e56z?1f<<0>278=84:4:?05`<2>278=<4:6:?1b3<2<279h=4:4:p6gg=838p1?lm:7a897dc2{t:ko1<7=t=3`a>02<5;i86;m4=22`>0352z?1fc<1k279o>4:5:p6f6=838p1?m?:7a897e42<<0q~{t:j81<73e<5;i86864}r0`0?6=:r79o9486:?1=5<2<2wx>n;50;0x97e32=301?mn:7a8yv4d>3:1>v3=c785g>;5kh0>96s|2b594?4|5;i<6;m4=3a:>0352z?1g=<1k279o44:6:p6f?=838p1?m6:7a897ef2<<0q~{t:ji1<71?<5;io6;m4}r0`a?6=:r79oh486:?113<312wx>nh50;0x97eb2=301?j>:7a8yv4c83:1>v3=d185g>;5l?0>:6s|2e094?4|5;n96;m4=3f;>0352z?1`6<1k279h:4:5:p6a2=838p1?j;:7a897b02<<0q~{t:m<1<73e<5;n:68;4}r0g3?6=:r79h:49c:?1`=<2>2wx>i650;0x97b?2?i01?j6:478yv4c13:1>v3=d885g>;5l80>:6s|2ec94?5|5;nj6:84=0d7>03<5;3>68:4}r0gf?6=:r79hl4;9:?1`c<1k2wx>im50;0x97bd2?i01?ji:478yv4cl3:1>v3=de85g>;5ll0>:6s|2eg94?4|5;nn6;m4=3fe>0052z?1`d<3=279i:49c:p6`7=838p1?k>:7a897c22{t:l91<73e<5;o<68;4}r0f0?6=:r79i949c:?1a2<2?2wx>h;50;0x97c22?i01?k9:448yv4b>3:1>v3=e785g>;5m>0>:6s|2d:94?4|5;o36:84=3f`>0053z?1a=<3=278<84;9:?1ac<1k2wx>ho50;0x97cf2?i01?ki:448yv4bj3:1>v3=ec85g>;5ml0>96s|2da94?4|5;oh6;m4=3gf>0052z?1aa<1k279ik4:7:p6`c=838p1?kj:7a897ca2{t:o;1<71?<5;l86;m4}r0e6?6=:r79j?49c:?1b6<2>2wx>k:50;1x97`72=?01><9:57897`f2?i0q~{t:o<1<73e<5;l26894}r0e3?6=:r79j:49c:?1b<<202wx>k650;0x97`?2?i01?hn:448yv4a13:1>v3=f885g>;5nh0>96s|2g`94?4|5;li6:84=3;g>0252z?1bg<31278<<49c:p6cb=838p1?hk:7a896662{t:ol1<73e<5::;6884}r134?6=:r78<=49c:?044<2>2wx?=<50;0x96652><01?hk:448yv57;3:1>v3<0387=>;48=0=o6s|31794?3|5;h86974=226>20<5::969;4=3aa>13<5;h>6884}r132?6=>r78<;486:?05f<2<279ii4:4:?1b<<2=279h94:4:?1a7<2<2wx?=950;0x96612=?01>>j:7a8yv5703:1>v3<0985g>;48k0>96s|31;94?4|5::26;m4=22a>0052z?04d<1k278>m:7a8966d2<<0q~=?c;296~;48j0=o63<0e862>{t;9n1<73e<5::n68;4}r13b?6=1r78>i:5;896752?i0q~=>1;296~;4980=o63<13862>{t;891<7mt=230>20<5:8?68;4=23b>03<5:;m68:4=203>03<5:;968;4=3ga>00<5;l>68:4=3f1>03<5;n=68;4=22:>02<5;o868:4}r120?6=:r78=>4;9:?05<<1k2wx?<;50;0x96722?i01>3:1>v3<1785g>;4900>;6s|30594?4|5:;<6;m4=23;>0352z?05=<1k278=44:5:p74g=838p1>?n:7a896702b;296~;49k0=o63<20861>{t;8i1<73e<5:8:6884}r12`?6=:r78=i49c:?05=<2>2wx??9:478yv56n3:1>v3<1g85g>;49?0>:6s|33294?4|5:8;6;m4=23:>0052z?064<1k278=:4:6:p774=838p1><<:7a896432<<0q~==5;291~;5j;0?563=fc871>;5k=0?963=b9861>;4:=0=o6s|33494?4|5:8=6:84=21e>0252z?063<31278>l49c:p77>=838p1><7:7a8964f2{t;;h1<73e<5:8n68;4}r11g?6=:r78>i49c:?06`<2>2wx??h50;0xZ64a3498?78l;|q075<72;q6?>>56b9>764==<1v>=>:181854935<5sW98863<3785g>{t;:<1<73e<5:9=68:4}r103?6=:rT8?:5232:911=z{:936=4n{<3ae?3334;io7;;;<602?3234>8i7;9;<3g=?3234>8<7;;;<675?333499h7;;;<60f?313498478l;|q07<<72;qU?>74=21b>3e52z\07f=:;:n1:n5rs21f>5<5sW98i63<3g85g>{t;=:1<76}:9l=19952240911=:;=;1:n5rs261>5<5sW9?>63<42860>{t;=91<702<5:>86;m4}r170?6=:rT8895235792f=z{:>=6=4={_172>;4<>0=o6s|35:94?4|V:>370=;9;4`?xu40q~=;b;296~;6n90>863<4c85g>{t;=i1<77}Y;=o01>:i:7a8yv53n3:1>v3<2d85g>;486s|34294?4|V:?;70=:1;4`?xu4=80;6?u229a92f=:;<;1995rs271>5<5sW9>>63<52860>{t;<91<75<5sW9>863<54860>{t;02<5=9968:4=3a;>02<5:?>6;m4}r162?6=:rT89;5234592f=z{:?36=4={_16<>;4=00=o6s|34;94?4|5=9=6;m4=27:>02m7>52z\01d=:;5<5s4>9i78l;<16f?333ty89n4?:3y]70e<5:?o6;m4}r16`?6=:r7??k49c:?01a<2<2wx?8k50;0xZ63b349>j78l;|q01c<72;q68>m56b9>70`===1v>8?:181[518278:<491:p734=838p1>8<:7a896062=i0q~=94;296~X4>=16?;;56b9~w6022909w0:7}Y;?<01>88:7a8yv51?3:1>v3;3d85g>;4>>0>86s|37:94?4|V:<370=99;77?xu4>00;69u2316911=:<=9199522gd911=:;?31:n5rs24b>5<5sW9=m63<6c85g>{t;?i1<77}Y;?o01>8i:7a8yv51n3:1>v3>d885g>;4>o0>86s|36294?4|V:=;70=81;77?xu4?80;6?u226d911=:;>;1:n5rs251>5<5sW9<>63<72860>{t;>91<7ot=3g;>1?<5=9>68:4=51f>03<5=9:68:4=561>02<5;nn68;4=20`>00<5;i;68:4=0;4>02<5:=86;m4}r140?6==r78;9486:?747<2<278mn4:4:?03=<2=278554:5:p723=833p1>9::64891542h=:46896g>29j:47896>f2<>019=7:468yv50>3:1>v3<7487=>;4?o0=o6s|36594?4|5:=<6;m4=25g>0352z?03=<1k278;l4:5:p72?=838p1>96:7a8961a2<<0q~=8a;296~;4?h0=o63<7c862>{t;>h1<73e<5:=h68;4}r14g?6=:r78;n49c:?03a<2>2wx?:j50;0x961c2?i01>9j:448yv50m3:1>v3<7d85g>;4?o0>96s|39294?4|5:2;6;m4=25a>0352z?0<4<1k278;54:6:p7=4=838p1>6=:7a8961f2<<0q~=73;296~;40:0=o63<7b862>{t;1>1<73e<5:=268;4}r1;1?6=:r784849c:?03<<2>2wx?5850;0x96>12?i01>96:458yv5??3:1>v3<8685g>;4?00>46s|39:94?4|5:236:84=2;b>0252z?0<=<312785=49c:p7=g=838p1>6n:7a896?02<<0q~=7b;296~;40k0=o63<91863>{t;1i1<73e<5:2m68;4}r1;`?6=:r784i49c:?0<`<2=2wx?5k50;0x96>b2?i01>6i:448yv5?n3:1>v3<8g85g>;4190>:6s|38394?4|5:3:6;m4=2;;>007>52z?0=7<1k2784i4:6:p7<5=838p1>7<:7a896>b2<<0q~=64;296~;41=0=o63<91861>{t;0?1<73e<5:2i68;4}r1:2?6=:r785;49c:?02wx?4950;0x96?02?i01>6l:448yv5>03:1>v3<9985g>;40m0>96s|38;94?4|5:3j6;m4=2;a>00517y>77`5==<16?h:5559>7a1==<16?i65559>7a4==<16?i=5559>7fb==<16?nk5559>7f>==<16?n75559>7f5==<16?n:5559>7gc==<16?oh5559>7g?==<16?oo5559>7g2==<16?o;5559>7ae===16?ik5579~w6?c2909w0=6c;6:?85fj37}:;0o1:n5238d913=z{:3m6=4={<1:b?0d349j?7;9;|q0e5<72;q6?l>56b9>7d2==?1v>o>:18185f935<5s49j?78l;<1b7d2=>j16?l;5579~w6g22909w0=n5;4`?85f>3?>7p}7}:;h<1:n523`5910=z{:k<6=4={<1b3?0d349j47;9;|q0e=<72;q6?l656b9>7dg==<1v>o6:18185f135<5s49jo78l;<1b4?323ty8mi4?:3y>7db=>j16?l>5569~w6gb2909w0=ne;4`?85f93?=7p}7}:;hl1:n523`5913=z{:h;6=4={<1a4?0d349jm7;9;|q0f4<72;q6?o?56b9>7d4==<1v>l=:18185e:35<5s49i978l;<1a2?313ty8n84?:3y>7g0=>j16?o95579~w6d?2909w0=m9;4`?85ek3?>7p}7}:;kk1:n523c`913=z{:hj6=4={<1af?0d349io7;9;|q0fa<72;q6?ok56b9>7f7==<1v>lj:18185en35<5s49h?78l;<1`2?323ty8o>4?:3y>7f2=>j16?n;5579~w6e32909w0=l5;4`?85d>3?=7p}7}:;j21:n523b`910=z{:i36=4={<1`=?0d349hm7;9;|q0g<<72;q6?no56b9>7fd==?1v>ml:18185dl35<5s49hj78l;<1g4?313ty8h<4?:3y>7a4=>j16?i;5549~w6b52909w0=k3;4`?85c<3?=7p}7}:;m>1:n523e7913=z{:n=6=4={<1g3?0d349om7;:;|q0`2<72;q6?i656b9>7a?==?1v>j7:18185c135<5s49oh78l;<1gb?313ty8hi4?:3y>7ac=>j16?h?5579~w6bb2909w0=kf;4`?85b83?=7p}7}:;l:1:n523d3910=z{:o96=4={<1f7?0d349n:7;:;|q0a6<72;q6?h:56b9>7`3==?1v>k;:18185b=30;6ku23d5933=:<8=1995241c911=:<9o19852403911=:<9>199523d;910=:;ll199523g1911=:;o<198523`d911=:;k;19952391911=:;1i1985240`911=z{:o36=4={<1f3?2>349ni78l;|q0a<<72;q6?h756b9>7`d==<1v>kn:18185bi35<5s49no78l;<1f`?313ty8ii4?:3y>7`b=>j16?hk5579~w6ca2909w0=jf;4`?85a=3?=7p}7}:;o:1:n523df910=z{:l:6=4={<1e5?0d349m:7;9;|q0b7<72;q6?k<56b9>7`g==?1v>h<:18185a;35<5s49m978l;<1ff?313ty8j;4?:3y>7c0=>j16?hm5549~w6`0290nw0=i7;55?82603?>70:?f;77?826;3?>70:?0;77?85al3?>70=j9;75?85a<3??70=m0;77?85f:3?=70=87;75?85?>3??70=65;77?826l3?>7p}7}:;o=184523gd92f=z{:l26=4={<1e=?0d34>;97;9;|q0bd<72;q6?ko56b9>7ce==<1v>hm:18185aj35<5s49mh78l;<1ea?323ty8jh4?:3y>7cc=>j16?kh5579~w1672909w0:?0;4`?85aj3?=7p};0083>7}:<9;1:n52414910=z{=:96=4={<636?0d34>;:7;9;|q746<72;q68==56b9>7ce==?1v9>;:181827<35<5s4>;:78l;<1ee?313ty?<:4?:3y>051=>j16?kl5549~w16?290jw0:?8;55?826:3??70:?7;76?85a93??70=i5;76?85e:3??70=n3;76?850?3?>70=77;77?85>>3??7p};0883>7}:<921845241f92f=z{=:j6=4={<63e?0d34>:?7;9;|q74g<72;q68=l56b9>05e==<1v9>l:181827k31985rs52e>5<5s4>;j78l;<620?313ty?==4?:3y>046=>j168<;5549~w1762909w0:>1;4`?826=3?<7p};1383>7}:<881:n5241a912=z{=;86=4={<627?0d34>;h7;:;|q751<72;q68<:56b9>05d==<1v9?::181826=35<4s4>:5799;<613?3334>9?7;;;|q75d<72;q68<75449>04c=>j1v9?m:181826j3d;75?xu39j0;6?u240a92f=:<8o19;5rs53g>5<5s4>:h78l;<62a?323ty?=k4?:3y>04`=??168>o5559~w147290>w0:=0;55?82793?>70=n0;75?85?93??70=61;77?xu3:80;69u240;90<=:<;;1;;52436910=:<8i1995rs501>5<5s4>9<7:6;<610?0d3ty?>>4?:3y>075=>j168?:5579~w142290iw0:=5;55?827m3?=70:>0;75?827=3?>70=ia;76?85a83?>70=6f;76?85f93?>70=72;77?85?=3??70=64;77?xu3:?0;6?u243790<=:<;21:n5rs504>5<5s4>9;78l;<6144?:3y>07?=??168?65549~w14f2909w0:>f;6:?826037}:<;h1;;52355911=z{=8h6=4={<61f?2>34>9h78l;|q76c<72;q68?k5559>065=>j1v9=?:181824835<5s4>8>78l;<607?3?3ty??94?:3y>063=>j168>85579~w1502909w0:<8;4`?824j3?>7p};3983>7}:<:31:n5242`912=z{=926=4={<60e?0d34>8o7;9;|q77d<72;q68>l56b9>06e==<1v9:?:181824n3??70:;4;4`?xu3<80;6?u245392f=:<=>19;5rs561>5<5s4>?>78l;<670?303ty?8>4?:3y>015=>j1689:5599~ykda83:1=vF6a:mfc7=83;pD4o4}o`e6?6=9rB2m6sabg194?7|@0k0qcli4;295~N>i2wenk;50;3xL51zJ:e>{ijo=1<7?tH8c8ykda03:1=vF6a:mfc?=83;pD4o4}o`ee?6=9rB2m6sabg`94?7|@0k0qclic;295~N>i2wenkj50;3xL51zJ:e>{ijol1<7?tH8c8yke783:1=vF6a:mg57=83;pD4o4}oa36?6=9rB2m6sac1194?7|@0k0qcm?4;295~N>i2weo=;50;3xL51zJ:e>{ik9=1<7?tH8c8yke703:1=vF6a:mg5?=83;pD4o4}oa3e?6=9rB2m6sac1`94?7|@0k0qcm?c;295~N>i2weo=j50;3xL51zJ:e>{ik9l1<7?tH8c8yke683:1=vF6a:mg47=83;pD4o4}oa26?6=9rB2m6sac0194?7|@0k0qcm>4;295~N>i2weo<;50;3xL51zJ:e>{ik8=1<7?tH8c8yke603:1=vF6a:mg4?=83;pD4o4}oa2e?6=9rB2m6sac0`94?7|@0k0qcm>c;295~N>i2weo51zJ:e>{ik8l1<7?tH8c8yke583:1=vF6a:mg77=83;pD4o4}oa16?6=9rB2m6sac3194?7|@0k0qcm=4;295~N>i2weo?;50;3xL51zJ:e>{ik;=1<7?tH8c8yke503:1=vF6a:mg7?=83;pD4o4}oa1e?6=9rB2m6sac3`94?7|@0k0qcm=c;295~N>i2weo?j50;3xL51zJ:e>{ik;l1<7?tH8c8yke483:1=vF6a:mg67=83;pD4o4}oa06?6=9rB2m6sac2194?7|@0k0qcm<4;295~N>i2weo>;50;3xL51zJ:e>{ik:=1<7?tH8c8yke403:1=vF6a:mg6?=83;pD4o4}oa0e?6=9rB2m6sac2`94?7|@0k0qcmi2weo>j50;3xL51zJ:e>{ik:l1<7?tH8c8yke383:1=vF6a:mg17=83;pD4o4}oa76?6=9rB2m6sac5194?7|@0k0qcm;4;295~N>i2weo9;50;3xL51zJ:e>{ik==1<7?tH8c8yke303:1=vF6a:mg1?=83;pD4o4}oa7e?6=9rB2m6sac5`94?7|@0k0qcm;c;295~N>i2weo9j50;3xL52zJ:e>{ik=l1<7?tH8c8yke283:1=vF6a:mg07=83;pD4o4}oa66?6=9rB2m6sac4194?4|@0k0qcm:4;296~N>i2weo8;50;3xL:7>51zJ:e>{ik<=1<7i2weo8j50;0xLi7>51zJ:e>{iki2weo;;50;0xL51zJ:e>{ik?=1<7?tH8c8yke103:1>vF6a:mg3?=83;pD4o4}oa5e?6=9rB2m6sac7`94?4|@0k0qcm9c;295~N>i2weo;j50;3xL52zJ:e>{ik?l1<7?tH8c8yke083:1=vF6a:mg27=838pD4o4}oa46?6=9rB2m6sac6194?7|@0k0qcm84;296~N>i2weo:;50;3xL51zJ:e>{ik>=1<7i2weo:j50;0xL52zJ:e>{ik>l1<7vF6a:mg=7=838pD4o4}oa;6?6=9rB2m6sac9194?4|@0k0qcm74;296~N>i2weo5;50;3xL51zJ:e>{ik1=1<7vF6a:mg=?=838pD4o4}oa;e?6=:rB2m6sac9`94?4|@0k0qcm7c;296~N>i2weo5j50;0xL52zJ:e>{ik1l1<783:1>vF6a:mg<7=838pD4o4}oa:6?6=:rB2m6sac8194?4|@0k0qcm64;296~N>i2weo4;50;0xL51zJ:e>{ik0=1<7?tH8c8yke>03:1=vF6a:mgi2weo4j50;3xL51zJ:e>{ik0l1<7?tH8c8ykef83:1=vF6a:mgd7=83;pD4o4}oab6?6=9rB2m6sac`194?7|@0k0qcmn4;295~N>i2weol;50;3xL51zJ:e>{ikh=1<7?tH8c8ykef03:1=vF6a:mgd?=83;pD4o4}oabe?6=9rB2m6sac``94?7|@0k0qcmnc;295~N>i2weolj50;3xL51zJ:e>{ikhl1<7?tH8c8ykee83:1=vF6a:mgg7=83;pD4o4}oaa6?6=9rB2m6sacc194?7|@0k0qcmm4;295~N>i2weoo;50;3xL51zJ:e>{ikk=1<7?tH8c8ykee03:1=vF6a:mgg?=83;pD4o4}oaae?6=9rB2m6sacc`94?4|@0k0qcmmc;295~N>i2weooj50;3xL51zJ:e>{ikkl1<7i2weon;50;0xL51zJ:e>{ikj=1<7?tH8c8yked03:1>vF6a:mgf?=838pD4o4}oa`e?6=:rB2m6sacb`94?4|@0k0qcmlc;296~N>i2weonj50;3xL51zJ:e>{ikjl1<7?tH8c8ykec83:1=vF6a:mga7=83;pD4o4}oag6?6=9rB2m6sace194?7|@0k0qcmk4;295~N>i2weoi;50;3xL51zJ:e>{ikm=1<7?tH8c8ykec03:1=vF6a:mga?=83;pD4o4}oage?6=9rB2m6sace`94?7|@0k0qcmkc;295~N>i2weoij50;3xL51zJ:e>{ikml1<7?tH8c8ykeb83:1=vF6a:mg`7=83;pD4o4}oaf6?6=9rB2m6sacd194?7|@0k0qcmj4;295~N>i2weoh;50;3xL51zJ:e>{ikl=1<7?tH8c8ykeb03:1=vF6a:mg`?=83;pD4o4}oafe?6=9rB2m6sacd`94?7|@0k0qcmjc;295~N>i2weohj50;3xL51zJ:e>{ikll1<7?tH8c8ykea83:1=vF6a:mgc7=83;pD4o4}oae6?6=9rB2m6sacg194?7|@0k0qcmi4;295~N>i2weok;50;3xL51zJ:e>{iko=1<7?tH8c8ykea03:1=vF6a:mgc?=83;pD4o4}oaee?6=9rB2m6sacg`94?7|@0k0qcmic;295~N>i2weokj50;3xL51zJ:e>{ikol1<7?tH8c8ykb783:1=vF6a:m`57=83;pD4o4}of36?6=9rB2m6sad1194?7|@0k0qcj?4;295~N>i2weh=;50;3xL51zJ:e>{il9=1<7?tH8c8ykb703:1=vF6a:m`5?=83;pD4o4}of3e?6=9rB2m6sad1`94?7|@0k0qcj?c;295~N>i2weh=j50;3xL51zJ:e>{il9l1<7?tH8c8ykb683:1=vF6a:m`47=83;pD4o4}of26?6=9rB2m6sad0194?7|@0k0qcj>4;295~N>i2weh<;50;3xL51zJ:e>{il8=1<7?tH8c8ykb603:1=vF6a:m`4?=83;pD4o4}of2e?6=9rB2m6sad0`94?7|@0k0qcj>c;295~N>i2weh51zJ:e>{il8l1<7?tH8c8ykb583:1=vF6a:m`77=83;pD4o4}of16?6=9rB2m6sad3194?7|@0k0qcj=4;295~N>i2weh?;50;3xL51zJ:e>{il;=1<7?tH8c8ykb503:1=vF6a:m`7?=838pD4o4}of1e?6=9rB2m6sad3`94?7|@0k0qcj=c;295~N>i2weh?j50;3xL52zJ:e>{il;l1<7?tH8c8ykb483:1>vF6a:m`67=83;pD4o4}of06?6=:rB2m6sad2194?7|@0k0qcj<4;296~N>i2weh>;50;3xL52zJ:e>{il:=1<7vF6a:m`6?=83;pD4o4}of0e?6=9rB2m6sad2`94?7|@0k0qcji2weh>j50;0xL52zJ:e>{il:l1<7i2weh9;50;3xL51zJ:e>{il==1<7?tH8c8ykb303:1=vF6a:m`1?=83;pD4o4}of7e?6=9rB2m6sad5`94?7|@0k0qcj;c;295~N>i2weh9j50;3xL51zJ:e>{il=l1<7?tH8c8ykb283:1=vF6a:m`07=83;pD4o4}of66?6=9rB2m6sad4194?7|@0k0qcj:4;295~N>i2weh8;50;3xL:7>51zJ:e>{il<=1<7?tH8c8ykb203:1=vF6a:m`0?=83;pD4o4}of6e?6=9rB2m6sad4`94?7|@0k0qcj:c;295~N>i2weh8j50;3xLi7>51zJ:e>{ili2weh;;50;3xL51zJ:e>{il?=1<7?tH8c8ykb103:1=vF6a:m`3?=83;pD4o4}of5e?6=9rB2m6sad7`94?7|@0k0qcj9c;295~N>i2weh;j50;3xL51zJ:e>{il?l1<7?tH8c8ykb083:1=vF6a:m`27=83;pD4o4}of46?6=9rB2m6sad6194?7|@0k0qcj84;295~N>i2weh:;50;3xL51zJ:e>{il>=1<7?tH8c8ykb003:1=vF6a:m`2?=83;pD4o4}of4e?6=9rB2m6sad6`94?7|@0k0qcj8c;295~N>i2weh:j50;3xL51zJ:e>{il>l1<7?tH8c8ykb?83:1=vF6a:m`=7=83;pD4o4}of;6?6=9rB2m6sad9194?7|@0k0qcj74;295~N>i2weh5;50;3xL51zJ:e>{il1=1<7?tH8c8ykb?03:1=vF6a:m`=?=83;pD4o4}of;e?6=9rB2m6sad9`94?7|@0k0qcj7c;295~N>i2weh5j50;3xL51zJ:e>{il1l1<7?tH8c8ykb>83:1=vF6a:m`<7=83;pD4o4}of:6?6=9rB2m6sad8194?7|@0k0qcj64;295~N>i2weh4;50;3xL51zJ:e>{il0=1<7?tH8c8ykb>03:1=vF6a:m`i2weh4j50;3xL51zJ:e>{il0l1<7?tH8c8ykbf83:1=vF6a:m`d7=83;pD4o4}ofb6?6=9rB2m6sad`194?7|@0k0qcjn4;295~N>i2wehl;50;3xL51zJ:e>{ilh=1<7?tH8c8ykbf03:1=vF6a:m`d?=83;pD4o4}ofbe?6=9rB2m6sad``94?7|@0k0qcjnc;295~N>i2wehlj50;3xL51zJ:e>{ilhl1<7?tH8c8ykbe83:1=vF6a:m`g7=83;pD4o4}ofa6?6=9rB2m6sadc194?7|@0k0qcjm4;295~N>i2weho;50;3xL51zJ:e>{ilk=1<7?tH8c8ykbe03:1=vF6a:m`g?=83;pD4o4}ofae?6=9rB2m6sadc`94?7|@0k0qcjmc;295~N>i2wehoj50;3xL51zJ:e>{ilkl1<7?tH8c8ykbd83:1=vF6a:m`f7=83;pD4o4}of`6?6=9rB2m6sadb194?7|@0k0qcjl4;295~N>i2wehn;50;3xL51zJ:e>{ilj=1<7?tH8c8ykbd03:1=vF6a:m`f?=83;pD4o4}of`e?6=9rB2m6sadb`94?7|@0k0qcjlc;295~N>i2wehnj50;3xL51zJ:e>{iljl1<7?tH8c8ykbc83:1=vF6a:m`a7=83;pD4o4}ofg6?6=9rB2m6sade194?7|@0k0qcjk4;295~N>i2wehi;50;3xL51zJ:e>{ilm=1<7?tH8c8ykbc03:1=vF6a:m`a?=83;pD4o4}ofge?6=9rB2m6sade`94?7|@0k0qcjkc;295~N>i2wehij50;3xL51zJ:e>{ilml1<7?tH8c8ykbb83:1=vF6a:m``7=83;pD4o4}off6?6=9rB2m6sadd194?7|@0k0qcjj4;295~N>i2wehh;50;3xL51zJ:e>{ill=1<7?tH8c8ykbb03:1=vF6a:m``?=83;pD4o4}offe?6=9rB2m6sadd`94?7|@0k0qcjjc;295~N>i2wehhj50;3xL51zJ:e>{illl1<7?tH8c8ykba83:1=vF6a:m`c7=83;pD4o4}ofe6?6=9rB2m6sadg194?7|@0k0qcji4;295~N>i2wehk;50;3xL51zJ:e>{ilo=1<7?tH8c8ykba03:1=vF6a:m`c?=83;pD4o4}ofee?6=9rB2m6sadg`94?7|@0k0qcjic;295~N>i2wehkj50;3xL51zJ:e>{ilol1<7?tH8c8ykc783:1=vF6a:ma57=83;pD4o4}og36?6=9rB2m6sae1194?7|@0k0qck?4;295~N>i2wei=;50;3xL51zJ:e>{im9=1<7?tH8c8ykc703:1=vF6a:ma5?=83;pD4o4}og3e?6=9rB2m6sae1`94?7|@0k0qck?c;295~N>i2wei=j50;3xL51zJ:e>{im9l1<7?tH8c8ykc683:1=vF6a:ma47=83;pD4o4}og26?6=9rB2m6sae0194?7|@0k0qck>4;295~N>i2wei<;50;3xL51zJ:e>{im8=1<7?tH8c8ykc603:1=vF6a:ma4?=83;pD4o4}og2e?6=9rB2m6sae0`94?7|@0k0qck>c;295~N>i2wei51zJ:e>{im8l1<7?tH8c8ykc583:1=vF6a:ma77=83;pD4o4}og16?6=9rB2m6sae3194?7|@0k0qck=4;295~N>i2wei?;50;3xL51zJ:e>{im;=1<7?tH8c8ykc503:1=vF6a:ma7?=83;pD4o4}og1e?6=9rB2m6sae3`94?7|@0k0qck=c;295~N>i2wei?j50;3xL51zJ:e>{im;l1<7?tH8c8ykc483:1=vF6a:ma67=83;pD4o4}og06?6=9rB2m6sae2194?7|@0k0qck<4;295~N>i2wei>;50;3xL51zJ:e>{im:=1<7?tH8c8ykc403:1=vF6a:ma6?=83;pD4o4}og0e?6=9rB2m6sae2`94?7|@0k0qcki2wei>j50;3xL51zJ:e>{im:l1<7?tH8c8ykc383:1=vF6a:ma17=83;pD4o4}og76?6=9rB2m6sae5194?7|@0k0qck;4;295~N>i2wei9;50;3xL51zJ:e>{im==1<7?tH8c8ykc303:1=vF6a:ma1?=83;pD4o4}og7e?6=9rB2m6sae5`94?7|@0k0qck;c;295~N>i2wei9j50;3xL51zJ:e>{im=l1<7?tH8c8ykc283:1=vF6a:ma07=83;pD4o4}og66?6=9rB2m6sae4194?7|@0k0qck:4;295~N>i2wei8;50;3xL:7>51zJ:e>{im<=1<7?tH8c8ykc203:1=vF6a:ma0?=83;pD4o4}og6e?6=9rB2m6sae4`94?7|@0k0qck:c;295~N>i2wei8j50;3xLi7>51zJ:e>{imi2wei;;50;3xL51zJ:e>{im?=1<7?tH8c8ykc103:1=vF6a:ma3?=83;pD4o4}og5e?6=9rB2m6sae7`94?7|@0k0qck9c;295~N>i2wei;j50;3xL51zJ:e>{im?l1<7?tH8c8ykc083:1=vF6a:ma27=83;pD4o4}og46?6=9rB2m6sae6194?7|@0k0qck84;295~N>i2wei:;50;3xL51zJ:e>{im>=1<7?tH8c8ykc003:1=vF6a:ma2?=83;pD4o4}og4e?6=9rB2m6sae6`94?7|@0k0qck8c;295~N>i2wei:j50;3xL51zJ:e>{im>l1<7?tH8c8ykc?83:1=vF6a:ma=7=83;pD4o4}og;6?6=9rB2m6sae9194?7|@0k0qck74;295~N>i2wei5;50;3xL51zJ:e>{im1=1<7?tH8c8ykc?03:1=vF6a:ma=?=83;pD4o4}og;e?6=9rB2m6sae9`94?7|@0k0qck7c;295~N>i2wei5j50;3xL51zJ:e>{im1l1<7?tH8c8ykc>83:1=vF6a:ma<7=83;pD4o4}og:6?6=9rB2m6sae8194?7|@0k0qck64;295~N>i2wei4;50;3xL51zJ:e>{im0=1<7?tH8c8ykc>03:1=vF6a:mai2wei4j50;3xL51zJ:e>{im0l1<7?tH8c8ykcf83:1=vF6a:mad7=83;pD4o4}ogb6?6=9rB2m6sae`194?7|@0k0qckn4;295~N>i2weil;50;3xL51zJ:e>{imh=1<7?tH8c8ykcf03:1=vF6a:mad?=83;pD4o4}ogbe?6=9rB2m6sae``94?7|@0k0qcknc;295~N>i2weilj50;3xL51zJ:e>{imhl1<7?tH8c8ykce83:1=vF6a:mag7=83;pD4o4}oga6?6=9rB2m6saec194?7|@0k0qckm4;295~N>i2weio;50;3xL51zJ:e>{imk=1<7?tH8c8ykce03:1=vF6a:mag?=83;pD4o4}ogae?6=9rB2m6saec`94?7|@0k0qckmc;295~N>i2weioj50;3xL51zJ:e>{imkl1<7?tH8c8ykcd83:1=vF6a:maf7=83;pD4o4}og`6?6=9rB2m6saeb194?7|@0k0qckl4;295~N>i2wein;50;3xL51zJ:e>{imj=1<7?tH8c8ykcd03:1=vF6a:maf?=83;pD4o4}og`e?6=9rB2m6saeb`94?7|@0k0qcklc;295~N>i2weinj50;3xL51zJ:e>{imjl1<7?tH8c8ykcc83:1=vF6a:maa7=83;pD4o4}ogg6?6=9rB2m6saee194?7|@0k0qckk4;295~N>i2weii;50;3xL51zJ:e>{imm=1<7?tH8c8ykcc03:1=vF6a:maa?=83;pD4o4}ogge?6=9rB2m6saee`94?7|@0k0qckkc;295~N>i2weiij50;3xL51zJ:e>{imml1<7?tH8c8ykcb83:1=vF6a:ma`7=83;pD4o4}ogf6?6=9rB2m6saed194?7|@0k0qckj4;295~N>i2weih;50;3xL51zJ:e>{iml=1<7?tH8c8ykcb03:1=vF6a:ma`?=83;pD4o4}ogfe?6=9rB2m6saed`94?7|@0k0qckjc;295~N>i2weihj50;3xL51zJ:e>{imll1<7?tH8c8ykca83:1=vF6a:mac7=83;pD4o4}oge6?6=9rB2m6saeg194?7|@0k0qcki4;295~N>i2weik;50;3xL51zJ:e>{imo=1<7?tH8c8ykca03:1=vF6a:mac?=83;pD4o4}ogee?6=9rB2m6saeg`94?7|@0k0qckic;295~N>i2weikj50;3xL51zJ:e>{zutJKOv?=0d8`47>?;?nvLMLt0|BCT~{GH \ No newline at end of file diff --git a/cpld/XC95144XL/WarpSE.ngd b/cpld/XC95144XL/WarpSE.ngd index 7a44539..f9867f5 100644 --- a/cpld/XC95144XL/WarpSE.ngd +++ b/cpld/XC95144XL/WarpSE.ngd @@ -1,3 +1,3 @@ XILINX-XDB 0.1 STUB 0.1 ASCII XILINX-XDM V1.6e -$5a45=23-;B8>;4$0L7044<,[o}e~g`n;"2*73>(-20*R?F42]0<> X9G>?S9?4000857374A^DPF974601JSK]M<06==>GXNZH7=806;@]EWG:6>730MRH\B=34:<=FWOYI0<619:C\BVD;90437LQISC>2:<=FWOYI0?>19:C\BVD;:8427LQISC>16;d546OPFR@?1;>GXNZH753j4A^DPFZ77W@H^Ji5N_GQA[47XAK_Mh6OPFR@\57YNJ\Lo7LQISC]27ZOE]On0MRH\B^37[LDRNm1JSK]M_07\MGSAl2KTJ^LP17]JFP@c3HUM_OQ>7^KAQCbGXNZHT=RGMUGf8EZ@TJV8;SDLZFe9B[CUEW;;TEO[Id:C\BVDX:;UBNXHk;@]EWGY5;VCIYKm4A^DPFZ4XAK_Mo6OPFR@\7ZOE]Oi0MRH\B^6\MGSAk2KTJ^LP5^KAQCeGXNZHT;RGMUGa8EZ@TJV2TEO[Ic:C\BVDX1VCIYK:4B@AW7>DRN=1IYKK>0:@VMIBX\HXLIYO]CI:8FPUXAGLD=6M=;BG0?FJL?2IGG?>JNb9@HN47MGUDNXH8;BNH60CI02IGG?;JN0a8GIM5=LDTCO[I9:AOO7^XAFHn7NBD2Y]JKGYNJ\L<7NBD_GQAg>EKCVLXNRGMUG58GIMXAFHh7NBD_HMA[LDRN:1H@_74CNONMQRBL>1H^HO[EE18GQJ63Mo0H_MPSTA@AVUA]E=0Hlzn<1<4?Agsi5;5;6Jfs``oac=Cazki`hQnne2345773Mcxmobj_`lg456798:0Hd}nbmg\ekb789:9==5Kircah`Yffm:;<==>0:FjwddkmVkeh=>?0538A==BPYKEHHJ>1:G[TDHCMMUIOIQIIMG20>C_XHDOIIQLOOQWVDOI\Z;:7HV_AOFF@ZOTMVLB@H;4E^KLFd=BW@EISDLZF39E@1=ALJO?7KJLP39EB==AZFGTYNH>;F18CKB63@80E=<4I008M74>0ELM\4:KAQC4OIA]Y_MYK<;HLUa>OIWGMOSL@K_CAG2>OHKFG[86G@CR48MJR^XL<0E]B=r1g8MUJ5z9Uecy>?00d8MUJ5z9Uecy>?0035?LVK:{;<7AGMR@PZ2>JHIMOO?6B@C69OKBODIEk0@]CPXNP1[4eKnffx]i}foo08J54;N68KGSA=2EIYKK<;NLF6>IU;2EY>>5@R218KW243F__=6^l;Q,14456889T@>5_1118T4743Y;9?6^>329S515>5_2218T7243Y8>?6^=729S6=5V4::1[?>=4P260?U52;2Z8:>5_3608T153:R766=W<:90\98<;Q737>V2::1[9>=4P460?U32;2Z>:>5_5918T0?53Y<87]8?3:R556=W>:90\;:<;Q467>V1>:1[::<4P618T2643Y=9?6^8329S315<87]983:R4<6=W?080\5=4P920?U>6;2Z3>>5_8418T=043Y2V>;:1[59=4P870?U?1;2Z2;>5_AE68TDTS;>1[I_G@D! @HN4_W@EI.-?:.744656789*FDw.GIFJ#15(789:;<=>? $16?UCUAFN+.NBD_GQA&%42&9:;<=>?01"NL&OANB+9= ?01234567(,9?7]K]INF#&FJLW@EI.-9!821545678)GCv-FFGI"64+6789:;<=>/%378T@TNGM0H@F43)>?=96@Fu:IKDL?37-8o0\H\FOE8@HNYA[K0997CGz;JJCM<28,897]K]INF9GIMXAFH1;#6<378NLTF48:546\N<0394;02:0=UI58596\N<2<6?WG;<7?0^L2:>49QE909=2XJ0:0:;SC?<;3TFW9UDNXHn;SC\55YHJ\Lj7_OP10]LFP@>3[KT=RAMUG;8VDY5WFH^J45]A^1\KGSA12XJS9Q@BTD:?WGX=VEIYK74R@]5[JDRN01YMR9POCWE=>TFW1UDNXH6;SC\=ZIE]Oi0^H^CABG\KNG^?2XN_Iaaeg9QAVBhflUjbi>?0133?WCTLfdnSl`k01235467_K\r178V@Uu9<1YI^|=5:PLIFU43[Y_m6\jae{\MJDT12XnmiwPR@O:?Wca]{mnby84Rdqvhq0<[JYBO^=4SDV6?VQ;87?0_Z2>>69PS94=87?0_Z2=>`9PS949W@H^J45\W^2\MGSA12Y\S<\LXFECOC8:VJI@UWMJ=0XCCPNDV0?QTN02^YE^ZNTD68PWSBk2^XSNBD2Y]JKGg<\ZUH@FQISCc8PVYDDBUBCOl4TR]WMHCTXLI=7Y]PTOO7?Q_WM:1^OK84UOWPAAg<]ZOYSLBFARa8QVCUW_CXEOBJ3:T@G<=QAL]TXT^J6:UbvuUB9:1\m~\E^VJI@UWMJU^OK?k;YKOMK^*PMH+<#?/SUWA$5(6(HYHED=4XNP7?]IU:?1S_YBFB69[WQY@FM=0T^ZPVBA6?]YHFL?0TRZ]I79[[_CUG?1ShoQFdc9[`mYWz`gBb{m4Xej\Twoj\pznj6Vkh^RqmhPbzzcdbk5Wdi]WwaRnelY{in??;Yfn[Hgmg{\n~~g`n028\akXE`dd~[k}shmm2>^h494:?6V|t59YAWI?3Vkeh=>?089\ekb789::56Qnne23454c3jd#_kiCov?4;b2:a=df}%YikMat=0=`>ei|&XnjN`{<2e9`jq)UmoIex181d:amp*TbnJd0:0l;blw+WcaKg~Tei|&XnjN`{_Lcg`ZYflm:;<=QBxnp\V`urd}682?94cov,V``Df}UFmijP_`fg4567WDrd~R\jstnw8185?2iex"\jfBlw[HgclVUjhi>?01]N|jtXZly~`y2:>358gkr(ZllHbyQBaef\[dbc89:;S@v`r^Pfwpjs4?49;6mat.PfbFhsWDkohRQnde2345YJpfxT^h}zlu>4:7g?_SgpqirX8Vddx=>?0002?fhs'[omOczPM`fg[Zgcl9:;?0^]bja6789;9=6mat.PfbFhsWDkohRQnde2345YXign;<=?=2:amp*TbnJdS@okd^]b`a6789UTmcj?013264=df}%YikMat^Ob`aYXimn;<=>P_`lg4565:;1hby!]egAmpZKflmUTmij?012\[dhc89:9=??4cov,V``Df}UFmijP_`fg4567WVkeh=>?3308gkr(ZllHbyQBaef\[dbc89:;SRoad12374463jd#_kiCov\IdbcWVkoh=>?0^]bja678=897n`{/SgeGkrXEhnoSRokd1234ZYffm:;<9?=1:amp*TbnJdS@okd^]b`a6789UTmcj?01716>ei|&XnjN`{_Lcg`ZYflm:;<=QPaof345369?1hby!]egAmpZYflm:;<=2?>048gkr(ZllHbyQPaef3456;97;=7n`{/SgeGkrXWhno<=>?<3<22>ei|&XnjN`{_^cg`5678595=;5lnu-QacEi|VUjhi>?01>7:402iex"\jfBlw[Zgcl9:;<191b:amp*TbnMeei<:4cov,V``CggoTSl`k012356=df}%YikJ`nd]\kw6789;?7n`{/Sge@jhbWVey<=>?1048gkr(ZllOcckPclr\at6789;<7n`{/Sge@jhbWjg{Sh?012252=df}%YikJ`nd]`iuYby9:;1hby!]egFlj`YdeyUn}=>?0534?fhs'[omHb`j_bos[`w789:>=:5lnu-QacBhflUha}Qjq12343703jd#_kiDnlf[fkwWl{;<=>8169`jq)UmoNdbhQlmq]fu56781;<7n`{/Sge@jhbWjg{Sh?012:51=df}%YikJ`nd]mkq6788;o7n`{/SgeQwabf}o0ocz RddVvbci|8o0ocz RddVvbci|;o0ocz RddVvbci|:o0ocz RddVvbci|=i0ocz ThofkprF9=1hby![ilglqqGXWfx;<=>>5:amp*Rnele~xLQPos234576>2iex"ZfmdmvpDYXg{:;<=??149`jq)SadodyyOP_np345659<1hby![ilglqqGXWfx;<=><149`jq)SadodyyOP_np345639<1hby![ilglqqGXWfx;<=>:149`jq)SadodyyOP_np345619<1hby![ilglqqGXWfx;<=>8149`jq)SadodyyOP_np3456?9<1hby![ilglqqGXWfx;<=>6c:amp*Rnele~xOh4cov,Plkbg|~I\k>7:amp*Rnele~xO^}e^]lv5678820ocz ThofkprEX{oTSb|?01225==df}%_e`k`uu@Sv`YXg{:;<=<>4:amp*Rnele~xOQPos2345723jd#YgbenwwFZYhz9:;<94cr-JEFU?3jy$ELM\199`w*OFKZ837n} I@AP72=d{&CDO^64cr-JKFU602ix#DALS3:8gv)NGJY846m|/HM@W1>et'@EH_594cr-L@FU?3jy$CIM\199`w*ICKZ837n} OEAP7==d{&EOO^:7;bq,VDKD[01h"\NMBQ2=>et'[KFO^<6;bq,VDKD[:30o~!]ALAP0<=d{&XJAN]:9:ap+WGJKZ<27n} R@O@W2?et'[EFO^:6;bq,VJKD[<30o~!]OLAP2<=d{&XDAN]89:ap+WIJKZ227n} RNO@W4cr-UmaTFEJY\^;:d109`w*Pnl[KFO^Y]65i254=d{&\bh_OBCRUQ21m5981h"XfdSCNGVQU>=a8=<5ls.Tj`WGJKZ]Y:9e;109`w*Pnl[KFO^Y]65i654=d{&\bh_OBCRUQ21m1981h"XfdSCNGVQU>=a<=<5ls.Tj`WGJKZ]Y:9e7c:ap+kIqm{fju=?;;bq,jJpbzekr;gqa+DUunVddx=>?10:8bvd(JHI_56h|b.@BGQ7>3oyi#OOLT3:8bvd(JLXYm6h|b.@FVW6u9;1mo!MESP3vZYhz9:;<<=4fr`,F@TU8{UTc>?01327>`tj&HN^_>}_^mq4567:01mo!MESP2e>`tj&HN^_?}139ewg)EM[X:~RQ`r123445?289ewg)EM[X956h|b.@FVW5>3oyi#OK]R5;8bvd(JLXY9o5isc-Qadb~8{;87k}m/Sgb`|6uWVey<=>?159ewg)Umhnr<QPos234576<2lxn"\jae{3vZYhz9:;4:dpf*Tbims:~RQ`r12347733oyi#_kndx3q[Ziu89:;?o5isc-Qadb~:{;87k}m/Sgb`|4uWVey<=>?159ewg)Umhnr>QPos234576<2lxn"\jae{1vZYhz9:;>f:dpf*PWIVUd~=>?0028bvd(^YKTSb|?012255=a{k%]\LQPos23454682lxn"X_A^]lv5678:;;7k}m/WRB[Ziu89:;8<>4fr`,RUGXWfx;<=>:119ewg)QXHUTc>?01424>`tj&\[MRQ`r12342773oyi#[^N_^mq456708:0j~l VQC\[jt789:2m6h|b.lAAWT6i2lxn"`KT@AH57=a{k%eHYOLK^]lv5678890j~l nEVBGNYXg{:;<=?>3:dpf*hC\HI@SRa}01236==a{k%eZ]O6;gqa+kPWI830j~l nWRB6<=ngkg$MAK?109jkgk(IEO;S`{w012357=ngkg$MAK?_lw{45679880eblb/@NF4Zkrp9:;?01126>ohjd%J@H>Pmtz3456312cdn`!MESPb?liee&HN^_?m;hmai*DBZ[xmi6g`bl-AAWTunVD;j6g`bl-AAWTunVD;=o5foco,F@TUz{;87damm.@FVWtuWge<=>>159jkgk(JLXY~Qaou2344703`eia"LHf:klfh)EOVUd~=>?0g9jkgk(JNUTc>?0033?liee&HLSRa}01225464:klfh)C\HI@~Qaou2344723`eia"J[ABIqvZhh|9:;=>129jkgk(MZU;SRoad12347743`eia"K\_1]\ekb789:8=>5foco,AVY7WVkeh=>?05;8mjdj'LYT=<<4in`n+@UX9VUjbi>?0130?liee&OXSohjd%N_Rohjd%N_R:>2:klfh)B[V>TSl`k012356=ngkg$I^Q;_^cm`567888?7damm.GP[HgclVUjhi>?01]N|jtXZly~`y2>>368mjdj'LYTAljk_^cg`5678VGscQ]erwop949:=1bcoc ER]NeabXWhno<=>?_LzlvZTb{|f0>0=4:klfh)B[VGjhiQPaef3456XEqeyS_k|umv?0;7b3`eia"K\_Lcg`ZYflm:;<=QPaof34566n2cdn`!JS^Ob`aYXimn;<=>P_`lg456798o0eblb/DQ\IdbcWVkoh=>?0^]bja6788;m7damm.GP[HgclVUjhi>?01]\ekb789;:=h5foco,AVYJimnTSljk0123[Zgil9:;>2:klfh)B[V[T=Ra}012356=ngkg$I^Q^_0]lv56788;87damm.GP[TY6Wfx;<=>=129jkgk(MZUZS5foco,AVYXimn;<=>32?30?liee&OXSRokd12349599:1bcoc ER]\eab789:783h4in`n+@UXign;<=?>0:klfh)B[Vkeh=>?1033?liee&OXSl`k01226`=ngkg$I^Q`r1234c=ngkg$I^Q`r12344`>3:klfh)B\HI@Sl`k0123545?3018mjdj'L^JOFQnne234526;2cdn`!JT@AH[dhc89:;9<=4in`n+@RFKBUjbi>?0144?liee&Oy46g`bl-Fv7773`eia"K}_Bnh[LHQ9h1bcoc Es]M4d=ngkg$EBOLT008mjdj'@EJOYQnne2345743`eia"G@ABV\ekb789::=?5foco,MJGD\Vkeh=>?1018mjdj'@EJOYQnne234476;2cdn`!FO@AW[dhc89::><=4in`n+LIFK]Ujbi>?00127>ohjd%BCLM[_`lg4566<890eblb/HMBGQYffm:;<<;>3:klfh)NGHI_Sl`k01222456g`bl-JKDESWds<=>?129jkgk(AFKHXRczx12344743`eia"G@ABV\ip~789:9=>5foco,MJGD\Vg~t=>?0230?liee&CDMNZPmtz345639:1bcoc INC@PZkrp9:;<8?<;hmai*OHIJ^Taxv?012556=ngkg$EBOLT^ov|5678>h0eblb/HMAAWT6;2cdn`!FOCGQVZkrp9:;<<:4in`n+LIEM[XTaxv?012250=ngkg$EBLJRS]nq}6789;;=85foco,MJDBZ[Ufyu>?013250=ngkg$EBLJRS]nq}6789;9=85foco,MJDBZ[Ufyu>?013050=ngkg$EBLJRS]nq}6789;?=95foco,MJDBZ[Ufyu>?01020>ohjd%BCOK]R^ov|5678:;?7damm.KLF@TUWds<=>?4068mjdj'@EII_\Pmtz345629=1bcoc IN@FVWYj}q:;<=8>4:klfh)NGKOY^Rczx12342733`eia"G@BDPQ[hs89:;4<:4in`n+LIEM[XTaxv?012:f>ohjd%BC_K^r038mjdj'@EXSK]B_GDg542-Hl250=ngkg$EB]PFRO\BCb6%@d9=85foco,MJUXNZGTJKj>-Hl050=ngkg$EB]PFRO\BCb6%@d?=<5foco,MJUXNZGTJKj=159jkgk(AFYTJ^CPFGf1)Lh6=2cdn`!FOR]EWHYANm8&Ec?>6:klfh)NGZUM_@QIFe0.Mk779?1bcoc INQ\BVKXNOn9!D`>1048mjdj'@EXSK]B_GDg6(Oi9;;>7damm.KLWZ@TEVLMh?#Fn336?liee&CD_RH\M^DE`7+Nf:;>7damm.KLWZ@TEVLMh?#Fn536?liee&CD_RH\M^DE`7+Nf<;>7damm.KLWZ@TEVLMh?#Fn736?liee&CD_RH\M^DE`7+Nf>;>7damm.KLWZ@TEVLMh?#Fn936?liee&CD_RH\M^DE`7+Nf0;:7damm.KLWZ@TEVLMh>?;;hmai*OH[VLXARHId2/Jj433`eia"\JS0c8mjdj'[OX~ko4in`n+WCTz{;97damm.PFWwtXff~;<=?>3:klfh)UMZxySca{01225d=ngkg$Z]O}f`9jkgk(^YKy~<<4in`n+SVFz{Uecy>?0030?liee&\[M|Pnnv34576j2cdn`!aARmvp45?1037?liee&dJ_b{{_omw4566:8>0eblb/oCPkprXff~;<=?<159jkgk(fHYdyyQaou23442c3`eia"`NotvLA4dohjd%eHd`CE^mq45679:1bcoc nEkmH@Yhz9:;<ohjd%eHb{{OD]mkq6788;:96g`bl-m@jssGLUecy>?00021>ohjd%eHb{{OD]mkq67889:96g`bl-m@jssGLUecy>?00621>ohjd%eHb{{OD]mkq6788?27damm.lO@V763`eia"`CDR]mkq6788;97damm.lO@VYig}:;<2:klfh)iDMYTbbz?013157=ngkg$bAJ\_omw4566;8:0eblb/oNGWZiu89::=<5foco,jIBTWfx;<=?>109jkgk(fENXSb|?013154=ngkg$bAJ\_np34574981bcoc nMFP[jt789;?=<5foco,jIBTWfx;<=?:109jkgk(fENXSb|?013554=ngkg$bAJ\_np3457012cdn`!aUEQ25>ohjd%eYI]Pnnv34576:2cdn`!aUEQ\jjr789;:=?5foco,jPBTWge<=>>2008mjdj'g_O_R``t12356??139jkgk(f_GJSRa}01235442:klfh)i^DKTSb|?012757=ngkg$b[CN_^mq4567=880eblb/oTNEZYhz9:;<;?=;hmai*hQEHUTc>?01525>ohjd%eZ@OPaof34566:2cdn`!aVLC\ekb789::=?5foco,jSKFWhdo<=>?2008mjdj'g\FMRoad12346753`eia"`YM@]bja6789>:>6g`bl-mRHGXign;<=>:139jkgk(f_GJSl`k012324430ebl|/@NF4<=ngky$MAK>109jkgu(IEO:SRa}012257=ngky$MAK>_^mq4566901bco} BDPQ57=ngky$NH\]_^cm`5678890ebl|/CGQVZYffm:;<=?>1:klfv)EM[XTSb|?01226>ohjz%II_\P_np345669;1bco} BDPQ[Ziu89:;><<4in`p+GCUZVUd~=>?0231?lie{&HN^_QPos234526:2cdn~!MESP\[jt789:>=?5focq,F@TUWVey<=>?6c9jkgu(Keoj~?0331?lie{&CD@=Qbuy234556:2cdn~!FOM2\ip~789:?=?5focq,MJJ7Wds<=>?589jkgu(AFF:=<5focq,MJJ6Wge<=>>139jkgu(AFF:Sca{01225d=ngky$EB\JQ008mjdt'@EYI\Qbuy2345743`ei"G@RDS\ip~789::=95focq,MJTBYVg~t=>?00227>ohjz%BC_K^_lw{4567:890ebl|/HMQATYj}q:;<==>3:klfv)NG[OZS`{w0123045?6018mjdt'@EYI\Qbuy234516;2cdn~!FOSGR[hs89:;4<=4in`p+LIUMXUfyu>?01;b?lie{&CD^Z>>2:klfv)NG[];S`{w012356=ngky$EB\X0^ov|56788;87dams.KLVR6Xe|r;<=>=129jkgu(AFX\?1018mjdt'@EY[=Qaou234476;2cdn~!FOSU3[kis89::><=4in`p+LIU_9Uecy>?00127>ohjz%BC_Y?_omw4566<890ebl|/HMQS5Yig}:;<<;>3:klfv)NG[];Sca{01222455focq,MJTP9Vkeh=>?0030?lie{&CD^Z?Paof345659:1bco} INPT5Zgil9:;<>?<;hmaw*OHZ^;Tmcj?012756=ngky$EB\X1^cm`5678<;87dams.KLVR7Xign;<=>9c:klfv)NG[ojht?:;hmaw*OHZlkouRQnne2345713`ei"G@Rdcg}ZYffm:;<=?>6:klfv)NG[ojhtQPaof3456512cdn~!FOT225>ohjz%BCX>Pmtz34566:2cdn~!FOT2\ip~789::=?5focq,MJS7Wds<=>?2008mjdt'@E^:>6g`br-JKP6Xe|r;<=>:9:klfv)NG\;:=6g`br-JKP7Xff~;<=?>2:klfv)NG\;Tbbz?0132e>ohjz%Gclj>9:klfv)Hfjo:=6g`br-LjfcXWfx;<=>>2:klfv)HfjoTSb|?012257=ngky$Ccmj_^mq4567:880ebl|/Nl`aZYhz9:;<>?=;hmaw*IiklUTc>?01626>ohjz%DbnkP_np345629;1bco} Ooaf[Ziu89:;:<<4in`p+JhdmVUd~=>?0633?lie{&ZXSK]B_GDg5455focq,TVYA[DUMJi<"Io37?lie{&ZXSK]B_GDg6(Oi98?0ebl|/QQ\BVKXNOn9!D`>0078mjdt'YYTJ^CPFGf1)Lh698?0ebl|/QQ\BVKXNOn9!D`>2078mjdt'YYTJ^CPFGf1)Lh6;8>0ebl|/QQ\BVKXNOn9!D`=159jkgu(XZUM_@QIFe0.Mk56<2cdn~!_S^DPIZ@Al;'Bb9?;;hmaw*VTWOYFSKHk2,Km142ohjz%[_RH\M^DE`7+Nf1;?7dams.RP[CUJWOLo> Ga9028mjdt'YYTJ^CPOtv354=ngky$\^QISL]Lqq66981bco} PR]EWHYH}}:9=?5focq,TVYdeyUn}=>?0`9jkgu(Zlkouo5focq,V`gcq8h0ebl|/Sgb`|4e3`ei"\jae{057=ngky$^hoky^cm`5678890ebl|/Sgb`|Yffm:;<=?>3:klfv)UmhnrSl`k0123645?4018mjdt'[ojhtQnne23453e3`ei"`KioMFg>ohjz%eHd`@E0a8mjdt'gNbbBK=c:klfv)iL`dDI>74n@FlqqJB8h1eMIaztMG35d=iIMe~xAK?2`9mEAir|EO;?h5aAEmvpIC7WFH^J45aAEmvpIC6i2dJHb{{LD32a>hFLf@H?POCWE3>hF[VLXNn5aAR]EWGYNJ\L<7cO\_HMA`>hF[VCDNRAMUGG22>hF[VCDNR`NS^KLFjssW9U:;6`NotvLAf=iIfCHQ@BTD:?kDBZ[UM_Ok4nCGQVZ@TJVEIYK74nCGQVZOHJl1eNH\]_HMA[LDRN>1eNJQFOCa8jGAXAFHTEO[I7:lAVZOHJj1eN_QFOC]LFP@33gIJ_45aC@Q\KGSAi2dOXLMD_GQAb>hC\HI@SK]M_N@VBd=iL]KHGRG@Bg9m@QGDCVCDNRGMUG48jAoiDLh0bIgaLD]LFP@13gNbbBKm;oFjjJCXGK_M;6`KotvLAf=iLfCHQ@BTD6?kOWD;k0bD^C2^KAQC>2dYC@M\b:lQKHETWFH^J;5aRNOTAg=iZFG\IRAMUG:8jPBTWOYIh6`ZDR]EWGYNJ\L37c[KS^KLF`=i]MYTEBLPOCWEA==i^DKTEBLj;oTNEZOHJVEIYKK7;oTSEZ@TJm1eZ]OPFR@\KGSA02d]\LQFOCf8jSVFW@EISDLZF69mS@YA[Ki0bZKPFR@\MGSA02dlho{fled8jjr789:Tmcj?01224>hh|9:;?_`lg456799;:7ca{0123[dhc89:;=;omw4567Whdo<=>?1332?kis89:;Sl`k012356763ge<=>?_`lg45679=;;7ca{0123[dhc89:;><>4nnv3456Xign;<=><119mkq6789Ujbi>?01624>hh|9:;?_`lg4567>8:0bbz?012\ekb789:<==5aou2345Yffm:;<=6>0:llp5678Vkeh=>?08;8vdk(JHI_~55}al-Ljfc682xja"Aacd]\kw6789;:7ob/Nl`aZYhz9:;<;scn+JhdmVUd~=>?0332?wgj'FdhiRQ`r12346763{kf#B`le^]lv5678=;:7ob/Nl`aZYhz9:;<8?>;scn+JhdmVUd~=>?07c8vdk(ZHGOE^l4r`o,VDKCAZ;:?6|nm.PBIAOT9Vg~t=>?0068vdk(ZHGOE^?Pmtz345669<1ym`!]ALFJW4Yj}q:;<=??149qeh)UIDNB_9=1ym`!]ALFJW4Yj}q:;<=<>5:pbi*TFEMCX=Rczx1234766=2xja"\NMEKP5Zkrp9:;5:pbi*TFEMCX=Rczx1234746=2xja"\NMEKP5Zkrp9:;5:pbi*TFEMCX=Rczx1234726=2xja"\NMEKP5Zkrp9:;4:pbi*TFEMCX=Rczx12346733{kf#_OBDHQ2[hs89:;8<:4r`o,VDKCAZ;Taxv?012651=uid%YM@JFS0]nq}6789<:86|nm.PBIAOT9Vg~t=>?0637?wgj'[KFHD]>_lw{456708>0~lc R@OGMV7Xe|r;<=>6b:pbi*TFEMCX><=4r`o,VDKCAZ8TSb|?01220>tfe&XJAIG\2^]lv56788;?7ob/SCN@LU5WVey<=>?2068vdk(ZHGOE^4:pbi*TFEMCX>RQ`r12340733{kf#_OBDHQ1[Ziu89:;:<:4r`o,VDKCAZ8TSb|?012451=uid%YM@JFS3]\kw67892i7ob/SCN@LU4j2xja"\NMEKP0g=uid%YM@JFS4a8vdk(ZHGYiljv159qeh)UIDXnmiwPmtz34566=2xja"\NMSgb`|Yj}q:;<=?>6:pbi*TFE[ojhtQbuy2345779?1ym`!]ALPfeaXe|r;<=>>1048vdk(ZHGYiljv_lw{45679;;=7ob/SCNV`gcqVg~t=>?00121>tfe&XJA_kndx]nq}67898:96|nm.PBIWcflpUfyu>?01121>tfe&XJA_kndx]nq}6789>:96|nm.PBIWcflpUfyu>?01721>tfe&XJA_kndx]nq}6789<:96|nm.PBIWcflpUfyu>?01521>tfe&XJA_kndx]nq}67892:96|nm.PBIWcflpUfyu>?01;:?wgj'[KXIA?>;scn+WGTMEUfyu>?0131?wgj'[KXIAQbuy234576;2xja"\NSDN\ip~789::<<=4r`o,VDUBDVg~t=>?00327>tfe&XJ_HBPmtz34566:890~lc R@QFHZkrp9:;<<=>3:pbi*TF[LFTaxv?0122045>6018vdk(ZHYN@Rczx1234416;2xja"\NSDN\ip~789::4<=4r`o,VDUBDVg~t=>?00;26>tfe&XJ_HBPmtz345659:1ym`!]ARGO[hs89:;>=?<;scn+WGTMEUfyu>?010256=uid%YM^KC_lw{4567:;;87ob/SCPAIYj}q:;<=<<129qeh)UIZOGS`{w012361743{kf#_O\EM]nq}67898>=>5}al-QEVCKWds<=>?2731?wgj'[KXIAQbuy234556:2xja"\NSDN\ip~789:?=?5}al-QEVCKWds<=>?5008vdk(ZHYN@Rczx12343753{kf#_O\EM]nq}6789=:>6|nm.PBW@JXe|r;<=>7139qeh)UIZOGS`{w0123=46=109qeh)UIV:Taxv?012054=uid%YMR>Pmtz34563991ym`!]A^3\ip~789::=6|nm.PB[4Yj}q:;<=?>1:pbi*TFW8Ufyu>?01025>tfe&XJS:<6|nm.PB[7Yj}q:;<=?>;scn+WGX:Vg~t=>?0032?wgj'[KT>Rczx12347763{kf#_OP2^ov|5678:;:7ob/SC\6Zkrp9:;<9??;scn+WGX;Vg~t=>?0038vdk(ZHU8S`{w0123547?4028vdk(ZHU?S`{w012354=uid%YMR:Pmtz34566981ym`!]A^6\ip~789:9=<5}al-QEZ2Xe|r;<=><109qeh)UIV>Taxv?012755=uid%YMR;Pmtz3456692xja"\N_4]nq}6789;:=6|nm.PB[0Yj}q:;<=<>1:pbi*TFW?01125>tfe&XJS8Qbuy23452682xja"\N_7]nq}6789;:7ob/SC\2Zkrp9:;<;scn+WGX>Vg~t=>?0332?wgj'[KT:Rczx12346763{kf#_OP6^ov|5678=;;7ob/SC\3Zkrp9:;<?1038vdk(ZHU4r`o,VDY?Wds<=>?109qeh)UIV2Taxv?012254=uid%YMR6Pmtz34565981ym`!]A^:\ip~789:8=<5}al-QEZ>Xe|r;<=>;109qeh)UIV2Taxv?012654=uid%YMR6Pmtz34561991ym`!]A^;\ip~789::=6|nm.PB[1:pbi*TFW0Ufyu>?01025>tfe&XJS4Qbuy23455692xja"\N_8]nq}6789>m7ob/SQ\BVKXNOn:=?5}al-QWZ@TEVLMh<#Fn018vdk(ZZUM_@QIFe3.Mk76<2xja"\\_GQN[C@c9$Ce==?;;scn+WUXNZGTJKj>-Hl2542tfe&XXSK]B_GDg5(Oi9=;?7ob/SQ\BVKXNOn:!D`>5018vdk(ZZUM_@QIFe3.Mk46;2xja"\\_GQN[C@c9$Ce?<=4r`o,VVYA[DUMJi?"Io627>tfe&XXSK]B_GDg5(Oi=890~lc RR]EWHYANm;&Ec8>3:pbi*TTWOYFSKHk1,Km345 Ga1337?wgj'[YTJ^CPFGf1)Lh6;8>0~lc RR]EWHYANm8&Ec?;159qeh)U[VLXARHId3/Jj436<2xja"\\_GQN[C@c:$Ce=;?;;scn+WUXNZGTJKj=-Hl2342tfe&XXSK]B_GDg6(Oi:8>0~lc RR]EWHYANm8&Ec??;;scn+WUXNZGTJKj=-Hl1745 Ga4018vdk(ZZUM_@QIFe0.Mk36;2xja"\\_GQN[C@c:$Ce:<=4r`o,VVYA[DUMJi<"Io527>tfe&XXSK]B_GDg6(Oi0890~lc RR]EWHYANm8&Ec7i;scn+WUXNZGTJKj<139qeh)U[VLXARHId2/Jj450~lc RR]EWHYANm9&Ec?=159qeh)U[VLXARHId2/Jj456;2xja"\\_GQN[C@c;$Ce><=4r`o,VVYA[DUMJi="Io127>tfe&XXSK]B_GDg7(Oi<890~lc RR]EWHYANm9&Ec;>3:pbi*TTWOYFSKHk3,Km245;scn+kJPMVkeh=>?0332?wgj'gF\IRoad12346763{kf#cBXE^cm`5678==0~lc nNG;?wgj'gEN=55}al-mK@4?3{kf#c\NS89qeh)iZHY:56|nm.lQEV4>3{kf#c\NS2;8vdk(f[KX845}al-mVDU2j2xja"`]OLAP5g=uid%e^BCXE0;8vdk(f\]N=<>4r`o,jPQBWhdo<=>?109qeh)i]^OTmcj?012254=uid%eYZKPaof34565981ym`!aUVG\ekb789:8=<5}al-mQRCXign;<=>;8:z`=067pe;:7um65067|irv99:&==64xhnjj}si911s`cvPatvl[5Ylk%hy wbbf~DEv6j?90LMv>3b8E>1<6sZ?=6<:i:8f9562?1h21>=j=5zl20f<63g;?h784$064>45b3tY>97?;f;;g>45300k36?>k6b9P<=<4k>086<=;88c;>76c091X98445300k36?>k8`9g56e=83;1=v]:6;37b??c289?44o7:32g63=#<=0?o>5G589uP35=83;1=7=m6zQ62?73n33o6<=;88c;>76c:?1/=>l58`9U51g=:r3o7?4u9f94>{#mh0?o95+6783?!032=i=7)8::6d8f45d290?n7=;6;115~N6;01/=>;512a8^13=;r=14775}h71>5<5<>o4i>0;66a>o4k:0;66a>i4im0;66a<8583>>i4000;66g>o3m3:17d=67;29?l372900c>l8:188m31=831d?l?50;9l7dd=831d?oh50;9l7d5=831d?l;50;9j7f3=831d?4=50;9lbd<72-l96k74ng394>=hn10;6)h=:g;8jc7=921d===50;&e6?`>3gl:6?54o021>5<#n;0m56`i1;18?j7793:1(k<5f89mb4<332e:<=4?:%d1>c?!`52o30bk?56:9lb`<72-l96k74ng393>=hnm0;6)h=:g;8jc7=021djn4?:%d1>c?!`52o30bk?5a:9lb2<72-l96k74ng39f>=n:80;6)h=:328jc7=821b=k4?:%d1>76!`52;:0bk?52:9j5a<72-l96?>4ng397>=n9k0;6)h=:328jc7=<21b=l4?:%d1>76!`52;:0bk?56:9j5=<72-l96?>4ng393>=n9>0;6)h=:328jc7=021b=;4?:%d1>76!`52;:0bk?5a:9j51<72-l96?>4ng39f>=n9:0;6)h=:328jc7=k21b=?4?:%d1>76!`52;:0bk?5e:9j6=<72-l96?>4ng39b>=n:>0;6)h=:328jc7=9910e?850;&e6?473gl:65<#n;09<6`i1;31?>o5<3:1(k<5219mb4<6;21b>>4?:%d1>76:078?l7d290/j?4=0:le5?7132c:>84?:%d1>4433gl:6=54i000>5<#n;0:>95af082?>o6:;0;6)h=:007?k`62;10n<:=:182>5<7s-;8978i;I375>N6;01/=9751428 =1=j21vn<:<:182>5<7s-;897?j21vn7<3s-;89786;I375>N6;01/;i49;%:4>1e23S;887?t518~m10=831b954?::me2?6=3kl=6=4::385!74=31=v;?:|k4f?6=,8>26:l4;h64>5<#9=318:54i5:94?"6<00?465f13394?"6<00:><54i03a>5<#9=31=5<#9=315o54}c370?6=93:1488:2>i>k3:1(<:6:8`8?xu203:1>vP:8:?5f?1e3ty?:7>52z\72>;1j3>37p}:4;296~X2<27=n7?>b:pb6<72;qUj>526c8264=z{o<1<76;h4$06:>4373-2<69m:;n4f>5<#9=315o54}rd5>5<5sWl=70h9:g48yv3?2909wS;7;0>=6=4={_65?8`12=<0q~<50;1x9c0=n:16j;4:4:?2>3c<,893685r}c323485=>N6<81C=>74$6f92>"??3>h96T>358206=u`>=6=44i4:94?=hn?0;66li6;291?4=>r.:?849b:&;3?2d=2P:?94>{429yj`12900ek=50;9j1=<722c>87>5;h65>5<2?i0V<=;:0y64?{n?k0;6)?;9;5a?>o3?3:1(<:6:558?l2?290/=9754998m446290/=9751338?l76j3:1(<:6:03a?>i>j3:1(<:6:8`8?xd6<=0;6<4?:1y'51?=1?1d5n4?:%37=??e32wx954?:3y]1==:>k07}Y51;294~"6;10?7)?<5;4e?!7313;><6*77;6`1>i1m3:1(<:6:8`8?xua>3:1>vPi6:?e2?`13ty>47>52z\6<>;a>3?37p};6;296~X3>27m:7:9;|q1>5<4s4l=6k=4=g4911=:934=:5y'563=>01C=9?4H01:?!1c2?1/4:4;c49Y562=9r?;6pg;6;29?l3?2900ck850;9ab3<72<096;u+12792g=#0>0?o85U12695~372tem:7>5;hd0>5<>o3>3:17o8m:185>5<7s-;?578l;[300?7|=90ve:l50;&20<<0j21b8:4?:%37=?2032c?47>5$06:>1><3`;9=7>5$06:>44632c:=o4?:%37=?76j21d5o4?:%37=??e32wi=9:50;394?6|,8>26484o8a94?"6<002n65rs4:94?4|V<201;l57c9~w10=838pR984=7`90==z{<>1<7;|qe2?6=:rTm:639b;;a?xu6;h0;6?u26c873>;6<=02o6srb083>4<729q/=>654:&270<1n2.:844>519'<2<3k<1d:h4?:%37=??e32wxj;4?:3y]b3=:n?0m:6s|5983>7}Y=116j;4:8:p03<72;qU8;52f7872>{t:3:1?v3i6;d0?8`12<>01<49e:&27=<23twi4>4?:281>1}#9:?1:45G1538L45>3-=o6;5+8687g0=]9:>1=v;?:|k72?6=3`?36=44og494?=en?0;684=:7y'563=>k1/4:4;c49Y562=9r?;6pai6;29?l`42900e8650;9j11<722c?:7>5;c4a>5<1290;w)?;9;4`?_74<3;p9=4ri6`94?"6<00!7313><76g;8;29 42>2=207d?=1;29 42>288:76g>1c83>!7313;:n65`9c83>!73133i76sm15694?7=83:p(<:6:848k=838pR864=7`93g=z{=<1<75<5sWl8708m:002?xua>3:1>vPi6:?5f??e3ty:?l4?:3y>2g<3?27:8946c:~f4<7280;6=u+12:90>"6;<0=j6*>488215=#0>0?o85`6d83>!73133i76s|f783>7}Yn?16j;4i6:p1=<72;qU9552f786<>{tb3<3>2wx>7>53z?e2?`434l=68:4=085a>"6;10>7psm8783>6<52=q/=>;5689K517<@8927)9k:79'<2<3k<1Q=>:51z73>xo3>3:17d;7:188kc0=831ij;4?:481>3}#9:?1:o5+8687g0=]9:>1=v;?:|me2?6=3`l86=44i4:94?=n==0;66g;6;29?g0e290=6=4?{%37=?0d3S;887?t518~m2d=83.:8448b:9j02<72-;?57:8;:k726964;h315?6=,8>26<<>;:k25g<72-;?57?>b:9l=g<72-;?577m;:a512=83;1<7>t$06:><0488:f>=z{<21<701;l510`8yv`42909wSh<;<4a>4463tym:7>52z\e2>;1j33i7p}>3`83>7}:>k0?;63>458:g>{zj80;6<4?:1y'56>=<2.:?849f:&20<<6=91/4:4;c49l2`<72-;?577m;:pb3<72;qUj;52f78e2>{t=10;6?uQ599>b3<202wx8;4?:3y]03=:n?0?:6s|2;297~;a>3l870h9:46894<1m2.:?54:;|a1f<72<096;u+12792d=O9=;0D<=6;%5g>3=#0>0?o85U12695~372tc?;7>5;h77>5<>ia>3:17oh9:185>5<7s-;?578l;[300?7|=90ve:l50;&20<<0j21b8:4?:%37=?2032c?47>5$06:>1><3`;9=7>5$06:>44632c:=o4?:%37=?76j21d5o4?:%37=??e32wi=9850;394?6|,8>26<;?;n;a>5<#9=315o54}rd5>5<5sWl=70h9:8`8yv3?2909wS;7;2dv3i6;315>;65<22;0=w)?<5;4b?M7392B:?45+7e85?!>02=i>7W?<4;3x15>o3>3:17d;7:188kc0=831ij;4?:783>5}#9=31:n5U12695~372tc5$06:>2d<3`><6=4+15;902=4887<>=n9;;1<7*>488264=51;294~"6<00:9=5`9c83>!73133i76s|f783>7}Yn?16j;46b:p1=<72;qU9552f784f>{t==0;6?uQ559>b3<69k1v9950;0xZ11<5o<18:5rs5494?4|V=<01k85499~w3`=838p1k851338942120h0qpl=1g83>0<52?q/=>;56`9K517<@8927)68:5a6?_74<3;p9=4ri5594?=n==0;66g;6;29?l3?2900ck850;9ab3<72?0;6=u+15;92f=]9:>1=v;?:|k4f?6=,8>26:l4;h64>5<#9=318:54i5:94?"6<00?465f13394?"6<00:><54i03a>5<#9=31=5<#9=315o54}c372?6=93:1488215=h1k0;6)?;9;;a?>{tn?0;6?uQf79>b3<>j2wx954?:3y]1==:n?07}Y==16j;4>1c9~w11=838pR994=g4902=z{=<1<7h1C=9?4H01:?!>02=i>7W?<4;3x15>o3>3:17d;7:188kc0=831ij;4?:783>5}#9=31:n5U12695~372tc5$06:>2d<3`><6=4+15;902=4887<>=n9;;1<7*>488264=51;294~"6<00:9=5`9c83>!73133i76s|f783>7}Yn?16j;46b:p1=<72;qU9552f784f>{t==0;6?uQ559>b3<69k1v9950;0xZ11<5o<18:5rs5494?4|V=<01k85499~w3`=838p1k851338942120h0qpl>a083>0<52?q/=>;56`9K517<@8927)68:5a6?_74<3;p9=4ri5594?=n==0;66g;6;29?l3?2900ck850;9ab3<72?0;6=u+15;92f=]9:>1=v;?:|k4f?6=,8>26:l4;h64>5<#9=318:54i5:94?"6<00?465f13394?"6<00:><54i03a>5<#9=31=5<#9=315o54}c372?6=93:1488215=h1k0;6)?;9;;a?>{tn?0;6?uQf79>b3<>j2wx954?:3y]1==:n?07}Y==16j;4>1c9~w11=838pR994=g4902=z{=<1<7h1C=9?4H01:?!1c2?1/4:4;c49Y562=9r?;6pg;7;29?l332900e9850;9j1=<722em:7>5;cd5>5<1290;w)?;9;4`?_74<3;p9=4ri6`94?"6<00!7313><76g;8;29 42>2=207d?=1;29 42>288:76g>1c83>!7313;:n65`9c83>!73133i76sm15494?7=83:p(<:6:073?j?e290/=9759c98yv`12909wSh9;h0q~;;:181[3334l=63:1>vP;6:?e2?2?3ty=j7>52z?e2?75927:8;46b:~f753290>6?49{%301?0f3A;?=6F>389'3a<13-2<69m:;[300?7|5;h7;>5<3<729q/=9756b9Y562=9r>n6pg8b;29 42>2>h07d:8:18'51?=<>10e9650;&20<<3021b=??50;&20<<6:810e519l=g<72-;?577m;:pb3<72;qUj;52f78:f>{t=10;6?uQ599>b3<0j2wx994?:3y]11=:n?0:=o5rs5594?4|V==01k85469~w10=838pR984=g490==z{?l1<7=64l4}|`1b3<72<096;u+12792d=O9=;0D<=6;%:4>1e23S;887?t4d8~m11=831b994?::k72?6=3`?36=44og494?=en?0;6;4?:1y'51?=>j1Q=>:51z6f>xo0j3:1(<:6:6`8?l20290/=9754698m1>=83.:844;8:9j577=83.:844>2098m47e290/=97510`8?j?e290/=9759c98yg73>3:1=7>50z&20<<6=91d5o4?:%37=??e32wxj;4?:3y]b3=:n?02n6s|5983>7}Y=116j;48b:p11<72;qU9952f7825g=z{==1<71v9850;0xZ10<5o<1855rs7d94?4|5o<1=??4=065>1}#9:?1:45G1538L45>3-2<69m:;[300?7|5;cd5>5<22;0=w)?<5;4a?!>02=i>7W?<4;3x0`>o203:17d;;:188m10=831i:o4?:783>5}#9=31:n5U12695~2b2tc5$06:>2d<3`><6=4+15;902=4887<>=n9;;1<7*>488264=51;294~"6<002:6a6c;29 42>20h07p}:8;296~X2027=n79m;|q72?6=:rT?:639b;6;?xu2<3:1>vP:4:?5f?76j2wxj>4?:3y]b6=:>k0:><5rsg494?4|Vo<01;l59c9~w45f2909w08m:558942320i0qpl>:182>5<7s-;847:4$016>3`<,8>26<;?;%:4>1e23f5<5sW>=70h9:548yv4=839p1k85f29>b3<2<27:6;k4$01;>0=zuk;j<7>55;092~"6;<0=m6F>409K56?<,1=18n;4Z017>4}283wb8:4?::k60?6=3`>=6=44i4:94?=hn?0;66li6;292?6=8r.:8449c:X271<6s<:1qd9m:18'51?=?k10e9950;&20<<3?21b854?:%37=?2?32c:><4?:%37=?75921b=j21vn<:9:182>5<7s-;?57?:0:m:f?6=,8>264l4;|qe2?6=:rTm:63i6;;a?xu203:1>vP:8:?e2?1e3ty>87>52z\60>;a>3;:n6s|4683>7}Y<>16j;4;7:p03<72;qU8;52f787<>{t>o0;6?u2f78264=:9=<15o5r}c3eb?6==381:v*>3485e>N6<81C=>74$9590f387>5;h65>5<>da>3:1:7>50z&20<<1k2P:?94>{429yl1e290/=9757c98m11=83.:844;7:9j0=<72-;?57:7;:k264<72-;?57?=1:9j54d=83.:844>1c98k5$06:>52z\e2>;a>33i7p}:8;296~X2027m:79m;|q60?6=:rT>863i6;32f>{t<>0;6?uQ469>b3<3?2wx8;4?:3y]03=:n?0?46s|6g83>7}:n?0:><521549=g=zuk8;87>55;092~"6;<0=m6F>409K56?<,1=18n;4Z017>4}283wb8:4?::k60?6=3`>=6=44i4:94?=hn?0;66li6;292?6=8r.:8449c:X271<6s<:1qd9m:18'51?=?k10e9950;&20<<3?21b854?:%37=?2?32c:><4?:%37=?75921b=j21vn<:9:182>5<7s-;?57?:0:m:f?6=,8>264l4;|qe2?6=:rTm:63i6;;a?xu203:1>vP:8:?e2?1e3ty>87>52z\60>;a>3;:n6s|4683>7}Y<>16j;4;7:p03<72;qU8;52f787<>{t>o0;6?u2f78264=:9=<15o5r}c03=?6==381:v*>3485e>N6<81C=>74$9590f387>5;h65>5<>da>3:1:7>50z&20<<1k2P:?94>{429yl1e290/=9757c98m11=83.:844;7:9j0=<72-;?57:7;:k264<72-;?57?=1:9j54d=83.:844>1c98k5$06:>52z\e2>;a>33i7p}:8;296~X2027m:79m;|q60?6=:rT>863i6;32f>{t<>0;6?uQ469>b3<3?2wx8;4?:3y]03=:n?0?46s|6g83>7}:n?0:><521549=g=zuk;m>7>55;092~"6;<0=m6F>409K56?<,1=18n;4Z017>4}283wb8:4?::k60?6=3`>=6=44i4:94?=hn?0;66li6;292?6=8r.:8449c:X271<6s<:1qd9m:18'51?=?k10e9950;&20<<3?21b854?:%37=?2?32c:><4?:%37=?75921b=j21vn<:9:182>5<7s-;?57?:0:m:f?6=,8>264l4;|qe2?6=:rTm:63i6;;a?xu203:1>vP:8:?e2?1e3ty>87>52z\60>;a>3;:n6s|4683>7}Y<>16j;4;7:p03<72;qU8;52f787<>{t>o0;6?u2f78264=:9=<15o5r}c3e3?6==381:v*>3485e>N6<81C=>74$9590f387>5;h65>5<>da>3:1:7>50z&20<<1k2P:?94>{429yl1e290/=9757c98m11=83.:844;7:9j0=<72-;?57:7;:k264<72-;?57?=1:9j54d=83.:844>1c98k5$06:>52z\e2>;a>33i7p}:8;296~X2027m:79m;|q60?6=:rT>863i6;32f>{t<>0;6?uQ469>b3<3?2wx8;4?:3y]03=:n?0?46s|6g83>7}:n?0:><521549=g=zuk8:=7>55;092~"6;<0=m6F>409K56?<,1=18n;4Z017>4}283wb8:4?::k60?6=3`>=6=44i4:94?=hn?0;66li6;292?6=8r.:8449c:X271<6s<:1qd9m:18'51?=?k10e9950;&20<<3?21b854?:%37=?2?32c:><4?:%37=?75921b=j21vn<:9:182>5<7s-;?57?:0:m:f?6=,8>264l4;|qe2?6=:rTm:63i6;;a?xu203:1>vP:8:?e2?1e3ty>87>52z\60>;a>3;:n6s|4683>7}Y<>16j;4;7:p03<72;qU8;52f787<>{t>o0;6?u2f78264=:9=<15o5r}c173?6==381:v*>3485e>N6<81C=>74$9590f387>5;h65>5<>da>3:1:7>50z&20<<1k2P:?94>{429yl1e290/=9757c98m11=83.:844;7:9j0=<72-;?57:7;:k264<72-;?57?=1:9j54d=83.:844>1c98k5$06:>52z\e2>;a>33i7p}:8;296~X2027m:79m;|q60?6=:rT>863i6;32f>{t<>0;6?uQ469>b3<3?2wx8;4?:3y]03=:n?0?46s|6g83>7}:n?0:><521549=g=zuk9;h7>55;092~"6;<0=m6F>409K56?<,1=18n;4Z017>4}283wb8:4?::k60?6=3`>=6=44i4:94?=hn?0;66li6;292?6=8r.:8449c:X271<6s<:1qd9m:18'51?=?k10e9950;&20<<3?21b854?:%37=?2?32c:><4?:%37=?75921b=j21vn<:9:182>5<7s-;?57?:0:m:f?6=,8>264l4;|qe2?6=:rTm:63i6;;a?xu203:1>vP:8:?e2?1e3ty>87>52z\60>;a>3;:n6s|4683>7}Y<>16j;4;7:p03<72;qU8;52f787<>{t>o0;6?u2f78264=:9=<15o5r}c162?6==381:v*>3485e>N6<81C=>74$6f92>"??3>h96T>358206=u`><6=44i4694?=n26;m4Z017>4}283wb;o4?:%37=?1e32c?;7>5$06:>11<3`>36=4+15;90==5$06:>47e32e2n7>5$06:>5}#9=31=8>4o8`94?"6<002n65rsg494?4|Vo<01k859c9~w0>=838pR864=g493g=z{<>1<75<5sW>=70h9:5:8yv0a2909w0h9:002?873>33i7psm31c94?3=:3\6;=0:w8>5}h64>5<1<75f4783>>o203:17bh9:188fc0=83<1<7>t$06:>3e26994;h6;>5<#9=318554i002>5<#9=31=??4;h32f?6=,8>26264l4;|`203<7280;6=u+15;9506488:f>=z{o<1<7<5o<1;o5rs4694?4|V<>01k8510`8yv202909wS:8;11=6=4={_65?8`12=20q~8i:1818`1288:70?;6;;a?x{e;8=1<7=52;6x 4522?30D<:>;I30=>"??3>h96T>358206=u`>=6=44i4:94?=hn?0;66li6;291?4=>r.:?849b:&;3?2d=2P:?94>{429yj`12900ek=50;9j1=<722c>87>5;h65>5<2?i0V<=;:0y64?{n?k0;6)?;9;5a?>o3?3:1(<:6:558?l2?290/=9754998m446290/=9751338?l76j3:1(<:6:03a?>i>j3:1(<:6:8`8?xd6<=0;6<4?:1y'51?=1?1d5n4?:%37=??e32wx954?:3y]1==:>k07}Y51;294~"6;10?7)?<5;4e?!7313;><6*77;6`1>i1m3:1(<:6:8`8?xua>3:1>vPi6:?e2?`13ty>47>52z\6<>;a>3?37p};6;296~X3>27m:7:9;|q1>5<4s4l=6k=4=g4911=:934=:5y'563=>01C=9?4H01:?!>02=i>7W?<4;3x0`>ia>3:17oh9:186>7<1s-;8978m;%:4>1e23S;887?t4d8~kc0=831bj>4?::k6k0;6;4?:1y'51?=>j1Q=>:51z6f>xo0j3:1(<:6:6`8?l20290/=9754698m1>=83.:844;8:9j577=83.:844>2098m47e290/=97510`8?j?e290/=9759c98yg73<3:1=7>50z&20<<>>2e2o7>5$06:>47>52z\6<>;1j3=i7p};6;296~X3>27=n7:7;|q60?6=:rT>8639b;32f>{tn:0;6?uQf29>2g<6:81vk850;0xZc0<5?h15o5rs01b>5<5s4:183!7403>0(<=::7d8 42>28?;7)68:5a6?j0b290/=9759c98yv`12909wSh9;c052f7860>;62?o0(<=7:49~yg42:3:1?7<54z&270<112B:8<5G12;8 =1=6;l4$9590f35;h7;>5<1<75f4783>>d1j3:1:7>50z&20<<1k2P:?94>{5g9yl1e290/=9757c98m11=83.:844;7:9j0=<72-;?57:7;:k264<72-;?57?=1:9j54d=83.:844>1c98kh0q~:9:181[21345<5sW??708m:03a?xua;3:1>vPi3:?5f?7592wxj;4?:3y]b3=:>k02n6s|12c94?4|5?h18:521569=f=zuk;1<7?50;2x 45?2=1/=>;56g9'51?=9<:0(5954b78k3c=83.:8446b:9~wc0=838pRk84=g49b3=z{<21<76}:n?0m?63i6;77?87=>l1/=>655:~f73229086?4;{%301?0>3A;?=6F>389'<2<3k<1Q=>:51z6f>xo3>3:17d;7:188kc0=831ij;4?:481>3}#9:?1:o5+8687g0=]9:>1=v:j:|me2?6=3`l86=44i4:94?=n==0;66g;6;29?g0e290=6=4?{%37=?0d3S;887?t4d8~m2d=83.:8448b:9j02<72-;?57:8;:k726964;h315?6=,8>26<<>;:k25g<72-;?57?>b:9l=g<72-;?577m;:a512=83;1<7>t$06:><0488:f>=z{<21<701;l510`8yv`42909wSh<;<4a>4463tym:7>52z\e2>;1j33i7p}>3`83>7}:>k0?;63>458:g>{zj80;6<4?:1y'56>=<2.:?849f:&20<<6=91/4:4;c49l2`<72-;?577m;:pb3<72;qUj;52f78e2>{t=10;6?uQ599>b3<202wx8;4?:3y]03=:n?0?:6s|2;297~;a>3l870h9:46894<1m2.:?54:;|a60>=8391>7:t$016>3?<@8>:7E?<9:&;3?2d=2P:?94>{5g9yl212900e8650;9lb3<722hm:7>55;092~"6;<0=n6*77;6`1>\6;=0:w9k5}nd5>5<>o2<3:17d:9:188f3d=83<1<7>t$06:>3e26994;h6;>5<#9=318554i002>5<#9=31=??4;h32f?6=,8>26264l4;|`201<7280;6=u+15;9=3=h1j0;6)?;9;;a?>{t=10;6?uQ599>2g<0j2wx8;4?:3y]03=:>k0?46s|5583>7}Y==16:o4>1c9~wc5=838pRk=4=7`9577<70?;4;;`?x{e93:1=7>50z&27=<33-;8978i;%37=?7282.3;7:l5:m5a?6=,8>264l4;|qe2?6=:rTm:63i6;d5?xu203:1>vP:8:?e2?3?3ty?:7>52z\72>;a>3>=7p}=:1808`12o901k85559>5?0b3-;847;4}|`010<72:0969u+12792<=O9=;0D<=6;%:4>1e23S;887?t518~m10=831b954?::me2?6=3kl=6=4::385!74=31=v;?:|k4f?6=,8>26:l4;h64>5<#9=318:54i5:94?"6<00?465f13394?"6<00:><54i03a>5<#9=31=5<#9=315o54}c370?6=93:1488:2>i>k3:1(<:6:8`8?xu203:1>vP:8:?5f?1e3ty?:7>52z\72>;1j3>37p}:4;296~X2<27=n7?>b:pb6<72;qUj>526c8264=z{o<1<76;h4$06:>4373-2<69m:;n4f>5<#9=315o54}rd5>5<5sWl=70h9:g48yv3?2909wS;7;0>=6=4={_65?8`12=<0q~<50;1x9c0=n:16j;4:4:?2>3c<,893685r}c1eg?6==381:v*>3485e>N6<81C=>74$6f92>"??3>h96T>358206=u`><6=44i4694?=n26;m4Z017>4}283wb;o4?:%37=?1e32c?;7>5$06:>11<3`>36=4+15;90==5$06:>47e32e2n7>5$06:>5}#9=31=8>4o8`94?"6<002n65rsg494?4|Vo<01k859c9~w0>=838pR864=g493g=z{<>1<75<5sW>=70h9:5:8yv0a2909w0h9:002?873>33i7psm3e:94?3=:30;66g:4;29?l212900e8650;9lb3<722hm:7>56;294~"6<00=o6T>358206=u`=i6=4+15;93g=48873>=n<10;6)?;9;6;?>o6:80;6)?;9;315>=n98h1<7*>48825g=488:f>=zj8>=6=4>:183!7313;><6a6b;29 42>20h07p}i6;296~Xa>27m:77m;|q6463i6;5a?xu2<3:1>vP:4:?e2?76j2wx8:4?:3y]02=:n?0?;6s|4783>7}Y209>510=1k1vqo?:c;297?4={429yl212900e8650;9lb3<722hm:7>55;092~"6;<0=n6*77;6`1>\6;=0:w8>5}nd5>5<>o2<3:17d:9:188f3d=83<1<7>t$06:>3e26994;h6;>5<#9=318554i002>5<#9=31=??4;h32f?6=,8>26264l4;|`201<7280;6=u+15;9=3=h1j0;6)?;9;;a?>{t=10;6?uQ599>2g<0j2wx8;4?:3y]03=:>k0?46s|5583>7}Y==16:o4>1c9~wc5=838pRk=4=7`9577<70?;4;;`?x{e93:1=7>50z&27=<33-;8978i;%37=?7282.3;7:l5:m5a?6=,8>264l4;|qe2?6=:rTm:63i6;d5?xu203:1>vP:8:?e2?3?3ty?:7>52z\72>;a>3>=7p}=:1808`12o901k85559>5?0b3-;847;4}|`21a<72:0969u+12792<=O9=;0D<=6;%5g>3=#0>0?o85U12695~372tc?:7>5;h7;>5<0<52?q/=>;56c9'<2<3k<1Q=>:51z73>xia>3:17dh<:188m0>=831b994?::k72?6=3kh1<7*>4884f>=n<>0;6)?;9;64?>o303:1(<:6:5:8?l7593:1(<:6:002?>o69k0;6)?;9;32f>=h1k0;6)?;9;;a?>{e9=>1<7?50;2x 42>20<0c4m50;&20<<>j21v8650;0xZ0><5?h1;o5rs5494?4|V=<01;l5499~w02=838pR8:4=7`954d27=n77m;|q27d<72;q6:o4;7:?201<>k2wvn<4?:083>5}#9:2186*>3485b>"6<00:9=5+8687g0=h>l0;6)?;9;;a?>{tn?0;6?uQf79>b32wx954?:3y]1==:n?0>46s|4783>7}Y02<580=i6*>3986?x{e9;I30=>"0l3<0(5954b78^45328q><7sf4783>>o203:17bh9:188fc0=83?1>78t$016>3d<,1=18n;4Z017>4}283wdj;4?::ke7?6=3`?36=44i4694?=n<4?:%37=?75921b=j21vn<:;:182>5<7s-;?5779;n;`>5<#9=315o54}r7;>5<5sW?3708m:6`8yv212909wS:9;<4a>1>{t9:k1<715n5r}c394?7=83:p(<=7:59'563=>o1/=9751428 =1=j21vk850;0xZc0<5o<1j;5rs4:94?4|V<201k85599~w10=838pR984=g4903=z{;0;6>u2f78e7>;a>3??70?56d9'56>==2wvn<;i:180>7<3s-;89786;I375>N6;01/;i49;%:4>1e23S;887?t518~m10=831b954?::me2?6=3kl=6=4::385!74=31=v;?:|k4f?6=,8>26:l4;h64>5<#9=318:54i5:94?"6<00?465f13394?"6<00:><54i03a>5<#9=31=5<#9=315o54}c370?6=93:1488:2>i>k3:1(<:6:8`8?xu203:1>vP:8:?5f?1e3ty?:7>52z\72>;1j3>37p}:4;296~X2<27=n7?>b:pb6<72;qUj>526c8264=z{o<1<76;h4$06:>4373-2<69m:;n4f>5<#9=315o54}rd5>5<5sWl=70h9:g48yv3?2909wS;7;0>=6=4={_65?8`12=<0q~<50;1x9c0=n:16j;4:4:?2>3c<,893685r}c354?6=;3818v*>3485=>N6<81C=>74$6f92>"??3>h96T>358206=u`>=6=44i4:94?=hn?0;66li6;291?4=>r.:?849b:&;3?2d=2P:?94>{429yj`12900ek=50;9j1=<722c>87>5;h65>5<2?i0V<=;:0y64?{n?k0;6)?;9;5a?>o3?3:1(<:6:558?l2?290/=9754998m446290/=9751338?l76j3:1(<:6:03a?>i>j3:1(<:6:8`8?xd6<=0;6<4?:1y'51?=1?1d5n4?:%37=??e32wx954?:3y]1==:>k07}Y51;294~"6;10?7)?<5;4e?!7313;><6*77;6`1>i1m3:1(<:6:8`8?xua>3:1>vPi6:?e2?`13ty>47>52z\6<>;a>3?37p};6;296~X3>27m:7:9;|q1>5<4s4l=6k=4=g4911=:9380;6>4=:5y'563=>01C=9?4H01:?!1c2?1/4:4;c49Y562=9r?;6pg;6;29?l3?2900ck850;9ab3<72<096;u+12792g=#0>0?o85U12695~372tem:7>5;hd0>5<>o3>3:17o8m:185>5<7s-;?578l;[300?7|=90ve:l50;&20<<0j21b8:4?:%37=?2032c?47>5$06:>1><3`;9=7>5$06:>44632c:=o4?:%37=?76j21d5o4?:%37=??e32wi=9:50;394?6|,8>26484o8a94?"6<002n65rs4:94?4|V<201;l57c9~w10=838pR984=7`90==z{<>1<7;|qe2?6=:rTm:639b;;a?xu6;h0;6?u26c873>;6<=02o6srb083>4<729q/=>654:&270<1n2.:844>519'<2<3k<1d:h4?:%37=??e32wxj;4?:3y]b3=:n?0m:6s|5983>7}Y=116j;4:8:p03<72;qU8;52f7872>{t:3:1?v3i6;d0?8`12<>01<49e:&27=<23twi=;<50;196?2|,89>6;74H062?M7412.47>5;nd5>5<<7s`f783>>oa;3:17d;7:188m02=831b8;4?::`5f?6=>3:14885g>\6;=0:w8>5}h5a>5<#9=31;o54i5594?"6<00?;65f4983>!7313>376g>2083>!7313;9=65f10`94?"6<00:=o54o8`94?"6<002n65rb067>5<6290;w)?;9;;5?j?d290/=9759c98yv3?2909wS;7;<4a>2d=6=4={_65?80e2=20q~;;:181[3334{tn?0;6?uQf79>2g<>j2wx=>o50;0x93d=<>16=9:59b9~yg7=83;1<7>t$01;>1=#9:?1:k5+15;9506<,1=18n;4o7g94?"6<002n65rsg494?4|Vo<01k85f79~w0>=838pR864=g491==z{=<1<7b35<42;0?w)?<5;4:?M7392B:?45+7e85?!>02=i>7W?<4;3x15>ia>3:17oh9:186>7<1s-;8978m;%:4>1e23S;887?t518~kc0=831bj>4?::k6k0;6;4?:1y'51?=>j1Q=>:51z73>xo0j3:1(<:6:6`8?l20290/=9754698m1>=83.:844;8:9j577=83.:844>2098m47e290/=97510`8?j?e290/=9759c98yg73<3:1=7>50z&20<<>>2e2o7>5$06:>47>52z\6<>;1j3=i7p};6;296~X3>27=n7:7;|q60?6=:rT>8639b;32f>{tn:0;6?uQf29>2g<6:81vk850;0xZc0<5?h15o5rs01b>5<5s4:183!7403>0(<=::7d8 42>28?;7)68:5a6?j0b290/=9759c98yv`12909wSh9;c052f7860>;62?o0(<=7:49~yg7b;3:197<56z&270<1i2B:8<5G12;8 2b=>2.3;7:l5:X271<6s<:1qd:8:188m02=831b8;4?::k6<7sf7c83>!7313=i76g;7;29 42>2==07d:7:18'51?=<110e<<>:18'51?=9;;07d?>b;29 42>28;i76a6b;29 42>20h07pl>4783>4<729q/=9751428k5<5sW><70h9:558yv212909wS:9;1>44634;?:77m;|a5`0=83?1>78t$016>3g<@8>:7E?<9:&4`?0<,1=18n;4Z017>4}283wb8:4?::k60?6=3`>=6=44i4:94?=hn?0;66li6;292?6=8r.:8449c:X271<6s<:1qd9m:18'51?=?k10e9950;&20<<3?21b854?:%37=?2?32c:><4?:%37=?75921b=j21vn<:9:182>5<7s-;?57?:0:m:f?6=,8>264l4;|qe2?6=:rTm:63i6;;a?xu203:1>vP:8:?e2?1e3ty>87>52z\60>;a>3;:n6s|4683>7}Y<>16j;4;7:p03<72;qU8;52f787<>{t>o0;6?u2f78264=:9=<15o5r}c0g`?6=;3818v*>3485=>N6<81C=>74$9590f347>5;nd5>5<>oa;3:17d;7:188m02=831b8;4?::`5f?6=>3:14885g>\6;=0:w9k5}h5a>5<#9=31;o54i5594?"6<00?;65f4983>!7313>376g>2083>!7313;9=65f10`94?"6<00:=o54o8`94?"6<002n65rb067>5<6290;w)?;9;;5?j?d290/=9759c98yv3?2909wS;7;<4a>2d=6=4={_65?80e2=20q~;;:181[3334{tn?0;6?uQf79>2g<>j2wx=>o50;0x93d=<>16=9:59b9~yg7=83;1<7>t$01;>1=#9:?1:k5+15;9506<,1=18n;4o7g94?"6<002n65rsg494?4|Vo<01k85f79~w0>=838pR864=g491==z{=<1<7b35<42;0?w)?<5;4:?M7392B:?45+8687g0=]9:>1>v:j:409yl212900e8650;9lb3<722hm:7>55;092~"6;<0=n6*77;6`1>\6;=09w9k5538~kc0=831bj>4?::k6k0;6;4?:1y'51?=>j1Q=>:52z6f>04=u`=i6=4+15;93g=48873>=n<10;6)?;9;6;?>o6:80;6)?;9;315>=n98h1<7*>48825g=488:f>=zj8>?6=4>:183!73133=7b7l:18'51?=1k10q~;7:181[3?345<5sW>=708m:5:8yv332909wS;;;<4a>47e3tym?7>52z\e7>;1j3;9=6s|f783>7}Yn?16:o46b:p56g=838p1;l5469>512=1j1vqo?50;394?6|,893695+12792c=#9=31=8>4$9590f3488:f>=z{o<1<7<5o<1955rs5494?4|V=<01k85479~w7<72:q6j;4i3:?e2?3334;1:h5+12:91>{zj;8=6=4<:387!74=3<27E?;1:J27<=#0>0?o85U12695~2b2tc?:7>5;h7;>5<0<52?q/=>;56c9'<2<3k<1Q=>:51z6f>xia>3:17dh<:188m0>=831b994?::k72?6=3kh1<7*>4884f>=n<>0;6)?;9;64?>o303:1(<:6:5:8?l7593:1(<:6:002?>o69k0;6)?;9;32f>=h1k0;6)?;9;;a?>{e9=>1<7?50;2x 42>20<0c4m50;&20<<>j21v8650;0xZ0><5?h1;o5rs5494?4|V=<01;l5499~w02=838pR8:4=7`954d27=n77m;|q27d<72;q6:o4;7:?201<>k2wvn<4?:083>5}#9:2186*>3485b>"6<00:9=5+8687g0=h>l0;6)?;9;;a?>{tn?0;6?uQf79>b32wx954?:3y]1==:n?0>46s|4783>7}Y02<580=i6*>3986?x{e:1<1<7=52;6x 4522?30D<:>;I30=>"??3>h96T>35811c==;0ve9850;9j1=<722em:7>5;cd5>5<22;0=w)?<5;4a?!>02=i>7W?<4;0x0`<2:3wdj;4?::ke7?6=3`?36=44i4694?=n!7313><76g;8;29 42>2=207d?=1;29 42>288:76g>1c83>!7313;:n65`9c83>!73133i76sm15694?7=83:p(<:6:848k=838pR864=7`93g=z{=<1<75<5sWl8708m:002?xua>3:1>vPi6:?5f??e3ty:?l4?:3y>2g<3?27:8946c:~f4<7280;6=u+12:90>"6;<0=j6*>488215=#0>0?o85`6d83>!73133i76s|f783>7}Yn?16j;4i6:p1=<72;qU9552f786<>{tb3<3>2wx>7>53z?e2?`434l=68:4=085a>"6;10>7psm29194?5=:3>p(<=::7;8L4263A;856*77;6`1>\6;=0:w9k5}h65>5<>da>3:197<56z&270<1j2.3;7:l5:X271<6s=o1qbh9:188mc5=831b954?::k60?6=3`>=6=44b7`94?0=83:p(<:6:7a8^45328q?i7sf7c83>!7313=i76g;7;29 42>2==07d:7:18'51?=<110e<<>:18'51?=9;;07d?>b;29 42>28;i76a6b;29 42>20h07pl>4583>4<729q/=975979l=f<72-;?577m;:p1=<72;qU95526c84f>{t2g<302wx994?:3y]11=:>k0:=o5rsg194?4|Vo901;l51338yv`12909wSh9;<4a>52z?5f?2034;?877l;|a5?6=93:13987?!74=3"??3>h96a9e;29 42>20h07p}i6;296~Xa>27m:7h9;|q6463i6;7;?xu3>3:1>vP;6:?e2?213ty96=4<{c5<5o<199521;4f?!7403?0qpl=cb83>6<52=q/=>;5689K517<@8927)68:5a6?_74<3;p8h4ri5494?=n=10;66ai6;29?g`1290>6?49{%301?0e3-2<69m:;[300?7|47>5;h77>5<3<729q/=9756b9Y562=9r>n6pg8b;29 42>2>h07d:8:18'51?=<>10e9650;&20<<3021b=??50;&20<<6:810e264l4;|q64639b;5a?xu3>3:1>vP;6:?5f?2?3ty>87>52z\60>;1j3;:n6s|f283>7}Yn:16:o4>209~wc0=838pRk84=7`9=g=z{89j6=4={<4a>11<58>?64m4}|`2>5<6290;w)?<8;68 4522?l0(<:6:073?!>02=i>7b8j:18'51?=1k10q~h9:181[`134l=6k84}r7;>5<5sW?370h9:4:8yv212909wS:9;10863>:7g8 45?2<1vqo<<1;297?4=>o203:17bh9:188fc0=83?1>78t$016>3d<,1=18n;4Z017>4}3m3wdj;4?::ke7?6=3`?36=44i4694?=n<4?:%37=?75921b=j21vn<:;:182>5<7s-;?5779;n;`>5<#9=315o54}r7;>5<5sW?3708m:6`8yv212909wS:9;<4a>1>{t9:k1<715n5r}c394?7=83:p(<=7:59'563=>o1/=9751428 =1=j21vk850;0xZc0<5o<1j;5rs4:94?4|V<201k85599~w10=838pR984=g4903=z{;0;6>u2f78e7>;a>3??70?56d9'56>==2wvn?j?:180>7<3s-;89786;I375>N6;01/4:4;c49Y562=9r>n6pg;6;29?l3?2900ck850;9ab3<72<096;u+12792g=#0>0?o85U12695~2b2tem:7>5;hd0>5<>o3>3:17o8m:185>5<7s-;?578l;[300?7|5$06:>1><3`;9=7>5$06:>44632c:=o4?:%37=?76j21d5o4?:%37=??e32wi=9:50;394?6|,8>26484o8a94?"6<002n65rs4:94?4|V<201;l57c9~w10=838pR984=7`90==z{<>1<7;|qe2?6=:rTm:639b;;a?xu6;h0;6?u26c873>;6<=02o6srb083>4<729q/=>654:&270<1n2.:844>519'<2<3k<1d:h4?:%37=??e32wxj;4?:3y]b3=:n?0m:6s|5983>7}Y=116j;4:8:p03<72;qU8;52f7872>{t:3:1?v3i6;d0?8`12<>01<49e:&27=<23twi>9?50;196?2|,89>6;74H062?M7412.3;7:l5:X271<6s=o1qd:9:188m0>=831dj;4?::`e2?6==381:v*>3485f>"??3>h96T>35821c=ufl=6=44ig194?=n=10;66g:4;29?l212900n;l50;494?6|,8>26;m4Z017>4}3m3wb;o4?:%37=?1e32c?;7>5$06:>11<3`>36=4+15;90==5$06:>47e32e2n7>5$06:>5}#9=315;5`9b83>!73133i76s|5983>7}Y=116:o48b:p03<72;qU8;526c87<>{t==0;6?uQ559>2g<69k1vk=50;0xZc5<5?h1=??4}rd5>5<5sWl=708m:8`8yv74i3:1>v39b;64?873<33h7psm1;295?6=8r.:?54;;%301?0a3-;?57?:0:&;3?2d=2e=i7>5$06:>52z\e2>;a>3l=7p}:8;296~X2027m:7;7;|q72?6=:rT?:63i6;65?xu52908w0h9:g189c0===16=78j;%301}#9:?1:45G1538L45>3-2<69m:;[300?7|=90ve9850;9j1=<722em:7>5;cd5>5<22;0=w)?<5;4a?!>02=i>7W?<4;3x15>o203:17d;;:188m10=831i:o4?:783>5}#9=31:n5U12695~372tc5$06:>2d<3`><6=4+15;902=4887<>=n9;;1<7*>488264=51;294~"6<002:6a6c;29 42>20h07p}:8;296~X2027=n79m;|q72?6=:rT?:639b;6;?xu2<3:1>vP:4:?5f?76j2wxj>4?:3y]b6=:>k0:><5rsg494?4|Vo<01;l59c9~w45f2909w08m:558942320i0qpl>:182>5<7s-;847:4$016>3`<,8>26<;?;%:4>1e23f5<5sW>=70h9:548yv4=839p1k85f29>b3<2<27:6;k4$01;>0=zuk9997>53;090~"6;<0=56F>409K56?<,1=18n;4Z017>4}283wb8;4?::k656;294~"6<00=o6T>358206=u`=i6=4+15;93g=48873>=n<10;6)?;9;6;?>o6:80;6)?;9;315>=n98h1<7*>48825g=488:f>=zj8>?6=4>:183!73133=7b7l:18'51?=1k10q~;7:181[3?345<5sW>=708m:5:8yv332909wS;;;<4a>47e3tym?7>52z\e7>;1j3;9=6s|f783>7}Yn?16:o46b:p56g=838p1;l5469>512=1j1vqo?50;394?6|,893695+12792c=#9=31=8>4$9590f3488:f>=z{o<1<7<5o<1955rs5494?4|V=<01k85479~w7<72:q6j;4i3:?e2?3334;1:h5+12:91>{zj:n<6=4<:387!74=3<27E?;1:J27<=#0>0?o85U12695~372tc?:7>5;h7;>5<0<52?q/=>;56c9'<2<3k<1Q=>:51z73>xia>3:17dh<:188m0>=831b994?::k72?6=3kh1<7*>4884f>=n<>0;6)?;9;64?>o303:1(<:6:5:8?l7593:1(<:6:002?>o69k0;6)?;9;32f>=h1k0;6)?;9;;a?>{e9=>1<7?50;2x 42>20<0c4m50;&20<<>j21v8650;0xZ0><5?h1;o5rs5494?4|V=<01;l5499~w02=838pR8:4=7`954d27=n77m;|q27d<72;q6:o4;7:?201<>k2wvn<4?:083>5}#9:2186*>3485b>"6<00:9=5+8687g0=h>l0;6)?;9;;a?>{tn?0;6?uQf79>b32wx954?:3y]1==:n?0>46s|4783>7}Y02<580=i6*>3986?x{e<931<7=52;6x 4522?30D<:>;I30=>"0l3<0(5954b78^45328q><7sf4783>>o203:17bh9:188fc0=83?1>78t$016>3d<,1=18n;4Z017>4}283wdj;4?::ke7?6=3`?36=44i4694?=n<4?:%37=?75921b=j21vn<:;:182>5<7s-;?5779;n;`>5<#9=315o54}r7;>5<5sW?3708m:6`8yv212909wS:9;<4a>1>{t9:k1<715n5r}c394?7=83:p(<=7:59'563=>o1/=9751428 =1=j21vk850;0xZc0<5o<1j;5rs4:94?4|V<201k85599~w10=838pR984=g4903=z{;0;6>u2f78e7>;a>3??70?56d9'56>==2wvn9?7:180>7<3s-;89786;I375>N6;01/;i49;%:4>1e23S;887?t518~m10=831b954?::me2?6=3kl=6=4::385!74=31=v;?:|k4f?6=,8>26:l4;h64>5<#9=318:54i5:94?"6<00?465f13394?"6<00:><54i03a>5<#9=31=5<#9=315o54}c370?6=93:1488:2>i>k3:1(<:6:8`8?xu203:1>vP:8:?5f?1e3ty?:7>52z\72>;1j3>37p}:4;296~X2<27=n7?>b:pb6<72;qUj>526c8264=z{o<1<76;h4$06:>4373-2<69m:;n4f>5<#9=315o54}rd5>5<5sWl=70h9:g48yv3?2909wS;7;0>=6=4={_65?8`12=<0q~<50;1x9c0=n:16j;4:4:?2>3c<,893685r}c1f5?6=;3818v*>3485=>N6<81C=>74$6f92>"??3>h96T>358206=u`>=6=44i4:94?=hn?0;66li6;291?4=>r.:?849b:&;3?2d=2P:?94>{429yj`12900ek=50;9j1=<722c>87>5;h65>5<2?i0V<=;:0y64?{n?k0;6)?;9;5a?>o3?3:1(<:6:558?l2?290/=9754998m446290/=9751338?l76j3:1(<:6:03a?>i>j3:1(<:6:8`8?xd6<=0;6<4?:1y'51?=1?1d5n4?:%37=??e32wx954?:3y]1==:>k07}Y51;294~"6;10?7)?<5;4e?!7313;><6*77;6`1>i1m3:1(<:6:8`8?xua>3:1>vPi6:?e2?`13ty>47>52z\6<>;a>3?37p};6;296~X3>27m:7:9;|q1>5<4s4l=6k=4=g4911=:930;6>4=:5y'563=>01C=9?4H01:?!1c2?1/4:4;c49Y562=9r?;6pg;6;29?l3?2900ck850;9ab3<72<096;u+12792g=#0>0?o85U12695~372tem:7>5;hd0>5<>o3>3:17o8m:185>5<7s-;?578l;[300?7|=90ve:l50;&20<<0j21b8:4?:%37=?2032c?47>5$06:>1><3`;9=7>5$06:>44632c:=o4?:%37=?76j21d5o4?:%37=??e32wi=9:50;394?6|,8>26484o8a94?"6<002n65rs4:94?4|V<201;l57c9~w10=838pR984=7`90==z{<>1<7;|qe2?6=:rTm:639b;;a?xu6;h0;6?u26c873>;6<=02o6srb083>4<729q/=>654:&270<1n2.:844>519'<2<3k<1d:h4?:%37=??e32wxj;4?:3y]b3=:n?0m:6s|5983>7}Y=116j;4:8:p03<72;qU8;52f7872>{t:3:1?v3i6;d0?8`12<>01<49e:&27=<23twi>k?50;196?2|,89>6;74H062?M7412.3;7:l5:X271<6s=o1qd:9:188m0>=831dj;4?::`e2?6==381:v*>3485f>"??3>h96T>35821c=ufl=6=44ig194?=n=10;66g:4;29?l212900n;l50;494?6|,8>26;m4Z017>4}3m3wb;o4?:%37=?1e32c?;7>5$06:>11<3`>36=4+15;90==5$06:>47e32e2n7>5$06:>5}#9=315;5`9b83>!73133i76s|5983>7}Y=116:o48b:p03<72;qU8;526c87<>{t==0;6?uQ559>2g<69k1vk=50;0xZc5<5?h1=??4}rd5>5<5sWl=708m:8`8yv74i3:1>v39b;64?873<33h7psm1;295?6=8r.:?54;;%301?0a3-;?57?:0:&;3?2d=2e=i7>5$06:>52z\e2>;a>3l=7p}:8;296~X2027m:7;7;|q72?6=:rT?:63i6;65?xu52908w0h9:g189c0===16=78j;%301}#9:?1:45G1538L45>3-2<69m:;[300?7|5;cd5>5<22;0=w)?<5;4a?!>02=i>7W?<4;3x0`>o203:17d;;:188m10=831i:o4?:783>5}#9=31:n5U12695~2b2tc5$06:>2d<3`><6=4+15;902=4887<>=n9;;1<7*>488264=51;294~"6<002:6a6c;29 42>20h07p}:8;296~X2027=n79m;|q72?6=:rT?:639b;6;?xu2<3:1>vP:4:?5f?76j2wxj>4?:3y]b6=:>k0:><5rsg494?4|Vo<01;l59c9~w45f2909w08m:558942320i0qpl>:182>5<7s-;847:4$016>3`<,8>26<;?;%:4>1e23f5<5sW>=70h9:548yv4=839p1k85f29>b3<2<27:6;k4$01;>0=zuk8o?7>53;090~"6;<0=56F>409K56?<,1=18n;4Z017>4}3m3wb8;4?::k656;294~"6<00=o6T>35821c=u`=i6=4+15;93g=48873>=n<10;6)?;9;6;?>o6:80;6)?;9;315>=n98h1<7*>48825g=488:f>=zj8>?6=4>:183!73133=7b7l:18'51?=1k10q~;7:181[3?345<5sW>=708m:5:8yv332909wS;;;<4a>47e3tym?7>52z\e7>;1j3;9=6s|f783>7}Yn?16:o46b:p56g=838p1;l5469>512=1j1vqo?50;394?6|,893695+12792c=#9=31=8>4$9590f3488:f>=z{o<1<7<5o<1955rs5494?4|V=<01k85479~w7<72:q6j;4i3:?e2?3334;1:h5+12:91>{zj;nj6=4<:387!74=3<27E?;1:J27<=#0>0?o85U12695~2b2tc?:7>5;h7;>5<0<52?q/=>;56c9'<2<3k<1Q=>:51z6f>xia>3:17dh<:188m0>=831b994?::k72?6=3kh1<7*>4884f>=n<>0;6)?;9;64?>o303:1(<:6:5:8?l7593:1(<:6:002?>o69k0;6)?;9;32f>=h1k0;6)?;9;;a?>{e9=>1<7?50;2x 42>20<0c4m50;&20<<>j21v8650;0xZ0><5?h1;o5rs5494?4|V=<01;l5499~w02=838pR8:4=7`954d27=n77m;|q27d<72;q6:o4;7:?201<>k2wvn<4?:083>5}#9:2186*>3485b>"6<00:9=5+8687g0=h>l0;6)?;9;;a?>{tn?0;6?uQf79>b32wx954?:3y]1==:n?0>46s|4783>7}Y02<580=i6*>3986?x{e:181<7=52;6x 4522?30D<:>;I30=>"??3>h96T>358204=u`>=6=44i4:94?=hn?0;66li6;291?4=>r.:?849b:&;3?2d=2P:?94>{409yj`12900ek=50;9j1=<722c>87>5;h65>5<2?i0V<=;:0y66?{n?k0;6)?;9;5a?>o3?3:1(<:6:558?l2?290/=9754998m446290/=9751338?l76j3:1(<:6:03a?>i>j3:1(<:6:8`8?xd6<=0;6<4?:1y'51?=1?1d5n4?:%37=??e32wx954?:3y]1==:>k07}Y51;294~"6;10?7)?<5;4e?!7313;><6*77;6`1>i1m3:1(<:6:8`8?xua>3:1>vPi6:?e2?`13ty>47>52z\6<>;a>3?37p};6;296~X3>27m:7:9;|q1>5<4s4l=6k=4=g4911=:934=:5y'563=>01C=9?4H01:?!>02=i>7W?<4;3x0`>ia>3:17oh9:186>7<1s-;8978m;%:4>1e23S;887?t4d8~kc0=831bj>4?::k6k0;6;4?:1y'51?=>j1Q=>:51z6f>xo0j3:1(<:6:6`8?l20290/=9754698m1>=83.:844;8:9j577=83.:844>2098m47e290/=97510`8?j?e290/=9759c98yg73<3:1=7>50z&20<<>>2e2o7>5$06:>47>52z\6<>;1j3=i7p};6;296~X3>27=n7:7;|q60?6=:rT>8639b;32f>{tn:0;6?uQf29>2g<6:81vk850;0xZc0<5?h15o5rs01b>5<5s4:183!7403>0(<=::7d8 42>28?;7)68:5a6?j0b290/=9759c98yv`12909wSh9;c052f7860>;62?o0(<=7:49~yg45m3:1?7<54z&270<112B:8<5G12;8 =1=6;l4$9590f35;h7;>5<1<75f4783>>d1j3:1:7>50z&20<<1k2P:?94>{5g9yl1e290/=9757c98m11=83.:844;7:9j0=<72-;?57:7;:k264<72-;?57?=1:9j54d=83.:844>1c98kh0q~:9:181[21345<5sW??708m:03a?xua;3:1>vPi3:?5f?7592wxj;4?:3y]b3=:>k02n6s|12c94?4|5?h18:521569=f=zuk;1<7?50;2x 45?2=1/=>;56g9'51?=9<:0(5954b78k3c=83.:8446b:9~wc0=838pRk84=g49b3=z{<21<76}:n?0m?63i6;77?87=>l1/=>655:~f7ea29086?4;{%301?0>3A;?=6F>389'<2<3k<1Q=>:51z6f>xo3>3:17d;7:188kc0=831ij;4?:481>3}#9:?1:o5+8687g0=]9:>1=v:j:|me2?6=3`l86=44i4:94?=n==0;66g;6;29?g0e290=6=4?{%37=?0d3S;887?t4d8~m2d=83.:8448b:9j02<72-;?57:8;:k726964;h315?6=,8>26<<>;:k25g<72-;?57?>b:9l=g<72-;?577m;:a512=83;1<7>t$06:><0488:f>=z{<21<701;l510`8yv`42909wSh<;<4a>4463tym:7>52z\e2>;1j33i7p}>3`83>7}:>k0?;63>458:g>{zj80;6<4?:1y'56>=<2.:?849f:&20<<6=91/4:4;c49l2`<72-;?577m;:pb3<72;qUj;52f78e2>{t=10;6?uQ599>b3<202wx8;4?:3y]03=:n?0?:6s|2;297~;a>3l870h9:46894<1m2.:?54:;|a616=8391>7:t$016>3?<@8>:7E?<9:&;3?2d=2P:?94>{5g9yl212900e8650;9lb3<722hm:7>55;092~"6;<0=n6*77;6`1>\6;=0:w9k5}nd5>5<>o2<3:17d:9:188f3d=83<1<7>t$06:>3e26994;h6;>5<#9=318554i002>5<#9=31=??4;h32f?6=,8>26264l4;|`201<7280;6=u+15;9=3=h1j0;6)?;9;;a?>{t=10;6?uQ599>2g<0j2wx8;4?:3y]03=:>k0?46s|5583>7}Y==16:o4>1c9~wc5=838pRk=4=7`9577<70?;4;;`?x{e93:1=7>50z&27=<33-;8978i;%37=?7282.3;7:l5:m5a?6=,8>264l4;|qe2?6=:rTm:63i6;d5?xu203:1>vP:8:?e2?3?3ty?:7>52z\72>;a>3>=7p}=:1808`12o901k85559>5?0b3-;847;4}|`7e<<72:0969u+12792<=O9=;0D<=6;%:4>1e23S;887?t518~m10=831b954?::me2?6=3kl=6=4::385!74=31=v;?:|k4f?6=,8>26:l4;h64>5<#9=318:54i5:94?"6<00?465f13394?"6<00:><54i03a>5<#9=31=5<#9=315o54}c370?6=93:1488:2>i>k3:1(<:6:8`8?xu203:1>vP:8:?5f?1e3ty?:7>52z\72>;1j3>37p}:4;296~X2<27=n7?>b:pb6<72;qUj>526c8264=z{o<1<76;h4$06:>4373-2<69m:;n4f>5<#9=315o54}rd5>5<5sWl=70h9:g48yv3?2909wS;7;0>=6=4={_65?8`12=<0q~<50;1x9c0=n:16j;4:4:?2>3c<,893685r}c12e?6=;3818v*>3485=>N6<81C=>74$6f92>"??3>h96T>358206=u`>=6=44i4:94?=hn?0;66li6;291?4=>r.:?849b:&;3?2d=2P:?94>{429yj`12900ek=50;9j1=<722c>87>5;h65>5<2?i0V<=;:0y64?{n?k0;6)?;9;5a?>o3?3:1(<:6:558?l2?290/=9754998m446290/=9751338?l76j3:1(<:6:03a?>i>j3:1(<:6:8`8?xd6<=0;6<4?:1y'51?=1?1d5n4?:%37=??e32wx954?:3y]1==:>k07}Y51;294~"6;10?7)?<5;4e?!7313;><6*77;6`1>i1m3:1(<:6:8`8?xua>3:1>vPi6:?e2?`13ty>47>52z\6<>;a>3?37p};6;296~X3>27m:7:9;|q1>5<4s4l=6k=4=g4911=:93h1C=9?4H01:?!>02=i>7W?<4;3x15>o3>3:17d;7:188kc0=831ij;4?:783>5}#9=31:n5U12695~372tc5$06:>2d<3`><6=4+15;902=4887<>=n9;;1<7*>488264=51;294~"6<00:9=5`9c83>!73133i76s|f783>7}Yn?16j;46b:p1=<72;qU9552f784f>{t==0;6?uQ559>b3<69k1v9950;0xZ11<5o<18:5rs5494?4|V=<01k85499~w3`=838p1k851338942120h0qpl<1c83>0<52?q/=>;56`9K517<@8927)68:5a6?_74<3;p9=4ri5594?=n==0;66g;6;29?l3?2900ck850;9ab3<72?0;6=u+15;92f=]9:>1=v;?:|k4f?6=,8>26:l4;h64>5<#9=318:54i5:94?"6<00?465f13394?"6<00:><54i03a>5<#9=31=5<#9=315o54}c372?6=93:1488215=h1k0;6)?;9;;a?>{tn?0;6?uQf79>b3<>j2wx954?:3y]1==:n?07}Y==16j;4>1c9~w11=838pR994=g4902=z{=<1<7h1C=9?4H01:?!>02=i>7W?<4;3x15>o3>3:17d;7:188kc0=831ij;4?:783>5}#9=31:n5U12695~372tc5$06:>2d<3`><6=4+15;902=4887<>=n9;;1<7*>488264=51;294~"6<00:9=5`9c83>!73133i76s|f783>7}Yn?16j;46b:p1=<72;qU9552f784f>{t==0;6?uQ559>b3<69k1v9950;0xZ11<5o<18:5rs5494?4|V=<01k85499~w3`=838p1k851338942120h0qpl<5383>0<52?q/=>;56`9K517<@8927)68:5a6?_74<3;p9=4ri5594?=n==0;66g;6;29?l3?2900ck850;9ab3<72?0;6=u+15;92f=]9:>1=v;?:|k4f?6=,8>26:l4;h64>5<#9=318:54i5:94?"6<00?465f13394?"6<00:><54i03a>5<#9=31=5<#9=315o54}c372?6=93:1488215=h1k0;6)?;9;;a?>{tn?0;6?uQf79>b3<>j2wx954?:3y]1==:n?07}Y==16j;4>1c9~w11=838pR994=g4902=z{=<1<7h1C=9?4H01:?!>02=i>7W?<4;3x15>o3>3:17d;7:188kc0=831ij;4?:783>5}#9=31:n5U12695~372tc5$06:>2d<3`><6=4+15;902=4887<>=n9;;1<7*>488264=51;294~"6<00:9=5`9c83>!73133i76s|f783>7}Yn?16j;46b:p1=<72;qU9552f784f>{t==0;6?uQ559>b3<69k1v9950;0xZ11<5o<18:5rs5494?4|V=<01k85499~w3`=838p1k851338942120h0qpl<3g83>0<52?q/=>;56`9K517<@8927)68:5a6?_74<3;p9=4ri5594?=n==0;66g;6;29?l3?2900ck850;9ab3<72?0;6=u+15;92f=]9:>1=v;?:|k4f?6=,8>26:l4;h64>5<#9=318:54i5:94?"6<00?465f13394?"6<00:><54i03a>5<#9=31=5<#9=315o54}c372?6=93:1488215=h1k0;6)?;9;;a?>{tn?0;6?uQf79>b3<>j2wx954?:3y]1==:n?07}Y==16j;4>1c9~w11=838pR994=g4902=z{=<1<74=:5y'563=>01C=9?4H01:?!1c2?1/4:4;c49Y562=9r?;6pg;6;29?l3?2900ck850;9ab3<72<096;u+12792g=#0>0?o85U12695~372tem:7>5;hd0>5<>o3>3:17o8m:185>5<7s-;?578l;[300?7|=90ve:l50;&20<<0j21b8:4?:%37=?2032c?47>5$06:>1><3`;9=7>5$06:>44632c:=o4?:%37=?76j21d5o4?:%37=??e32wi=9:50;394?6|,8>26484o8a94?"6<002n65rs4:94?4|V<201;l57c9~w10=838pR984=7`90==z{<>1<7;|qe2?6=:rTm:639b;;a?xu6;h0;6?u26c873>;6<=02o6srb083>4<729q/=>654:&270<1n2.:844>519'<2<3k<1d:h4?:%37=??e32wxj;4?:3y]b3=:n?0m:6s|5983>7}Y=116j;4:8:p03<72;qU8;52f7872>{t:3:1?v3i6;d0?8`12<>01<49e:&27=<23twi>l750;196?2|,89>6;74H062?M7412.47>5;nd5>5<>oa;3:17d;7:188m02=831b8;4?::`5f?6=>3:14885g>\6;=0:w9k5}h5a>5<#9=31;o54i5594?"6<00?;65f4983>!7313>376g>2083>!7313;9=65f10`94?"6<00:=o54o8`94?"6<002n65rb067>5<6290;w)?;9;;5?j?d290/=9759c98yv3?2909wS;7;<4a>2d=6=4={_65?80e2=20q~;;:181[3334{tn?0;6?uQf79>2g<>j2wx=>o50;0x93d=<>16=9:59b9~yg7=83;1<7>t$01;>1=#9:?1:k5+15;9506<,1=18n;4o7g94?"6<002n65rsg494?4|Vo<01k85f79~w0>=838pR864=g491==z{=<1<7b35<42;0?w)?<5;4:?M7392B:?45+7e85?!>02=i>7W?<4;3x0`>ia>3:17oh9:186>7<1s-;8978m;%:4>1e23S;887?t4d8~kc0=831bj>4?::k6k0;6;4?:1y'51?=>j1Q=>:51z6f>xo0j3:1(<:6:6`8?l20290/=9754698m1>=83.:844;8:9j577=83.:844>2098m47e290/=97510`8?j?e290/=9759c98yg73<3:1=7>50z&20<<>>2e2o7>5$06:>47>52z\6<>;1j3=i7p};6;296~X3>27=n7:7;|q60?6=:rT>8639b;32f>{tn:0;6?uQf29>2g<6:81vk850;0xZc0<5?h15o5rs01b>5<5s4:183!7403>0(<=::7d8 42>28?;7)68:5a6?j0b290/=9759c98yv`12909wSh9;c052f7860>;62?o0(<=7:49~yg44i3:1?7<54z&270<112B:8<5G12;8 2b=>2.3;7:l5:X271<6s=o1qd:9:188m0>=831dj;4?::`e2?6==381:v*>3485f>"??3>h96T>35821c=ufl=6=44ig194?=n=10;66g:4;29?l212900n;l50;494?6|,8>26;m4Z017>4}3m3wb;o4?:%37=?1e32c?;7>5$06:>11<3`>36=4+15;90==5$06:>47e32e2n7>5$06:>5}#9=315;5`9b83>!73133i76s|5983>7}Y=116:o48b:p03<72;qU8;526c87<>{t==0;6?uQ559>2g<69k1vk=50;0xZc5<5?h1=??4}rd5>5<5sWl=708m:8`8yv74i3:1>v39b;64?873<33h7psm1;295?6=8r.:?54;;%301?0a3-;?57?:0:&;3?2d=2e=i7>5$06:>52z\e2>;a>3l=7p}:8;296~X2027m:7;7;|q72?6=:rT?:63i6;65?xu52908w0h9:g189c0===16=78j;%301}#9:?1:45G1538L45>3-=o6;5+8687g0=]9:>1=v:j:|k72?6=3`?36=44og494?=en?0;684=:7y'563=>k1/4:4;c49Y562=9r>n6pai6;29?l`42900e8650;9j11<722c?:7>5;c4a>5<1290;w)?;9;4`?_74<3;p8h4ri6`94?"6<00!7313><76g;8;29 42>2=207d?=1;29 42>288:76g>1c83>!7313;:n65`9c83>!73133i76sm15694?7=83:p(<:6:848k=838pR864=7`93g=z{=<1<75<5sWl8708m:002?xua>3:1>vPi6:?5f??e3ty:?l4?:3y>2g<3?27:8946c:~f4<7280;6=u+12:90>"6;<0=j6*>488215=#0>0?o85`6d83>!73133i76s|f783>7}Yn?16j;4i6:p1=<72;qU9552f786<>{tb3<3>2wx>7>53z?e2?`434l=68:4=085a>"6;10>7psm2`c94?5=:3>p(<=::7;8L4263A;856*8d;48 =1=6;l4$9590f35;h7;>5<1<75f4783>>d1j3:1:7>50z&20<<1k2P:?94>{5g9yl1e290/=9757c98m11=83.:844;7:9j0=<72-;?57:7;:k264<72-;?57?=1:9j54d=83.:844>1c98kh0q~:9:181[21345<5sW??708m:03a?xua;3:1>vPi3:?5f?7592wxj;4?:3y]b3=:>k02n6s|12c94?4|5?h18:521569=f=zuk;1<7?50;2x 45?2=1/=>;56g9'51?=9<:0(5954b78k3c=83.:8446b:9~wc0=838pRk84=g49b3=z{<21<76}:n?0m?63i6;77?87=>l1/=>655:~f7d729086?4;{%301?0>3A;?=6F>389'3a<13-2<69m:;[300?4|>7sf4783>>o203:17bh9:188fc0=83?1>78t$016>3d<,1=18n;4Z017>7}3m3?96pai6;29?l`42900e8650;9j11<722c?:7>5;c4a>5<1290;w)?;9;4`?_74<38p8h4:2;j3g<72-;?579m;:k73?6=,8>26994;h6;>5<#9=318554i002>5<#9=31=??4;h32f?6=,8>26264l4;|`201<7280;6=u+15;9=3=h1j0;6)?;9;;a?>{t=10;6?uQ599>2g<0j2wx8;4?:3y]03=:>k0?46s|5583>7}Y==16:o4>1c9~wc5=838pRk=4=7`9577<70?;4;;`?x{e93:1=7>50z&27=<33-;8978i;%37=?7282.3;7:l5:m5a?6=,8>264l4;|qe2?6=:rTm:63i6;d5?xu203:1>vP:8:?e2?3?3ty?:7>52z\72>;a>3>=7p}=:1808`12o901k85559>5?0b3-;847;4}|`1f`<72:0969u+12792<=O9=;0D<=6;%5g>3=#0>0?o85U12696~2b2<81qd:9:188m0>=831dj;4?::`e2?6==381:v*>3485f>"??3>h96T>35811c==;0vck850;9jb6<722c>47>5;h77>5<3<729q/=9756b9Y562=:r>n68<5}h5a>5<#9=31;o54i5594?"6<00?;65f4983>!7313>376g>2083>!7313;9=65f10`94?"6<00:=o54o8`94?"6<002n65rb067>5<6290;w)?;9;;5?j?d290/=9759c98yv3?2909wS;7;<4a>2d=6=4={_65?80e2=20q~;;:181[3334{tn?0;6?uQf79>2g<>j2wx=>o50;0x93d=<>16=9:59b9~yg7=83;1<7>t$01;>1=#9:?1:k5+15;9506<,1=18n;4o7g94?"6<002n65rsg494?4|Vo<01k85f79~w0>=838pR864=g491==z{=<1<7b35<42;0?w)?<5;4:?M7392B:?45+7e85?!>02=i>7W?<4;3x15>ia>3:17oh9:186>7<1s-;8978m;%:4>1e23S;887?t518~kc0=831bj>4?::k6k0;6;4?:1y'51?=>j1Q=>:51z73>xo0j3:1(<:6:6`8?l20290/=9754698m1>=83.:844;8:9j577=83.:844>2098m47e290/=97510`8?j?e290/=9759c98yg73<3:1=7>50z&20<<>>2e2o7>5$06:>47>52z\6<>;1j3=i7p};6;296~X3>27=n7:7;|q60?6=:rT>8639b;32f>{tn:0;6?uQf29>2g<6:81vk850;0xZc0<5?h15o5rs01b>5<5s4:183!7403>0(<=::7d8 42>28?;7)68:5a6?j0b290/=9759c98yv`12909wSh9;c052f7860>;62?o0(<=7:49~yg51;3:1?7<54z&270<112B:8<5G12;8 2b=>2.3;7:l5:X271<6s<:1qd:9:188m0>=831dj;4?::`e2?6==381:v*>3485f>"??3>h96T>358206=ufl=6=44ig194?=n=10;66g:4;29?l212900n;l50;494?6|,8>26;m4Z017>4}283wb;o4?:%37=?1e32c?;7>5$06:>11<3`>36=4+15;90==5$06:>47e32e2n7>5$06:>5}#9=315;5`9b83>!73133i76s|5983>7}Y=116:o48b:p03<72;qU8;526c87<>{t==0;6?uQ559>2g<69k1vk=50;0xZc5<5?h1=??4}rd5>5<5sWl=708m:8`8yv74i3:1>v39b;64?873<33h7psm1;295?6=8r.:?54;;%301?0a3-;?57?:0:&;3?2d=2e=i7>5$06:>52z\e2>;a>3l=7p}:8;296~X2027m:7;7;|q72?6=:rT?:63i6;65?xu52908w0h9:g189c0===16=78j;%301}#9:?1:45G1538L45>3-=o6;5+8687g0=]9:>1=v;?:|k72?6=3`?36=44og494?=en?0;684=:7y'563=>k1/4:4;c49Y562=9r?;6pai6;29?l`42900e8650;9j11<722c?:7>5;c4a>5<1290;w)?;9;4`?_74<3;p9=4ri6`94?"6<00!7313><76g;8;29 42>2=207d?=1;29 42>288:76g>1c83>!7313;:n65`9c83>!73133i76sm15694?7=83:p(<:6:848k=838pR864=7`93g=z{=<1<75<5sWl8708m:002?xua>3:1>vPi6:?5f??e3ty:?l4?:3y>2g<3?27:8946c:~f4<7280;6=u+12:90>"6;<0=j6*>488215=#0>0?o85`6d83>!73133i76s|f783>7}Yn?16j;4i6:p1=<72;qU9552f786<>{tb3<3>2wx>7>53z?e2?`434l=68:4=085a>"6;10>7psm46;94?5=:3>p(<=::7;8L4263A;856*8d;48 =1=6;l4$9590f35;h7;>5<1<75f4783>>d1j3:1:7>50z&20<<1k2P:?94>{429yl1e290/=9757c98m11=83.:844;7:9j0=<72-;?57:7;:k264<72-;?57?=1:9j54d=83.:844>1c98kh0q~:9:181[21345<5sW??708m:03a?xua;3:1>vPi3:?5f?7592wxj;4?:3y]b3=:>k02n6s|12c94?4|5?h18:521569=f=zuk;1<7?50;2x 45?2=1/=>;56g9'51?=9<:0(5954b78k3c=83.:8446b:9~wc0=838pRk84=g49b3=z{<21<76}:n?0m?63i6;77?87=>l1/=>655:~f1?529086?4;{%301?0>3A;?=6F>389'3a<13-2<69m:;[300?7|=90ve9850;9j1=<722em:7>5;cd5>5<22;0=w)?<5;4a?!>02=i>7W?<4;3x15>o203:17d;;:188m10=831i:o4?:783>5}#9=31:n5U12695~372tc5$06:>2d<3`><6=4+15;902=4887<>=n9;;1<7*>488264=51;294~"6<002:6a6c;29 42>20h07p}:8;296~X2027=n79m;|q72?6=:rT?:639b;6;?xu2<3:1>vP:4:?5f?76j2wxj>4?:3y]b6=:>k0:><5rsg494?4|Vo<01;l59c9~w45f2909w08m:558942320i0qpl>:182>5<7s-;847:4$016>3`<,8>26<;?;%:4>1e23f5<5sW>=70h9:548yv4=839p1k85f29>b3<2<27:6;k4$01;>0=zuk92<7>53;294~"6;<0??6F>409K56?<,8>26<1e23`=;6=4+15;93g=48863>=h1:0;6)?;9;;a?M75m21vn<8n:180>4<4sA;856*>348;f>o2i3:17d;m:188k47a2900n<:j:180>5<7s-;897?;0:J204=O9:30(<:6:01e?!>02=i>7d9>:18'51?=?k10e:<50;&20<<0j21d5>4?:%37=??e32wx9l4?:3y]1d=:9=o1;?5rs4`94?4|VvP>1g9>51c=1:1vqo?99;297?7=;rB:?45+1279419K517<@8927)?;9;30b>"??3>h96g81;29 42>2>h07d9=:18'51?=?k10c4=50;&20<<>j21v8o50;0xZ0g<58>n6:<4}r7a>5<5sW?i70?;e;52?xu69o0;6?uQ10d8942b2090qpl>6983>6<62:qC=>74$016>=d>i69o0;66l>4d83>6<729q/=>;51528L4263A;856*>48827c=#0>0?o85f7083>!7313=i76g82;29 42>2>h07b7<:18'51?=1k10q~;n:181[3f34;?i79=;|q6f?6=:rT>n63>4d845>{t98l1<7e3`?j6=44i4`94?=h98l1<75m15g94?5=83:p(<=::063?M7392B:?45+15;956`<,1=18n;4i6394?"6<00!7313=i76a63;29 42>20h07p}:a;296~X2i27:8h482:p1g<72;qU9o5215g934=z{8;m6=4={_32b>;65<42808wE?<9:&270m7>5;h7a>5<5<4290;w)?<5;374>N6<81C=>74$06:>45a3-2<69m:;h52>5<#9=31;o54i6094?"6<00!73133i76s|5`83>7}Y=h16=9k5739~w0d=838pR8l4=06f>2752z\25c=:9=o15>5r}c351?6=;3;1?vF>389'563=0k1b9l4?::k6f?6=3f;:j7>5;c37a?6=;3:1348205=O9=;0D<=6;%37=?74n2.3;7:l5:k45?6=,8>26:l4;h51>5<#9=31;o54o8194?"6<002n65rs4c94?4|Vn64=4}|`221<72:0:6>uG12;8 45221h0e8o50;9j1g<722e:=k4?::`20`<72:0;6=u+1279516<@8>:7E?<9:&20<<6;o1/4:4;c49j34<72-;?579m;:k46?6=,8>26:l4;n;0>5<#9=315o54}r7b>5<5sW?j70?;e;51?xu2j3:1>vP:b:?20`<092wx=t$016>4273A;?=6F>389'51?=9:l0(5954b78m27=83.:8448b:9j37<72-;?579m;:m:7?6=,8>264l4;|q6e?6=:rT>m63>4d846>{t=k0;6?uQ5c9>51c=?81v"6;<03n6g:a;29?l3e2900c289m7)68:5a6?l16290/=9757c98m24=83.:8448b:9l=6<72-;?577m;:p1d<72;qU9l5215g937=z{;0q~?>f;296~X69o16=9k5929~yg42k3:1?7?53zJ27<=#9:?14o5f5`83>>o2j3:17b?>f;29?g73m3:1?7>50z&270<6<91C=9?4H01:?!7313;8j6*77;6`1>o093:1(<:6:6`8?l15290/=9757c98k<5=83.:8446b:9~w0g=838pR8o4=06f>241g83>7}Y98l01<:j:818yxd5=k0;6>4>:2yK56?<,89>65l4i4c94?=n=k0;66a>1g83>>d64?:1y'563=9=:0D<:>;I30=>"6<00:?k5+8687g0=n?80;6)?;9;5a?>o0:3:1(<:6:6`8?j?4290/=9759c98yv3f2909wS;n;<37a?153ty>n7>52z\6f>;697)68:5a6?l17290/=9757c98k<5=83.:8446b:J20==52;294~"6;<0409K56?<,8>269<4$9590f3:1<7*>4884f>=h1:0;6)?;9;;a?M73021vnk;50;094?6|,89>6:k4H062?M7412.:844;2:&;3?2d=2c<<7>5$06:>2d<3f386=4+15;9=g=O9=207pl>5383>7<729q/=>;57d9K517<@8927)?;9;61?!>02=i>7d9?:18'51?=?k10c4=50;&20<<>j2B:8554}c367?6=;3:1348a?M7392B:?45+15;9e>"??3>h96g81;29 42>2>h07d9=:18'51?=?k10c4=50;&20<<>j21vn?mj:181>5<7s-;8979j;I375>N6;01/=975439'<2<3k<1b;=4?:%37=?1e32e2?7>5$06:>376sm8483>7<729q/=>;57d9K517<@8927)?;9;61?!>02=i>7d9?:18'51?=?k10c4=50;&20<<>j2B:8554}c3f1?6=;3:1348a?M7392B:?45+15;9e>"??3>h96g81;29 42>2>h07d9=:18'51?=?k10c4=50;&20<<>j21vn9om:181>5<7s-;8979j;I375>N6;01/=975439'<2<3k<1b;=4?:%37=?1e32e2?7>5$06:>376sm41494?4=83:p(<=::6g8L4263A;856*>48876>"??3>h96g80;29 42>2>h07b7<:18'51?=1k1C=964;|`742<72;0;6=u+12793`=O9=;0D<=6;%37=?253-2<69m:;h53>5<#9=31;o54o8194?"6<002n6F>4998yg2703:1?7>50z&270389'51?=i2.3;7:l5:k45?6=,8>26:l4;h51>5<#9=31;o54o8194?"6<002n65rb5`a>5<5290;w)?<5;5f?M7392B:?45+15;907=#0>0?o85f7183>!7313=i76a63;29 42>20h0D<:7;:a0de=8381<7>t$016>2c<@8>:7E?<9:&20<<3:2.3;7:l5:k44?6=,8>26:l4;n;0>5<#9=315o5G15:8?xd3jm0;6?4?:1y'563=?l1C=9?4H01:?!7313>97)68:5a6?l17290/=9757c98k<5=83.:8446b:J20==j97>52;294~"6;<0409K56?<,8>269<4$9590f3:1<7*>4884f>=h1:0;6)?;9;;a?M73021vn9o9:180>5<7s-;897l4H062?M7412.:844n;%:4>1e23`=:6=4+15;93g=81<7*>4884f>=h1:0;6)?;9;;a?>{e;9i1<7=50;2x 4522020D<:>;I30=>"6<002;6*77;6`1>o093:1(<:6:6`8?l15290/=9757c98k<5=83.:8446b:9~f62>29096=4?{%301?1b3A;?=6F>389'51?=<;1/4:4;c49j35<72-;?579m;:m:7?6=,8>264l4H06;?>{e;=k1<7=50;2x 4522k1C=9?4H01:?!7313k0(5954b78m27=83.:8448b:9j37<72-;?579m;:m:7?6=,8>264l4;|`04c<72:0;6=u+1279f>N6<81C=>74$06:>d=#0>0?o85f7083>!7313=i76g82;29 42>2>h07b7<:18'51?=1k10qo=:4;296?6=8r.:?848e:J204=O9:30(<:6:508 =1=50;&20<<0j21d5>4?:%37=??e3A;?465rb207>5<5290;w)?<5;5f?M7392B:?45+15;907=#0>0?o85f7183>!7313=i76a63;29 42>20h0D<:7;:a74?=8391<7>t$016>g=O9=;0D<=6;%37=?g<,1=18n;4i6394?"6<00!7313=i76a63;29 42>20h07pl=0083>7<729q/=>;57d9K517<@8927)?;9;61?!>02=i>7d9?:18'51?=?k10c4=50;&20<<>j2B:8554}c036?6=:3:13484a>N6<81C=>74$06:>14<,1=18n;4i6294?"6<00!73133i7E?;8:9~f76429086=4?{%301???3A;?=6F>389'51?=1>1/4:4;c49j34<72-;?579m;:k46?6=,8>26:l4;n;0>5<#9=315o54}c032?6=:3:13484a>N6<81C=>74$06:>14<,1=18n;4i6294?"6<00!73133i7E?;8:9~f76029096=4?{%301?1b3A;?=6F>389'51?=<;1/4:4;c49j35<72-;?579m;:m:7?6=,8>264l4H06;?>{e:921<7=50;2x 4522020D<:>;I30=>"6<002;6*77;6`1>o093:1(<:6:6`8?l15290/=9757c98k<5=83.:8446b:9~f76e29096=4?{%301?1b3A;?=6F>389'51?=<;1/4:4;c49j35<72-;?579m;:m:7?6=,8>264l4H06;?>{e:9i1<7<50;2x 4522>o0D<:>;I30=>"6<00?>6*77;6`1>o083:1(<:6:6`8?j?4290/=9759c9K51><3th95}#9:?1555G1538L45>3-;?5778;%:4>1e23`=:6=4+15;93g=81<7*>4884f>=h1:0;6)?;9;;a?>{e9o>1<7<50;2x 4522>o0D<:>;I30=>"6<00?>6*77;6`1>o083:1(<:6:6`8?j?4290/=9759c9K51><3th:j84?:283>5}#9:?1555G1538L45>3-;?5778;%:4>1e23`=:6=4+15;93g=81<7*>4884f>=h1:0;6)?;9;;a?>{e9o31<7<50;2x 4522>o0D<:>;I30=>"6<00?>6*77;6`1>o083:1(<:6:6`8?j?4290/=9759c9K51><3th:jl4?:283>5}#9:?1555G1538L45>3-;?5778;%:4>1e23`=:6=4+15;93g=81<7*>4884f>=h1:0;6)?;9;;a?>{e:891<7=50;2x 4522k1C=9?4H01:?!7313k0(5954b78m27=83.:8448b:9j37<72-;?579m;:m:7?6=,8>264l4;|`164<72;0;6=u+12793`=O9=;0D<=6;%37=?253-2<69m:;h53>5<#9=31;o54o8194?"6<002n6F>4998yg45:3:1?7>50z&270<>02B:8<5G12;8 42>20=0(5954b78m27=83.:8448b:9j37<72-;?579m;:m:7?6=,8>264l4;|`2aa<72;0;6=u+12793`=O9=;0D<=6;%37=?253-2<69m:;h53>5<#9=31;o54o8194?"6<002n6F>4998yg47n3:1>7>50z&270<0m2B:8<5G12;8 42>2=80(5954b78m26=83.:8448b:9l=6<72-;?577m;I37<>=zj;;;6=4<:183!74=3h0D<:>;I30=>"6<00j7)68:5a6?l16290/=9757c98m24=83.:8448b:9l=6<72-;?577m;:a5``=8381<7>t$016>2c<@8>:7E?<9:&20<<3:2.3;7:l5:k44?6=,8>26:l4;n;0>5<#9=315o5G15:8?xd6n90;6>4?:1y'563=111C=9?4H01:?!73133<7)68:5a6?l16290/=9757c98m24=83.:8448b:9l=6<72-;?577m;:a632=8391<7>t$016>g=O9=;0D<=6;%37=?g<,1=18n;4i6394?"6<00!7313=i76a63;29 42>20h07pl=6383>6<729q/=>;5b:J204=O9:30(<:6:`9'<2<3k<1b;<4?:%37=?1e32c<>7>5$06:>2d<3f386=4+15;9=g=53;294~"6;<0i7E?;1:J27<=#9=31m6*77;6`1>o093:1(<:6:6`8?l15290/=9757c98k<5=83.:8446b:9~f73629086=4?{%301?d<@8>:7E?<9:&20<5<#9=31;o54i6094?"6<00!73133i76sm24694?5=83:p(<=::c9K517<@8927)?;9;c8 =1=5$06:>5}#9:?1n6F>409K56?<,8>26l5+8687g0=n?80;6)?;9;5a?>o0:3:1(<:6:6`8?j?4290/=9759c98yg42i3:1?7>50z&270389'51?=i2.3;7:l5:k45?6=,8>26:l4;h51>5<#9=31;o54o8194?"6<002n65rb3d;>5<5290;w)?<5;5f?M7392B:?45+15;907=#0>0?o85f7183>!7313=i76a63;29 42>20h0D<:7;:a661=8381<7>t$016>2c<@8>:7E?<9:&20<<3:2.3;7:l5:k44?6=,8>26:l4;n;0>5<#9=315o5G15:8?xd5;10;6>4?:1y'563=111C=9?4H01:?!73133<7)68:5a6?l16290/=9757c98m24=83.:8448b:9l=6<72-;?577m;:a66e=8381<7>t$016>2c<@8>:7E?<9:&20<<3:2.3;7:l5:k44?6=,8>26:l4;n;0>5<#9=315o5G15:8?xd5;:0;6?4?:1y'563=?l1C=9?4H01:?!7313>97)68:5a6?l17290/=9757c98k<5=83.:8446b:J20==7>52;294~"6;<0409K56?<,8>269<4$9590f3:1<7*>4884f>=h1:0;6)?;9;;a?M73021vn?:<:181>5<7s-;8979j;I375>N6;01/=975439'<2<3k<1b;=4?:%37=?1e32e2?7>5$06:>376sm29:94?5=83:p(<=::c9K517<@8927)?;9;c8 =1=5$06:>5}#9:?1n6F>409K56?<,8>26l5+8687g0=n?80;6)?;9;5a?>o0:3:1(<:6:6`8?j?4290/=9759c98yg41m3:1>7>50z&270<0m2B:8<5G12;8 42>2=80(5954b78m26=83.:8448b:9l=6<72-;?577m;I37<>=zj;;I30=>"6<00j7)68:5a6?l16290/=9757c98m24=83.:8448b:9l=6<72-;?577m;:a66`=8391<7>t$016>g=O9=;0D<=6;%37=?g<,1=18n;4i6394?"6<00!7313=i76a63;29 42>20h07pl=2e83>6<729q/=>;5b:J204=O9:30(<:6:`9'<2<3k<1b;<4?:%37=?1e32c<>7>5$06:>2d<3f386=4+15;9=g=53;294~"6;<0i7E?;1:J27<=#9=31m6*77;6`1>o093:1(<:6:6`8?l15290/=9757c98k<5=83.:8446b:9~f7b>29096=4?{%301?1b3A;?=6F>389'51?=<;1/4:4;c49j35<72-;?579m;:m:7?6=,8>264l4H06;?>{e9?h1<7<50;2x 4522>o0D<:>;I30=>"6<00?>6*77;6`1>o083:1(<:6:6`8?j?4290/=9759c9K51><3th:;84?:283>5}#9:?1n6F>409K56?<,8>26l5+8687g0=n?80;6)?;9;5a?>o0:3:1(<:6:6`8?j?4290/=9759c98yg7093:1?7>50z&270389'51?=i2.3;7:l5:k45?6=,8>26:l4;h51>5<#9=31;o54o8194?"6<002n65rb04g>5<4290;w)?<5;`8L4263A;856*>488b?!>02=i>7d9>:18'51?=?k10e:<50;&20<<0j21d5>4?:%37=??e32wi=5=50;194?6|,89>6464H062?M7412.:84467:&;3?2d=2c<=7>5$06:>2d<3`=96=4+15;93g=488:f>=zj8k86=4=:183!74=3=n7E?;1:J27<=#9=318?5+8687g0=n?90;6)?;9;5a?>i>;3:1(<:6:8`8L42?32wi=l:50;194?6|,89>6464H062?M7412.:84467:&;3?2d=2c<=7>5$06:>2d<3`=96=4+15;93g=488:f>=zj82n6=4=:183!74=3=n7E?;1:J27<=#9=318?5+8687g0=n?90;6)?;9;5a?>i>;3:1(<:6:8`8L42?32wi?:=50;094?6|,89>6:k4H062?M7412.:844;2:&;3?2d=2c<<7>5$06:>2d<3f386=4+15;9=g=O9=207pl<7583>6<729q/=>;5b:J204=O9:30(<:6:`9'<2<3k<1b;<4?:%37=?1e32c<>7>5$06:>2d<3f386=4+15;9=g=j>7>52;294~"6;<0409K56?<,8>269<4$9590f3:1<7*>4884f>=h1:0;6)?;9;;a?M73021vn9o<:180>5<7s-;897l4H062?M7412.:844n;%:4>1e23`=:6=4+15;93g=81<7*>4884f>=h1:0;6)?;9;;a?>{e9l=1<7<50;2x 4522>o0D<:>;I30=>"6<00?>6*77;6`1>o083:1(<:6:6`8?j?4290/=9759c9K51><3th9494?:383>5}#9:?1;h5G1538L45>3-;?57:=;%:4>1e23`=;6=4+15;93g=488:f>N6<110qo<<0;296?6=8r.:?848e:J204=O9:30(<:6:508 =1=50;&20<<0j21d5>4?:%37=??e3A;?465rb0ga>5<5290;w)?<5;5f?M7392B:?45+15;907=#0>0?o85f7183>!7313=i76a63;29 42>20h0D<:7;:a6ae=8391<7>t$016>g=O9=;0D<=6;%37=?g<,1=18n;4i6394?"6<00!7313=i76a63;29 42>20h07pl=6783>7<729q/=>;57d9K517<@8927)?;9;61?!>02=i>7d9?:18'51?=?k10c4=50;&20<<>j2B:8554}c053?6=:3:13484a>N6<81C=>74$06:>14<,1=18n;4i6294?"6<00!73133i7E?;8:9~f70?29086=4?{%301?d<@8>:7E?<9:&20<5<#9=31;o54i6094?"6<00!73133i76sm39794?4=83:p(<=::6g8L4263A;856*>48876>"??3>h96g80;29 42>2>h07b7<:18'51?=1k1C=964;|`0<3<72;0;6=u+12793`=O9=;0D<=6;%37=?253-2<69m:;h53>5<#9=31;o54o8194?"6<002n6F>4998yg5??3:1?7>50z&270389'51?=i2.3;7:l5:k45?6=,8>26:l4;h51>5<#9=31;o54o8194?"6<002n65rb5`0>5<5290;w)?<5;5f?M7392B:?45+15;907=#0>0?o85f7183>!7313=i76a63;29 42>20h0D<:7;:a0g2=8391<7>t$016><><@8>:7E?<9:&20<<>?2.3;7:l5:k45?6=,8>26:l4;h51>5<#9=31;o54o8194?"6<002n65rb5``>5<4290;w)?<5;;;?M7392B:?45+15;9=2=#0>0?o85f7083>!7313=i76g82;29 42>2>h07b7<:18'51?=1k10qo<=4;296?6=8r.:?848e:J204=O9:30(<:6:508 =1=50;&20<<0j21d5>4?:%37=??e3A;?465rb306>5<4290;w)?<5;;;?M7392B:?45+15;9=2=#0>0?o85f7083>!7313=i76g82;29 42>2>h07b7<:18'51?=1k10qo<>e;297?6=8r.:?8468:J204=O9:30(<:6:858 =1=5$06:>5}#9:?1;h5G1538L45>3-;?57:=;%:4>1e23`=;6=4+15;93g=488:f>N6<110qo50;&20<<0j21d5>4?:%37=??e3A;?465rb3g;>5<4290;w)?<5;;;?M7392B:?45+15;9=2=#0>0?o85f7083>!7313=i76g82;29 42>2>h07b7<:18'51?=1k10qo50;&20<<0j21d5>4?:%37=??e3A;?465rb3d7>5<5290;w)?<5;5f?M7392B:?45+15;907=#0>0?o85f7183>!7313=i76a63;29 42>20h0D<:7;:a6c3=8391<7>t$016><><@8>:7E?<9:&20<<>?2.3;7:l5:k45?6=,8>26:l4;h51>5<#9=31;o54o8194?"6<002n65rb4g94?3=83:p(<=::e9K517<@8927)?;9;c8 =1=5$06:>2d<3`=?6=4+15;93g=488:f>=zjo0D<:>;I30=>"6<00?>6*77;6`1>o083:1(<:6:6`8?j?4290/=9759c9K51><3th=<7>53;294~"6;<0i7E?;1:J27<=#9=31m6*77;6`1>o093:1(<:6:6`8?l15290/=9757c98k<5=83.:8446b:9~f37=8391<7>t$016>g=O9=;0D<=6;%37=?g<,1=18n;4i6394?"6<00!7313=i76a63;29 42>20h07pl>1583>7<729q/=>;57d9K517<@8927)?;9;61?!>02=i>7d9?:18'51?=?k10c4=50;&20<<>j2B:8554}c321?6=:3:13484a>N6<81C=>74$06:>14<,1=18n;4i6294?"6<00!73133i7E?;8:9~f471290?6=4?{%301?e<@8>:7E?<9:&20<5<#9=31;o54i6094?"6<00!7313=i76a63;29 42>20h07pl>d483>6<729q/=>;5b:J204=O9:30(<:6:`9'<2<3k<1b;<4?:%37=?1e32c<>7>5$06:>2d<3f386=4+15;9=g=52;294~"6;<0409K56?<,8>269<4$9590f3:1<7*>4884f>=h1:0;6)?;9;;a?M73021vn5<7s-;8979j;I375>N6;01/=975439'<2<3k<1b;=4?:%37=?1e32e2?7>5$06:>376sm1e:94?5=83:p(<=::c9K517<@8927)?;9;c8 =1=5$06:>5}#9:?1n6F>409K56?<,8>26l5+8687g0=n?80;6)?;9;5a?>o0:3:1(<:6:6`8?j?4290/=9759c98yg7fi3:157>50z&270<692B:8<5G12;8 42>2h1/4:4;c49j34<72-;?579m;:k46?6=,8>26:l4;h50>5<#9=31;o54i6694?"6<00!7313=i76g86;29 42>2>h07d98:18'51?=?k10e:650;&20<<0j21d5>4?:%37=??e32wi=ll50;;94?6|,89>61e23`=:6=4+15;93g=81<7*>4884f>=n?:0;6)?;9;5a?>o0<3:1(<:6:6`8?l12290/=9757c98m20=83.:8448b:9j32<72-;?579m;:k426:l4;n;0>5<#9=315o54}c3bg?6=;3:1348a?M7392B:?45+15;9e>"??3>h96g81;29 42>2>h07d9=:18'51?=?k10c4=50;&20<<>j21vn5<7s-;8979j;I375>N6;01/=975439'<2<3k<1b;=4?:%37=?1e32e2?7>5$06:>376sm1c:94?4=83:p(<=::6g8L4263A;856*>48876>"??3>h96g80;29 42>2>h07b7<:18'51?=1k1C=964;|`2f<<72;0;6=u+12793`=O9=;0D<=6;%37=?253-2<69m:;h53>5<#9=31;o54o8194?"6<002n6F>4998yg7ei3:197>50z&270389'51?=i2.3;7:l5:k45?6=,8>26:l4;h51>5<#9=31;o54i6194?"6<00!7313=i76a63;29 42>20h07pl;6583>6<729q/=>;5b:J204=O9:30(<:6:`9'<2<3k<1b;<4?:%37=?1e32c<>7>5$06:>2d<3f386=4+15;9=g==97>52;294~"6;<0409K56?<,8>269<4$9590f3:1<7*>4884f>=h1:0;6)?;9;;a?M73021vn989:180>5<7s-;897l4H062?M7412.:844n;%:4>1e23`=:6=4+15;93g=81<7*>4884f>=h1:0;6)?;9;;a?>{e;I30=>"6<002;6*77;6`1>o093:1(<:6:6`8?l15290/=9757c98k<5=83.:8446b:9~f13?29086=4?{%301?d<@8>:7E?<9:&20<5<#9=31;o54i6094?"6<00!73133i76sm44;94?4=83:p(<=::6g8L4263A;856*>48876>"??3>h96g80;29 42>2>h07b7<:18'51?=1k1C=964;|`71d<72:0;6=u+1279f>N6<81C=>74$06:>d=#0>0?o85f7083>!7313=i76g82;29 42>2>h07b7<:18'51?=1k10qo::b;297?6=8r.:?8468:J204=O9:30(<:6:858 =1=5$06:>4?:283>5}#9:?1n6F>409K56?<,8>26l5+8687g0=n?80;6)?;9;5a?>o0:3:1(<:6:6`8?j?4290/=9759c98yg22<3:1>7>50z&270<0m2B:8<5G12;8 42>2=80(5954b78m26=83.:8448b:9l=6<72-;?577m;I37<>=zj=?>6=4<:183!74=3h0D<:>;I30=>"6<00j7)68:5a6?l16290/=9757c98m24=83.:8448b:9l=6<72-;?577m;:a000=8391<7>t$016><><@8>:7E?<9:&20<<>?2.3;7:l5:k45?6=,8>26:l4;h51>5<#9=31;o54o8194?"6<002n65rb56f>5<4290;w)?<5;`8L4263A;856*>488b?!>02=i>7d9>:18'51?=?k10e:<50;&20<<0j21d5>4?:%37=??e32wi89h50;094?6|,89>6:k4H062?M7412.:844;2:&;3?2d=2c<<7>5$06:>2d<3f386=4+15;9=g=O9=207pl;5183>6<729q/=>;5b:J204=O9:30(<:6:`9'<2<3k<1b;<4?:%37=?1e32c<>7>5$06:>2d<3f386=4+15;9=g=>=7>53;294~"6;<0246F>409K56?<,8>26494$9590f3;1<7*>4884f>=n?;0;6)?;9;5a?>i>;3:1(<:6:8`8?xd3<00;6>4?:1y'563=j2B:8<5G12;8 42>2h1/4:4;c49j34<72-;?579m;:k46?6=,8>26:l4;n;0>5<#9=315o54}c67e?6=:3:13484a>N6<81C=>74$06:>14<,1=18n;4i6294?"6<00!73133i7E?;8:9~f12e29086=4?{%301?d<@8>:7E?<9:&20<5<#9=31;o54i6094?"6<00!73133i76sm45a94?5=83:p(<=::8:8L4263A;856*>488:3>"??3>h96g81;29 42>2>h07d9=:18'51?=?k10c4=50;&20<<>j21vn9:;:180>5<7s-;897l4H062?M7412.:844n;%:4>1e23`=:6=4+15;93g=81<7*>4884f>=h1:0;6)?;9;;a?>{e<=?1<7<50;2x 4522>o0D<:>;I30=>"6<00?>6*77;6`1>o083:1(<:6:6`8?j?4290/=9759c9K51><3th?8;4?:283>5}#9:?1n6F>409K56?<,8>26l5+8687g0=n?80;6)?;9;5a?>o0:3:1(<:6:6`8?j?4290/=9759c98yg23?3:1?7>50z&270<>02B:8<5G12;8 42>20=0(5954b78m27=83.:8448b:9j37<72-;?579m;:m:7?6=,8>264l4;|`77c<72:0;6=u+1279f>N6<81C=>74$06:>d=#0>0?o85f7083>!7313=i76g82;29 42>2>h07b7<:18'51?=1k10qo:;0;296?6=8r.:?848e:J204=O9:30(<:6:508 =1=50;&20<<0j21d5>4?:%37=??e3A;?465rb562>5<4290;w)?<5;`8L4263A;856*>488b?!>02=i>7d9>:18'51?=?k10e:<50;&20<<0j21d5>4?:%37=??e32wi89<50;194?6|,89>6464H062?M7412.:84467:&;3?2d=2c<=7>5$06:>2d<3`=96=4+15;93g=488:f>=zj=9j6=4<:183!74=3h0D<:>;I30=>"6<00j7)68:5a6?l16290/=9757c98m24=83.:8448b:9l=6<72-;?577m;:a06d=8381<7>t$016>2c<@8>:7E?<9:&20<<3:2.3;7:l5:k44?6=,8>26:l4;n;0>5<#9=315o5G15:8?xd3;j0;6>4?:1y'563=j2B:8<5G12;8 42>2h1/4:4;c49j34<72-;?579m;:k46?6=,8>26:l4;n;0>5<#9=315o54}c60`?6=;3:1348:<>N6<81C=>74$06:><1<,1=18n;4i6394?"6<00!7313=i76a63;29 42>20h07pl;3483>6<729q/=>;5b:J204=O9:30(<:6:`9'<2<3k<1b;<4?:%37=?1e32c<>7>5$06:>2d<3f386=4+15;9=g=8:7>52;294~"6;<0409K56?<,8>269<4$9590f3:1<7*>4884f>=h1:0;6)?;9;;a?M73021vn9=8:180>5<7s-;897l4H062?M7412.:844n;%:4>1e23`=:6=4+15;93g=81<7*>4884f>=h1:0;6)?;9;;a?>{e<:21<7=50;2x 4522020D<:>;I30=>"6<002;6*77;6`1>o093:1(<:6:6`8?l15290/=9757c98k<5=83.:8446b:9~f1gb29096=4?{%301?1b3A;?=6F>389'51?=<;1/4:4;c49j35<72-;?579m;:m:7?6=,8>264l4H06;?>{eo0D<:>;I30=>"6<00?>6*77;6`1>o083:1(<:6:6`8?j?4290/=9759c9K51><3th?n=4?:383>5}#9:?1;h5G1538L45>3-;?57:=;%:4>1e23`=;6=4+15;93g=488:f>N6<110qo:m1;291?6=8r.:?84k;I375>N6;01/=975a:&;3?2d=2c<=7>5$06:>2d<3`=96=4+15;93g=91<7*>4884f>=n?=0;6)?;9;5a?>i>;3:1(<:6:8`8?xd3jo0;6?4?:1y'563=?l1C=9?4H01:?!7313>97)68:5a6?l17290/=9757c98k<5=83.:8446b:J20==h<7>52;294~"6;<0409K56?<,8>269<4$9590f3:1<7*>4884f>=h1:0;6)?;9;;a?M73021vn9m>:181>5<7s-;8979j;I375>N6;01/=975439'<2<3k<1b;=4?:%37=?1e32e2?7>5$06:>376sm4b094?3=83:p(<=::e9K517<@8927)?;9;c8 =1=5$06:>2d<3`=?6=4+15;93g=488:f>=zj:>o6=4<:183!74=3h0D<:>;I30=>"6<00j7)68:5a6?l16290/=9757c98m24=83.:8448b:9l=6<72-;?577m;:a71c=8381<7>t$016>2c<@8>:7E?<9:&20<<3:2.3;7:l5:k44?6=,8>26:l4;n;0>5<#9=315o5G15:8?xd497)68:5a6?l17290/=9757c98k<5=83.:8446b:J20==<7>53;294~"6;<0i7E?;1:J27<=#9=31m6*77;6`1>o093:1(<:6:6`8?l15290/=9757c98k<5=83.:8446b:9~f63629086=4?{%301???3A;?=6F>389'51?=1>1/4:4;c49j34<72-;?579m;:k46?6=,8>26:l4;n;0>5<#9=315o54}c12`?6=;3:1348a?M7392B:?45+15;9e>"??3>h96g81;29 42>2>h07d9=:18'51?=?k10c4=50;&20<<>j21vn>?j:181>5<7s-;8979j;I375>N6;01/=975439'<2<3k<1b;=4?:%37=?1e32e2?7>5$06:>376sm30d94?4=83:p(<=::6g8L4263A;856*>48876>"??3>h96g80;29 42>2>h07b7<:18'51?=1k1C=964;|`065<72:0;6=u+1279f>N6<81C=>74$06:>d=#0>0?o85f7083>!7313=i76g82;29 42>2>h07b7<:18'51?=1k10qo==1;297?6=8r.:?8468:J204=O9:30(<:6:858 =1=5$06:>4?:283>5}#9:?1n6F>409K56?<,8>26l5+8687g0=n?80;6)?;9;5a?>o0:3:1(<:6:6`8?j?4290/=9759c98yg54<3:1>7>50z&270<0m2B:8<5G12;8 42>2=80(5954b78m26=83.:8448b:9l=6<72-;?577m;I37<>=zj:9>6=4<:183!74=3h0D<:>;I30=>"6<00j7)68:5a6?l16290/=9757c98m24=83.:8448b:9l=6<72-;?577m;:a760=8391<7>t$016><><@8>:7E?<9:&20<<>?2.3;7:l5:k45?6=,8>26:l4;h51>5<#9=31;o54o8194?"6<002n65rb2:2>5<4290;w)?<5;`8L4263A;856*>488b?!>02=i>7d9>:18'51?=?k10e:<50;&20<<0j21d5>4?:%37=??e32wi?5<50;094?6|,89>6:k4H062?M7412.:844;2:&;3?2d=2c<<7>5$06:>2d<3f386=4+15;9=g=O9=207pl<8283>6<729q/=>;5b:J204=O9:30(<:6:`9'<2<3k<1b;<4?:%37=?1e32c<>7>5$06:>2d<3f386=4+15;9=g=52;294~"6;<0409K56?<,8>269<4$9590f3:1<7*>4884f>=h1:0;6)?;9;;a?M73021vn>97:181>5<7s-;8979j;I375>N6;01/=975439'<2<3k<1b;=4?:%37=?1e32e2?7>5$06:>376sm36;94?2=83:p(<=::b9K517<@8927)?;9;c8 =1=5$06:>2d<3f386=4+15;9=g=52;294~"6;<0409K56?<,8>269<4$9590f3:1<7*>4884f>=h1:0;6)?;9;;a?M73021vn5<7s-;8979j;I375>N6;01/=975439'<2<3k<1b;=4?:%37=?1e32e2?7>5$06:>376sm1ga94?4=83:p(<=::6g8L4263A;856*>48876>"??3>h96g80;29 42>2>h07b7<:18'51?=1k1C=964;|`2ba<72;0;6=u+12793`=O9=;0D<=6;%37=?253-2<69m:;h53>5<#9=31;o54o8194?"6<002n6F>4998yg7am3:197>50z&270389'51?=i2.3;7:l5:k45?6=,8>26:l4;h51>5<#9=31;o54i6194?"6<00!7313=i76a63;29 42>20h07pl>8483>7<729q/=>;57d9K517<@8927)?;9;61?!>02=i>7d9?:18'51?=?k10c4=50;&20<<>j2B:8554}c3;2?6=:3:13484a>N6<81C=>74$06:>14<,1=18n;4i6294?"6<00!73133i7E?;8:9~f4>029096=4?{%301?1b3A;?=6F>389'51?=<;1/4:4;c49j35<72-;?579m;:m:7?6=,8>264l4H06;?>{e9121<7<50;2x 4522>o0D<:>;I30=>"6<00?>6*77;6`1>o083:1(<:6:6`8?j?4290/=9759c9K51><3th:444?:383>5}#9:?1;h5G1538L45>3-;?57:=;%:4>1e23`=;6=4+15;93g=488:f>N6<110qo?7a;296?6=8r.:?848e:J204=O9:30(<:6:508 =1=50;&20<<0j21d5>4?:%37=??e3A;?465rb0:a>5<5290;w)?<5;5f?M7392B:?45+15;907=#0>0?o85f7183>!7313=i76a63;29 42>20h0D<:7;:a5=e=8381<7>t$016>2c<@8>:7E?<9:&20<<3:2.3;7:l5:k44?6=,8>26:l4;n;0>5<#9=315o5G15:8?xd60m0;644?:1y'563=981C=9?4H01:?!7313k0(5954b78m27=83.:8448b:9j37<72-;?579m;:k47?6=,8>26:l4;h57>5<#9=31;o54i6794?"6<00!7313=i76g87;29 42>2>h07d97:18'51?=?k10c4=50;&20<<>j21vn<7?:180>5<7s-;897l4H062?M7412.:844n;%:4>1e23`=:6=4+15;93g=81<7*>4884f>=h1:0;6)?;9;;a?>{e90;1<7<50;2x 4522>o0D<:>;I30=>"6<00?>6*77;6`1>o083:1(<:6:6`8?j?4290/=9759c9K51><3th:5?4?:283>5}#9:?1n6F>409K56?<,8>26l5+8687g0=n?80;6)?;9;5a?>o0:3:1(<:6:6`8?j?4290/=9759c98yg7>;3:1?7>50z&270389'51?=i2.3;7:l5:k45?6=,8>26:l4;h51>5<#9=31;o54o8194?"6<002n65rb3a3>5<4290;w)?<5;`8L4263A;856*>488b?!>02=i>7d9>:18'51?=?k10e:<50;&20<<0j21d5>4?:%37=??e32wi>n?50;194?6|,89>6o5G1538L45>3-;?57o4$9590f3;1<7*>4884f>=n?;0;6)?;9;5a?>i>;3:1(<:6:8`8?xd5k;0;6?4?:1y'563=?l1C=9?4H01:?!7313>97)68:5a6?l17290/=9757c98k<5=83.:8446b:J20==52;294~"6;<0409K56?<,8>269<4$9590f3:1<7*>4884f>=h1:0;6)?;9;;a?M73021vn?m;:181>5<7s-;8979j;I375>N6;01/=975439'<2<3k<1b;=4?:%37=?1e32e2?7>5$06:>376sm2b794?5=83:p(<=::c9K517<@8927)?;9;c8 =1=5$06:>5}#9:?1555G1538L45>3-;?5778;%:4>1e23`=:6=4+15;93g=81<7*>4884f>=h1:0;6)?;9;;a?>{e:j=1<7:50;2x 4522j1C=9?4H01:?!7313k0(5954b78m27=83.:8448b:9j37<72-;?579m;:k47?6=,8>26:l4;n;0>5<#9=315o54}c0`348:<>N6<81C=>74$06:><1<,1=18n;4i6394?"6<00!7313=i76a63;29 42>20h07pl=b383>7<729q/=>;57d9K517<@8927)?;9;61?!>02=i>7d9?:18'51?=?k10c4=50;&20<<>j2B:8554}c0a2?6=:3:13484a>N6<81C=>74$06:>14<,1=18n;4i6294?"6<00!73133i7E?;8:9~f7d029096=4?{%301?1b3A;?=6F>389'51?=<;1/4:4;c49j35<72-;?579m;:m:7?6=,8>264l4H06;?>{e:k21<7<50;2x 4522>o0D<:>;I30=>"6<00?>6*77;6`1>o083:1(<:6:6`8?j?4290/=9759c9K51><3th9n44?:383>5}#9:?1;h5G1538L45>3-;?57:=;%:4>1e23`=;6=4+15;93g=488:f>N6<110qo50;&20<<0j21d5>4?:%37=??e3A;?465rb3`a>5<5290;w)?<5;5f?M7392B:?45+15;907=#0>0?o85f7183>!7313=i76a63;29 42>20h0D<:7;:a6ge=83?1<7>t$016>a=O9=;0D<=6;%37=?g<,1=18n;4i6394?"6<00!7313=i76g83;29 42>2>h07d9;:18'51?=?k10c4=50;&20<<>j21vn?lk:180>5<7s-;897l4H062?M7412.:844n;%:4>1e23`=:6=4+15;93g=81<7*>4884f>=h1:0;6)?;9;;a?>{e:k91<7:50;2x 4522030D<:>;I30=>"6<002;6*77;6`1>o093:1(<:6:6`8?l15290/=9757c98m25=83.:8448b:9l=6<72-;?577m;:a6g2=8391<7>t$016>g=O9=;0D<=6;%37=?g<,1=18n;4i6394?"6<00!7313=i76a63;29 42>20h07pl=b483>6<729q/=>;5999K517<@8927)?;9;;4?!>02=i>7d9>:18'51?=?k10e:<50;&20<<0j21d5>4?:%37=??e32wi>lm50;194?6|,89>6o5G1538L45>3-;?57o4$9590f3;1<7*>4884f>=n?;0;6)?;9;5a?>i>;3:1(<:6:8`8?xd5im0;6?4?:1y'563=?l1C=9?4H01:?!7313>97)68:5a6?l17290/=9757c98k<5=83.:8446b:J20==53;294~"6;<0i7E?;1:J27<=#9=31m6*77;6`1>o093:1(<:6:6`8?l15290/=9757c98k<5=83.:8446b:9~f7ga29086=4?{%301???3A;?=6F>389'51?=1>1/4:4;c49j34<72-;?579m;:k46?6=,8>26:l4;n;0>5<#9=315o54}c151?6=:3:13484a>N6<81C=>74$06:>14<,1=18n;4i6294?"6<00!73133i7E?;8:9~f60f29086=4?{%301???3A;?=6F>389'51?=1>1/4:4;c49j34<72-;?579m;:k46?6=,8>26:l4;n;0>5<#9=315o54}c15f?6=;3:1348a?M7392B:?45+15;9e>"??3>h96g81;29 42>2>h07d9=:18'51?=?k10c4=50;&20<<>j21vn>8l:181>5<7s-;8979j;I375>N6;01/=975439'<2<3k<1b;=4?:%37=?1e32e2?7>5$06:>376sm37f94?4=83:p(<=::6g8L4263A;856*>48876>"??3>h96g80;29 42>2>h07b7<:18'51?=1k1C=964;|`02`<72:0;6=u+1279f>N6<81C=>74$06:>d=#0>0?o85f7083>!7313=i76g82;29 42>2>h07b7<:18'51?=1k10qo=9f;296?6=8r.:?848e:J204=O9:30(<:6:508 =1=50;&20<<0j21d5>4?:%37=??e3A;?465rb253>5<4290;w)?<5;`8L4263A;856*>488b?!>02=i>7d9>:18'51?=?k10e:<50;&20<<0j21d5>4?:%37=??e32wi?:?50;194?6|,89>6o5G1538L45>3-;?57o4$9590f3;1<7*>4884f>=n?;0;6)?;9;5a?>i>;3:1(<:6:8`8?xd4>?0;6>4?:1y'563=j2B:8<5G12;8 42>2h1/4:4;c49j34<72-;?579m;:k46?6=,8>26:l4;n;0>5<#9=315o54}c153?6=;3:1348:<>N6<81C=>74$06:><1<,1=18n;4i6394?"6<00!7313=i76a63;29 42>20h07pl<6983>6<729q/=>;5b:J204=O9:30(<:6:`9'<2<3k<1b;<4?:%37=?1e32c<>7>5$06:>2d<3f386=4+15;9=g=54;294~"6;<0256F>409K56?<,8>26494$9590f3;1<7*>4884f>=n?;0;6)?;9;5a?>o0;3:1(<:6:6`8?j?4290/=9759c98yg5193:1?7>50z&270389'51?=i2.3;7:l5:k45?6=,8>26:l4;h51>5<#9=31;o54o8194?"6<002n65rb241>5<4290;w)?<5;;;?M7392B:?45+15;9=2=#0>0?o85f7083>!7313=i76g82;29 42>2>h07b7<:18'51?=1k10qo:64;296?6=8r.:?848e:J204=O9:30(<:6:508 =1=50;&20<<0j21d5>4?:%37=??e3A;?465rb5;:>5<4290;w)?<5;`8L4263A;856*>488b?!>02=i>7d9>:18'51?=?k10e:<50;&20<<0j21d5>4?:%37=??e32wi84o50;094?6|,89>6:k4H062?M7412.:844;2:&;3?2d=2c<<7>5$06:>2d<3f386=4+15;9=g=O9=207pl;9c83>7<729q/=>;57d9K517<@8927)?;9;61?!>02=i>7d9?:18'51?=?k10c4=50;&20<<>j2B:8554}c6:g?6=:3:13484a>N6<81C=>74$06:>14<,1=18n;4i6294?"6<00!73133i7E?;8:9~f1?c29096=4?{%301?1b3A;?=6F>389'51?=<;1/4:4;c49j35<72-;?579m;:m:7?6=,8>264l4H06;?>{e<0o1<7=50;2x 4522k1C=9?4H01:?!7313k0(5954b78m27=83.:8448b:9j37<72-;?579m;:m:7?6=,8>264l4;|`7=c<72:0;6=u+1279f>N6<81C=>74$06:>d=#0>0?o85f7083>!7313=i76g82;29 42>2>h07b7<:18'51?=1k10qo:n0;297?6=8r.:?8468:J204=O9:30(<:6:858 =1=5$06:>5}#9:?1o6F>409K56?<,8>26l5+8687g0=n?80;6)?;9;5a?>o0:3:1(<:6:6`8?l14290/=9757c98k<5=83.:8446b:9~f1?129086=4?{%301???3A;?=6F>389'51?=1>1/4:4;c49j34<72-;?579m;:k46?6=,8>26:l4;n;0>5<#9=315o54}c6:3?6=<3:1348`?M7392B:?45+15;9e>"??3>h96g81;29 42>2>h07d9=:18'51?=?k10e:=50;&20<<0j21d5>4?:%37=??e32wi84650;194?6|,89>6464H062?M7412.:84467:&;3?2d=2c<=7>5$06:>2d<3`=96=4+15;93g=488:f>=zj=;I30=>"6<00j7)68:5a6?l16290/=9757c98m24=83.:8448b:9l=6<72-;?577m;:a027=8381<7>t$016>2c<@8>:7E?<9:&20<<3:2.3;7:l5:k44?6=,8>26:l4;n;0>5<#9=315o5G15:8?xd3?;0;6>4?:1y'563=j2B:8<5G12;8 42>2h1/4:4;c49j34<72-;?579m;:k46?6=,8>26:l4;n;0>5<#9=315o54}c647?6=:3:13484a>N6<81C=>74$06:>14<,1=18n;4i6294?"6<00!73133i7E?;8:9~f11329086=4?{%301?d<@8>:7E?<9:&20<5<#9=31;o54i6094?"6<00!73133i76sm46794?4=83:p(<=::6g8L4263A;856*>48876>"??3>h96g80;29 42>2>h07b7<:18'51?=1k1C=964;|`733<72;0;6=u+12793`=O9=;0D<=6;%37=?253-2<69m:;h53>5<#9=31;o54o8194?"6<002n6F>4998yg20?3:1?7>50z&270389'51?=i2.3;7:l5:k45?6=,8>26:l4;h51>5<#9=31;o54o8194?"6<002n65rb55;>5<4290;w)?<5;`8L4263A;856*>488b?!>02=i>7d9>:18'51?=?k10e:<50;&20<<0j21d5>4?:%37=??e32wi8;l50;194?6|,89>6o5G1538L45>3-;?57o4$9590f3;1<7*>4884f>=n?;0;6)?;9;5a?>i>;3:1(<:6:8`8?xd3>j0;6>4?:1y'563=111C=9?4H01:?!73133<7)68:5a6?l16290/=9757c98m24=83.:8448b:9l=6<72-;?577m;:a03b=8391<7>t$016>g=O9=;0D<=6;%37=?g<,1=18n;4i6394?"6<00!7313=i76a63;29 42>20h07pl;6d83>6<729q/=>;5999K517<@8927)?;9;;4?!>02=i>7d9>:18'51?=?k10e:<50;&20<<0j21d5>4?:%37=??e32wi8;h50;194?6|,89>6o5G1538L45>3-;?57o4$9590f3;1<7*>4884f>=n?;0;6)?;9;5a?>i>;3:1(<:6:8`8?xd3?90;6>4?:1y'563=111C=9?4H01:?!73133<7)68:5a6?l16290/=9757c98m24=83.:8448b:9l=6<72-;?577m;:a627=83>1<7>t$016>f=O9=;0D<=6;%37=?g<,1=18n;4i6394?"6<00!7313=i76g83;29 42>2>h07b7<:18'51?=1k10qo<82;296?6=8r.:?848e:J204=O9:30(<:6:508 =1=50;&20<<0j21d5>4?:%37=??e3A;?465rb350>5<5290;w)?<5;5f?M7392B:?45+15;907=#0>0?o85f7183>!7313=i76a63;29 42>20h0D<:7;:a622=8381<7>t$016>2c<@8>:7E?<9:&20<<3:2.3;7:l5:k44?6=,8>26:l4;n;0>5<#9=315o5G15:8?xd5?<0;6?4?:1y'563=?l1C=9?4H01:?!7313>97)68:5a6?l17290/=9757c98k<5=83.:8446b:J20==52;294~"6;<0409K56?<,8>269<4$9590f3:1<7*>4884f>=h1:0;6)?;9;;a?M73021vn?98:187>5<7s-;897m4H062?M7412.:844n;%:4>1e23`=:6=4+15;93g=81<7*>4884f>=n?:0;6)?;9;5a?>i>;3:1(<:6:8`8?xd5?10;6>4?:1y'563=111C=9?4H01:?!73133<7)68:5a6?l16290/=9757c98m24=83.:8448b:9l=6<72-;?577m;:a62?=83>1<7>t$016>f=O9=;0D<=6;%37=?g<,1=18n;4i6394?"6<00!7313=i76g83;29 42>2>h07b7<:18'51?=1k10qo<=8;297?6=8r.:?8468:J204=O9:30(<:6:858 =1=5$06:>44?:383>5}#9:?1;h5G1538L45>3-;?57:=;%:4>1e23`=;6=4+15;93g=488:f>N6<110qo<=a;297?6=8r.:?84m;I375>N6;01/=975a:&;3?2d=2c<=7>5$06:>2d<3`=96=4+15;93g=488:f>=zj;8i6=4<:183!74=3337E?;1:J27<=#9=315:5+8687g0=n?80;6)?;9;5a?>o0:3:1(<:6:6`8?j?4290/=9759c98yg43j3:1>7>50z&270<0m2B:8<5G12;8 42>2=80(5954b78m26=83.:8448b:9l=6<72-;?577m;I37<>=zj;>h6=4=:183!74=3=n7E?;1:J27<=#9=318?5+8687g0=n?90;6)?;9;5a?>i>;3:1(<:6:8`8L42?32wi>9j50;194?6|,89>6o5G1538L45>3-;?57o4$9590f3;1<7*>4884f>=n?;0;6)?;9;5a?>i>;3:1(<:6:8`8?xd54?:1y'563=111C=9?4H01:?!73133<7)68:5a6?l16290/=9757c98m24=83.:8448b:9l=6<72-;?577m;:a6t$016>2c<@8>:7E?<9:&20<<3:2.3;7:l5:k44?6=,8>26:l4;n;0>5<#9=315o5G15:8?xd5i80;6?4?:1y'563=?l1C=9?4H01:?!7313>97)68:5a6?l17290/=9757c98k<5=83.:8446b:J20==7>52;294~"6;<0409K56?<,8>269<4$9590f3:1<7*>4884f>=h1:0;6)?;9;;a?M73021vn?o<:180>5<7s-;897l4H062?M7412.:844n;%:4>1e23`=:6=4+15;93g=81<7*>4884f>=h1:0;6)?;9;;a?>{e:h>1<7<50;2x 4522>o0D<:>;I30=>"6<00?>6*77;6`1>o083:1(<:6:6`8?j?4290/=9759c9K51><3th9m84?:383>5}#9:?1;h5G1538L45>3-;?57:=;%:4>1e23`=;6=4+15;93g=488:f>N6<110qo5$06:>5}#9:?1n6F>409K56?<,8>26l5+8687g0=n?80;6)?;9;5a?>o0:3:1(<:6:6`8?j?4290/=9759c98yg4f03:1?7>50z&270389'51?=i2.3;7:l5:k45?6=,8>26:l4;h51>5<#9=31;o54o8194?"6<002n65rb3;`>5<4290;w)?<5;`8L4263A;856*>488b?!>02=i>7d9>:18'51?=?k10e:<50;&20<<0j21d5>4?:%37=??e32wi>4j50;694?6|,89>6n5G1538L45>3-;?57o4$9590f3;1<7*>4884f>=n?;0;6)?;9;5a?>o0;3:1(<:6:6`8?j?4290/=9759c98yg4>m3:187>50z&270<>12B:8<5G12;8 42>20=0(5954b78m27=83.:8448b:9j37<72-;?579m;:k47?6=,8>26:l4;n;0>5<#9=315o54}c0:b?6=;3:1348a?M7392B:?45+15;9e>"??3>h96g81;29 42>2>h07d9=:18'51?=?k10c4=50;&20<<>j21vn?o?:180>5<7s-;89777;I375>N6;01/=975969'<2<3k<1b;<4?:%37=?1e32c<>7>5$06:>2d<3f386=4+15;9=g=7>52;294~"6;<0409K56?<,8>269<4$9590f3:1<7*>4884f>=h1:0;6)?;9;;a?M73021vn?7<:180>5<7s-;89777;I375>N6;01/=975969'<2<3k<1b;<4?:%37=?1e32c<>7>5$06:>2d<3f386=4+15;9=g=52;294~"6;<0409K56?<,8>269<4$9590f3:1<7*>4884f>=h1:0;6)?;9;;a?M73021vn?7::180>5<7s-;89777;I375>N6;01/=975969'<2<3k<1b;<4?:%37=?1e32c<>7>5$06:>2d<3f386=4+15;9=g=53;294~"6;<0i7E?;1:J27<=#9=31m6*77;6`1>o093:1(<:6:6`8?l15290/=9757c98k<5=83.:8446b:9~f7?029086=4?{%301?d<@8>:7E?<9:&20<5<#9=31;o54i6094?"6<00!73133i76sm28:94?5=83:p(<=::8:8L4263A;856*>488:3>"??3>h96g81;29 42>2>h07d9=:18'51?=?k10c4=50;&20<<>j21vn99m:181>5<7s-;8979j;I375>N6;01/=975439'<2<3k<1b;=4?:%37=?1e32e2?7>5$06:>376sm49494?4=83:p(<=::6g8L4263A;856*>48876>"??3>h96g80;29 42>2>h07b7<:18'51?=1k1C=964;|`7N6<81C=>74$06:>d=#0>0?o85f7083>!7313=i76g82;29 42>2>h07b7<:18'51?=1k10qo:7c;296?6=8r.:?848e:J204=O9:30(<:6:508 =1=50;&20<<0j21d5>4?:%37=??e3A;?465rb5:g>5<5290;w)?<5;5f?M7392B:?45+15;907=#0>0?o85f7183>!7313=i76a63;29 42>20h0D<:7;:a0=c=8381<7>t$016>2c<@8>:7E?<9:&20<<3:2.3;7:l5:k44?6=,8>26:l4;n;0>5<#9=315o5G15:8?xd30o0;6?4?:1y'563=?l1C=9?4H01:?!7313>97)68:5a6?l17290/=9757c98k<5=83.:8446b:J20==2<7>52;294~"6;<0409K56?<,8>269<4$9590f3:1<7*>4884f>=h1:0;6)?;9;;a?M73021vn97>:181>5<7s-;8979j;I375>N6;01/=975439'<2<3k<1b;=4?:%37=?1e32e2?7>5$06:>376sm46a94?4=83:p(<=::6g8L4263A;856*>48876>"??3>h96g80;29 42>2>h07b7<:18'51?=1k1C=964;|`73a<72;0;6=u+12793`=O9=;0D<=6;%37=?253-2<69m:;h53>5<#9=31;o54o8194?"6<002n6F>4998yg20m3:1?7>50z&270389'51?=i2.3;7:l5:k45?6=,8>26:l4;h51>5<#9=31;o54o8194?"6<002n65rb55e>5<4290;w)?<5;`8L4263A;856*>488b?!>02=i>7d9>:18'51?=?k10e:<50;&20<<0j21d5>4?:%37=??e32wi85>50;194?6|,89>6o5G1538L45>3-;?57o4$9590f3;1<7*>4884f>=n?;0;6)?;9;5a?>i>;3:1(<:6:8`8?xd3080;6>4?:1y'563=j2B:8<5G12;8 42>2h1/4:4;c49j34<72-;?579m;:k46?6=,8>26:l4;n;0>5<#9=315o54}c6;6?6==3:1348g?M7392B:?45+15;9e>"??3>h96g81;29 42>2>h07d9=:18'51?=?k10e:=50;&20<<0j21b;94?:%37=?1e32e2?7>5$06:>4?:283>5}#9:?1555G1538L45>3-;?5778;%:4>1e23`=:6=4+15;93g=81<7*>4884f>=h1:0;6)?;9;;a?>{e<1>1<7=50;2x 4522020D<:>;I30=>"6<002;6*77;6`1>o093:1(<:6:6`8?l15290/=9757c98k<5=83.:8446b:9~f1>229086=4?{%301?d<@8>:7E?<9:&20<5<#9=31;o54i6094?"6<00!73133i76sm49594?5=83:p(<=::c9K517<@8927)?;9;c8 =1=5$06:>5}#9:?1555G1538L45>3-;?5778;%:4>1e23`=:6=4+15;93g=81<7*>4884f>=h1:0;6)?;9;;a?>{e<131<7=50;2x 4522k1C=9?4H01:?!7313k0(5954b78m27=83.:8448b:9j37<72-;?579m;:m:7?6=,8>264l4;|`75<#9=31;o54i6094?"6<00!73133i76sm41`94?5=83:p(<=::c9K517<@8927)?;9;c8 =1=5$06:>5}#9:?1555G1538L45>3-;?5778;%:4>1e23`=:6=4+15;93g=81<7*>4884f>=h1:0;6)?;9;;a?>{e<8;1<7<50;2x 4522>o0D<:>;I30=>"6<00?>6*77;6`1>o083:1(<:6:6`8?j?4290/=9759c9K51><3th?=?4?:383>5}#9:?1;h5G1538L45>3-;?57:=;%:4>1e23`=;6=4+15;93g=488:f>N6<110qo:>3;296?6=8r.:?848e:J204=O9:30(<:6:508 =1=50;&20<<0j21d5>4?:%37=??e3A;?465rb537>5<5290;w)?<5;5f?M7392B:?45+15;907=#0>0?o85f7183>!7313=i76a63;29 42>20h0D<:7;:a043=8381<7>t$016>2c<@8>:7E?<9:&20<<3:2.3;7:l5:k44?6=,8>26:l4;n;0>5<#9=315o5G15:8?xd39?0;6>4?:1y'563=111C=9?4H01:?!73133<7)68:5a6?l16290/=9757c98m24=83.:8448b:9l=6<72-;?577m;:a041=8391<7>t$016>g=O9=;0D<=6;%37=?g<,1=18n;4i6394?"6<00!7313=i76a63;29 42>20h07pl;0b83>6<729q/=>;5b:J204=O9:30(<:6:`9'<2<3k<1b;<4?:%37=?1e32c<>7>5$06:>2d<3f386=4+15;9=g=;h7>53;294~"6;<0246F>409K56?<,8>26494$9590f3;1<7*>4884f>=n?;0;6)?;9;5a?>i>;3:1(<:6:8`8?xd38l0;6>4?:1y'563=111C=9?4H01:?!73133<7)68:5a6?l16290/=9757c98m24=83.:8448b:9l=6<72-;?577m;:a05`=83>1<7>t$016>f=O9=;0D<=6;%37=?g<,1=18n;4i6394?"6<00!7313=i76g83;29 42>2>h07b7<:18'51?=1k10qo?mc;296?6=8r.:?848e:J204=O9:30(<:6:508 =1=50;&20<<0j21d5>4?:%37=??e3A;?465rb0`g>5<4290;w)?<5;`8L4263A;856*>488b?!>02=i>7d9>:18'51?=?k10e:<50;&20<<0j21d5>4?:%37=??e32wi=ok50;094?6|,89>6:k4H062?M7412.:844;2:&;3?2d=2c<<7>5$06:>2d<3f386=4+15;9=g=O9=207pl>bg83>7<729q/=>;57d9K517<@8927)?;9;61?!>02=i>7d9?:18'51?=?k10c4=50;&20<<>j2B:8554}c3`4?6=;3:1348a?M7392B:?45+15;9e>"??3>h96g81;29 42>2>h07d9=:18'51?=?k10c4=50;&20<<>j21vn:180>5<7s-;897l4H062?M7412.:844n;%:4>1e23`=:6=4+15;93g=81<7*>4884f>=h1:0;6)?;9;;a?>{e9j81<7=50;2x 4522020D<:>;I30=>"6<002;6*77;6`1>o093:1(<:6:6`8?l15290/=9757c98k<5=83.:8446b:9~f4e429086=4?{%301?d<@8>:7E?<9:&20<5<#9=31;o54i6094?"6<00!73133i76sm3d194?5=83:p(<=::c9K517<@8927)?;9;c8 =1=5$06:>5}#9:?1;h5G1538L45>3-;?57:=;%:4>1e23`=;6=4+15;93g=488:f>N6<110qo=i5;297?6=8r.:?84m;I375>N6;01/=975a:&;3?2d=2c<=7>5$06:>2d<3`=96=4+15;93g=488:f>=zj:l=6=4=:183!74=3=n7E?;1:J27<=#9=318?5+8687g0=n?90;6)?;9;5a?>i>;3:1(<:6:8`8L42?32wi?k950;094?6|,89>6:k4H062?M7412.:844;2:&;3?2d=2c<<7>5$06:>2d<3f386=4+15;9=g=O9=207pl7<729q/=>;57d9K517<@8927)?;9;61?!>02=i>7d9?:18'51?=?k10c4=50;&20<<>j2B:8554}c1e=?6=:3:13484a>N6<81C=>74$06:>14<,1=18n;4i6294?"6<00!73133i7E?;8:9~f6`f29096=4?{%301?1b3A;?=6F>389'51?=<;1/4:4;c49j35<72-;?579m;:m:7?6=,8>264l4H06;?>{e;oh1<7<50;2x 4522>o0D<:>;I30=>"6<00?>6*77;6`1>o083:1(<:6:6`8?j?4290/=9759c9K51><3th8i94?:383>5}#9:?1;h5G1538L45>3-;?57:=;%:4>1e23`=;6=4+15;93g=488:f>N6<110qo=j5;296?6=8r.:?848e:J204=O9:30(<:6:508 =1=50;&20<<0j21d5>4?:%37=??e3A;?465rb2g5>5<5290;w)?<5;5f?M7392B:?45+15;907=#0>0?o85f7183>!7313=i76a63;29 42>20h0D<:7;:a7`1=8381<7>t$016>2c<@8>:7E?<9:&20<<3:2.3;7:l5:k44?6=,8>26:l4;n;0>5<#9=315o5G15:8?xd4m10;6>4?:1y'563=j2B:8<5G12;8 42>2h1/4:4;c49j34<72-;?579m;:k46?6=,8>26:l4;n;0>5<#9=315o54}c1f=?6=;3:1348a?M7392B:?45+15;9e>"??3>h96g81;29 42>2>h07d9=:18'51?=?k10c4=50;&20<<>j21vn>kn:187>5<7s-;89776;I375>N6;01/=975969'<2<3k<1b;<4?:%37=?1e32c<>7>5$06:>2d<3`=86=4+15;93g=488:f>=zj:oi6=49:183!74=3o0D<:>;I30=>"6<00j7)68:5a6?l16290/=9757c98m24=83.:8448b:9j36<72-;?579m;:k40?6=,8>26:l4;h56>5<#9=31;o54o8194?"6<002n65rb2g`>5<4290;w)?<5;;;?M7392B:?45+15;9=2=#0>0?o85f7083>!7313=i76g82;29 42>2>h07b7<:18'51?=1k10qo=jd;290?6=8r.:?84l;I375>N6;01/=975a:&;3?2d=2c<=7>5$06:>2d<3`=96=4+15;93g=91<7*>4884f>=h1:0;6)?;9;;a?>{e;ll1<7=50;2x 4522020D<:>;I30=>"6<002;6*77;6`1>o093:1(<:6:6`8?l15290/=9757c98k<5=83.:8446b:9~f6`729086=4?{%301?d<@8>:7E?<9:&20<5<#9=31;o54i6094?"6<00!73133i76sm3g394?5=83:p(<=::c9K517<@8927)?;9;c8 =1=5$06:>5}#9:?1555G1538L45>3-;?5778;%:4>1e23`=:6=4+15;93g=81<7*>4884f>=h1:0;6)?;9;;a?>{e;o91<7=50;2x 4522k1C=9?4H01:?!7313k0(5954b78m27=83.:8448b:9j37<72-;?579m;:m:7?6=,8>264l4;|`0b1<72:0;6=u+1279===O9=;0D<=6;%37=??03-2<69m:;h52>5<#9=31;o54i6094?"6<00!73133i76sm40c94?4=83:p(<=::6g8L4263A;856*>48876>"??3>h96g80;29 42>2>h07b7<:18'51?=1k1C=964;|`760<72;0;6=u+12793`=O9=;0D<=6;%37=?253-2<69m:;h53>5<#9=31;o54o8194?"6<002n6F>4998yg25l3:1>7>50z&270<0m2B:8<5G12;8 42>2=80(5954b78m26=83.:8448b:9l=6<72-;?577m;I37<>=zj=8n6=4=:183!74=3=n7E?;1:J27<=#9=318?5+8687g0=n?90;6)?;9;5a?>i>;3:1(<:6:8`8L42?32wi8?h50;094?6|,89>6:k4H062?M7412.:844;2:&;3?2d=2c<<7>5$06:>2d<3f386=4+15;9=g=O9=207pl;3183>6<729q/=>;5b:J204=O9:30(<:6:`9'<2<3k<1b;<4?:%37=?1e32c<>7>5$06:>2d<3f386=4+15;9=g=8=7>52;294~"6;<0409K56?<,8>269<4$9590f3:1<7*>4884f>=h1:0;6)?;9;;a?M73021vn9==:181>5<7s-;8979j;I375>N6;01/=975439'<2<3k<1b;=4?:%37=?1e32e2?7>5$06:>376sm42194?4=83:p(<=::6g8L4263A;856*>48876>"??3>h96g80;29 42>2>h07b7<:18'51?=1k1C=964;|`75g<72;0;6=u+12793`=O9=;0D<=6;%37=?253-2<69m:;h53>5<#9=31;o54o8194?"6<002n6F>4998yg26k3:1>7>50z&270<0m2B:8<5G12;8 42>2=80(5954b78m26=83.:8448b:9l=6<72-;?577m;I37<>=zj=;o6=4=:183!74=3=n7E?;1:J27<=#9=318?5+8687g0=n?90;6)?;9;5a?>i>;3:1(<:6:8`8L42?32wi86:k4H062?M7412.:844;2:&;3?2d=2c<<7>5$06:>2d<3f386=4+15;9=g=O9=207pl;1g83>6<729q/=>;5b:J204=O9:30(<:6:`9'<2<3k<1b;<4?:%37=?1e32c<>7>5$06:>2d<3f386=4+15;9=g=9<7>54;294~"6;<0h7E?;1:J27<=#9=31m6*77;6`1>o093:1(<:6:6`8?l15290/=9757c98m25=83.:8448b:9l=6<72-;?577m;:a077=8391<7>t$016>g=O9=;0D<=6;%37=?g<,1=18n;4i6394?"6<00!7313=i76a63;29 42>20h07pl;2383>1<729q/=>;5c:J204=O9:30(<:6:`9'<2<3k<1b;<4?:%37=?1e32c<>7>5$06:>2d<3`=86=4+15;93g=488:f>=zj=886=4<:183!74=3337E?;1:J27<=#9=315:5+8687g0=n?80;6)?;9;5a?>o0:3:1(<:6:6`8?j?4290/=9759c98yg25<3:1?7>50z&270<>02B:8<5G12;8 42>20=0(5954b78m27=83.:8448b:9j37<72-;?579m;:m:7?6=,8>264l4;|`763<72=0;6=u+1279g>N6<81C=>74$06:>d=#0>0?o85f7083>!7313=i76g82;29 42>2>h07d9<:18'51?=?k10c4=50;&20<<>j21vn9<8:180>5<7s-;897l4H062?M7412.:844n;%:4>1e23`=:6=4+15;93g=81<7*>4884f>=h1:0;6)?;9;;a?>{e<;21<7=50;2x 4522020D<:>;I30=>"6<002;6*77;6`1>o093:1(<:6:6`8?l15290/=9757c98k<5=83.:8446b:9~f14>290?6=4?{%301?e<@8>:7E?<9:&20<5<#9=31;o54i6094?"6<00!7313=i76a63;29 42>20h07pl;2`83>6<729q/=>;5999K517<@8927)?;9;;4?!>02=i>7d9>:18'51?=?k10e:<50;&20<<0j21d5>4?:%37=??e32wi8?l50;194?6|,89>6o5G1538L45>3-;?57o4$9590f3;1<7*>4884f>=n?;0;6)?;9;5a?>i>;3:1(<:6:8`8?xd3:j0;6>4?:1y'563=111C=9?4H01:?!73133<7)68:5a6?l16290/=9757c98m24=83.:8448b:9l=6<72-;?577m;:a5ad=83?1<7>t$016>a=O9=;0D<=6;%37=?g<,1=18n;4i6394?"6<00!7313=i76g83;29 42>2>h07d9;:18'51?=?k10c4=50;&20<<>j21vn5<7s-;897l4H062?M7412.:844n;%:4>1e23`=:6=4+15;93g=81<7*>4884f>=h1:0;6)?;9;;a?>{e9mn1<7=50;2x 45228>;7E?;1:J27<=#9=31=>h4$9590f3;1<7*>4884f>=n?;0;6)?;9;5a?>i>;3:1(<:6:8`8?xd6ll0;6?4?:1y'563=?l1C=9?4H01:?!7313>97)68:5a6?l17290/=9757c98k<5=83.:8446b:J20==52;294~"6;<0409K56?<,8>269<4$9590f3:1<7*>4884f>=h1:0;6)?;9;;a?M73021vn5<7s-;897l4H062?M7412.:844n;%:4>1e23`=:6=4+15;93g=81<7*>4884f>=h1:0;6)?;9;;a?>{e9l;1<7=50;2x 4522k1C=9?4H01:?!7313k0(5954b78m27=83.:8448b:9j37<72-;?579m;:m:7?6=,8>264l4;|`2a7<72=0;6=u+1279g>N6<81C=>74$06:>d=#0>0?o85f7083>!7313=i76g82;29 42>2>h07d9<:18'51?=?k10c4=50;&20<<>j21vn?9l:181>5<7s-;8979j;I375>N6;01/=975439'<2<3k<1b;=4?:%37=?1e32e2?7>5$06:>376sm26f94?4=83:p(<=::6g8L4263A;856*>48876>"??3>h96g80;29 42>2>h07b7<:18'51?=1k1C=964;|`13`<72;0;6=u+12793`=O9=;0D<=6;%37=?253-2<69m:;h53>5<#9=31;o54o8194?"6<002n6F>4998yg40n3:1>7>50z&270<0m2B:8<5G12;8 42>2=80(5954b78m26=83.:8448b:9l=6<72-;?577m;I37<>=zj;2;6=4=:183!74=3=n7E?;1:J27<=#9=318?5+8687g0=n?90;6)?;9;5a?>i>;3:1(<:6:8`8L42?32wi>5?50;594?6|,89>6k5G1538L45>3-;?57o4$9590f3;1<7*>4884f>=n?;0;6)?;9;5a?>o0;3:1(<:6:6`8?l13290/=9757c98m23=83.:8448b:9j33<72-;?579m;:m:7?6=,8>264l4;|`1bd<72;0;6=u+12793`=O9=;0D<=6;%37=?253-2<69m:;h53>5<#9=31;o54o8194?"6<002n6F>4998yg4aj3:1>7>50z&270<0m2B:8<5G12;8 42>2=80(5954b78m26=83.:8448b:9l=6<72-;?577m;I37<>=zj;lh6=4=:183!74=3=n7E?;1:J27<=#9=318?5+8687g0=n?90;6)?;9;5a?>i>;3:1(<:6:8`8L42?32wi>kj50;094?6|,89>6:k4H062?M7412.:844;2:&;3?2d=2c<<7>5$06:>2d<3f386=4+15;9=g=O9=207pl=fd83>7<729q/=>;57d9K517<@8927)?;9;61?!>02=i>7d9?:18'51?=?k10c4=50;&20<<>j2B:8554}c0eb?6=>3:1348f?M7392B:?45+15;9e>"??3>h96g81;29 42>2>h07d9=:18'51?=?k10e:=50;&20<<0j21b;94?:%37=?1e32c<97>5$06:>2d<3f386=4+15;9=g=53;294~"6;<0246F>409K56?<,8>26494$9590f3;1<7*>4884f>=n?;0;6)?;9;5a?>i>;3:1(<:6:8`8?xd48;0;684?:1y'563=l2B:8<5G12;8 42>2h1/4:4;c49j34<72-;?579m;:k46?6=,8>26:l4;h50>5<#9=31;o54i6694?"6<00!73133i76sm31194?5=83:p(<=::c9K517<@8927)?;9;c8 =1=5$06:>5}#9:?1;h5G1538L45>3-;?57:=;%:4>1e23`=;6=4+15;93g=488:f>N6<110qo=?5;296?6=8r.:?848e:J204=O9:30(<:6:508 =1=50;&20<<0j21d5>4?:%37=??e3A;?465rb225>5<4290;w)?<5;`8L4263A;856*>488b?!>02=i>7d9>:18'51?=?k10e:<50;&20<<0j21d5>4?:%37=??e32wi?=950;194?6|,89>6o5G1538L45>3-;?57o4$9590f3;1<7*>4884f>=n?;0;6)?;9;5a?>i>;3:1(<:6:8`8?xd4810;6>4?:1y'563=j2B:8<5G12;8 42>2h1/4:4;c49j34<72-;?579m;:k46?6=,8>26:l4;n;0>5<#9=315o54}c3:2?6=:3:13484a>N6<81C=>74$06:>14<,1=18n;4i6294?"6<00!73133i7E?;8:9~f4??29096=4?{%301?1b3A;?=6F>389'51?=<;1/4:4;c49j35<72-;?579m;:m:7?6=,8>264l4H06;?>{e9031<7<50;2x 4522>o0D<:>;I30=>"6<00?>6*77;6`1>o083:1(<:6:6`8?j?4290/=9759c9K51><3th:5l4?:383>5}#9:?1;h5G1538L45>3-;?57:=;%:4>1e23`=;6=4+15;93g=488:f>N6<110qo?6b;296?6=8r.:?848e:J204=O9:30(<:6:508 =1=50;&20<<0j21d5>4?:%37=??e3A;?465rb0;`>5<5290;w)?<5;5f?M7392B:?45+15;907=#0>0?o85f7183>!7313=i76a63;29 42>20h0D<:7;:a5t$016>2c<@8>:7E?<9:&20<<3:2.3;7:l5:k44?6=,8>26:l4;n;0>5<#9=315o5G15:8?xd61l0;6?4?:1y'563=?l1C=9?4H01:?!7313>97)68:5a6?l17290/=9757c98k<5=83.:8446b:J20==58;294~"6;<0:<6F>409K56?<,8>26l5+8687g0=n?80;6)?;9;5a?>o0:3:1(<:6:6`8?l14290/=9757c98m22=83.:8448b:9j30<72-;?579m;:k42?6=,8>26:l4;h54>5<#9=31;o54o8194?"6<002n65rb0;4>5<4290;w)?<5;;;?M7392B:?45+15;9=2=#0>0?o85f7083>!7313=i76g82;29 42>2>h07b7<:18'51?=1k10qo=;1;297?6=8r.:?84m;I375>N6;01/=975a:&;3?2d=2c<=7>5$06:>2d<3`=96=4+15;93g=488:f>=zj:>96=4<:183!74=3337E?;1:J27<=#9=315:5+8687g0=n?80;6)?;9;5a?>o0:3:1(<:6:6`8?j?4290/=9759c98yg53;3:1>7>50z&270<0m2B:8<5G12;8 42>2=80(5954b78m26=83.:8448b:9l=6<72-;?577m;I37<>=zj:>?6=4=:183!74=3=n7E?;1:J27<=#9=318?5+8687g0=n?90;6)?;9;5a?>i>;3:1(<:6:8`8L42?32wi?9;50;194?6|,89>6o5G1538L45>3-;?57o4$9590f3;1<7*>4884f>=n?;0;6)?;9;5a?>i>;3:1(<:6:8`8?xd42h1/4:4;c49j34<72-;?579m;:k46?6=,8>26:l4;h50>5<#9=31;o54o8194?"6<002n65rb3f6>5<5290;w)?<5;5f?M7392B:?45+15;907=#0>0?o85f7183>!7313=i76a63;29 42>20h0D<:7;:a6a0=8381<7>t$016>2c<@8>:7E?<9:&20<<3:2.3;7:l5:k44?6=,8>26:l4;n;0>5<#9=315o5G15:8?xd5l>0;6>4?:1y'563=111C=9?4H01:?!73133<7)68:5a6?l16290/=9757c98m24=83.:8448b:9l=6<72-;?577m;:a6a>=8391<7>t$016>g=O9=;0D<=6;%37=?g<,1=18n;4i6394?"6<00!7313=i76a63;29 42>20h07pl>c483>7<729q/=>;57d9K517<@8927)?;9;61?!>02=i>7d9?:18'51?=?k10c4=50;&20<<>j2B:8554}c3`g?6=:3:13484a>N6<81C=>74$06:>14<,1=18n;4i6294?"6<00!73133i7E?;8:9~f4ec29096=4?{%301?1b3A;?=6F>389'51?=<;1/4:4;c49j35<72-;?579m;:m:7?6=,8>264l4H06;?>{e9jo1<7<50;2x 4522>o0D<:>;I30=>"6<00?>6*77;6`1>o083:1(<:6:6`8?j?4290/=9759c9K51><3th:ok4?:283>5}#9:?1n6F>409K56?<,8>26l5+8687g0=n?80;6)?;9;5a?>o0:3:1(<:6:6`8?j?4290/=9759c98yg7c83:1>7>50z&270<0m2B:8<5G12;8 42>2=80(5954b78m26=83.:8448b:9l=6<72-;?577m;I37<>=zj8n:6=4=:183!74=3=n7E?;1:J27<=#9=318?5+8687g0=n?90;6)?;9;5a?>i>;3:1(<:6:8`8L42?32wi=i<50;094?6|,89>6:k4H062?M7412.:844;2:&;3?2d=2c<<7>5$06:>2d<3f386=4+15;9=g=O9=207pl>d283>6<729q/=>;5b:J204=O9:30(<:6:`9'<2<3k<1b;<4?:%37=?1e32c<>7>5$06:>2d<3f386=4+15;9=g=53;294~"6;<0i7E?;1:J27<=#9=31m6*77;6`1>o093:1(<:6:6`8?l15290/=9757c98k<5=83.:8446b:9~f4e029086=4?{%301?d<@8>:7E?<9:&20<5<#9=31;o54i6094?"6<00!73133i76sm1b:94?5=83:p(<=::8:8L4263A;856*>488:3>"??3>h96g81;29 42>2>h07d9=:18'51?=?k10c4=50;&20<<>j21vn5<7s-;897l4H062?M7412.:844n;%:4>1e23`=:6=4+15;93g=81<7*>4884f>=h1:0;6)?;9;;a?>{e9jk1<7=50;2x 4522020D<:>;I30=>"6<002;6*77;6`1>o093:1(<:6:6`8?l15290/=9757c98k<5=83.:8446b:9~f4ee290?6=4?{%301?e<@8>:7E?<9:&20<5<#9=31;o54i6094?"6<00!7313=i76a63;29 42>20h07pl<7c83>7<729q/=>;57d9K517<@8927)?;9;61?!>02=i>7d9?:18'51?=?k10c4=50;&20<<>j2B:8554}c14g?6=:3:13484a>N6<81C=>74$06:>14<,1=18n;4i6294?"6<00!73133i7E?;8:9~f61c29096=4?{%301?1b3A;?=6F>389'51?=<;1/4:4;c49j35<72-;?579m;:m:7?6=,8>264l4H06;?>{e;>o1<7<50;2x 4522>o0D<:>;I30=>"6<00?>6*77;6`1>o083:1(<:6:6`8?j?4290/=9759c9K51><3th8;k4?:483>5}#9:?1h6F>409K56?<,8>26l5+8687g0=n?80;6)?;9;5a?>o0:3:1(<:6:6`8?l14290/=9757c98m22=83.:8448b:9l=6<72-;?577m;:a7ag=8381<7>t$016>2c<@8>:7E?<9:&20<<3:2.3;7:l5:k44?6=,8>26:l4;n;0>5<#9=315o5G15:8?xd4lk0;6?4?:1y'563=?l1C=9?4H01:?!7313>97)68:5a6?l17290/=9757c98k<5=83.:8446b:J20==52;294~"6;<0409K56?<,8>269<4$9590f3:1<7*>4884f>=h1:0;6)?;9;;a?M73021vn>jk:181>5<7s-;8979j;I375>N6;01/=975439'<2<3k<1b;=4?:%37=?1e32e2?7>5$06:>376sm3eg94?3=83:p(<=::e9K517<@8927)?;9;c8 =1=5$06:>2d<3`=?6=4+15;93g=488:f>=zj:nm6=4<:183!74=3337E?;1:J27<=#9=315:5+8687g0=n?80;6)?;9;5a?>o0:3:1(<:6:6`8?j?4290/=9759c98yg22l3:1>7>50z&270<0m2B:8<5G12;8 42>2=80(5954b78m26=83.:8448b:9l=6<72-;?577m;I37<>=zj=?n6=4=:183!74=3=n7E?;1:J27<=#9=318?5+8687g0=n?90;6)?;9;5a?>i>;3:1(<:6:8`8L42?32wi88h50;694?6|,89>6n5G1538L45>3-;?57o4$9590f3;1<7*>4884f>=n?;0;6)?;9;5a?>o0;3:1(<:6:6`8?j?4290/=9759c98yg2183:1?7>50z&270<>02B:8<5G12;8 42>20=0(5954b78m27=83.:8448b:9j37<72-;?579m;:m:7?6=,8>264l4;|`724<72:0;6=u+1279f>N6<81C=>74$06:>d=#0>0?o85f7083>!7313=i76g82;29 42>2>h07b7<:18'51?=1k10qo:92;297?6=8r.:?8468:J204=O9:30(<:6:858 =1=5$06:>5}#9:?1;h5G1538L45>3-;?57:=;%:4>1e23`=;6=4+15;93g=488:f>N6<110qo=>2;296?6=8r.:?848e:J204=O9:30(<:6:508 =1=50;&20<<0j21d5>4?:%37=??e3A;?465rb230>5<5290;w)?<5;5f?M7392B:?45+15;907=#0>0?o85f7183>!7313=i76a63;29 42>20h0D<:7;:a742=8381<7>t$016>2c<@8>:7E?<9:&20<<3:2.3;7:l5:k44?6=,8>26:l4;n;0>5<#9=315o5G15:8?xd49<0;684?:1y'563=l2B:8<5G12;8 42>2h1/4:4;c49j34<72-;?579m;:k46?6=,8>26:l4;h50>5<#9=31;o54i6694?"6<00!73133i76sm30494?5=83:p(<=::8:8L4263A;856*>488:3>"??3>h96g81;29 42>2>h07d9=:18'51?=?k10c4=50;&20<<>j21vn?kn:181>5<7s-;8979j;I375>N6;01/=975439'<2<3k<1b;=4?:%37=?1e32e2?7>5$06:>376sm2d`94?4=83:p(<=::6g8L4263A;856*>48876>"??3>h96g80;29 42>2>h07b7<:18'51?=1k1C=964;|`1af<72;0;6=u+12793`=O9=;0D<=6;%37=?253-2<69m:;h53>5<#9=31;o54o8194?"6<002n6F>4998yg4bl3:1?7>50z&270<>02B:8<5G12;8 42>20=0(5954b78m27=83.:8448b:9j37<72-;?579m;:m:7?6=,8>264l4;|`1a`<72=0;6=u+1279g>N6<81C=>74$06:>d=#0>0?o85f7083>!7313=i76g82;29 42>2>h07d9<:18'51?=?k10c4=50;&20<<>j21vn?ki:180>5<7s-;897l4H062?M7412.:844n;%:4>1e23`=:6=4+15;93g=81<7*>4884f>=h1:0;6)?;9;;a?>{e:o:1<7=50;2x 4522020D<:>;I30=>"6<002;6*77;6`1>o093:1(<:6:6`8?l15290/=9757c98k<5=83.:8446b:9~f7ba29096=4?{%301?1b3A;?=6F>389'51?=<;1/4:4;c49j35<72-;?579m;:m:7?6=,8>264l4H06;?>{e:l:1<7<50;2x 4522>o0D<:>;I30=>"6<00?>6*77;6`1>o083:1(<:6:6`8?j?4290/=9759c9K51><3th9i<4?:383>5}#9:?1;h5G1538L45>3-;?57:=;%:4>1e23`=;6=4+15;93g=488:f>N6<110qoN6;01/=975a:&;3?2d=2c<=7>5$06:>2d<3`=96=4+15;93g=488:f>=zj;o86=4<:183!74=3337E?;1:J27<=#9=315:5+8687g0=n?80;6)?;9;5a?>o0:3:1(<:6:6`8?j?4290/=9759c98yg4?i3:1>7>50z&270<0m2B:8<5G12;8 42>2=80(5954b78m26=83.:8448b:9l=6<72-;?577m;I37<>=zj;2i6=4=:183!74=3=n7E?;1:J27<=#9=318?5+8687g0=n?90;6)?;9;5a?>i>;3:1(<:6:8`8L42?32wi>5m50;094?6|,89>6:k4H062?M7412.:844;2:&;3?2d=2c<<7>5$06:>2d<3f386=4+15;9=g=O9=207pl=8e83>7<729q/=>;57d9K517<@8927)?;9;61?!>02=i>7d9?:18'51?=?k10c4=50;&20<<>j2B:8554}c0;a?6=:3:13484a>N6<81C=>74$06:>14<,1=18n;4i6294?"6<00!73133i7E?;8:9~f7>a290>6=4?{%301?b<@8>:7E?<9:&20<5<#9=31;o54i6094?"6<00!7313=i76g84;29 42>2>h07b7<:18'51?=1k10qo<60;297?6=8r.:?8468:J204=O9:30(<:6:858 =1=5$06:>5}#9:?1n6F>409K56?<,8>26l5+8687g0=n?80;6)?;9;5a?>o0:3:1(<:6:6`8?j?4290/=9759c98yg7f?3:1>7>50z&270<0m2B:8<5G12;8 42>2=80(5954b78m26=83.:8448b:9l=6<72-;?577m;I37<>=zj8k36=4<:183!74=3337E?;1:J27<=#9=315:5+8687g0=n?80;6)?;9;5a?>o0:3:1(<:6:6`8?j?4290/=9759c98yg5am3:1?7>50z&270389'51?=i2.3;7:l5:k45?6=,8>26:l4;h51>5<#9=31;o54o8194?"6<002n65rb2de>5<4290;w)?<5;;;?M7392B:?45+15;9=2=#0>0?o85f7083>!7313=i76g82;29 42>2>h07b7<:18'51?=1k10qo:?0;296?6=8r.:?848e:J204=O9:30(<:6:508 =1=50;&20<<0j21d5>4?:%37=??e3A;?465rb522>5<5290;w)?<5;5f?M7392B:?45+15;907=#0>0?o85f7183>!7313=i76a63;29 42>20h0D<:7;:a054=8381<7>t$016>2c<@8>:7E?<9:&20<<3:2.3;7:l5:k44?6=,8>26:l4;n;0>5<#9=315o5G15:8?xd38:0;6>4?:1y'563=j2B:8<5G12;8 42>2h1/4:4;c49j34<72-;?579m;:k46?6=,8>26:l4;n;0>5<#9=315o54}c630?6=<3:1348`?M7392B:?45+15;9e>"??3>h96g81;29 42>2>h07d9=:18'51?=?k10e:=50;&20<<0j21d5>4?:%37=??e32wi8=;50;194?6|,89>6464H062?M7412.:84467:&;3?2d=2c<=7>5$06:>2d<3`=96=4+15;93g=488:f>=zj8kn6=4<:183!74=3337E?;1:J27<=#9=315:5+8687g0=n?80;6)?;9;5a?>o0:3:1(<:6:6`8?j?4290/=9759c98yg7fn3:1>7>50z&270<0m2B:8<5G12;8 42>2=80(5954b78m26=83.:8448b:9l=6<72-;?577m;I37<>=zj8h;6=4<:183!74=3h0D<:>;I30=>"6<00j7)68:5a6?l16290/=9757c98m24=83.:8448b:9l=6<72-;?577m;:a5g7=8381<7>t$016>2c<@8>:7E?<9:&20<<3:2.3;7:l5:k44?6=,8>26:l4;n;0>5<#9=315o5G15:8?xd6j;0;6>4?:1y'563=j2B:8<5G12;8 42>2h1/4:4;c49j34<72-;?579m;:k46?6=,8>26:l4;n;0>5<#9=315o54}c3a7?6=;3:1348a?M7392B:?45+15;9e>"??3>h96g81;29 42>2>h07d9=:18'51?=?k10c4=50;&20<<>j21vn5<7s-;897l4H062?M7412.:844n;%:4>1e23`=:6=4+15;93g=81<7*>4884f>=h1:0;6)?;9;;a?>{e9k?1<7;50;2x 45220k0D<:>;I30=>"6<002;6*77;6`1>o093:1(<:6:6`8?l15290/=9757c98m25=83.:8448b:9j31<72-;?579m;:m:7?6=,8>264l4;|`7f3<72;0;6=u+12793`=O9=;0D<=6;%37=?253-2<69m:;h53>5<#9=31;o54o8194?"6<002n6F>4998yg2e?3:1>7>50z&270<0m2B:8<5G12;8 42>2=80(5954b78m26=83.:8448b:9l=6<72-;?577m;I37<>=zj=h36=4=:183!74=3=n7E?;1:J27<=#9=318?5+8687g0=n?90;6)?;9;5a?>i>;3:1(<:6:8`8L42?32wi8o750;694?6|,89>6474H062?M7412.:84467:&;3?2d=2c<=7>5$06:>2d<3`=96=4+15;93g=91<7*>4884f>=h1:0;6)?;9;;a?>{e264l4;|`0g<<72:0;6=u+1279f>N6<81C=>74$06:>d=#0>0?o85f7083>!7313=i76g82;29 42>2>h07b7<:18'51?=1k10qo=lf;297?6=8r.:?8468:J204=O9:30(<:6:858 =1=5$06:>5}#9:?1h6F>409K56?<,8>26l5+8687g0=n?80;6)?;9;5a?>o0:3:1(<:6:6`8?l14290/=9757c98m22=83.:8448b:9l=6<72-;?577m;:a7a7=8381<7>t$016>2c<@8>:7E?<9:&20<<3:2.3;7:l5:k44?6=,8>26:l4;n;0>5<#9=315o5G15:8?xd4l;0;6?4?:1y'563=?l1C=9?4H01:?!7313>97)68:5a6?l17290/=9757c98k<5=83.:8446b:J20==52;294~"6;<0409K56?<,8>269<4$9590f3:1<7*>4884f>=h1:0;6)?;9;;a?M73021vn>j;:181>5<7s-;8979j;I375>N6;01/=975439'<2<3k<1b;=4?:%37=?1e32e2?7>5$06:>376sm3e794?4=83:p(<=::6g8L4263A;856*>48876>"??3>h96g80;29 42>2>h07b7<:18'51?=1k1C=964;|`0`3<72:0;6=u+1279f>N6<81C=>74$06:>d=#0>0?o85f7083>!7313=i76g82;29 42>2>h07b7<:18'51?=1k10qo=la;291?6=8r.:?84k;I375>N6;01/=975a:&;3?2d=2c<=7>5$06:>2d<3`=96=4+15;93g=91<7*>4884f>=n?=0;6)?;9;5a?>i>;3:1(<:6:8`8?xd4kk0;6>4?:1y'563=j2B:8<5G12;8 42>2h1/4:4;c49j34<72-;?579m;:k46?6=,8>26:l4;n;0>5<#9=315o54}c1`g?6=;3:1348:<>N6<81C=>74$06:><1<,1=18n;4i6394?"6<00!7313=i76a63;29 42>20h07pl6<729q/=>;5b:J204=O9:30(<:6:`9'<2<3k<1b;<4?:%37=?1e32c<>7>5$06:>2d<3f386=4+15;9=g=53;294~"6;<0i7E?;1:J27<=#9=31m6*77;6`1>o093:1(<:6:6`8?l15290/=9757c98k<5=83.:8446b:9~f64029096=4?{%301?1b3A;?=6F>389'51?=<;1/4:4;c49j35<72-;?579m;:m:7?6=,8>264l4H06;?>{e;;31<7=50;2x 4522020D<:>;I30=>"6<002;6*77;6`1>o093:1(<:6:6`8?l15290/=9757c98k<5=83.:8446b:9~f64f29086=4?{%301?d<@8>:7E?<9:&20<5<#9=31;o54i6094?"6<00!73133i76sm33`94?4=83:p(<=::6g8L4263A;856*>48876>"??3>h96g80;29 42>2>h07b7<:18'51?=1k1C=964;|`06f<72;0;6=u+12793`=O9=;0D<=6;%37=?253-2<69m:;h53>5<#9=31;o54o8194?"6<002n6F>4998yg55l3:1?7>50z&270389'51?=i2.3;7:l5:k45?6=,8>26:l4;h51>5<#9=31;o54o8194?"6<002n65rb20f>5<4290;w)?<5;`8L4263A;856*>488b?!>02=i>7d9>:18'51?=?k10e:<50;&20<<0j21d5>4?:%37=??e32wi??h50;194?6|,89>6464H062?M7412.:84467:&;3?2d=2c<=7>5$06:>2d<3`=96=4+15;93g=488:f>=zj:9;6=4<:183!74=3h0D<:>;I30=>"6<00j7)68:5a6?l16290/=9757c98m24=83.:8448b:9l=6<72-;?577m;:a77>=8391<7>t$016><><@8>:7E?<9:&20<<>?2.3;7:l5:k45?6=,8>26:l4;h51>5<#9=31;o54o8194?"6<002n65rb27;>5<5290;w)?<5;5f?M7392B:?45+15;907=#0>0?o85f7183>!7313=i76a63;29 42>20h0D<:7;:a70?=8381<7>t$016>2c<@8>:7E?<9:&20<<3:2.3;7:l5:k44?6=,8>26:l4;n;0>5<#9=315o5G15:8?xd4=h0;6?4?:1y'563=?l1C=9?4H01:?!7313>97)68:5a6?l17290/=9757c98k<5=83.:8446b:J20==n7>53;294~"6;<0i7E?;1:J27<=#9=31m6*77;6`1>o093:1(<:6:6`8?l15290/=9757c98k<5=83.:8446b:9~f63d29086=4?{%301???3A;?=6F>389'51?=1>1/4:4;c49j34<72-;?579m;:k46?6=,8>26:l4;n;0>5<#9=315o54}c16`?6=;3:1348a?M7392B:?45+15;9e>"??3>h96g81;29 42>2>h07d9=:18'51?=?k10c4=50;&20<<>j21vn>;j:180>5<7s-;89777;I375>N6;01/=975969'<2<3k<1b;<4?:%37=?1e32c<>7>5$06:>2d<3f386=4+15;9=g=53;294~"6;<0i7E?;1:J27<=#9=31m6*77;6`1>o093:1(<:6:6`8?l15290/=9757c98k<5=83.:8446b:9~f65>29096=4?{%301?1b3A;?=6F>389'51?=<;1/4:4;c49j35<72-;?579m;:m:7?6=,8>264l4H06;?>{e;:k1<7<50;2x 4522>o0D<:>;I30=>"6<00?>6*77;6`1>o083:1(<:6:6`8?j?4290/=9759c9K51><3th8?o4?:383>5}#9:?1;h5G1538L45>3-;?57:=;%:4>1e23`=;6=4+15;93g=488:f>N6<110qo=N6;01/=975a:&;3?2d=2c<=7>5$06:>2d<3`=96=4+15;93g=488:f>=zj:9o6=4<:183!74=3337E?;1:J27<=#9=315:5+8687g0=n?80;6)?;9;5a?>o0:3:1(<:6:6`8?j?4290/=9759c98yg54m3:187>50z&270389'51?=i2.3;7:l5:k45?6=,8>26:l4;h51>5<#9=31;o54i6194?"6<00!73133i76sm20794?4=83:p(<=::6g8L4263A;856*>48876>"??3>h96g80;29 42>2>h07b7<:18'51?=1k1C=964;|`153<72;0;6=u+12793`=O9=;0D<=6;%37=?253-2<69m:;h53>5<#9=31;o54o8194?"6<002n6F>4998yg46?3:1>7>50z&270<0m2B:8<5G12;8 42>2=80(5954b78m26=83.:8448b:9l=6<72-;?577m;I37<>=zj;;36=4=:183!74=3=n7E?;1:J27<=#9=318?5+8687g0=n?90;6)?;9;5a?>i>;3:1(<:6:8`8L42?32wi><750;094?6|,89>6:k4H062?M7412.:844;2:&;3?2d=2c<<7>5$06:>2d<3f386=4+15;9=g=O9=207pl=1`83>6<729q/=>;5999K517<@8927)?;9;;4?!>02=i>7d9>:18'51?=?k10e:<50;&20<<0j21d5>4?:%37=??e32wi>6464H062?M7412.:84467:&;3?2d=2c<=7>5$06:>2d<3`=96=4+15;93g=488:f>=zj;;h6=49:183!74=3o0D<:>;I30=>"6<00j7)68:5a6?l16290/=9757c98m24=83.:8448b:9j36<72-;?579m;:k40?6=,8>26:l4;h56>5<#9=31;o54o8194?"6<002n65rb33g>5<4290;w)?<5;;;?M7392B:?45+15;9=2=#0>0?o85f7083>!7313=i76g82;29 42>2>h07b7<:18'51?=1k10qo?87;290?6=8r.:?84l;I375>N6;01/=975a:&;3?2d=2c<=7>5$06:>2d<3`=96=4+15;93g=91<7*>4884f>=h1:0;6)?;9;;a?>{e9?l1<7:50;2x 4522j1C=9?4H01:?!7313k0(5954b78m27=83.:8448b:9j37<72-;?579m;:k47?6=,8>26:l4;n;0>5<#9=315o54}c347?6=<3:1348`?M7392B:?45+15;9e>"??3>h96g81;29 42>2>h07d9=:18'51?=?k10e:=50;&20<<0j21d5>4?:%37=??e32wi9>4?:383>5}#9:?1;l5G1538L45>3-;?57:=;I:3?!>52>h0(5954b78m26=83.:8448b:9l=6<72-;?577m;:a7=b=8381<7>t$016>2g<@8>:7E?<9:&20<<3:2B3<6*72;5a?!>02=i>7d9?:18'51?=?k10c4=50;&20<<>j21vn>ll:181>5<7s-;8979n;I375>N6;01/=975439K<5=#0;0o083:1(<:6:6`8?j?4290/=9759c98yg5>>3:1>7>50z&270<0i2B:8<5G12;8 42>2=80D5>4$9093g=#0>0?o85f7183>!7313=i76a63;29 42>20h07pl7<729q/=>;57`9K517<@8927)?;9;61?M>73-296:l4$9590f3:1<7*>4884f>=h1:0;6)?;9;;a?>{e;h:1<7<50;2x 4522>k0D<:>;I30=>"6<00?>6F70:&;6?1e3-2<69m:;h53>5<#9=31;o54o8194?"6<002n65rb2c;>5<5290;w)?<5;5b?M7392B:?45+15;907=O091/4?48b:&;3?2d=2c<<7>5$06:>2d<3f386=4+15;9=g=52;294~"6;<0409K56?<,8>269<4H928 =4=?k1/4:4;c49j35<72-;?579m;:m:7?6=,8>264l4;|`0ed<72;0;6=u+12793d=O9=;0D<=6;%37=?253A2;7)6=:6`8 =1=50;&20<<0j21d5>4?:%37=??e32wi8k4?:383>5}#9:?1;l5G1538L45>3-;?57:=;I:3?!>52>h0(5954b78m26=83.:8448b:9l=6<72-;?577m;:a7<>=8381<7>t$016>2g<@8>:7E?<9:&20<<3:2B3<6*72;5a?!>02=i>7d9?:18'51?=?k10c4=50;&20<<>j21vn8?50;094?6|,89>6:o4H062?M7412.:844;2:J;4>"?:3=i7)68:5a6?l17290/=9757c98k<5=83.:8446b:9~f3>=8381<7>t$016>2g<@8>:7E?<9:&20<<3:2B3<6*72;5a?!>02=i>7d9?:18'51?=?k10c4=50;&20<<>j21vn>m9:181>5<7s-;8979n;I375>N6;01/=975439K<5=#0;0o083:1(<:6:6`8?j?4290/=9759c98yg5?29096=4?{%301?1f3A;?=6F>389'51?=<;1C4=5+8384f>"??3>h96g80;29 42>2>h07b7<:18'51?=1k10qo=8:181>5<7s-;8979n;I375>N6;01/=975439K<5=#0;0o083:1(<:6:6`8?j?4290/=9759c98yg5129096=4?{%301?1f3A;?=6F>389'51?=<;1C4=5+8384f>"??3>h96g80;29 42>2>h07b7<:18'51?=1k10qo=::181>5<7s-;8979n;I375>N6;01/=975439K<5=#0;0o083:1(<:6:6`8?j?4290/=9759c98yg5429096=4?{%301?1f3A;?=6F>389'51?=<;1C4=5+8384f>"??3>h96g80;29 42>2>h07b7<:18'51?=1k10qo==:181>5<7s-;8979n;I375>N6;01/=975439K<5=#0;0o083:1(<:6:6`8?j?4290/=9759c98yg5629096=4?{%301?1f3A;?=6F>389'51?=<;1C4=5+8384f>"??3>h96g80;29 42>2>h07b7<:18'51?=1k10qo=?:181>5<7s-;8979n;I375>N6;01/=975439K<5=#0;0o083:1(<:6:6`8?j?4290/=9759c98yg4a29096=4?{%301?1f3A;?=6F>389'51?=<;1C4=5+8384f>"??3>h96g80;29 42>2>h07b7<:18'51?=1k10qo5<7s-;8979n;I375>N6;01/=975439K<5=#0;0o083:1(<:6:6`8?j?4290/=9759c98yg4c29096=4?{%301?1f3A;?=6F>389'51?=<;1C4=5+8384f>"??3>h96g80;29 42>2>h07b7<:18'51?=1k10qo5<7s-;8979n;I375>N6;01/=975439K<5=#0;0o083:1(<:6:6`8?j?4290/=9759c98yg4e29096=4?{%301?1f3A;?=6F>389'51?=<;1C4=5+8384f>"??3>h96g80;29 42>2>h07b7<:18'51?=1k10qo5<7s-;8979n;I375>N6;01/=975439K<5=#0;0o083:1(<:6:6`8?j?4290/=9759c98yg2729096=4?{%301?1f3A;?=6F>389'51?=<;1C4=5+8384f>"??3>h96g80;29 42>2>h07b7<:18'51?=1k10qo=i:181>5<7s-;8979n;I375>N6;01/=975439K<5=#0;0o083:1(<:6:6`8?j?4290/=9759c98yg5b29096=4?{%301?1f3A;?=6F>389'51?=<;1C4=5+8384f>"??3>h96g80;29 42>2>h07b7<:18'51?=1k10qo=k:181>5<7s-;8979n;I375>N6;01/=975439K<5=#0;0o083:1(<:6:6`8?j?4290/=9759c98yg5d29096=4?{%301?1f3A;?=6F>389'51?=<;1C4=5+8384f>"??3>h96g80;29 42>2>h07b7<:18'51?=1k10qo=m:181>5<7s-;8979n;I375>N6;01/=975439K<5=#0;0o083:1(<:6:6`8?j?4290/=9759c98yg5f29096=4?{%301?1f3A;?=6F>389'51?=<;1C4=5+8384f>"??3>h96g80;29 42>2>h07b7<:18'51?=1k10qo=6:181>5<7s-;8979n;I375>N6;01/=975439K<5=#0;0o083:1(<:6:6`8?j?4290/=9759c98yg5329096=4?{%301?1f3A;?=6F>389'51?=<;1C4=5+8384f>"??3>h96g80;29 42>2>h07b7<:18'51?=1k10qo?=8;296?6=8r.:?848a:J204=O9:30(<:6:508L=6<,181;o5+8687g0=n?90;6)?;9;5a?>i>;3:1(<:6:8`8?xd6:>0;6?4?:1y'563=?h1C=9?4H01:?!7313>97E6?;%:1>2d<,1=18n;4i6294?"6<00!73133i76sm38g94?4=83:p(<=::868L4263A;856*>48876>N?82.3>77m;%:4>1e23`=;6=4+15;93g=488:f>=zj=n1<7<50;2x 45220>0D<:>;I30=>"6<00?>6F70:&;6??e3-2<69m:;h53>5<#9=31;o54o8194?"6<002n65rb2a1>5<5290;w)?<5;;7?M7392B:?45+15;907=O091/4?46b:&;3?2d=2c<<7>5$06:>2d<3f386=4+15;9=g=52;294~"6;<0286F>409K56?<,8>269<4H928 =4=1k1/4:4;c49j35<72-;?579m;:m:7?6=,8>264l4;|`0f1<72;0;6=u+1279=1=O9=;0D<=6;%37=?253A2;7)6=:8`8 =1=50;&20<<0j21d5>4?:%37=??e32wi?o>50;094?6|,89>64:4H062?M7412.:844;2:J;4>"?:33i7)68:5a6?l17290/=9757c98k<5=83.:8446b:9~f6?d29096=4?{%301??33A;?=6F>389'51?=<;1C4=5+838:f>"??3>h96g80;29 42>2>h07b7<:18'51?=1k10qo=6a;296?6=8r.:?8464:J204=O9:30(<:6:508L=6<,1815o5+8687g0=n?90;6)?;9;5a?>i>;3:1(<:6:8`8?xd40o0;6>4>:2y'563=1<1C=9?4H01:?M>73-2964l4$9590f3:1<75f6383>>i>;3:17o?;5;297?6=8r.:844>2e9j35<72-;?579m;:k26<<72-;?57;8;:m:7?6=,8>264l4H00f?>{t?90;6?uQ719>513=?91v;<50;0xZ34<58>>6<<6;|q:7?6=:rT2?63>448:7>{zj:396=4=:183!74=33?7E?;1:J27<=#9=318?5G819'<7<>j2.3;7:l5:k44?6=,8>26:l4;n;0>5<#9=315o54}c6b>5<5290;w)?<5;;7?M7392B:?45+15;907=O091/4?46b:&;3?2d=2c<<7>5$06:>2d<3f386=4+15;9=g=7>52;294~"6;<0286F>409K56?<,8>269<4H928 =4=1k1/4:4;c49j35<72-;?579m;:m:7?6=,8>264l4;|`0f`<72:0:6>u+1279=0=O9=;0D<=6;I:3?!>520h0(5954b78m26=831b:?4?::m:7?6=3k;?97>53;294~"6<00:>i5f7183>!7313=i76g>2883>!7313?<76a63;29 42>20h0D<;27:88463:~f6gb29096=4?{%301??33A;?=6F>389'51?=<;1C4=5+838:f>"??3>h96g80;29 42>2>h07b7<:18'51?=1k10qo=78;296?6=8r.:?8464:J204=O9:30(<:6:508L=6<,1815o5+8687g0=n?90;6)?;9;5a?>i>;3:1(<:6:8`8?xd40k0;6?4?:1y'563=1=1C=9?4H01:?!7313>97E6?;%:1>!73133i76sm3c:94?4=83:p(<=::868L4263A;856*>48876>N?82.3>77m;%:4>1e23`=;6=4+15;93g=488:f>=zj:k96=4=:183!74=33?7E?;1:J27<=#9=318?5G819'<7<>j2.3;7:l5:k44?6=,8>26:l4;n;0>5<#9=315o54}c1bg?6=;3;1?v*>348:1>N6<81C=>74H928 =4=1k1/4:4;c49j35<722c=>7>5;n;0>5<>6=4<:183!7313;9h6g80;29 42>2>h07d?=9;29 42>2<=07b7<:18'51?=1k1C=?k4;|q44?6=:rT<<63>44844>{t>;0;6?uQ639>513=9;30q~7<:181[?434;?977<;|a7f6=8391=7=t$016><3<@8>:7E?<9:J;4>"?:33i7)68:5a6?l172900e;<50;9l=6<722h:884?:283>5}#9=31=?j4i6294?"6<00;65`9283>!73133i7E?=e:9~w26=838pR:>4=066>267}Y1:16=9;5929~yg5f<3:1>7>50z&270<><2B:8<5G12;8 42>2=80D5>4$909=g=#0>0?o85f7183>!7313=i76a63;29 42>20h07pl7<729q/=>;5959K517<@8927)?;9;61?M>73-2964l4$9590f3:1<7*>4884f>=h1:0;6)?;9;;a?>{e;0>1<7<50;2x 45220>0D<:>;I30=>"6<00?>6F70:&;6??e3-2<69m:;h53>5<#9=31;o54o8194?"6<002n65rb024>5<5290;w)?<5;;7?M7392B:?45+15;907=O091/4?46b:&;3?2d=2c<<7>5$06:>2d<3f386=4+15;9=g=52;294~"6;<0286F>409K56?<,8>269<4H928 =4=1k1/4:4;c49j35<72-;?579m;:m:7?6=,8>264l4;|`255<72;0;6=u+1279=1=O9=;0D<=6;%37=?253A2;7)6=:8`8 =1=50;&20<<0j21d5>4?:%37=??e32wi==h50;094?6|,89>64:4H062?M7412.:844;2:J;4>"?:33i7)68:5a6?l17290/=9757c98k<5=83.:8446b:9~f46b29096=4?{%301??33A;?=6F>389'51?=<;1C4=5+838:f>"??3>h96g80;29 42>2>h07b7<:18'51?=1k10qo??d;296?6=8r.:?8464:J204=O9:30(<:6:508L=6<,1815o5+8687g0=n?90;6)?;9;5a?>i>;3:1(<:6:8`8?xd68j0;6?4?:1y'563=1=1C=9?4H01:?!7313>97E6?;%:1>!73133i76sm11`94?4=83:p(<=::868L4263A;856*>48876>N?82.3>77m;%:4>1e23`=;6=4+15;93g=488:f>=zj8:j6=4=:183!74=33?7E?;1:J27<=#9=318?5G819'<7<>j2.3;7:l5:k44?6=,8>26:l4;n;0>5<#9=315o54}c33=?6=:3:1348:0>N6<81C=>74$06:>14<@1:0(5<59c9'<2<3k<1b;=4?:%37=?1e32e2?7>5$06:>5}#9:?1595G1538L45>3-;?57:=;I:3?!>520h0(5954b78m26=83.:8448b:9l=6<72-;?577m;:a553=8381<7>t$016><2<@8>:7E?<9:&20<<3:2B3<6*72;;a?!>02=i>7d9?:18'51?=?k10c4=50;&20<<>j21vn<<50;394?6|,8>26h74H01:?M1d3-296:l4$9;9`==nm00;6)?;9;g:?>{e9:0;6<4?:1y'51?=m01C=>74H6a8 =4=?k1/444j0:kf=?6=,8>26h74;|`20?6=93:1488f=>N6;01C;n5+8384f>"?133n7dk6:18'51?=m010qo?::182>5<7s-;?57k6;I30=>N0k2.3>79m;%::><`488f=>=zj8<1<7?50;2x 42>2l30D<=6;I5`?!>52>h0(575a19ja<<72-;?57k6;:a52<7280;6=u+15;9a<=O9:30D:m4$9093g=#000j=6gj9;29 42>2l307pl>8;295?6=8r.:844j9:J27<=O?j1/4?48b:&;=?g53`o26=4+15;9a<=:183!7313o27E?<9:J4g>"?:3=i7)66:`18m`?=83.:844j9:9~f4g=83;1<7>t$06:>`?<@8927E9l;%:1>2d<,131m95fe883>!7313o276sm1c83>4<729q/=975e89K56?<@>i0(5<57c9'<<5$06:>`?<3th:o7>51;294~"6<00n56F>389K3f=#0;0290/=975e898yg7c290:6=4?{%37=?c>3A;856F8c:&;6?1e3-226l84id;94?"6<00n565rb0g94?7=83:p(<:6:d;8L45>3A=h7)6=:6`8 =?=i>1bi44?:%37=?c>32wi=k4?:083>5}#9=31i45G12;8L2e<,181;o5+888b=>ob13:1(<:6:d;8?xd593:1=7>50z&20<5<#9=31i454}c01>5<6290;w)?;9;g:?M7412B>2l<0eh750;&20<26h74H01:?M1d3-296:l4$9;9a2=nm00;6)?;9;g:?>{e:=0;6<4?:1y'51?=m01C=>74H6a8 =4=?k1/444j8:kf=?6=,8>26h74;|`11?6=93:1488f=>N6;01C;n5+8384f>"?13k37dk6:18'51?=m010qo<9:182>5<7s-;?57k6;I30=>N0k2.3>79m;%::>g7488f=>=zj;=1<7?50;2x 42>2l30D<=6;I5`?!>52>h0(575b89ja<<72-;?57k6;:a6=<7280;6=u+15;9a<=O9:30D:m4$9093g=#000h;6gj9;29 42>2l307pl=9;295?6=8r.:844j9:J27<=O?j1/4?48b:&;=?ea3`o26=4+15;9a<=26=4>:183!7313o27E?<9:J4g>"?:33i7)66:b48k`?=83.:844j9:9~f1d=83;1<7>t$06:>`?<@8927E9l;%:1>!7313o276sm4d83>4<729q/=975e89K56?<@>i0(5<57c9'<<5$06:>`?<3th><7>51;294~"6<00n56F>389K3f=#0;0290/=975e898yg35290:6=4?{%37=?c>3A;856F8c:&;6?1e3-226ll4id;94?"6<00n565rb7594?7=83:p(<:6:d;8L45>3A=h7)6=:6`8 =?=im1bi44?:%37=?c>32wij:4?:083>5}#9=31i45G12;8L2e<,1815o5+888`5>ib13:1(<:6:d;8?xda03:1=7>50z&20<j2.357m<;ng:>5<#9=31i454}cdb>5<6290;w)?;9;g:?M7412B>2jk0ch750;&20<26h74H01:?M1d3-2964l4$9;9fc=hm00;6)?;9;g:?>{enj0;6<4?:1y'51?=m01C=>74H6a8 =4=1k1/444md:mf=?6=,8>26h74;|`e`?6=93:1488f=>N6;01C;n5+838:f>"?13hi7bk6:18'51?=m010qohj:182>5<7s-;?57k6;I30=>N0k2.3>77m;%::>gg488f=>=zjol1<7?50;2x 42>2l30D<=6;I5`?!>520h0(575bb9la<<72-;?57k6;:a556=83;1<7>t$06:>`?<@8927E9l;%:1>!7313o276sm11394?7=83:p(<:6:d;8L45>3A=h7)6=:8`8 =?=k91di44?:%37=?c>32wi==<50;394?6|,8>26h74H01:?M1d3-2964l4$9;9g7=hm00;6)?;9;g:?>{e9991<7?50;2x 42>2l30D<=6;I5`?!>520h0(575c59la<<72-;?57k6;:a574=83;1<7>t$06:>`?<@8927E9l;%:1>2d<,131o45fe883>!7313o276sm13194?7=83:p(<:6:d;8L45>3A=h7)6=:6`8 =?=k11bi44?:%37=?c>32wi?5:50;394?6|,8>26h74H01:?M1d3-2964l4$9;9`f=hm00;6)?;9;g:?>{e;131<7?50;2x 42>2l30D<=6;I5`?!>520h0(575dc9la<<72-;?57k6;:a7=e=83;1<7>t$06:>`?<@8927E9l;%:1>2d<,131n>5fe883>!7313o276sm39g94?7=83:p(<:6:d;8L45>3A=h7)6=:8`8 =?=lh1di44?:%37=?c>3A;9i65rb2;2>5<6290;w)?;9;g:?M7412B>2mo0ch750;&20<7<:182>5<7s-;?57k6;I30=>N0k2.3>77m;%::>a6488f=>=zj:3>6=4>:183!7313o27E?<9:J4g>"?:3=i7)66:e68m`?=83.:844j9:9~f6?0290:6=4?{%37=?c>3A;856F8c:&;6?1e3-226i<4id;94?"6<00n565rb2;:>5<6290;w)?;9;g:?M7412B>2m;0ch750;&20<7m:182>5<7s-;?57k6;I30=>N0k2.3>77m;%::>g1488f=>=zj:3o6=4>:183!7313o27E?<9:J4g>"?:33i7)66:`d8k`?=83.:844j9:9~f6?a290:6=4?{%37=?c>3A;856F8c:&;6?1e3-226i84id;94?"6<00n565rb2c2>5<6290;w)?;9;g:?M7412B>2mn0ch750;&20<o<:182>5<7s-;?57k6;I30=>N0k2.3>77m;%::>`7488f=>=zj:k>6=4>:183!7313o27E?<9:J4g>"?:33i7)66:ed8k`?=83.:844j9:9~f6g0290:6=4?{%37=?c>3A;856F8c:&;6?1e3-226h=4id;94?"6<00n565rb2c:>5<6290;w)?;9;g:?M7412B>2k80eh750;&20<om:182>5<7s-;?57k6;I30=>N0k2.3>77m;%::>a1488f=>N6:l10qo=nd;295?6=8r.:844j9:J27<=O?j1/4?46b:&;=?d?3fo26=4+15;9a<=51;294~"6<00n56F>389K3f=#0;02n6*79;a`?jc>290/=975e898yg5e93:1=7>50z&20<j2.357mk;ng:>5<#9=31i454}c1a7?6=93:1488f=>N6;01C;n5+838:f>"?13ii7bk6:18'51?=m010qo=m5;295?6=8r.:844j9:J27<=O?j1/4?48b:&;=?c53`o26=4+15;9a<=51;294~"6<00n56F>389K3f=#0;02n6*79;`5?jc>290/=975e898yg5e13:1=7>50z&20<j2.357l:;ng:>5<#9=31i454}c1af?6=93:1488f=>N6;01C;n5+8384f>"?13h?7dk6:18'51?=m010qo=md;295?6=8r.:844j9:J27<=O?j1/4?46b:&;=?b>3fo26=4+15;9a<=O9;o07pl4<729q/=975e89K56?<@>i0(5<59c9'<<5$06:>`?<@88n76sm3b394?7=83:p(<:6:d;8L45>3A=h7)6=:8`8 =?=m=1di44?:%37=?c>32wi?n=50;394?6|,8>26h74H01:?M1d3-296:l4$9;9`0=nm00;6)?;9;g:?>{e;j?1<7?50;2x 42>2l30D<=6;I5`?!>52>h0(575b19ja<<72-;?57k6;:a573=83;1<7>t$06:>`?<@8927E9l;%303?75n2.3>79m;%::>fc488f=>=zj88=6=4=:183!7313>97)?<5;5b?!>52>h0(<=8:00e?!7693;9j6F>389K<5=#0>0?o85f7183>!7313=i76a63;29 42>20h07pl>3e83>5<729q/=>;513`8L4513A;9m6B>2c80!7493oh7)?<0;ga?!74:3oo7psm12194?6=83:p(<=::010?!>02=i>7E?=a:N20g<4s-;8=7ki;%304?cb3-;8>7h?;|p57<72:qU=?522`844>;6:3o27p}>3;297~X6;279n79?;<30>`?:01<:5e89~w43=839pR<;4=3f935=:9<0n56s|1783>6}Y9?16>h480:?22?c>3ty:;7>53z\23>;5n3=;70?8:d;8yv7?2908wS?7;<13>26<5821i45rs0;94?5|V8301>?5719>5<a;g:?xu6j3:1?vP>b:?07?1734;i6h74}r3`>5<4sW;h70=;:62894e=m01v{t9l0;6>uQ1d9>73<0827:i7k6;|q2b?6=;rT:j63<7;53?87a2l30q~<>:180[4634936:>4=339a<=z{;81<7=t^30896?=?916>?4j9:p66<72:qU>>523`844>;5;3o27p}=4;297~X5<278n79?;<07>`?6=4<{_06?85d2>:01?;5e89~w70=839pR?84=2f935=::?0n56s|2683>6}Y:>16?h480:?13?c>3ty947>53z\1<>;4n3=;70<7:d;8yv4>2908wS<6;<63>26<5;31i45rs3c94?3|58kj6:=4=514>27<5:n;6:?4=2f2>26<5;k15>5rs3`94?3|58kj6::4=51`>27<5:n;6:<4=2f1>26<5;h15>5rs3a94?3|58kj6:;4=562>27<5:n;6:=4=2f0>26<5;i15>5rs3f94?3|58kj6:84=565>27<58kn6:?4=2a:>24<5;n15>5rs3g94?0|58kj6:94=56a>27<58kn6:<4=2f3>22<5:n?6:>4=3g9=6=z{;l1<7:t=0cb>2><5=?;6:?4=2a:>27<5;l15>5rs2294?2|58ki6:?4=576>27<58ni6:<4=229=6=z{:;1<7:t=0ca>24<5=?j6:?4=0fa>27<5:;15>5rs2094?2|58ki6:=4=0fa>25<5=<:6:?4=209=6=z{:91<7;t=0ca>22<5=<=6:?4=0fa>22<5:915>52115935=z{:>1<724<5:>15>5rs2794?>|58n>6:?4=0ca>23<58h<6:>4=547>24<58nh6:?4=0f1>26<58h;6:<4=279=6=z{:<1<77t=0f5>26<58ki6:84=0`;>26<58ho6:<4=0f`>24<58n:6:>4=0`2>26<5:<15>52114935=z{:=1<7lt=0f6>24<58ki6:94=0`b>27<58hh6:>4=0`g>27<58no6:<4=0af>26<58im6:<4=25g>26<58h;6:?4=259=6=z{:21<7lt=0f4>26<58ki6:64=0`:>26<58hm6:>4=0ff>26<58ih6:>4=0f3>26<58n86:?4=25f>26<58h>6:?4=2:9=6=z{:31<724<5:315>5rs2c94?4|5=9m6:<4=2c9=6=z{:h1<724<5:h15>5rs2a94?4|5=>26:<4=2a9=6=z{:n1<724<5:n15>5rs2g94?4|5=?86:<4=2g9=6=z{:l1<7:t=0cb>27<5=?36:<4=2ae>27<5:l15>5rs5294?2|58kj6:<4=57e>27<5:im6:<4=529=6=z{=;1<7:7;7;<3eb?3?348;87;7;<03=?3?34;m>7;7;<3e3?3?34;j<7;7;<3:0?3?34;j=7;7;<3f1?16349?m79>;<13b?16348;>79?;<033?17348;o79?;<3e0?1734;m579?;<027?163489=79?;<3e4??434;j?79?;<3f3?17349=n79=;<6:3?1534><>79=;<6;g?1734>3>79>;<626?17349m;79?;<1ff?1534>8?79?;<612?1534;2i79?;<175?16349oh79?;<120?1734;j;79?;<636?173499m79=;<16e?173498479=;<02=?173ty?57>53z\7=>;3i33870:6:d;8yv2f2908w0:l:6289440209019o5719~w1d=839pR9l4=5f9=6=:7}:n6h74}r6e>5<60r79?94;6:?1b3<3>279844;6:?10c<3>2799?4;6:?110<3>279954;6:?1`a<3>279544;6:?163<3>2794;4;6:?1<6<3>279on4;6:?174<3>279h=4;6:?104<3>279;l4;6:?17d<3>279?i4;6:?1ed<3>279n=4;6:?1f`<3>279?=480:?7b??43ty><7>53z\64>;293=;70;?:d;8yv3629085v3>16872>;6910?:63>18872>;?;3>=7069:54890e=64`=5d7=5479>5d6=652==75479>5c4=647=75b=75g=703=7a>=50b=50`=5479>537=535=5`0=773=05?=7`7=74g=74d=?5479>704=76`=735=02?=5`d=?9169<463:p17<72:qU9?5252844>;2:3o27p}:3;291~;50=0<<63=c3844>;5jh0<<63=8d844>;2;3387p}:c;297~;2k3l=70h::62897702>:0q~;k:18183d2==01;?5929~w0c=838p18k5929>24<092wx9k4?:3y>1c<>;27=<79=;|q54?6=:r7=<77<;<42>24:01;95e89~w3>=838p1?6=:4:893>=1:1v:750;1x97742>801?<=:63894gd2090q~6>:18;85?93=:70=89;51?851j3=:70=;1;51?87e=33870==a;52?852l3=:70=<8;52?xu?;3:1?v373;d5?8>12<2018k5759~w=2=838p15=5599><0<>;2wx4;4?:3y><327=<79>;|q;a?6=9mq6=9<56d9>1f<2<27:=?4:4:?15c<2<27:594:4:?2e4<2<27:4=4:4:?171<2<279j;4:4:?2e5<2<27:jk4:4:?141<2<279<44:4:?2b7<2<27:j:4:4:?154<2<2788:4:4:?04a<2<2789;4:4:?04d<2<278jn4:4:?0`=<2<27:i;4:4:?00g<2<278=o4:4:?074<2<2789?4:4:?067<2<278?k4:4:p1f<2027:=?4:8:?2a6<202wx5=4?:3y>7<6=1:16>>75739~wc2=838p1k;5929>764=4=g59a<=z{o21<7=t^g:8946120901k65e89~wcg=839pRko4=024><5<5ok1i45rsg`94?5|Voh01<>7:8189cd=m01vkm50;1xZce<58:264=4=ga9a<=z{on1<7=t^gf8946f20901kj5e89~wcc=839pRkk4=02a><5<5oo1i45rsgd94?5|Vol01<>l:8189c`=m01v<>?:180[77827:3ty:`?53z\246=:98:15>521119a<=z{8:?6=4>2z?7e3<0927?58482:?731<0:27?4k480:?73f<0827?463:?0b=<08278i;480:?76`<0827???480:?75c<09278hh481:?71`<0827?9k483:?0bc<0:27?n:480:p553=838p19=7:81894622>:0q~??8;296~;3;m02?63>09844>{t9931<7<5<58:26:>4}r33e?6=:r7?8:463:?24d<082wx==l50;0x912d20901<>m:628yv77k3:1>v3;508:7>;68j0<<6s|11f94?4|5=?=64=4=02g>2652z?71g<>;27::0q~?>0;296~;3>>02?63>11844>{t9881<7c0<55<5s4;:>7:8;<322??43ty:=94?:3y>542=1:16=<85709~w4722909w0?>5;;0?876>3=87p}>1683>1}:98=1j;5210:91==:=l0<>63>14844>{t9821<7:t=03;>c0<58;26864=4g934=:98>1;=5rs03:>5<4s4;:57h9;<7f>25<58;=6:<4}r32e?6=:r7?no480:?2gg<>;2wx=:01???:638961>2090q~?>d;297~;3i?02?63=00844>;59?0<<6s|10g94?0|583864=4=5;:>27<5=k;6:?4=551>27<5=;>6:>4=2df>2452z?217<0827:h4463:p574=839pR<<=;<313?1734;9>7k6;|q266<72:qU=?=4=00;>26<58886h74}r31;2wx=8?50;1x943420901:0q~?:2;296~;6=;02?63>52846>{t9<>1<79t=07`>c0<5826<5826<583=6:>4=04e>2597>56z?21a27::94:b:?22a<0927:4;480:?2==<0827::k482:p500=83?p1<;j:g48940222>:01<8i:638yv72?3:1:v3>5g8e2>;6>?0>n63>70845>;6010<<63>9`844>;6?:0<>6s|14:94?3|58<;6k84=044>0d<58226:>4=0;`>26<58=86:?4}r36=?6=ir7::<4i6:?22=<2j27:;8481:?2e2>:01<7?:60891>e2>801>h::60891572>;01<7k:62894102>;0q~?:b;293~;6>:0m:63>6`86f>;60j0<<63>91845>;30<0<=63;3:>0<=6s|17a94?4|58<>68o4=04g><554z?223<2i27:;<482:?22c<>;27:;>483:p526=838p1<88:4c894162090q~?82;290~;6>10>m63>74846>;6?>0728:7>{t9>>1<70g<58=>64=4}r342?6=:r7::l4:a:?232<>;2wx=:650;0x943d2<201<8m:818yv7013:1>v3>5e86<>;6>=0:=k5rs05b>5<5s4;>i7;7;<351?76n2wx=:l50;0x943a2<201<89:03e?xu6?j0;6?u217291==:9?=1={t9>l1<70><58q6=5>5f79>5<7=?9168:j5719>046=?816?h95719>07b=?916862909w0?70;7;?87?m3387p}>8383>7}:91:18:521919=6=z{82?6=4:{<3;7?1534;j879>;<3;a?1734;3h77<;<3b2?163ty:484?:3y>5=3=1:16=5j5709~w4>12909w0?76;;0?87?l3=97p}>8683>7}:91=15>5219f936=z{8236=4={<3;5=b=?<1v<6n:18187?i33870?7d;55?xu60k0;6?u219`9=6=:91n1;:5rs0:`>5<5s4;3o77<;<3;`?1?3ty:4k4?:3y>5<6=1:16=4=5709~w4?72909w0?61;;0?87>:3=97p}>9083>7}:90815>52181937=z{83?6=4={<3:0?`1349o979?;|q2=0<72;q6=4:5469>5<1=1:1v<79:18187>>33870?6f;52?xu6110;6?u218:9=6=:90l1;?5rs0;:>5<5s4;2577<;<3:b?143ty:5l4?:3y>5n3==7p}>9b83>7}:90i15>5218d930=z{83o6=4={<3:`??434;2j798;|q2=`<72;q6=4k5929>5<1=?;1v<7i:18187>n33870?67;52?xu6i90;6?u21`29b3=:9<91;<5rs0c2>5<5s4;j=7h9;<3b2?153ty:m?4?:3y>5d7=<>16=l:5929~w4g42909w0?n3;;0?87f<3=97p}>a483>7}:9h:18:521`:9=6=z{8k=6=4={<3b2??434;j479>;|q2e2<72;q6=l95929>5d>=?;1v5<5s4;ji77<;<3a6?153ty:mh4?:3y>5d`=1:16=o=5739~w4ga2909w0?m0;;0?87e<3=:7p}>b183>7}:9k;15>521c6937=z{8h:6=4={<3a6??434;i979=;|q2f7<72;q6=o=5929>5g3=?:1vu21d7937=:9kk15>521c1934=z{8h<6=4={<3a3??434;im79=;|q2f=<72;q6=o65929>5gg=?:1v5<5s4;ih77<;<3`5?163ty:ni4?:3y>5gc=1:16=n?5739~w4db2909w0?mf;;0?87d;3=97p}>bg83>7}:9j:15>521b0934=z{8i;6=4={<3`5??434;h>79=;|q2g4<72;q6=n<5929>5f5=?81v5<5s4;h;77<;<3`e?153ty:o:4?:3y>5f>=1:16=n75709~w4e?2909w0?l9;;0?87di3=:7p}>c883>7}:9jk15>521b`937=z{8ih6=4={<3``??434;h:79=;|q2ga<72;q6=nk5929>5f?=?;1v5<5s4;o=77<;<3`f?163ty:h<4?:3y>5a4=1:16=nl5729~w4b52909w0?k3;;0?87d03=:7p}>d283>7}:9j<15>521b:937=z{8n?6=4={<3g1??434;o579>;|q2`0<72;q6=i85929>5a>=?81v0;6?u21e:9=6=:9m31;?5rs0fb>5<4s4;n>77<;<3a6?16349o:79>;|q2`g<72;q6=il5929>5`4=?;1v5<5s4;oi77<;<3f4?153ty:hk4?:3y>5a`=1:16=h<5729~w4c72909w0?j0;;0?87b93=97p}>e083>7}:9l;15>521d0934=z{8o86=4={<3f7?`134;n:7;7;|q2a1<72;q6=h=5469>5`3=1:1v3l=70?me;53?87d83=:70?kd;52?87dl3=;7p}>e983>7}:9l<18:521d59=6=z{8o26=4={<3f=?`134;m<79>;|q2ad<72:q6=h75479>0d?=ed83>7}:9ll15>521g2937=z{8l:6=4<{<02a?1634;mi77<;<02g?153ty:j?4?:3y>5c4=n?16=k85719~w4`42909w0?i2;64?87a=3387p}>f583>7}:9o>15>521g7937=z{8l=6=4={<3e2??434;mi79>;|q2b2<72;q6=k95f79>5ce=?91v<70?ia;;0?xu6n00;6?u21g;9=6=:9ok1;?5rs0da>5<5s4;mn77<;<3ea?153ty:jn4?:3y>5ce=1:16=kk5729~w4`c2909w0?id;;0?87am3=?7p}>fg83>7}:9ol1j;5220`934=z{;:;6=4={<3eb?20348;?77<;|q144<72;q6>=?5929>655=?81v?>=:181847:338705<5s48;87:8;<03650=1:16>=65709~w7602909w07}::931j;5220c934=z{;:j6=4={<03=?20348;h77<;|q14g<72;q6>=l5929>65b=?81v?>l:181847k338705<5s48;j77<;<024?153ty9=<4?:3y>647=n?16>?:5719~w7752909w0<>1;7;?846;3387p}=1583>6}::8;18:5220d902=::8n15>5rs336>5<5s48:977<;<02e?153ty9=;4?:3y>640=1:16>7;;0?846k3=?7p}=1983>7}::8215>5220a930=z{;;26=4={<02=??4348:h79=;|q15d<72;q6>64e=?81v??m:181846j33870<>c;50?xu59j0;6?u220a9=6=::8n1;<5rs33e>5<5s48:j7h9;<1:a?173ty9>=4?:3y>64`==116>?<5929~w7462909w0<=1;;0?845:3=97p}=2283>7}::;>15>52237937=z{;8=6=4={<012?`13493979?;|q162<72;q6>?85599>67d=1:1v?<7:181845033870<=a;52?xu5:00;6?u223;9=6=::;k1;?5rs30b>5<5s489m77<;<01f?153ty9>n4?:2y>67b=1:16>o<5719>6=g=?91v?5rs312>5<5s488=7h9;<01`?163ty9??4?:2y>667==116>?k5599>665=1:1v?=;:18:844<3l=70=60;41?84?03=9706}:::>1955222:934=:::315>5rs315>5<5s48887:8;<00661=1:16>>65739~w75f2908w0<5rs31g>5<5s488h7h9;<00=?163ty9?h4?:2y>66`=1:16>o85719>6=e=?91v?:?:18184383l=70<5<4s48?=7;7;<074?3?348??77<;|q101<720q6>975f79>60d==h16>;>5739>627=?816>:=5719>61e=?916>:m5719>6cd=?916?=<5739~w72f2909w0<;9;7;?843m3387p}=4c83>7}::=h15>5225f934=z{;>h6=4={<07g??4348?h79=;|q10a<72;q6>9j5929>61c=?;1v?:::18;843n3l=70<:b;7a?84183=:70<81;51?840:3=;70<8e;53?84ai3=;70=?2;50?xu5=90;6?u225d91==::<;15>5rs365>5<0s48>>7h9;<06g?3e348=>79>;<042?173483<79?;<0eg?17349;>79;;|q116<72;q6>8<5599>602=1:1v?:8:184842=3l=70<:d;7a?841<3=:70<85;53?840n3=;707}::36=48{<06i7;m;<045?14348<879?;<0;5?15348mi79?;<131?173ty9944?:3y>60>==116>8o5929~w73a2908w0<:c;7b?841:3=970<90;;0?xu5>80;6>u224f91d=::?>1;?522709=6=z{;<86=4={<06a?3f348=877<;|q120<728?5709>602=?816>895709>60g=?816>;65929~w7012909w0<96;;0?84103=:7p}=6683>7}::?=15>5227:937=z{;<26=4={<06f?76n2799<482:p63g=838p1?;l:03e?842<3=97p}=6c83>7}::2452z?11`<69o16>8o5739~w70c2908w0<9f;;0?841?3=;70<;e;52?xu5>l0;6?u227g9=6=::?l1;?5rs353>5<4s48=:79?;<04=??4348?n79?;|q134<72;q6>:?5929>62>=?81v?9=:181840:33870<87;51?xu5?:0;6?u22619=6=::>=1;<5rs357>5<5s48<877<;<043?143ty9;84?:3y>623=1:16>:75709~w7112909w0<86;;0?84013=87p}=7683>7}::>=15>5226:937=z{;=36=4={<04:o5f79>6g1=?916>5j5719~w71e2909w0<8a;7;?84?93387p}=7b83>7}::>i15>52293934=z{;=o6=4={<04`??43483=79<;|q13`<72;q6>:k5929>6=7=?<1v?9i:181840n33870<71;57?xu5090;6?u22929=6=::1;1;;5rs3:1>5<4s483?7;7;<0;6?`1348=i79?;|q1<6<72;q6>5=5f79>63`=?81v?6::18184?:3>=70<74;;0?xu50?0;6>u22949b3=:;8k19552310934=z{;2<6=4<{<0;;<0:7?163ty9444?:5y>6d2=?916>l85709>6<3=?816>4>5929~w7>f2909w0<7a;;0?84?n3=:7p}=8c83>7}::1h15>5229d937=z{;2h6=4={<0;g??43483j79<;|q15j5929>6=`=?=1v?6j:18184?m33870<60;51?xu50o0;6?u229d9=6=::0:1;<5rs3;2>5<5s483:7;7;<0:6<4=1:16>4;5739~w7?42909w0<63;;0?84>>3=:7p}=9583>7}::0>15>52284937=z{;3>6=4={<0:1??43482;79=;|q1=3<72;q6>485929>6<>=?;1v?78:18184>?33870<68;52?xu5100;69u228;9b3=:;=31;=5231d937=::0l1;<5rs3;b>5<5s48257;7;<0b4??43ty95o4?:3y>6l85739~w7?d2909w0<6c;;0?84>m3=97p}=9e83>7}::0n15>522`2937=z{;3n6=4={<0:a??43482j79=;|q1=c<72;q6>4h5929>6d6=?81v?o>:18184f9338705rs3c0>5<5s48j?77<;<0:`?153ty9m94?:3y>6d2=1:16>l65739~w7g22909w0l3=87p}=a783>7}::h<15>5228a937=z{;k<6=4={<0b3??43482i79>;|q1e=<72;q6>l65929>6`}::hk1j;522ea937=::j:1;<522b6935=::kh1;=522`a937=::;31;=522`3935=::h91;<5228a934=::0>1;=522e5934=::lh1;=522df934=z{;ki6=4={<0be?3?348jj77<;|q1ef<72;q6>lm5929>6d`=?81v?ok:18184fl338705;<0ba?163489n79>;<0:f?17348j?79=;<0b3?163482;79>;<0g2?17348nj79>;<0gb?173ty9n<4?:3y>6g6==116>o;5929~w7d52909w07}::k915>522c6937=z{;h?6=4={<0a0??4348i979>;|q1f3<72;q6>o85929>6ge=?;1v?l8:18184e?338705<5s48i577<;<0a7?163ty9nl4?:3y>6gg=1:16>o=5729~w7de2909w07}::ki15>522c1937=z{;ho6=4={<0a`??4348i979=;|q1f`<72oq6>ok5f79>6f0=?816>o75719>6gb=?816>lm5709>6db=?916>?65739>6d4=?916>l65709>6<4=?916>4=5739>6a3=?916>ho5719>6`c=?;16>h>5719~w7da2909w07}::j:15>522b:934=z{;i:6=4={<0`5??4348h979>;|q1g7<72;q6>n<5929>6f3=?;1v?m<:18184d;338705rs3a6>5<5s48h977<;<0`2?153ty9o;4?:3y>6f0=1:16>n95739~w7e02909w06}::jk15>522c:935=::1h1;=5rs3aa>5<5s48hn7h9;<0`e?153ty9on4?:3y>6fe=n?16>no5709~w7ec290>w07;7;?87b;3??705<5s48o<7h9;<137?163ty9h<4?:2y>6a6==116>nh5599>6a4=1:1v?j<:18084c;3l=70=60;53?85?n3=;7p}=d583>7}::m9195522e:9=6=z{;n>6=4={<0g1??4348o;79=;|q1`3<72;q6>i85929>6a>=?;1v?j8:18184c?338705<5s48om7;7;<0gg??43ty9hi4?:3y>6ab=n?16?l85719~w7bb2909w07}::ml15>522d0934=z{;o;6=4={<0f4??4348n>79=;|q1a4<72;q6>h?5929>6`5=?;1v?k=:18184b:338705<5s48n87;7;<0f6`0=1:16>h65709~w7c02909w06}::l<1;=522g1935=::o:15>5rs3gb>5<5s48nm77<;<0f`?153ty9io4?:3y>6`d=1:16>hk5709~w7cd2909w07}::ln15>522dd937=z{;on6=4={<0fa??4348m<79=;|q1ac<72;q6>hh5929>6c6=?81v?h>:18184a93l=70=me;53?xu5n;0;6?u22g391==::o?15>5rs3d0>5<5s48m?77<;<0e1?163ty9j94?:3y>6c2=1:16>k;5739~w7`12908w00;6?u22g491==::o215>5rs3d:>5<5s48m:7:8;<134??43ty9jl4?:3y>6cg=1:16>kh5739~w7`e2909w07}::oi15>522gd936=z{;lo6=4={<0e`??4348mj79;;|q1b`<72;q6>kk5929>6c`=?<1v?hi:18184an33870=?0;51?xu4880;6>u22g:935=:;9:1;<5231:9=6=z{::96=4={<136??4349;479>;|q046<72;q6?==5929>751=?81v>>;:181857<33870=?6;52?xu48<0;6?u23179=6=:;9<1;?5rs225>5<5s49;:77<;<133?153ty8<:4?:3y>751=1:16?=65739~w66>2909w0=?9;d5?85?>3=;7p}<0`83>c}:;9k1j;5239c935=:;831;?5235f934=:;=o1;=5230f934=:;8o1;=52321934=:;:>1;=5237c937=:;=91;=5236`935=:;891;=5233;937=:;:n1;<5rs22a>5<5s49;m7:8;<13g??43ty875b=n?16=ko5709>5cd=?91v>>j:181857l3?370=?f;;0?xu4990;6>u231f902=:;==18:523049=6=z{:;:6=4={<125??4349:979=;|q057<72;q6?<<5929>743=?:1v>?<:181856;33870=>5;57?xu49=0;6?u23069=6=:;8<1;?5rs236>5<5s49:977<;<122?163ty8=:4?:3y>741=n?16?=m5709~w67?2909w0=>7;7;?85613387p}<1`83>3}:;8k1j;52377935=:;?o1;?52373937=:;881;=52335935=z{:;i6=4={<12f?`1348n;79?;|q05f<72;q6?777=1:1v>?k:181856l33870==1;52?xu49l0;6?u230g9=6=:;;:1;<5rs23e>5<5s49:j77<;<114?153ty8>=4?:3y>776=1:16???5739~w6452909w0==2;d5?856l3=97p}<2283>7}:;;8195523369=6=z{:8>6=4={<111?`1348j57;7;|q063<72;q6??;5599>77>=1:1v><8:181855?33870==d;51?xu4:00;6?u233;9=6=:;;l1;<5rs20b>5<5s499m77<;<11a?163ty8>o4?:3y>77d=1:16??k5739~w64d2909w0==c;;0?85483=97p}<2e83>7}:;;n15>5233:934=z{:8n6=4={<11a??43499j79=;|q06c<72;q6??h5929>766=?81v>=?:181854833870==8;51?xu4;80;6>u23239b3=::li1;=522d3935=z{:996=4={<105?3?3498:77<;|q076<72;q6?>=5929>760=?81v>=;:181854<33870=<5;51?xu4;<0;6?u23279=6=:;:<1;?5rs214>5<5s498=7:8;<10a??43ty8?54?:3y>76>=1:16?>m5709~w65>2909w0=<9;;0?854k3=97p}<3`83>7}:;:k15>5232g934=z{:9i6=4={<10f??43498i79<;|q07f<72;q6?>m5929>76b=?;1v>=k:181854l33870=5<4s498j7:8;<161?3?349?:77<;|q004<72;q6?9?5929>710=?;1v>:=:181853:33870=;5;52?xu4<:0;6?u23519=6=:;=?1;?5rs267>5<5s49?877<;<172?143ty8884?:3y>713=1:16?985709~w6202909w0=;7;d5?85003=;7p}<4983>7}:;==1955235c9=6=z{:>26=4={<17=??4349?m79=;|q00g<72;q6?9l5f79>6c2=?91v>:l:181853j3?370=:1;;0?xu45<5s49?i77<;<164?163ty88k4?:3y>71`=1:16?8>5739~w6372909w0=:0;;0?85293=97p}<5383>7}:;<81j;5235f937=z{:?86=4={<166?3?349>877<;|q010<72703=n?16?8<5469>774=<>16?=m5739~w631290=w0=:6;d5?851k3=;70=;4;53?856=3=:70==b;53?85413=;7p}<5683>7}:;<<18:5234g9=6=z{:?36=4={<16n79>;|q01<<72;q6?875929>70d=?;1v>;n:181852i33870=:e;51?xu4=k0;6?u234`9=6=:;5<5s49>o77<;<16`?153ty89i4?:3y>70b=1:16?8k5709~w63a2902w0=:f;d5?850;3=;70=9d;53?851m3=:70=91;52?853:3=:70==c;53?85203=;70=90;6?u234d91==:;?815>5rs242>5<5s49==77<;<156?153ty8:>4?:by>735=n?16?::5709>73g=?816?;h5719>726=?816?;<5709>714=?;16?77?=?816??j5709>70?=?916?>o5719~w6032909w0=93;7;?85113387p}<6483>7}:;??15>52362937=z{:<=6=4={<152??4349=579<;|q022<72;q6?;95929>73>=?81v>87:181851033870=99;52?xu4>h0;6?u237c9=6=:;?=1;<5rs24a>5<5s49=n77<;<145?163ty8:n4?:3y>73e=1:16?:?5739~w60c2909w0=9d;;0?85103=97p}<6d83>7}:;?o15>52374934=z{:5929>73?=?;1v>9>:181850933870=97;51?xu4?;0;6?u23619=6=:;>>1;?5rs256>5<2s49;57;7;<17f?20349:n7:8;<12=?16349<877<;|q033<72;q6?:95929>72?=?81v>98:181850033870=89;50?xu4?h0;6>u2365935=:;>l15>5234a934=z{:=i6=4={<14f??4349;|q03f<72;q6?:m5929>72`=?;1v>9k:181850l33870=8f;50?xu4?l0;6?u236g9=6=:;>l1;95rs2:3>5<5s493=77<;<1;7?163ty84<4?:3y>7=4=1:16?5=5739~w6>32908wS=74:?0<=<>;278494j9:p7=3=838p1>6::81896>02>;0q~=76;296~;40?02?63<86846>{t;121<7<5<5:236:>4}r1;=?6=;rT8445239`9=6=:;131i45rs2:a>5<5s493m77<;<1;f?173ty84n4?:2y]7=e<5:2o6:>4=2:`>`?5bz?2aa<0827:ik480:?7f1<0927?nn482:?160<09279=h482:?7e`<0827?nk480:?0<7<0827?n4482:?0;2wx?5k50;1xZ6>b3493j77<;<1;a?c>3ty85<4?:2y]7<7<5:3964=4=2;2>`?7>52z?1`<<>;2785?480:p7<5=839pR>7<;<1:0??43492?7k6;|q0=1<72;q6>7<2=?91v>7::180[5>=2785;480:?0=0:01?o::62896?12090q~=67;297~X41>16?465719>7<1=m01v>77:181844k3=;70=68;;0?xu4100;6>uQ38;896?f20901>76:d;8yv5>j3:1?vP<9c9>7;2785i4j9:p7<`=839pR>7i;<1b4?173492j7k6;|q0e5<72;q6>9=5719>7d6=1:1v>o>:180[5f9278m?463:?0e43ty8m94?:3y>7=5=1:16?l:5719~w6g22908wS=n5:?0e3<>;278m84j9:p7d1=839pR>o8;<1b;2wx?l750;1xZ6g>349jm79?;<1b=?c>3ty8ml4?:5y>772=?9168o>5719>74`=?916?lo5929~w6ge2908wS=nb:?0ef<>;278mo4j9:p7db=839pR>ok;<1ba??4349jh7k6;|q0e`<72;q68o:5929>7dc=?91v>oi:180[5fn278n=463:?0ec50;0x91gd20901>l?:628yv5e93:1?vP7g4=1:16?o?5e89~w6d52909w0:md;;0?85e:3=;7p}6}Y;k901>l;:81896d42l30q~=m4;296~;3jh02?63{t;k?1<7=t^2`6?85e>3=;70=m5;g:?xu4j?0;6?u22bg935=:;k<15>5rs2`4>5<4sW9i;63;4j>0n56s|3c:94?4|5=hi64=4=2`;>2653z\0f<=:;kk15>523c;9a<=z{:hj6=4={<6ag??4349im79?;|q0fg<72:qU?ol4=2``>26<5:hi6h74}r1ag?6=;2wx?oj50;1xZ6dc349ii77<;<1a`?c>3ty8nk4?:2y]7g`<5:i;64=4=2`e>`?53z\0g4=:;j815>523b39a<=z{:i96=4={<011??4349h>79?;|q0g6<72:qU?n=4=2a7>26<5:i86h74}r1`0?6=:r79h?480:?0g1<>;2wx?n;50;1xZ6e2349h:79?;<1`1?c>3ty8o;4?:`y>76`==1168o=5719>0ge=?8168lh5719>0f6=?916?>;5709>7=7=?;16=ih5719>72e=?916?n85929~w6e?2908w05;53?xu4k00;6?u23b;9=6=:;jh1;<5rs2ab>5<5s49hm77<;<1`g?153ty8oo4?:3y>7fd=1:16?nk5709~w6ed2909w0=lc;;0?85dl3=:7p}7}:;jn15>523bg937=z{:im6=4={<1`b??4349o:79=;|q0`5<72;q6?i>5929>7fe=?81v>j>:18185c933870=la;52?xu4l;0;6?u23e09=6=:;jk1;?5rs2f0>5<5s49o?77<;<1`e?143ty8h94?:3y>7a2=1:16?no5759~w6b22909w0=k5;;0?85dl3=97p}7}:;m<15>523b`937=z{:n<6=4:{<1g3?`134>2<79?;<624?15349mn79?;<611?173ty8h54?:6y>7a>=n?1684l5719>022=?8168=l5709>7`g=?;1687cc=?81v>j6:18185c03><70=kf;;0?xu4lh0;6?u23ec9=6=:;mo1;?5rs2fa>5<5s49on77<;<1ga?143ty8hn4?:3y>7ae=1:16?ik5759~w6bc2909w0=kd;;0?85cn3=97p}7}:;mo15>523ed934=z{:o;6=4;{<63i=79>;<6`6?1634>i:79?;|q0a4<72;q6?h?5f79>051=?91v>k=:18185b93?370=i4;;0?xu4m:0;6?u23d19=6=:;li1;<5rs2g7>5<5s49n877<;<1ff?143ty8i84?:3y>7`3=1:16?hl5759~w6c12909w0=j6;;0?85bj3=>7p}7}:;l=15>523g1937=z{:o36=4={<1f7``=?81v>kn:18185bi33870=jd;51?xu4mk0;6?u23d`9=6=:;o81;?5rs2g`>5<5s49no77<;<1e0?163ty8ii4?:3y>7`b=1:16?hh5739~w6cb2909w0=je;;0?85b03=97p}7}:;ll15>523g2937=z{:l;6=4={<1e4??4349m=79=;|q0b4<72;q6?k?5929>7c4=?81v>h=:18185a:33870=i3;52?xu4n:0;6?u23g19=6=:;o>1;?5rs2d6>5<5s49m977<;<1e5?163ty8j;4?:3y>7c0=1:16?h75739~w6`02909w0=i7;;0?85bi3=:7p}7}:;o215>523dc936=z{:l26=4={<1e=??4349nh79>;|q0bd<72;q6?ko5929>7`b=?:1v>hm:18185aj33870=jb;52?xu4nj0;6?u23ga9b3=:<9<1;=5rs2dg>5<5s49mo7:8;<631??43ty8jh4?:3y>7cc=1:168=:5739~w6`a2909w0=if;;0?827;3=:7p};0183>7}:<9:15>52411937=z{=::6=4={<635??434>;879<;|q747<72;q68=<5929>053=?;1v9><:181827;33870:?4;52?xu38=0;6?u24169=6=:<9?1;<5rs525>5<5s4>;:77<;<63051=1:168=65739~w16>2909w0:?9;d5?82f=3=;7p};0`83>7}:<931955241d9=6=z{=:i6=4={<63f??434>::79=;|q74f<72;q68=m5929>05`=?:1v9>k:181827l33870:?e;51?xu38l0;6?u241g9=6=:<9l1;?5rs533>5<5s4>:<77<;<623?163ty?=<4?:3y>047=1:168<95739~w1752909w0:>2;;0?827l3=97p};1283>7}:<8915>5241d934=z{=;?6=4={<620??434>;o79>;|q750<72;q68<;5929>05e=?;1v9?9:181826>33870:?e;52?xu39>0;6?u24059=6=:<9n1;<5rs53;>5<6>r7?=54i6:?7eg<0827?:9481:?720<0827?95481:?71<<0827?9>481:?711<0827?8h481:?70c<0827?84481:?70d<0827?89481:?700<0827??k481:?705<0827??l481:?77g<0827??8481:?773<0827?9i480:?71c<0:2wx8<750;0x917?2<2019v3;1`8:7>;39o0<>6s|40`94?4|5=;i64=4=50:>25:o7>52z?75f<>;27?>o482:p04b=838p19?k:81891452>;0q~:>e;296~;39l02?63;23847>{t<8l1<7<5<5=886:?4}r614?6=:r7?>=463:?766<0:2wx8??50;0x9146209019<;:638yv25:3:1>v3;238:7>;3:j0<>6s|43194?4|5=8864=4=505>27987>52z?761<>;27?>:482:p073=838p19<::81891472>80q~:=6;296~;3:?02?63;29846>{t<;=1<7<5<5=826:?4}r615463:?76d<092wx8?750;0x914>209019v3;2`8:7>;3:k0<=6s|43`94?4|5=8i64=4=50`>279h7>52z?76a<>;27?>=481:p07c=838p1990q~:=f;296~;3:o02?63;27847>{t<::1<7<5<5=826:<4}r605?6=:r7??<463:?764<092wx8><50;0x9155209019<>:608yv24;3:1>v3;328:7>;3:=0<>6s|42694?4|5=9>64=4=51;>27897>52z?773<>;27??:482:p060=838p19=8:818915?2>80q~:<9;296~;3;h02?63;3e845>{t<:k1<7<5<5=9h6:<4}r60f?6=:r7??n463:?77a<0:2wx8>k50;0x915a209019:=:638yv24n3:1>v3;418:7>;3<80<>6s|45294?4|5=>:64=4=561>24??7>52z?701<>;27?8:481:p012=838p19:::81891212>80q~:;5;296~;3{t<=21<7<5<5=>h6:?4}r67=?6=:r7?8l463:?70g<0:2wx89o50;0x912e209019:l:608yv23l3:1>v3;4d8:7>;3=80<=6s|45g94?4|5=>m64=4=573>24?j7>52z?715<>;27?9<482:p004=838p19;<:81891312>;0q~::3;296~;3==02?63;54846>{t<<>1<7<5<5=?=6:<4}r663?6=:r7?95463:?71g<092wx88650;0x913>209019;n:608yv2213:1>v3;5`8:7>;3=k0<>6s|44a94?4|5=?o64=4=543>27>h7>52z?71`<>;27?:=482:p00c=838p19;i:81891052>80q~::f;296~;3>902?63;60846>{t<5<5=<96:?4}r657?6=:r7?:9463:?722<092wx8;:50;0x910220901989:608yv21=3:1>v3;678:7>;3>>0<>6s|47:94?70s4>=47h9;<6b6?1734>2879?;<6:=?1534>2o79?;<65e?1634><=79?;<641?1734><479>;<6;2?1734>3i79?;<6:5?1734>::79>;<1f7?16349ni79?;<1e=?17349n879?;<1f=?1634>9j79?;<605?1734>:h79?;<1gg?17349mj79>;|q72<<72;q68;65599>026=1:1v98n:181821i33870:9c;52?xu3>k0;6?u247`9=6=:5<5s4>=o77<;<644?163ty?:i4?:3y>03b=1:168;k5739~w10b2909w0:9e;;0?821n3=97p};6g83>7}:52462937=z{==:6=4={<645??434><;79=;|q737<72;q68:<5929>03`=?81v99<:181820;33870:88;51?xu3?=0;6?u24669=6=:5<5s4><977<;<65f?163ty?;;4?:3y>020=1:168;l5739~w1102909w0:87;;0?821k3=97p};7983>7}:<>215>5247g934=z{==26=4>0z?73<27?m>481:?7=d<0827?5h481:?72d<0:27?;;480:?73g<0827?4i480:?73c<0927?=>480:?0b3<08278i5481:?75f<0827?>?482:?0`g<0827?<=480:p02g=838p1996:4:891>f2090q~:8b;296~;3?k02?63;7d846>{t<>i1<7<5<5=296::4}r64`?6=:r7?;i463:?7<<<0:2wx8:k50;0x911b2090196<:638yv20n3:1>v3;7g8:7>;30:0<>6s|49294?4|5=2;64=4=5:7>273=7>52z?7<4<>;27?49482:p0=4=838p196=:81891>?2>80q~:73;296~;30:02?63;8`845>{t<1>1<7<5<5=2>6:<4}r6;1?6=:r7?48463:?7<2<0:2wx85850;0x91>12090199i:608yv2??3:1>v3;868:7>;3010<=6s|49:94?4|5=2364=4=5::>27357>52z?7<<<>;27?4l482:p0=d=838p196m:81891>02>;0q~:7c;296~;30j02?63;81845>{t<1n1<7<5<5=2;6:<4}r6;a?6=:r7?4h463:?7<4<092wx85h50;0x91>a2090196>:608yv2>83:1>v3;918:7>;30;0<>6s|48394?4|5=3:64=4=5:1>252>7>5dz?7=727?5i480:?736<0827?;:481:?73`<0927?=9480:?0a6<0:278jl480:?0a0<0827?=h480:?76=<09278hl480:?744<082wx84=50;0x91?52<201977:818yv2><3:1>v3;958:7>;31l0<>6s|48794?4|5=3>64=4=5;5>242:7>52z?7=3<>;27?5:481:p0<1=838p1978:81891??2>80q~:69;296~;31002?63;9g845>{t<0k1<7<5<5=3m6:<4}r6:f?6=:r7?5o463:?7e5<0:2wx84m50;0x91?d2090197::618yv2>l3:1>v3;9e8:7>;31>0272j7>52z?7=c<>;27?5;481:p0d6=838p19o?:81891?22>;0q~:n1;296~;3i;02?63;a2846>{t1<7<5<5=k=6:<4}r6b3?6=;r7?m:4i6:?2<6<0927?n5480:p0d>=838p19o8:4:891g42090q~:n9;296~;3i00m:63<9b844>{t0><5=ki64=4}r6b`?6=:r7?mn480:?7f4<>;2wx8lk50;0x91gb209019l>:608yv2fn3:1>v3;ag8:7>;3j8022i>7>52z?7f6<>;27?n9482:p0g3=838p19l9:81891d>2>;0q~:m6;296~;3j>02?63;b8847>{t<5<5=hj6:<4}r6a:019m=:818yv2en3:1>v3;bg8:7>;3k;0<>6s|4b294?4|5=i;64=4=5a1>25h=7>52z?7g4<>;27?o?484:p573=839pR<<:;<311?c>34;9:79?;|q263<728q6=?85929~yk730j0;63h7>51zJ27<=Nm00:w<4rZ7f95~>>2twe=96j:182M7412Cn57?t1;Y2a<6s131qp`>49d94?7|@8927Dk6:0y2>x\1l3;p444r}o37=5<728qC=>74Id;95~7=uS93:1=vF>389Ja<<6s80vV;j51z::>x{i9=396=4>{I30=>Ob13;p=7sU6e82=?=utd:84=50;3xL45>3@o26:|X5`?7|000vqc?;9583>4}O9:30Eh751z39y_0c28q357srn06:1?6=9rB:?45Fe8824{9;9yxh6<0=1<7?tH01:?Lc>28q:6pT9d;3x<<4}?13wvb<:69;295~N6;01Bi44>{08~^3b=9r226psa15;b>5<6sA;856Gj9;3x5?{]>m0:w575}|l204}62tP=h7?t888~yk731j0;62h7>51zJ27<=Nm00:w<4rZ7f95~>>2twe=97j:182M7412Cn57?t1;Y2a<6s131qp`>48d94?7|@8927Dk6:0y2>x\1l3;p444r}o37e5<728qC=>74Id;95~7=uS389Ja<<6s80vV;j51z::>x{i9=k96=4>{I30=>Ob13;p=7sU6e82=?=utd:8l=50;3xL45>3td:8l:50;3xL45>3td:8l;50;3xL45>3td:8l850;3xL45>3td:8l950;3xL45>3td:8l650;3xL45>3td:8l750;3xL45>3td:8lo50;3xL45>3td:8ll50;3xL45>3td:8lm50;3xL45>3td:8lj50;3xL45>3td:8lk50;3xL45>3td:8lh50;3xL45>3td:8o>50;3xL45>3td:8o?50;3xL45>3td:8o<50;3xL45>3td:8o=50;3xL45>3td:8o:50;3xL45>3td:8o;50;3xL45>3td:8o850;3xL45>3td:8o950;3xL45>3td:8o650;3xL45>3td:8o750;3xL45>3td:8oo50;gxL45>3td:8ol50;3xL45>3@o264}O9:30qc?;be83>4}O9:30Eh751z09y_0c28q357srn06aa?6=9rB:?45rn06ab?6=9rB:?45Fe8824x\1l38p=?m5888~yk73k;0;68:tH01:?xh628q:6pT>2b8204=uS2b8;=?{zf8>h87>51zJ27<=zf8>h97>52zJ27<=zf8>h:7>52zJ27<=zf8>h;7>51zJ27<=zf8>h47>51zJ27<=zf8>h57>51zJ27<=zf8>hm7>51zJ27<=Nm00:w<4rZ7f95~>>2twe=9mm:180M7412we=9ml:182M7412we=9mk:182M7412we=9mj:186M7412we=9mi:180M7412we=9j?:180M7412we=9j>:182`~N6;01vb<:k2;290~N6;01vb<:k3;295~N6;01vb<:k4;295~N6;01vb<:k5;295~N6;01Bi44>{38~^3b=9r226psa15f5>5<6sA;856Gj9;3x6?{]>m0:w575}|l20a1=83;pD<=6;Hg:>4}52tP=h7?t888~yk73l10;6o57>51zJ27<=Nm00:w?4rZ7f95~>>2twe=9jn:182M7412Cn57?t2;Y2a<6s131qp`>4e`94?7|@8927Dk6:0y1>x\1l3;p444r}o37`f<728qC=>74Id;95~4=uS389Ja<<6s;0vV;j51z::>x{i9=nn6=4>{I30=>Ob13;p>7sU6e82=?=utd:8ih50;3xL45>3@o264}O9:30Eh751z09y_0c28q357srn06f5?6=9rB:?45rn06f6?6=9rB:?45rn06f7?6=9rB:?45rn06f0?6=9rB:?45rn06f1?6=9rB:?45rn06f2?6=9rB:?45rn06f3?6=9rB:?45rn06f290:wE?<9:Kf=?7|93wQ:i4>{9;9yxh61<7:tH01:?xh6=9?1<71<71<7?tH01:?xh6=;?1<7?tH01:?xh6=;<1<7?tH01:?xh6=;=1<7?tH01:?xh6=;21<7?tH01:?xh6=;31<7?tH01:?xh6=;k1<7?tH01:?xh6=;h1<7?tH01:?xh6=;i1<7?tH01:?xh6=;n1<71<7?tH01:?xh6=:?1<7?tH01:?xh6=:<1<7?tH01:?xh6=:=1<7?tH01:?xh6=:21<7?tH01:?xh6=:31<7?tH01:?xh6=:k1<7?tH01:?xh6=:h1<7?tH01:?xh6=:i1<7?tH01:?xh6=:n1<7?tH01:?xh6=:o1<7?tH01:?xh6=:l1<7?tH01:?xh6==:1<7?tH01:?xh6==;1<7?tH01:?xh6==81<7?tH01:?xh6==91<7?tH01:?xh6==>1<7?tH01:?xh6==?1<7?tH01:?xh6==<1<7?tH01:?xh6===1<7?tH01:?xh6==21<7?tH01:?xh6==31<7?tH01:?xh6==k1<7?tH01:?xh6==h1<7?tH01:?xh6==i1<7?tH01:?xh6==n1<7?tH01:?xh6==o1<7?tH01:?xh6==l1<7?tH01:?xh6=<:1<7?tH01:?xh6=<;1<7?tH01:?xh6=<81<7?tH01:?xh6=<91<7?tH01:?xh6=<>1<7?tH01:?xh6=1<7:1<7;1<7?tH01:?xh6=>81<7?tH01:?xh6=>91<7?tH01:?xh6=>>1<7?tH01:?xh6=>?1<7<1<7=1<7?tH01:?xh6=>21<7?tH01:?xh6=>31<7k1<7h1<7?tH01:?xh6=>i1<7?tH01:?xh6=>n1<7o1<7l1<7?tH01:?xh6=1:1<7?tH01:?xh6=1;1<7?tH01:?xh6=181<7?tH01:?xh6=191<71<71<7?tH01:?xh6=0?1<7?tH01:?xh6=0<1<7?tH01:?xh6=0=1<7?tH01:?xh6=021<7=tH01:?xh6=031<7?<{I30=>{i9<3j6=4<{I30=>{i9<3i6=4:{I30=>{i9<3h6=49{I30=>{i9<3o6=4={I30=>{i9<3n6=4={I30=>{i9<3m6=4>{I30=>{i9{i9{i9{i9{I30=>{i9{i96=4<{I30=>{i9{i9{i9{i9{I30=>{i9{I30=>{i9{I30=>{i9{i9{i9{i9{i9{i9{i9{i9{i9{i96=4={I30=>{i9{i9{I30=>{i9{I30=>{i9{I30=>{i9{i9{i9{i9{i9{I30=>{i9{I30=>{i9{I30=>{i9{I30=>{i9{I30=>{i9{I30=>{i9{I30=>{i96=4>{I30=>{i9{I30=>{i9{I30=>{i9{I30=>{i9{i9{i9{I30=>{i9{I30=>{i9{I30=>{i9{I30=>{i9{I30=>{i9{i9{i9{i9{i9{I30=>{i96=4>{I30=>{i9{I30=>{i9{I30=>{i9{I30=>{i9{I30=>{i9{I30=>{i9{I30=>{i9{i9{I30=>{i9{I30=>{i9{I30=>{i9{I30=>{i9{I30=>{i9{I30=>{i9{i9{i96=4>{I30=>{i9{I30=>{i9{I30=>{i9{I30=>{i9{I30=>{i9{I30=>{i9{I30=>{i9{I30=>{i9{I30=>{i9{I30=>{i9{I30=>{i9{I30=>{i9{I30=>{i9{i9{i9{i96=4>{I30=>{i9{I30=>{i9{I30=>{i9{i9{i9{I30=>{i9{I30=>{i9{I30=>{i9{I30=>{i9{I30=>{i9{I30=>{i9?:;6=4>{I30=>{i9?::6=4>{I30=>{i9?:96=4>{I30=>{i9?:86=4>{I30=>{i9?:?6=4>{I30=>{i9?:>6=4<{I30=>{i9?:=6=4<{I30=>{i9?:<6=4>{I30=>{i9?:36=4>{I30=>{i9?:26=4>{I30=>{i9?:j6=4>{I30=>{i9?:i6=4>{I30=>{i9?:h6=4>{I30=>{i9?:o6=4>{I30=>{i9?:n6=4>{I30=>{i9?:m6=4>{I30=>{i9?;;6=4<{I30=>{i9?;:6=4<{I30=>{i9?;96=47{I30=>{i9?;86=4<{I30=>{i9?;?6=4<{I30=>{i9?;>6=4:{I30=>{i9?;=6=4;{I30=>{i9?;<6=4<{I30=>{i9?;36=4>{I30=>{i9?;26=4>{I30=>{i9?;j6=4>{I30=>{i9?;i6=4<{I30=>{i9?;h6=4<{I30=>{i9?;o6=4<{I30=>{i9?;n6=4<{I30=>{i9?;m6=4>{I30=>{i9?8;6=4>{I30=>{i9?8:6=4>{I30=>{i9?896=4>{I30=>{i9?886=4;{I30=>{i9?8?6=4<{I30=>{i9?8>6=4>{I30=>{i9?8=6=4>{I30=>{i9?8<6=4>{I30=>{i9?836=4>{I30=>{i9?826=4>{I30=>{i9?8j6=4>{I30=>{i9?8i6=4>{I30=>{i9?8h6=4>{I30=>{i9?8o6=4>{I30=>{i9?8n6=4;{I30=>{i9?8m6=4<{I30=>{i9?9;6=4>{I30=>{i9?9:6=4>{I30=>{i9?996=4<{I30=>{i9?986=4={I30=>{i9?9?6=4={I30=>{i9?9>6=4>{I30=>{i9?9=6=4>{I30=>{i9?9<6=4>{I30=>{i9?936=4>{I30=>{i9?926=4>{I30=>{i9?9j6=4>{I30=>{i9?9i6=4>{I30=>{i9?9h6=4>{I30=>{i9?9o6=4>{I30=>{i9?9n6=4>{I30=>{i9?9m6=4>{I30=>{i9?>;6=4>{I30=>{i9?>:6=4>{I30=>{i9?>96=4<{I30=>{i9?>86=4={I30=>{i9?>?6=4={I30=>{i9?>>6=4={I30=>{i9?>=6=4={I30=>{i9?><6=4<{I30=>{i9?>36=4>{I30=>{i9?>26=4>{I30=>{i9?>j6=4>{I30=>{i9?>i6=4>{I30=>{i9?>h6=4>{I30=>{i9?>o6=4<{I30=>{i9?>n6=4<{I30=>{i9?>m6=4<{I30=>{i9??;6=4={I30=>{i9??:6=4={I30=>{i9??96=4>{I30=>{i9??86=4>{I30=>{i9???6=4>{I30=>{i9??>6=4>{I30=>{i9??=6=4={I30=>{i9??<6=4={I30=>{i9??36=4:{I30=>{i9??26=4<{I30=>{i9??j6=4>{I30=>{i9??i6=4>{I30=>{i9??h6=4>{I30=>{i9??o6=4>{I30=>{i9??n6=4>{I30=>{i9??m6=4>{I30=>{i9?<;6=4>{I30=>{i9?<:6=4>{I30=>{i9?<96=4>{I30=>{i9?<86=4={I30=>{i9?{i9?<>6=4>{I30=>{i9?<=6=4>{I30=>{i9?<<6=4>{I30=>{i9?<36=4={I30=>{i9?<26=4>{I30=>{i9?{I30=>{i9?{I30=>{i9?{I30=>{i9?{I30=>{i9?{I30=>{i9?{i9?=;6=4;{I30=>{i9?=:6=4>{I30=>{i9?=96=4>{I30=>{i9?=86=4>{I30=>{i9?=?6=4>{I30=>{i9?=>6=4>{I30=>{i9?==6=4={I30=>{i9?=<6=4={I30=>{i9?=36=4>{I30=>{i9?=26=4={I30=>{i9?=j6=4={I30=>{i9?=i6=4>{I30=>{i9?=h6=4>{I30=>{i9?=o6=4>{I30=>{i9?=n6=4>{I30=>{i9?=m6=4={I30=>{i9?2;6=4={I30=>{i9?2:6=49{I30=>{i9?296=4={I30=>{i9?286=4={I30=>{i9?2?6=4>{I30=>{i9?2>6=4>{I30=>{i9?2=6=4>{I30=>{i9?2<6=4>{I30=>{i9?236=4>{I30=>{i9?226=4>{I30=>{i9?2j6=4<{I30=>{i9?2i6=4<{I30=>{i9?2h6=4>{I30=>{i9?2o6=4<{I30=>{i9?2n6=4<{I30=>{i9?2m6=4>{I30=>{i9?3;6=4>{I30=>{i9?3:6=4>{I30=>{i9?396=4>{I30=>{i9?386=4>{I30=>{i9?3?6=4>{I30=>{i9?3>6=4>{I30=>{i9?3=6=4>{I30=>{i9?3<6=4>{I30=>{i9?336=4>{I30=>{i9?326=4>{I30=>{i9?3j6=4>{I30=>{i9?3i6=4>{I30=>{i9?3h6=4:{I30=>{i9?3o6=4>{I30=>{i9?3n6=4>{I30=>{i9?3m6=4>{I30=>{i9?k;6=4>{I30=>{i9?k:6=4>{I30=>{i9?k96=4>{I30=>{i9?k86=4>{I30=>{i9?k?6=4>{I30=>{i9?k>6=4>{I30=>{i9?k=6=4>{I30=>Ob13;p>7sU6e82=?=utd::l950;3xL45>3td::l650;3xL45>3td::l750;3xL45>3td::lo50;3xL45>3@o264}O9:30qc?9ab83>4}O9:30Eh751z39y_0c28q357srn04b`?6=9rB:?45rn04ba?6=:rB:?45Fe8826{9;9yxh6>k:1<7?tH01:?xh6>k;1<7?tH01:?Lc>28q96pT9d;3x<<4?:0yK56?4}?13wvb<8m4;295~N6;01vb<8m5;295~N6;01Bi44>{08~^3b=9r226psa17`5>5<6sA;856sa17`4>5<6sA;856Gj9;3x6?{]>m0:w575}|l22g>=83;pD<=6;Hg:>4}52tP=h7?t888~yk71j00;651zJ27<=Nm00:w<4rZ7f95~>>2twe=;lm:182M7412we=;ll:182M7412Cn57?t2;Y2a<6s131qp`>6cf94?7|@8927Dk6:0y1>x\1l3;p444r}o35f`<728qC=>74}o35fc<728qC=>74Id;95~4=uS389Ja<<6s80vV;j51z::>x{i9?i:6=4>{I30=>{i9?i96=4>{I30=>Ob13;p=7sU6e82=?=utd::n=50;3xL45>3td::n:50;0xL45>3@o264}O9:30Eh751z09y_0c28q357srn04`2?6=9rB:?45rn04`3?6=9rB:?45Fe8827290:wE?<9:Kf=?7|:3wQ:i4>{9;9yxh6>jk1<7?tH01:?xh6>jh1<7?tH01:?Lc>28q96pT9d;3x<<4}?13wvb<8le;295~N6;01vb<8lf;295~N6;01Bi44>{38~^3b=9r226psa17f3>5<6sA;856sa17f2>5<6sA;856Gj9;3x6?{]>m0:w575}|l22a4=83;pD<=6;|l22a5=83;pD<=6;Hg:>4}62tP=h7?t888~yk71l=0;652zJ27<=Nm00:w>4rZ7f95~>>2twe=;j8:182M7412Cn57?t2;Y2a<6s131qp`>6e:94?7|@8927p`>6e;94?7|@8927Dk6:0y2>x\1l3;p444r}o35`d<728qC=>74}o35`g<728qC=>74Id;95~7=uSvF>389~j40cl3:1=vF>389~j40cm3:1=vF>389~j40cn3:1=vF>389~j40b83:1=vF>389~j40b93:1=vF>389~j40b:3:1=vF>389~j40b;3:1=vF>389~j40b<3:1=vF>389~j40b=3:1=vF>389~j40b>3:1=vF>389~j40b?3:1=vF>389~j40b03:1=vF>389~j40b13:1=vF>389~j40bi3:1=vF>389~j40bj3:1?vF>389~j40bk3:1>vF>389~j40bl3:1>vF>389~j40bm3:1=vF>389~j40bn3:1=vF>389~j40a83:1=vF>389~j40a93:1=vF>389~j40a:3:1=vF>389~j40a;3:1=vF>389~j40a<3:1?vF>389~j40a=3:1?vF>389~j40a>3:1=vF>389~j40a?3:1=vF>389~j40a03:1=vF>389~j40a13:1=vF>389~j40ai3:1=vF>389~j40aj3:1=vF>389~j40ak3:1=vF>389~j40al3:1=vF>389~j40am3:1=vF>389~j40an3:1=vF>389~j41783:1=vF>389~j41793:1=vF>389~j417:3:1=vF>389~j417;3:1=vF>389~j417<3:1=vF>389~j417=3:1=vF>389~j417>3:1=vF>389~j417?3:1=vF>389~j41703:1=vF>389~j41713:1=vF>389~j417i3:1=vF>389~j417j3:1=vF>389~j417k3:1=vF>389~j417l3:1=vF>389~j417m3:1>vF>389~j417n3:1>vF>389~j41683:1=vF>389~j41693:1=vF>389~j416:3:1=vF>389~j416;3:1=vF>389~j416<3:1=vF>389~j416=3:1=vF>389~j416>3:1=vF>389~j416?3:1=vF>389~j41603:1=vF>389~j41613:1?vF>389~j416i3:1?vF>389~j416j3:1=vF>389~j416k3:1=vF>389~j416l3:1=vF>389~j416m3:1=vF>389~j416n3:1=vF>389~j41583:1=vF>389~j41593:1=vF>389~j415:3:1=vF>389~j415;3:1=vF>389~j415<3:1=vF>389~j415=3:1=vF>389~j415>3:1=vF>389~j415?3:1?vF>389~j41503:1?vF>389~j41513:1=vF>389~j415i3:1=vF>389~j415j3:1=vF>389~j415k3:1=vF>389~j415l3:1=vF>389~j415m3:1=vF>389~j415n3:1=vF>389~j41483:1=vF>389~j41493:1=vF>389~j414:3:1=vF>389~j414;3:1=vF>389~j414<3:1=vF>389~j414=3:1=vF>389~j414>3:1=vF>389~j414?3:1=vF>389~j41403:1=vF>389~j41413:1=vF>389~j414i3:1=vF>389~j414j3:1=vF>389~j414k3:1=vF>389~j414l3:1=vF>389~j414m3:1=vF>389~j414n3:1=vF>389~j41383:1=vF>389~j41393:1=vF>389~j413:3:1=vF>389~j413;3:1=vF>389~j413<3:1=vF>389~j413=3:1=vF>389~j413>3:1=vF>389~j413?3:1=vF>389~j41303:1=vF>389~j41313:1=vF>389~j413i3:1=vF>389~j413j3:1=vF>389~j413k3:1=vF>389~j413l3:1=vF>389~j413m3:1=vF>389~j413n3:1=vF>389~j41283:1=vF>389~j41293:1=vF>389~j412:3:1=vF>389~j412;3:1=vF>389~j412<3:1=vF>389~j412=3:1=vF>389~j412>3:1=vF>389~j412?3:1=vF>389~j41203:1=vF>389~j41213:1=vF>389~j412i3:1=vF>389~j412j3:1=vF>389~j412k3:1=vF>389~j412l3:1=vF>389~j412m3:1=vF>389~j412n3:1=vF>389~j41183:1=vF>389~j41193:1=vF>389~j411:3:1?vF>389~j411;3:1?vF>389~j411<3:1=vF>389~j411=3:1=vF>389~j411>3:1=vF>389~j411?3:1=vF>389~j41103:1=vF>389~j41113:1=vF>389~j411i3:1=vF>389~j411j3:1=vF>389~j411k3:1=vF>389~j411l3:1=vF>389~j411m3:1=vF>389~j411n3:1=vF>389~j41083:1=vF>389~j41093:1=vF>389~j410:3:1?vF>389~j410;3:1?vF>389~j410<3:1=vF>389~j410=3:1=vF>389~j410>3:1=vF>389~j410?3:1=vF>389~j41003:1=vF>389~j41013:1=vF>389~j410i3:1=vF>389~j410j3:1=vF>389~j410k3:1=vF>389~j410l3:1=vF>389~j410m3:1=vF>389~j410n3:1=vF>389~j41?83:1=vF>389~j41?93:1=vF>389~j41?:3:1=vF>389~j41?;3:1=vF>389~j41?<3:1=vF>389~j41?=3:1=vF>389~j41?>3:1=vF>389~j41??3:1=vF>389~j41?03:1=vF>389~j41?13:1=vF>389~j41?i3:1?vF>389~j41?j3:1?vF>389~j41?k3:1=vF>389~j41?l3:1=vF>389~j41?m3:1=vF>389~j41?n3:1=vF>389~j41>83:1=vF>389~j41>93:1=vF>389~j41>:3:1=vF>389~j41>;3:1=vF>389~j41><3:1=vF>389~j41>=3:1=vF>389~j41>>3:1=vF>389~j41>?3:1=vF>389~j41>03:1=vF>389~j41>13:1=vF>389~j41>i3:1?vF>389~j41>j3:1?vF>389~j41>k3:1?vF>389~j41>l3:1?vF>389~j41>m3:1=vF>389~j41>n3:1=vF>389~j41f83:1=vF>389~j41f93:1=vF>389~j41f:3:1=vF>389~j41f;3:1=vF>389~j41f<3:1=vF>389~j41f=3:1=vF>389~j41f>3:1=vF>389~j41f?3:1=vF>389~j41f03:1=vF>389~j41f13:1=vF>389~j41fi3:1=vF>389~j2>=839pqc9=:182xh>?3:1?vsa9083>4}zfk<1<7=t}o`3>5<6stdo97>53zmgc<728qvbk:50;1xykcb290:wp`>0883>4}zf8;n6=4>{|l276<728qvb<:7:182xh6=m0;65<6std:4:4?:0y~j4?d290:wp`>bc83>6}zf8h>6=4>{|l2`5<728qvb5<6std9>94?:0y~j75>290:wp`=4d83>4}zf;<86=4>{|l13=<728qvb?6k:182xh5i;0;65<4std9no4?:0y~j7c72908wp`=d`83>4}zf;lm6=4<{|l1b<<728qvb>?j:180xh4910;65<4std8?:4?:0y~j63d2908wp`<5783>4}zf:=:6=4>{|l0<3<728qvb>o::180xh41o0;65<4std8nh4?:0y~j6c42908wp`4}zf=:96=4<{|l0bf<728qvb9<>:180xh39k0;65<4std??l4?:0y~j13a2908wp`;5883>4}zf==n6=4<{|l73=<728qvb97<:182xh3i10;65<4std?o<4?:0y~j1c12908wp`;e183>4}zf<:>6=4<{|l7bc<728qvb8<;:180xh29l0;65<4std>?i4?:0y~j0052908wp`:5b83>4}zf<2:6=4<{|l63g<728qvb8o?:180xh21h0;65<4std>n44?:0y~j0bb2908wp`:d983>4}zf5<4std=?84?:0y~j33f2908wp`95583>4}zf?=26=4<{|l536<728qvb;77:180xh11;0;65<4std=n<4?:0y~j3b12908wp`9d183>4}zf?l>6=4<{|l5ac<728qvb:?;:180xh08l0;65<4std<>i4?:0y~j2352908wp`84b83>4}zf>=:6=4<{|l42g<728qvb:7?:180xh00h0;65<4std4}zf>oo6=4<{|l4a2<728qvb5>=:182xh?9>0;65<6std38<4?:0y~j=31290:wp`76c83>4}zf12j6=4<{|l;<1<728qvb5o6:180xh?i:0;65<4std3o?4?:0y~j=c02908wp`7e083>4}zf0:=6=4<{|l:45<728qvb4<::180xh>9o0;65<4std2?h4?:0y~j<042908wp`65e83>4}zf0296=4<{|l:3f<728qvb4o>:180xh>1k0;65<4std2nl4?:0y~j4}zf0ln6=4<{|l:b=<728qvb<9nb;295~\1l3;p444rH808yk70ij0;6kfc56yEFEs9wKL]ur@A \ No newline at end of file +$5fe5=23-;B8>;4$0L7044<,[o}e~g`n;"2*73>(-20*R?F42]0<> X9G>?S9?4000857>3HUM_O2>0?;8EZ@TJ5;:245N_GQA844912KTJ^L312<:?DYA[K6:8374A^DPF972601JSK]M<04==>GXNZH7=:06;@]EWG:60730MRH\B=3::==FWOYI0<06;@]EWG:58730MRH\B=02:<=FWOYI0?<1b:C\BVD;::0:245N_GQA875902KTJ^L32?:8EZ@TJ59546OPFR@?0;>720MRH\B=5=<>GXNZH74364A^DPF9?9l2KTJ^LP11]JFP@c3HUM_OQ>1^KAQCbGXNZHT=9QFBTDg?DYA[KU:9RGMUGf8EZ@TJV;=SDLZFe9B[CUEW8=TEO[Id:C\BVDX91UBNXHk;@]EWGY61VCIYKm4A^DPFZ7XAK_Mh6OPFR@\65YNJ\Lo7LQISC]15ZOE]On0MRH\B^01[LDRNm1JSK]M_31\MGSAk2KTJ^LP2^KAQCeGXNZHT8RGMUGa8EZ@TJV?TEO[Ic:C\BVDX>VCIYKm4A^DPFZ1XAK_Mo6OPFR@\BEO`8G76JMGUDNXH9;B06I@He3J8>AH@POCWE7>E?E11H4@QFBTD1?FC43JF@56MCK3Z\MJD03JF@SK]M7:AOOZOHJ:1H@_74CNONMQRBL>1H^HO[EE18GQJ63Mo0H_MPSTA@AVUA]E=0Hlzn<1<4?Agsi5;5=6K7;DZSEKBBL8;0IU^NNEGG[GECWOCGI<:4EYRBJACCWJEE_Y\NIOVP54=BPYKEHHJPIRG\BLJB>2OTEO[I4:D@HN?;F18CKB63@80E=<4I008M74>0ELM\4:KAQC4OIA]Y_MYK<;HLUa>OIWGMOSL@K_CAG6>OHi2CDN^Q]e`fz2>OHJ\LN:6G@CNOS0>OHKZ<0EBZVPD58HLDUI[S=7AANDDF0?IID?2FDKDMNL`9OTHY_G[8T=n5BakmqR`ttafdh7@gaosTfvvohf;1E<>5A0008J44IE]OO87B@J2:MQ7>IU::1D^>=4OTV2?Ue??12]O7>V68:1[=<=4P000?U74;2Z:8>5_1418T4043Y;829S5<4<;Q017>V5;:1[>9=4P370?U40;2Z94>5_2808T65;<;Q157>V4?;1[8>5_4118T1743Y>9?6^;329S035V20:1[94<4P718T3643Y<:?6^9329S2155_7718T2143Y=3?6^8939S<6=W0990\5?<;Q:17>V?=:1[4;=4P950?U>>:2Z2?6^6029S=45VFL=1[M_Z<7:RFVLIC(+IGG?VPIN@!$43)>?=9<=>?0!OK~%NNOA*><#>?0123456'-:?0\H\FOE"!GIMXNZH),?;!012345678)GCv-FFGI"64+6789:;<=>/%268T@TNGM*)OAEPIN@!$2(?;:<;<=>? LJy$MO@@)?;"=>?012345&":<1[I_G@D;AOO7^XAFH1=8 96609IM|=@@MC68>*1d9SAWOHL3IGGRH\B;06>HN}2ACLD7;?%308T@TNGM0H@FQFOC84*=54>3GCv7FFGI864 gW23[K7<384R@>24;>16:PB8479=2XJ0<0:;SC?6;3TF4<4>7_O36?78VD:06<1YM1615:PB8<823[KFO^74R@O\V`gcq01YMR>POCWEe>TFW8:TCO[Ia:PB[47XGK_M56\N_0]LFP@>3[KT>RAMUG;8VDY4WFH^J45]A^6\KGSA12XJS8Q@BTD:?WGX>VEIYK74R@]4[JDRN01YMR6POCWE=>TFW0UDNXHl;SGSHDEBWFAJU85]OLAP7>TT\?1Yi~{ct29PAQ3<[^6:2l5\W=3=[LDRN<1X[1<1a:QT878XAK_M;6]X<282:0=T_595m6]X<2<\MGSA92^37YK]MHLBH==SADOX\HM8;ULN[KCS;2^YE55[RHQWEQC33]X^In5[S^AOO7^XAFHj7Y]PCMI\BVDf3]YTOAEPIN@a?QUX\@GN_]KL6:VP[QHJ<2^R\H=4UBD5?PHR[LNj7X]JR^COMDUd3\YN^RXFSH@OA6=QKJ30ZDKX_U[SA3=Pi{zXI<=4W`psW@YSADOX\HMPUBD2`>^ND@DS!UJM 1,2$VRRJ):%=-O\CHK0?]IU<2RD^?84XRVOMG1^ceVGjfb|Yesqjkk773QnfS@gaosTfvvohf?1Sc1>1129[wq2?01327>ei|&KdyyAJ_lw{4567:01hby!FPM0q54=df}%B\A<}_omw45669;1hby!FPM0q[kis89::=i5lnu-OPlkbz5:5i6mat.NWmhcu48:5i6mat.NWmhcu48;5i6mat.NWmhcu4885i6mat.NWmhcu4895h6mat.NWmhcu484o7n`{/MVji`t;:7n0ocz LUknaw:46m1hby!CThofv929l2iex"B[ilgq808c3jd#AZfmdp?2;b4:a=df}%GXdcjr=:=`>ei|&F_e`k}<8<`?fhs'E^bah|P0048gkr(D]cfiQ?_1]mkq6789;<7n`{/MVji`tX8V:Tbbz?012250=df}%GXdcjr^2\[dhc89:;=;5lnu-OPlkbzV:TSl`k0123540?2e9`jq)K\`gn~R?>179`jq)K\`gn~R?>_^cm`56788=0ocz LUknawY69VUjbi>?01323>ei|&F_e`k}_03\[dhc89:;>i5lnu-OPlkbzV;9=;5lnu-OPlkbzV;9SRoad1234417:amp*JSadoyS<?0058gkr(D]cfiQ>3^]bja6789;:;6mat.NWmhcuW89TSl`k0123643<;4cov,HQojm{U9SRoad123440RQnne23454d3jd#AZfmdp\743SRoad12344713jd#AZfmdp\1ZYffm:;<=?_LzlvZTb{|f0<<1299`jq)K\`gn~RCnde]\eab789:TAua}_Sgpqir;9:49;6mat.NWmhcuWDkohRQnde2345YJpfxT^h}zlu>2:71?_LzlvZTb{|f0?0=7:amp*JSadoyS@okd^]b`a6789UFtb|PRdqvhq:46;=0ocz LUknawYJimnTSljk0123[H~hzVXnxb{<5<13>ei|&F_e`k}_Lcg`ZYflm:;<=QBxnp\V`urd}6>2?94cov,HQojm{UFmijP_`fg4567WDrd~R\jstnw8385?2iex"B[ilgq[HgclVUjhi>?01]N|jtXZly~`y28>358gkr(D]cfiQBaef\[dbc89:;S@v`r^Pfwpjs4149;6mat.NWmhcuWDkohRQnde2345YJpfxT^h}zlu>::77?_^cm`5678;80ocz LUknawYJimnTSljk0123[Zgil9:;<<<>;blw+IRnelxTAljk_^cg`5678VUjbi>?0001?fhs'E^bah|PM`fg[Zgcl9:;?01]\ekb78989>6mat.NWmhcuWDkohRQnde2345YXign;<=<>209`jq)K\`gn~RCnde]\eab789:TSl`k012067=df}%GXdcjr^Ob`aYXimn;<=>P_`lg45649;;0ocz LUknawYJimnTSljk0123[Zgil9:;8?<4cov,HQojm{UFmijP_`fg4567WVkeh=>?4002?fhs'E^bah|PM`fg[Zgcl9:;?0^]bja678<;9=6mat.NWmhcuWDkohRQnde2345YXign;<=8=2:amp*JSadoyS@okd^]b`a6789UTmcj?014264=df}%GXdcjr^Ob`aYXimn;<=>P_`lg4560:;1hby!CThofvZKflmUTmij?012\[dhc89:<=?<4cov,HQojm{UFmijP_`fg4567WVkeh=>?7302?fhs'E^bah|PM`fg[Zgcl9:;?0^]bja6781;9=6mat.NWmhcuWDkohRQnde2345YXign;<=7=2:amp*JSadoyS@okd^]b`a6789UTmcj?01;267=df}%GXdcjr^Ob`aYXimn;<=>P_`lg456>:;80ocz LUknawYJimnTSljk0123[Zgil9:;5><>;blw+IRnelxTAljk_^cg`5678VUjbi>?1101?fhs'E^bah|PM`fg[Zgcl9:;?01]\ekb788;9>6mat.NWmhcuWDkohRQnde2345YXign;<169`jq)K\`gn~RQnde2345:687;<7n`{/MVji`tXWhno<=>?<03=52=df}%GXdcjr^]b`a67896:>3?8;blw+IRnelxTSljk012384599?1hby!CThofvZYflm:;<=2>>048gkr(D]cfiQPaef3456;:7;=7n`{/MVji`tXWhno<=>?<2<22>ei|&F_e`k}_^cg`56785>5=;5lnu-OPlkbzVUjhi>?01>6:402iex"B[ilgq[Zgcl9:;<161179`jq)K\`gn~RQnde2345:>6880ocz LUknawYffm:;<=?<;blw+IRnelxTmcj?012254=df}%[C_]PFRO\BCb69=1hby!_OSQ\BVKXNOn:!D`>5:amp*VHZZUM_@QIFe3.Mk76=2iex"^@RR]EWHYANm;&Ec<>5:amp*VHZZUM_@QIFe3.Mk56=2iex"^@RR]EWHYANm;&Ec:>1:amp*VHZZUM_@QIFe020>ei|&ZD^^QISL]EBa4*Ag;>7n`{/QMQWZ@TEVLMh?#Fn036?fhs'YEY_RH\M^DE`7+Nf;;>7n`{/QMQWZ@TEVLMh?#Fn236?fhs'YEY_RH\M^DE`7+Nf=;>7n`{/QMQWZ@TEVLMh?#Fn432?fhs'YEY_RH\M^Mvp6753jd#]A]S^DPIZIr|:;:>6mat.RLVVYA[DUDyy==129`jq)WG[YTo`~Pep2346g6mat.PfbWcvWfx;<=>=139`jq)UmoXn}Ra}01237442:amp*Tbn[ozSb|?012557=df}%Yik\jq^mq4567?880ocz RddQatYhz9:;<5j4cov,V``Rznoex<:4cov,V``RznoexRa}012350=df}%Yik[}gdlw[jt789::=85lnu-QacSuoldSb|?012150=df}%Yik[}gdlw[jt789:8=85lnu-QacSuoldSb|?012750=df}%Yik[}gdlw[jt789:>=85lnu-QacSuoldSb|?012550=df}%Yik[}gdlw[jt789:<=85lnu-QacSuoldSb|?012;g>ei|&^bah|30?a8gkr(\`gn~1?1c:amp*Rnelx7>3m4cov,Plkbz595n6mat.Vji`tSK8<0ocz ThofvQEXkdzTi|>?0134?fhs']cfiZL_bos[`w789::=:5lnu-Wmhcu\JUha}Qjq12347703jd#YgbesV@[fkwWl{;<=><169`jq)SadoyXNQlmq]fu5678=h0ocz ThofvZ66<2iex"Zfmdp\4ZYffm:;<=?:;blw+Qojm{U;SRoad12344723jd#Ygbes]3[Zgil9:;ei|&^bah|P1^]bja6789;>7n`{/UknawY6WVkeh=>?0036?fhs']cfiQ>_^cm`5678;h0ocz ThofvZ46<2iex"Zfmdp\6ZYffm:;<=?:;blw+Qojm{U9SRoad12344723jd#Ygbes]1[Zgil9:;?0136?fhs']cfiQ<_^cm`56788;>7n`{/UknawY4WVkeh=>?0305?fhs']cfiQBaef\[dbc89:;S@v`r^Pfwpjs4849:6mat.Vji`tXEhnoSRokd1234ZKg{UYi~{ct=0=63=df}%_e`k}_Lcg`ZYflm:;<=QBxnp\V`urd}682?>4cov,PlkbzVGjhiQPaef3456XWhdo<=>?209`jq)SadoyS@okd^]b`a6789UTmcj?012265=df}%_e`k}_Lcg`ZYflm:;<=QPaof3457592iex"Zfmdp\IdbcWVkoh=>?0^]bja6788;:96mat.Vji`tXWhno<=>?<0<21>ei|&^bah|P_`fg45674;4:96mat.Vji`tXWhno<=>?<2?0537?fhs'gHYSDAM_lw{4567=8>0ocz nCP\MJDXe|r;<=>9b:amp*hUMZe~x<=4cov,jWCTg|~Taxv?01220>ei|&dYI^azt^ov|56788;?7n`{/oPFWjssWds<=>?269`w*OFKZ20o~!FABQ2<>et'@KH_?64cr-JEFU4?2ix#DALS99`w*OHKZ;27n} INAP55?189`w*OHKZ;956m|/HM@W45>3jy$EBM\15;8gv)NGJY:945ls.KLGV7102ix#DALS3:8gv)NGJY846m|/HM@W1>et'@EH_564cr-JKFU>?2ix#BJLS99`w*ICKZ;37n} OEAP6==d{&EOO^=7;bq,KAET<11h"\NMBQ:?fu(ZHGH_<74cr-QEHET:01h"\NMBQ0=>et'[KFO^:6;bq,VDKD[<30o~!]ALAP2<=d{&XJAN]89:ap+WGJKZ237n} RNO@W<=d{&XDAN]>9:ap+WIJKZ827n} RNO@W6?56m|/SMNGV0>3jy$^BCLS6a8gv)iGoy`lw?159`w*hH~lxgmt>Paof34566=2ix#cAyesnb}5Yffm:;<=?l;bq,jJpbzekr=<94cr-mKscudhs:SNbdEo]JJS7a3jy$bBxjrmcz5ZH702lxn"O\rg`8bvd(IZxmSC>l;gqa+DUunVD;=<>4fr`,EVtaWge<=>>109ewg)F[{lTbbz?0132<>`tj&HJOY74fr`,FDES901mo!MABV1f>`tj&Xnmiw?r018bvd(Zlkou=|P_np34566<2lxn"\jae{3vZYhz9:;<>4:dpf*Tbims:~RQ`r12344733oyi#_kndx3q[Ziu89:;><:4fr`,V`gcq8xTSb|?01203>`tj&\[M<>4fr`,RUGXWhdo<=>?109ewg)QXHUTmcj?0122b>`tj&\[MRQ`r123446??;gqa+SVFWVey<=>?4028bvd(^YKTSb|?012655=a{k%]\LQPos23450682lxn"X_A^]lv5678>k0j~l nEVBGN753oyi#cJ[ABI\[jt789::?6h|b.lGPDELWVey<=>?1018bvd(fM^JOFQPos23454?3oyi#cX_A89ewg)i^YK:56h|b.lUTD4>3`eia"OCE132?liee&KGI=Qbuy2345753`eia"OCE1]nq}6789;:>6g`bl-BH@6Xe|r;<=>=139jkgk(IEO;S`{w01237445foco,F@TUz{Uecy>?0037?liee&HN^_|}_omw45669>1bcoc BFd8mjdj'KMTbbz?01324>ohjd%IKR``t12354g?0036?liee&N_MNE}r^llp56798h0eblb/EmvpJC6;2cdn`!KotvLAZgil9:;<<:4in`n+Air|FOTmcj?012251=ngkg$Hb{{OD]bja67898j7damm.GP858f3`eia"K\<03`eia"K\_131?liee&OXS=QPaof34566;2cdn`!JS^2\[dhc89:;=<=4in`n+@UX8VUjbi>?01027>ohjd%N_R>P_`lg4567;890eblb/DQ\4ZYffm:;<=:6;hmai*CTW8;97damm.GP[4YXign;<=>>3:klfh)B[V;TSl`k01235<=ngkg$I^Q=139jkgk(MZU9SRoad123445?189jkgk(MZU?=?5foco,AVY3WVkeh=>?0018mjdj'LYT8RQnne234575<2cdn`!JS^Ob`aYXimn;<=>PMymq[Wct}e~7=3<;;hmai*CTWDkohRQnde2345YJpfxT^h}zlu>1:7295foco,AVYJimnTSljk0123[H~hzVXnxb{<5<2a>ohjd%N_RCnde]\eab789:TSl`k01235c=ngkg$I^QBaef\[dbc89:;SRoad123447b3`eia"K\_Lcg`ZYflm:;<=QPaof34576n2cdn`!JS^Ob`aYXimn;<=>P_`lg456698o0eblb/DQ\IdbcWVkoh=>?0^]bja678;;m7damm.GP[HgclVUjhi>?01]\ekb7898:=?5foco,AVYVW8Ud~=>?0018mjdj'LYT]R?Pos234576;2cdn`!JS^S\5Ziu89:;><=4in`n+@UXYV;Tc>?01127>ohjd%N_RQnde2345:66890eblb/DQ\[dbc89:;0?0>3:klfh)B[VUjhi>?01>0:450:klfh)B[Vkeh=>?13g8mjdj'LYTc>?01d8mjdj'LYTc>?013e?liee&OXSb|?0121b>ohjd%N_Ra}01237c=ngkg$I^Q`r12341`5foco,AQGDCVkeh=>?0030?liee&O_MNEPaof345659:1bcoc EUC@OZgil9:;<>?<;hmai*CSIJATmcj?012756=ngkg$IYOLK^cm`5678<;87damm.GWEFMXign;<=>97:klfh)Bz11bcoc Es0b?liee&CDMNZ>2:klfh)NGHI_Sl`k012356=ngkg$EBOLT^cm`56788;87damm.KLEFRXign;<=>=129jkgk(AFKHXRoad12346743`eia"G@ABV\ekb789:?=>5foco,MJGD\Vkeh=>?0430?liee&CDMNZPaof345619:1bcoc INC@PZgil9:;<:?=;hmai*OHIJ^Taxv?01227>ohjd%BCLM[_lw{45679890eblb/HMBGQYj}q:;<=<>3:klfh)NGHI_S`{w0123745:?6g`bl-JKDESWds<=>?5018mjdj'@EJOYQbuy234506;2cdn`!FO@AW[hs89:;;o5foco,MJDBZ[;87damm.KLF@TUWds<=>?159jkgk(AFHN^_Qbuy234576=2cdn`!FOCGQVZkrp9:;<<>>5:klfh)NGKOY^Rczx1234476=2cdn`!FOCGQVZkrp9:;<<<>5:klfh)NGKOY^Rczx1234456=2cdn`!FOCGQVZkrp9:;<<:>4:klfh)NGKOY^Rczx12347733`eia"G@BDPQ[hs89:;?<:4in`n+LIEM[XTaxv?012751=ngkg$EBLJRS]nq}6789?:86g`bl-JKGCUZVg~t=>?0737?liee&CDNH\]_lw{4567?8>0eblb/HMAAWTXe|r;<=>7159jkgk(AFHN^_Qbuy2345?e3`eia"G@RDSq54=ngkg$EB]PFRO\BCb69=1bcoc INQ\BVKXNOn:!D`>5:klfh)NGZUM_@QIFe3.Mk76=2cdn`!FOR]EWHYANm;&Ec<>5:klfh)NGZUM_@QIFe3.Mk56=2cdn`!FOR]EWHYANm;&Ec:>1:klfh)NGZUM_@QIFe020>ohjd%BC^QISL]EBa4*Ag;>7damm.KLWZ@TEVLMh?#Fn035?liee&CD_RH\M^DE`7+Nf8:::6g`bl-JKVYA[DUMJi<"Io3253=ngkg$EB]PFRO\BCb5%@d:><;4in`n+LITWOYFSKHk2,Km643149jkgk(AFYTJ^CPFGf0)Lh59<1bcoc INQ\BVKXNOn8!D`<149jkgk(AFYTJ^CPFGf0)Lh39<1bcoc INQ\BVKXNOn8!D`:149jkgk(AFYTJ^CPFGf0)Lh19<1bcoc INQ\BVKXNOn8!D`8149jkgk(AFYTJ^CPFGf0)Lh?9<1bcoc INQ\BVKXNOn8!D`68:klfh)UMZ30eblb/SGP5d=ngkg$^H]}f`9jkgk(ZLYy~<<4in`n+WCTz{Uecy>?0030?liee&XN_|Pnnv34576i2cdn`!YP@pee>ohjd%]\L|}139jkgk(^YKy~R``t123545?00120>ohjd%eM^azt^llp5679=30eblb/oCPvg=ngkg$bIgaLD31?liee&dOecBJ_np34566;2cdn`!aDhlOAZiu89:;=n5foco,jAir|FOo7damm.lGkprHM8n0eblb/oFlqqIB:01bcoc nMFP54=ngkg$bAJ\_omw45669;1bcoc nMFP[kis89::=<<4in`n+kJC[Vddx=>?1331?liee&dGH^Qaou23445682cdn`!aLEQ\kw6788;:7damm.lO@VYhz9:;=;hmai*hKLZUd~=>?1332?liee&dGH^Q`r12356763`eia"`CDR]lv5679=;:7damm.lO@VYhz9:;=8?>;hmai*hKLZUd~=>?1732?liee&dGH^Q`r12352?2:klfh)i]MYTbbz?0130=>ohjd%eZ@O>2:klfh)i^DKTSl`k012356=ngkg$b[CN_^cm`56788;:7damm.lUIDYXg{:;<=?=;hmai*hQEHUTc>?01326>ohjd%eZ@OP_np345659;1bcoc nWOB[Ziu89:;?<<4in`n+kPJIVUd~=>?0531?liee&d]ALQPos234536:2cdn`!aVLC\[jt789:==?5foco,jSKFWVey<=>?7038mjdj'g\FMRoad1234442:klfh)i^DKTmcj?012057=ngkg$b[CN_`lg4567<880eblb/oTNEZgil9:;<8?=;hmai*hQEHUjbi>?01426>ohjd%eZ@OPaof3456012cdn~!NLD2:?lie{&KGI;hmaw*GKM8UTc>?0031?lie{&KGI?00`8mjdt'@EJOY|i;hmaw*OHJZUYiljv119jkgu(AFHXS_kndx324>ohjz%BCO]PRdcg}7773`ei"G@BR]Qadb~;8=0ebl|/HMAWZTbimsTmcj?0122<>ohjz%BCO]PRdcg}Zgil9:;<8:klfv)NGKYT^hoky^cm`5678<30ebl|/HMO447?01026>ohjz%BCA>Pmtz345649;1bco} INN3[hs89:;8<<4in`p+LIK8Vg~t=>?04;8mjdt'@EG=?1008mjdt'@EG=R``t12354g?013356=ngky$EB\JQ^ov|5678;;87dams.KLV@WXe|r;<=><129jkgu(AFXN]Rczx12341743`ei"G@RDS\ip~789:>=>5focq,MJTBYVg~t=>?0730?lie{&CD^H_Pmtz345609:1bco} INPFUZkrp9:;<5?<;hmaw*OHZL[Taxv?012:e>ohjz%BC_Y?139jkgu(AFX\?2018mjdt'@EY[=Qbuy234556;2cdn~!FOSU3[hs89:;8<<4in`p+LIU_9Uecy>?0030?lie{&CD^Z>Pnnv345769:1bco} INPT4Zhh|9:;=??<;hmaw*OHZ^:Tbbz?013056=ngky$EB\X0^llp5679=;87dams.KLVR6Xff~;<=?:129jkgu(AFX\?01327>ohjz%BC_Y>_`lg4567:890ebl|/HMQS4Yffm:;<==>3:klfv)NG[]:Sl`k0123045?6b9jkgu(AFXnmiw>5:klfv)NG[ojhtQPaof34566>2cdn~!FOSgb`|YXign;<=>>179jkgu(AFXnmiwP_`lg4567:8>0ebl|/HMQadb~WVey<=>?149jkgu(AFXnmiwP_np345669<1bco} INPfeaXWfx;<=>=149jkgu(AFXnmiwP_np345649<1bco} INPfeaXWfx;<=>;149jkgu(AFXnmiwP_np345629<1bco} INPfeaXWfx;<=>99:klfv)NG\::=6g`br-JKP6Xe|r;<=>>2:klfv)NG\:Taxv?012257=ngky$EB[?_lw{4567:880ebl|/HMV4Zkrp9:;<>?=;hmaw*OH]9Ufyu>?01626>ohjz%BCX>Pmtz3456212cdn~!FOT325>ohjz%BCX?Pnnv34576:2cdn~!FOT3\jjr789;:m6g`br-Okdb612cdn~!@nbg25>ohjz%DbnkP_np34566:2cdn~!@nbg\[jt789::=?5focq,KkebWVey<=>?2008mjdt'FdhiRQ`r12346753`ei"Aacd]\kw6789>:>6g`br-LjfcXWfx;<=>:139jkgu(GginSRa}0123244;;7dams.RP[CUJWOLo=<=4in`p+UUXNZGTJKj>-Hl20>ohjz%[_RH\M^DE`4+Nf8;?7dams.RP[CUJWOLo= Ga2028mjdt'YYTJ^CPFGf156=ngky$\^QISL]EBa4*Ag;?7dams.RP[CUJWOLo> Ga1078mjdt'YYTJ^CPFGf1)Lh688?0ebl|/QQ\BVKXNOn9!D`>1078mjdt'YYTJ^CPFGf1)Lh6:8?0ebl|/QQ\BVKXNOn9!D`>3068mjdt'YYTJ^CPFGf1)Lh59=1bco} PR]EWHYANm8&Ec=>4:klfv)W[VLXARHId3/Jj1733`ei"^\_GQN[C@c:$Ce9<:4in`p+UUXNZGTJKj=-Hl551=ngky$\^QISL]EBa4*Ag=:86g`br-SWZ@TEVLMh?#Fn937?lie{&ZXSK]B_GDg6(Oi18:0ebl|/QQ\BVKXG|~;=<5focq,TVYA[DUDyy>>109jkgu(XZUM_@Q@uu2157=ngky$\^Qlmq]fu5678l1bco} nCGQVZ@TJ8<0ebl|/o@FVWYA[KUTc>?0134?lie{&dII_\PFR@\[jt789::=:5focq,jGCUZVLXNRQ`r12347703`ei"`MESP\BVDXWfx;<=>3gKOcxzCE1c8jDBh}}FN<hF[VCDNi5aAR]JKGYHJ\LN=;5aAR]JKGYiIZUBCOazt^2\52=iIfCHm4n@mvpJCXGK_M56`MESP\BVDb3gHN^_QISC]LFP@>3gHN^_QFOCg8jGCUZVCDNRGMUG58jGAXAFHh7cLH_HMA[LDRN>1eN_QFOCa8jGTXAFHTCO[I4:l@EV??1e9mV@UXff~;<=?>6:lQKHETj2dYC@M\_N@VB3=iZFG\Io5aRNOTAZIE]O20bXJ\_GQA`>hRLZUM_OQFBTD;?kSC[VCDNh5aUEQ\MJDXGK_MI55aVLC\MJDb3g\FMRG@B^MAQCC?3g\[MRH\Be9mRUGXNZHTCO[I8:lUTDYNGKn0b[^N_HMA[LDRN>1e[HQISCa8jRCXNZHTEO[I8:ld`gsndm30~lc B@AWv<=uid%YM@KA109qeh)UIDOES`{w012357=uid%YM@KA_lw{45679890~lc R@OFJZkrp9:;<<>>3:pbi*TFELDTaxv?0122545>3018vdk(ZHGNBRczx1234426;2xja"\NMDL\ip~789::9<=4r`o,VDKBFVg~t=>?00427>tfe&XJAH@Pmtz34566?880~lc R@OFJZkrp9:;?01126>tfe&XJAH@Pmtz345639;1ym`!]ALGM[hs89:;9<<4r`o,VDKBFVg~t=>?0731?wgj'[KFICQbuy234516:2xja"\NMDL\ip~789:3=?5}al-QEHCIWds<=>?9b9qeh)UIDXnmiw>4:pbi*TFE[ojhtQbuy2345723{kf#_OBRdcg}Zkrp9:;<2xja"\NMSgb`|Yj}q:;<=?>179qeh)UIDXnmiwPmtz34566:8<0~lc R@OQadb~Wds<=>?1235?wgj'[KF^hoky^ov|56788>::6|nm.PBIWcflpUfyu>?013650=uid%YM@\jae{\ip~789:9=85}al-QEHTbimsTaxv?012050=uid%YM@\jae{\ip~789:?=85}al-QEHTbimsTaxv?012650=uid%YM@\jae{\ip~789:==85}al-QEHTbimsTaxv?012450=uid%YM@\jae{\ip~789:3=85}al-QEHTbimsTaxv?012:`>tfe&XJAR\jae{f?wgj'[KFS_kndx3f?wgj'[KFS_kndx0:?wgj'[KXIA?>;scn+WGTMEUfyu>?0131?wgj'[KXIAQbuy234576;2xja"\NSDN\ip~789::<<=4r`o,VDUBDVg~t=>?00327>tfe&XJ_HBPmtz34566:890~lc R@QFHZkrp9:;<<=>3:pbi*TF[LFTaxv?0122045>6018vdk(ZHYN@Rczx1234416;2xja"\NSDN\ip~789::4<=4r`o,VDUBDVg~t=>?00;26>tfe&XJ_HBPmtz345659:1ym`!]ARGO[hs89:;>=?<;scn+WGTMEUfyu>?010257=uid%YM^KC_lw{4567;880~lc R@QFHZkrp9:;<9?=;scn+WGTMEUfyu>?01726>tfe&XJ_HBPmtz345619;1ym`!]ARGO[hs89:;;<<4r`o,VDUBDVg~t=>?0931?wgj'[KXIAQbuy2345?682xja"\N_1]nq}6789;:7ob/SC\4Zkrp9:;<;scn+WGX8Vg~t=>?0332?wgj'[KT?1038vdk(ZHU:S`{w0123647_lw{4567;8;0~lc R@]2[hs89:;8<>4r`o,VDY5Wds<=>?109qeh)UIV8Taxv?012254=uid%YMR;119qeh)UIV9Taxv?01225>tfe&XJS>Qbuy23457692xja"\N_2]nq}67898:=6|nm.PB[6Yj}q:;<==>1:pbi*TFW:Ufyu>?01624>tfe&XJS9Qbuy2345763{kf#_OP4^ov|56788;:7ob/SC\0Zkrp9:;;scn+WGX?0232?wgj'[KT8Rczx12341773{kf#_OP5^ov|56788;0~lc R@]6[hs89:;=?2038vdk(ZHU>S`{w0123747>109qeh)UIV>1:pbi*TFW>Ufyu>?01325>tfe&XJS:Qbuy23454692xja"\N_6]nq}67899:=6|nm.PB[2Yj}q:;<=:>0:pbi*TFW1Ufyu>?0132?wgj'[KT4Rczx12344763{kf#_OP8^ov|5678;;:7ob/SC\?>;scn+WGX0Vg~t=>?0532?wgj'[KT4Rczx12340763{kf#_OP8^ov|5678?;;7ob/SC\=Zkrp9:;<Wds<=>?1038vdk(ZHU2S`{w0123647-Hl27>tfe&XXSK]B_GDg5(Oi98>0~lc RR]EWHYANm;&Ec??159qeh)U[VLXARHId0/Jj476<2xja"\\_GQN[C@c9$Ce=??;;scn+WUXNZGTJKj>-Hl27425}al-QWZ@TEVLMh<#Fn330?wgj'[YTJ^CPFGf2)Lh49:1ym`!]S^DPIZ@Al8'Bb9?<;scn+WUXNZGTJKj>-Hl656=uid%Y_RH\M^DE`4+Nf?;87ob/SQ\BVKXNOn:!D`8129qeh)U[VLXARHId0/Jj=743{kf#_]PFRO\BCb6%@d2j6|nm.PP[CUJWOLo><<4r`o,VVYA[DUMJi<"Io30?wgj'[YTJ^CPFGf1)Lh69=1ym`!]S^DPIZ@Al;'Bb<>>4:pbi*TTWOYFSKHk2,Km54733{kf#_]PFRO\BCb5%@d:><:4r`o,VVYA[DUMJi<"Io3051=uid%Y_RH\M^DE`7+Nf8>:86|nm.PP[CUJWOLo> Ga1430?wgj'[YTJ^CPFGf1)Lh59:1ym`!]S^DPIZ@Al;'Bb>?<;scn+WUXNZGTJKj=-Hl756=uid%Y_RH\M^DE`7+Nf<;87ob/SQ\BVKXNOn9!D`9129qeh)U[VLXARHId3/Jj2743{kf#_]PFRO\BCb5%@d3=>5}al-QWZ@TEVLMh?#Fn8d8vdk(ZZUM_@QIFe126>tfe&XXSK]B_GDg7(Oi9:1ym`!]S^DPIZ@Al:'Bb#Fn0027>tfe&XXSK]B_GDg7(Oi:890~lc RR]EWHYANm9&Ec=>3:pbi*TTWOYFSKHk3,Km045?0237?wgj'[omHb`j_^mq45675}al-QacTbyVkeh=>?03f8vdk(Zll^~jkat078vdk(Zll^~jkat^cm`56788<0~lc RddVvbci|Vkeh=>?0035?wgj'[omYijnu]bja67898:=6|nm.PfcPt`mg~Xucml;scn+Zkrp9:;<55}al-mGDU682xja"`LAR]mkq6788;:7ob/oABWZhh|9:;=<74r`o,jIQB98:0~lc nMUF[dhc89:;=<5}al-mHRCXign;<=>>109qeh)iD^OTmcj?012154=uid%e@ZKPaof34564981ym`!aLVG\ekb789:?;6|nm.lLA==uid%eCH?7;scn+kIB:11ym`!aR@Q:?wgj'gXJ_<74r`o,jWGT:01ym`!aR@Q0=>tfe&dYM^:6;scn+kTF[tfe&d^[H?>0:pbi*hR_LUjbi>?0132?wgj'g_\IRoad12344763{kf#c[XE^cm`5678;;:7ob/oWTAZgil9:;<>?>;scn+kSPMVkeh=>?05:8|f?289rg=<5wc87201~k|x;;< ??8:zjhlh}g;37ubax^cvpjY7Wqni#n}{.y```xFGx8i9=6NOx00;>C<328qX984>3c8:2?74=92d:?449;%307?75i2wX994>3c8:2?74=g6m2Y>87:?9;19562e8k;14l:7;e315<62808n9u\54827g<>>3;88o>m1;:b4a=#9;=14>5Y12496~s?=3;0y5850:'a6<3lj1/9k4?;%7g>1bb3-?n6:74b00;>5<3j398m7=>5zJ260=#9;;1=?64Z5697~1=0331q&=i5;29?j2?2900c>jk:188m6ed2900c9><:188m6`c2900c>hm:188k1g=831d?k=50;9j7a3=831d?hh50;9l7ad=831d?i750;9j1g<722c==7>5;h1gb?6=3f9hi7>5;n1g5?6=3`9n;7>5;n1e5?6=3`>;97>5;n1eb?6=3f9nh7>5;h6`>5<!ce2o:0bho50:9lac<72-oi6k>4ndc95>=hnh0;6)km:g28j`g=:21dj44?:%ga>c6!ce2o:0bho54:9lb2<72-oi6k>4ndc91>=hn?0;6)km:g28j`g=>21dj84?:%ga>c6!ce2o:0bho58:9lb6<72-oi6k>4ndc9=>=hn;0;6)km:g28j`g=i21dih4?:%ga>c6!ce28l0bho50:9j5`<72-oi6=n9m0;6)km:0d8j`g=:21b=n4?:%ga>4`!ce28l0bho54:9j5<<72-oi6=n910;6)km:0d8j`g=>21b=:4?:%ga>4`!ce28l0bho58:9j50<72-oi6=n9=0;6)km:0d8j`g=i21b=>4?:%ga>4`!ce28l0bho5c:9j54<72-oi6=n:10;6)km:0d8j`g=m21b>:4?:%ga>4`!ce28l0bho51198m73=83.nn7?i;ogb>47<3`8?6=4+ec82b>hbi3;976g=3;29 `d=9o1eil4>3:9j67<72-oi65=0d83>!ce28;97ckn:398f44b290:6=4?{%315?0>3A;9h6F>249'563=9:i0(5>54ef8k3>=83.:?8464:9~f44a290:6=4?{%315?75>2B:>i5G1378 45220:0(5>54ef8k<3=83.:?8464:9~f435290>6?49{%315?033A;9h6F>249'<5<3lm1Q=?>51z71>xo3m3:17d;<:188m13=831b9:4?::mf`?6=3koo6=49:183!74=3<=7W?=0;3x17?1<7*>34841>=no3n3:1(<=::5d8?l77l3:1(<=::02g?>o68k0;6)?<5;33f>=h1=0;6)?<5;;7?>{e9:81<7?50;2x 452289h7b7;:18'563=1=10q~kk:181[cc34oo64:4}r74>5<5sW?<70kk:678yv342909wS;<;46e3ty?i7>52z\7a>;bl3>n7p};5;296~X3=27nh7:i;|q5=?6=:r7nh7??d:?277<><2wvn<;6:186>7<1s-;9=78;;I31`>N6:<1/4=4;de9Y576=9r?96pg;e;29?l342900e9;50;9j12<722enh7>5;cgg>5<1290;w)?<5;45?_7583;p9?4ri6794?"6;<0<965f4d83>!74=3>n76g;f;29 4522=l07d??d;29 45228:o76g>0c83>!74=3;;n65`9583>!74=33?76sm12094?7=83:p(<=::01`?j?3290/=>;59598yvcc2909wSkk;<2?0q~;<:181[3434oo6<>m;|q7a?6=:rT?i63jd;6f?xu3=3:1>vP;5:?f`?2a3ty=57>52z?f`?77l27:??464:~f40c290>6?49{%315?033A;9h6F>249'<5<3lm1Q=?>51z71>xo3m3:17d;<:188m13=831b9:4?::mf`?6=3koo6=49:183!74=3<=7W?=0;3x17?1<7*>34841>=no3n3:1(<=::5d8?l77l3:1(<=::02g?>o68k0;6)?<5;33f>=h1=0;6)?<5;;7?>{e9:81<7?50;2x 452289h7b7;:18'563=1=10q~kk:181[cc34oo64:4}r74>5<5sW?<70kk:678yv342909wS;<;46e3ty?i7>52z\7a>;bl3>n7p};5;296~X3=27nh7:i;|q5=?6=:r7nh7??d:?277<><2wvn<9>:186>7<1s-;9=78;;I31`>N6:<1/4=4;de9Y576=9r?96pg;e;29?l342900e9;50;9j12<722enh7>5;cgg>5<1290;w)?<5;45?_7583;p9?4ri6794?"6;<0<965f4d83>!74=3>n76g;f;29 4522=l07d??d;29 45228:o76g>0c83>!74=3;;n65`9583>!74=33?76sm12094?7=83:p(<=::01`?j?3290/=>;59598yvcc2909wSkk;<2?0q~;<:181[3434oo6<>m;|q7a?6=:rT?i63jd;6f?xu3=3:1>vP;5:?f`?2a3ty=57>52z?f`?77l27:??464:~f412290>6?49{%315?033A;9h6F>249'<5<3lm1Q=?>51z71>xo3m3:17d;<:188m13=831b9:4?::mf`?6=3koo6=49:183!74=3<=7W?=0;3x17?1<7*>34841>=no3n3:1(<=::5d8?l77l3:1(<=::02g?>o68k0;6)?<5;33f>=h1=0;6)?<5;;7?>{e9:81<7?50;2x 452289h7b7;:18'563=1=10q~kk:181[cc34oo64:4}r74>5<5sW?<70kk:678yv342909wS;<;46e3ty?i7>52z\7a>;bl3>n7p};5;296~X3=27nh7:i;|q5=?6=:r7nh7??d:?277<><2wvn<96:186>7<1s-;9=78;;I31`>N6:<1/4=4;de9Y576=9r?96pg;e;29?l342900e9;50;9j12<722enh7>5;cgg>5<1290;w)?<5;45?_7583;p9?4ri6794?"6;<0<965f4d83>!74=3>n76g;f;29 4522=l07d??d;29 45228:o76g>0c83>!74=3;;n65`9583>!74=33?76sm12094?7=83:p(<=::01`?j?3290/=>;59598yvcc2909wSkk;<2?0q~;<:181[3434oo6<>m;|q7a?6=:rT?i63jd;6f?xu3=3:1>vP;5:?f`?2a3ty=57>52z?f`?77l27:??464:~f41c290>6?49{%315?033A;9h6F>249'<5<3lm1Q=?>51z71>xo3m3:17d;<:188m13=831b9:4?::mf`?6=3koo6=49:183!74=3<=7W?=0;3x17?1<7*>34841>=no3n3:1(<=::5d8?l77l3:1(<=::02g?>o68k0;6)?<5;33f>=h1=0;6)?<5;;7?>{e9:81<7?50;2x 452289h7b7;:18'563=1=10q~kk:181[cc34oo64:4}r74>5<5sW?<70kk:678yv342909wS;<;46e3ty?i7>52z\7a>;bl3>n7p};5;296~X3=27nh7:i;|q5=?6=:r7nh7??d:?277<><2wvn<6>:186>7<1s-;9=78;;I31`>N6:<1/4=4;de9Y576=9r?96pg;e;29?l342900e9;50;9j12<722enh7>5;cgg>5<1290;w)?<5;45?_7583;p9?4ri6794?"6;<0<965f4d83>!74=3>n76g;f;29 4522=l07d??d;29 45228:o76g>0c83>!74=3;;n65`9583>!74=33?76sm12094?7=83:p(<=::01`?j?3290/=>;59598yvcc2909wSkk;<2?0q~;<:181[3434oo6<>m;|q7a?6=:rT?i63jd;6f?xu3=3:1>vP;5:?f`?2a3ty=57>52z?f`?77l27:??464:~f4>2290>6?49{%315?033A;9h6F>249'<5<3lm1Q=?>51z71>xo3m3:17d;<:188m13=831b9:4?::mf`?6=3koo6=49:183!74=3<=7W?=0;3x17?1<7*>34841>=no3n3:1(<=::5d8?l77l3:1(<=::02g?>o68k0;6)?<5;33f>=h1=0;6)?<5;;7?>{e9:81<7?50;2x 452289h7b7;:18'563=1=10q~kk:181[cc34oo64:4}r74>5<5sW?<70kk:678yv342909wS;<;46e3ty?i7>52z\7a>;bl3>n7p};5;296~X3=27nh7:i;|q5=?6=:r7nh7??d:?277<><2wvn<66:186>7<1s-;9=78;;I31`>N6:<1/4=4;de9Y576=9r?96pg;e;29?l342900e9;50;9j12<722enh7>5;cgg>5<1290;w)?<5;45?_7583;p9?4ri6794?"6;<0<965f4d83>!74=3>n76g;f;29 4522=l07d??d;29 45228:o76g>0c83>!74=3;;n65`9583>!74=33?76sm12094?7=83:p(<=::01`?j?3290/=>;59598yvcc2909wSkk;<2?0q~;<:181[3434oo6<>m;|q7a?6=:rT?i63jd;6f?xu3=3:1>vP;5:?f`?2a3ty=57>52z?f`?77l27:??464:~f43f290>6?49{%315?033A;9h6F>249'<5<3lm1Q=?>51z71>xo3m3:17d;<:188m13=831b9:4?::mf`?6=3koo6=49:183!74=3<=7W?=0;3x17?1<7*>34841>=no3n3:1(<=::5d8?l77l3:1(<=::02g?>o68k0;6)?<5;33f>=h1=0;6)?<5;;7?>{e9:81<7?50;2x 452289h7b7;:18'563=1=10q~kk:181[cc34oo64:4}r74>5<5sW?<70kk:678yv342909wS;<;46e3ty?i7>52z\7a>;bl3>n7p};5;296~X3=27nh7:i;|q5=?6=:r7nh7??d:?277<><2wvn<;j:186>7<1s-;9=78;;I31`>N6:<1/4=4;de9Y576=9r?96pg;e;29?l342900e9;50;9j12<722enh7>5;cgg>5<1290;w)?<5;45?_7583;p9?4ri6794?"6;<0<965f4d83>!74=3>n76g;f;29 4522=l07d??d;29 45228:o76g>0c83>!74=3;;n65`9583>!74=33?76sm12094?7=83:p(<=::01`?j?3290/=>;59598yvcc2909wSkk;<2?0q~;<:181[3434oo6<>m;|q7a?6=:rT?i63jd;6f?xu3=3:1>vP;5:?f`?2a3ty=57>52z?f`?77l27:??464:~f405290>6?49{%315?033A;9h6F>249'<5<3lm1Q=?>51z71>xo3m3:17d;<:188m13=831b9:4?::mf`?6=3koo6=49:183!74=3<=7W?=0;3x17?1<7*>34841>=no3n3:1(<=::5d8?l77l3:1(<=::02g?>o68k0;6)?<5;33f>=h1=0;6)?<5;;7?>{e9:81<7?50;2x 452289h7b7;:18'563=1=10q~kk:181[cc34oo64:4}r74>5<5sW?<70kk:678yv342909wS;<;46e3ty?i7>52z\7a>;bl3>n7p};5;296~X3=27nh7:i;|q5=?6=:r7nh7??d:?277<><2wvn<89:186>7<1s-;9=78;;I31`>N6:<1/4=4;de9Y576=9r?96pg;e;29?l342900e9;50;9j12<722enh7>5;cgg>5<1290;w)?<5;45?_7583;p9?4ri6794?"6;<0<965f4d83>!74=3>n76g;f;29 4522=l07d??d;29 45228:o76g>0c83>!74=3;;n65`9583>!74=33?76sm12094?7=83:p(<=::01`?j?3290/=>;59598yvcc2909wSkk;<2?0q~;<:181[3434oo6<>m;|q7a?6=:rT?i63jd;6f?xu3=3:1>vP;5:?f`?2a3ty=57>52z?f`?77l27:??464:~f76729086?4;{%315?043A;9h6F>249'32<13-2;69jk;h66>5<>dbl3:197<56z&264<1=2.3<7:kd:mf`?6=3`oh6=44i4594?=n=:0;66g;5;29?g02290=6=4?{%301?013`=>6=4+127930=3487a>=no68m0;6)?<5;33`>=n99h1<7*>34824g=1<7*>348:0>=zj89;6=4>:183!74=33;7b7::18'563=1=10q~;8:181[3034<>6:;4}r66>5<5sW>>708::5d8yv342909wS;<;<46>46e3tyno7>52z\fg>;1=3;;h6s|ee83>7}Ymm16:8464:p570=838p1;;54d9>566=1<1vqo?50;394?6|,88?695+13392<=#9:?1=>m4$9290ab348:0>=z{ln1<7{zj8lh6=4<:387!7593<87E?=d:J260=#?>0=7)6?:5fg?l222900e8950;9laa<722hnh7>55;092~"6:80=96*70;6g`>ibl3:17dkl:188m01=831b9>4?::k71?6=3k<>6=49:183!74=3<=7d9::18'563=?<10e9k50;&270<3m21b8k4?:%301?2a32c:<21vn<=?:182>5<7s-;8977?;n;6>5<#9:?15954}r74>5<5sW?<708::678yv222909wS::;<46>1`{t9;<1<701/=>;512a8 =6=<21vhj50;0xZ`b<5ln1ii5rs4594?4|V<=01hj5569~w13=838pR9;4=df900=z{;0;6>u2ee8fg>;bl3?870?5699'572==2wvn7<3s-;9=78<;I31`>N6:<1/;:49;%:3>1bc3`>>6=44i4594?=hmm0;66ljd;291?4=>r.:><495:&;4?2cl2enh7>5;hg`>5<>o3=3:17o8::185>5<7s-;89789;h56>5<#9:?1;854i5g94?"6;<0?i65f4g83>!74=3>m76g>0e83>!74=3;;h65f11`94?"6;<0:5<6290;w)?<5;;3?j?2290/=>;59598yv302909wS;8;<46>23>6=4={_66?8022=l0q~;<:181[3434<>6<>m;|qfg?6=:rTno6395;33`>{tmm0;6?uQee9>20<><2wx=?850;0x933=>5949~yg7=83;1<7>t$007>1=#9;;1:45+127956e<,1:18ij4o7:94?"6;<02865rsdf94?4|Vln01hj5ee9~w01=838pR894=df912=z{=?1<7aa196srb0d0>5<42;0?w)?=1;40?M75l2B:>85+7685?!>72=no7d:::188m01=831dii4?::`f`?6==381:v*>20851>"?83>oh6ajd;29?lcd2900e8950;9j16<722c?97>5;c46>5<1290;w)?<5;45?l12290/=>;57498m1c=83.:?84;e:9j0c<72-;897:i;:k24a<72-;897??d:9j55d=83.:?84>0c98k<2=83.:?8464:9~f457290:6=4?{%301??73f3>6=4+1279=1=?0q~:::181[2234<>69h4}r70>5<5sW?8708::02a?xubk3:1>vPjc:?51?77l2wxii4?:3y]aa=:><0286s|13494?4|5??18h521229=0=zuk;1<7?50;2x 4432=1/=??5689'563=9:i0(5>54ef8k3>=83.:?8464:9~w`b=838pRhj4=df9aa=z{<=1<71v9;50;0xZ13<5ln1885rs383>6}:mm0no63jd;70?87=>11/=?:55:~f653290>6?49{%315?033A;9h6F>249'<5<3lm1Q=?>51z73>xo3m3:17d;<:188m13=831b9:4?::mf`?6=3koo6=49:183!74=3<=7W?=0;3x15?1<7*>34841>=no3n3:1(<=::5d8?l77l3:1(<=::02g?>o68k0;6)?<5;33f>=h1=0;6)?<5;;7?>{e9:81<7?50;2x 452289h7b7;:18'563=1=10q~kk:181[cc34oo64:4}r74>5<5sW?<70kk:678yv342909wS;<;46e3ty?i7>52z\7a>;bl3>n7p};5;296~X3=27nh7:i;|q5=?6=:r7nh7??d:?277<><2wvn?6i:180>7<3s-;9=78<;I31`>N6:<1/4=4;de9Y576=9r?;6pg;5;29?l302900chj50;9aaa<72<096;u+133920=#090?hi5U13295~372tenh7>5;hg`>5<>o3=3:17o8::185>5<7s-;89789;[314?7|=90ve:;50;&270<0=21b8h4?:%301?2b32c?j7>5$016>1`<3`;;h7>5$016>46c32c:>50;394?6|,89>64>4o8794?"6;<02865rs4594?4|V<=01;;5749~w13=838pR9;4=7790c=z{<91<76<>k;|qf`?6=:rTnh6395;;7?xu6:?0;6?u26487a>;6;90296srb083>4<729q/=?:54:&264<112.:?84>3b9'<5<3lm1d:54?:%301??332wxii4?:3y]aa=:mm0nh6s|5683>7}Y=>16ii4:7:p00<72;qU8852ee871>{t:3:1?v3jd;g`?8cc2<901<498:&261<23twi>;650;796?0|,88:6;:4H00g?M75=2.3<7:kd:X265<6s<;1qd:j:188m05=831b884?::k63?6=3foo6=44bdf94?0=83:p(<=::748^44728q>=7sf7483>!74=3=>76g;e;29 4522=o07d:i:18'563=k:18'563=99n07d??b;29 45228:i76a64;29 45220>07pl>3383>4<729q/=>;512a8k<2=83.:?8464:9~w`b=838pRhj4=df9=1=z{<=1<75<5sW>n70kk:5g8yv222909wS::;1`46c34;8>77;;|a7gd=83?1>78t$002>32<@88o7E?=5:&;4?2cl2P:>=4>{439yl2b2900e8=50;9j00<722c>;7>5;ngg>5<o3m3:1(<=::5g8?l2a290/=>;54g98m46c290/=>;511f8?l77j3:1(<=::02a?>i><3:1(<=::868?xd6;;0;6<4?:1y'563=9:i0c4:50;&270<><21vhj50;0xZ`b<5ln1595rs4594?4|V<=01hj5749~w05=838pR8=4=df955dn6=4={_6f?8cc2=o0q~:::181[2234oo69h4}r4:>5<5s4oo6<>k;<306??33twi?4l50;796?0|,88:6;:4H00g?M75=2.<;784$9290ab?7>5;h66>5<>dbl3:1:7>50z&270<1>2P:>=4>{439yl12290/=>;57498m1c=83.:?84;e:9j0c<72-;897:i;:k24a<72-;897??d:9j55d=83.:?84>0c98k<2=83.:?8464:9~f455290:6=4?{%301?74k2e287>5$016><2<3tynh7>52z\f`>;bl33?7p}:7;296~X2?27nh79:;|q67?6=:rT>?63jd;33f>{taa<3m2wx884?:3y]00=:mm0?j6s|6883>7}:mm0:55;092~"6:80=86F>2e9K573<,1:18ij4Z003>4}293wb8h4?::k67?6=3`>>6=44i4594?=hmm0;66ljd;292?6=8r.:?8496:X265<6s<;1qd9::18'563=?<10e9k50;&270<3m21b8k4?:%301?2a32c:<21vn<==:182>5<7s-;897?64:4;|qf`?6=:rTnh63jd;;7?xu2?3:1>vP:7:?f`?123ty>?7>52z\67>;bl3;;n6s|4d83>7}Y{t>00;6?u2ee824a=:9:81595r}c17f?6=;3818v*>20857>N6:m1C=?;4$9290ab;7>5;ngg>5<54ef8^44728q>=7s`ee83>>obk3:17d;8:188m05=831b884?::`51?6=>3:134852>\6:90:w8?5}h56>5<#9:?1;854i5g94?"6;<0?i65f4g83>!74=3>m76g>0e83>!74=3;;h65f11`94?"6;<0:5<6290;w)?<5;;3?j?2290/=>;59598yv302909wS;8;<46>23>6=4={_66?8022=l0q~;<:181[3434<>6<>m;|qfg?6=:rTno6395;33`>{tmm0;6?uQee9>20<><2wx=?850;0x933=>5949~yg7=83;1<7>t$007>1=#9;;1:45+127956e<,1:18ij4o7:94?"6;<02865rsdf94?4|Vln01hj5ee9~w01=838pR894=df912=z{=?1<7aa196srb3;6>5<42;0?w)?=1;40?M75l2B:>85+8187`a=]9;:1=v;?:|k71?6=3`?<6=44odf94?=emm0;684=:7y'577=><1/4=4;de9Y576=9r?;6pajd;29?lcd2900e8950;9j16<722c?97>5;c46>5<1290;w)?<5;45?_7583;p9=4ri6794?"6;<0<965f4d83>!74=3>n76g;f;29 4522=l07d??d;29 45228:o76g>0c83>!74=3;;n65`9583>!74=33?76sm12294?7=83:p(<=::828k<3=83.:?8464:9~w01=838pR894=77930=z{=?1<75<5sWoh708::02g?xubl3:1>vPjd:?51??33ty:>;4?:3y>20<3m27:?=465:~f4<7280;6=u+13690>"6:80=56*>34827f=#090?hi5`6983>!74=33?76s|ee83>7}Ymm16ii4jd:p12<72;qU9:52ee863>{t<<0;6?uQ449>aa<3=2wx>7>53z?f`?cd34oo68=4=085<>"6:=0>7psm28:94?5=:3>p(<<>:718L44c3A;996*70;6g`>\6:90:w8>5}h66>5<>dbl3:197<56z&264<1=2.3<7:kd:X265<6s<:1qbkk:188m`e=831b9:4?::k67?6=3`>>6=44b7794?0=83:p(<=::748^44728q><7sf7483>!74=3=>76g;e;29 4522=o07d:i:18'563=k:18'563=99n07d??b;29 45228:i76a64;29 45220>07pl>3183>4<729q/=>;5919l=0<72-;8977;;:p12<72;qU9:5264841>{t<<0;6?uQ449>20<3n2wx9>4?:3y]16=:><0:<252z?51?2b34;8<77:;|a5?6=93:12587?!7593<27)?<5;30g>"?83>oh6a98;29 45220>07p}jd;296~Xbl27nh7kk;|q63?6=:rT>;63jd;74?xu3=3:1>vP;5:?f`?223ty96=4<{`e<5ln19>521;4;?!75<3?0qpl=9c83>6<52=q/=??5629K57b<@88>7)6?:5fg?_7583;p9=4ri5794?=n=>0;66ajd;29?gcc290>6?49{%315?023-2;69jk;[314?7|=90vchj50;9jaf<722c>;7>5;h70>5<3<729q/=>;5679Y576=9r?;6pg85;29 4522>?07d:j:18'563=m:18'563=99h07b7;:18'563=1=10qo?<0;295?6=8r.:?8460:m:1?6=,89>64:4;|q63?6=:rT>;6395;56?xu3=3:1>vP;5:?51?2a3ty>?7>52z\67>;1=3;;n6s|eb83>7}Ymj16:84>0e9~w`b=838pRhj4=779=1=z{88=6=4={<46>1c<589;64;4}|`2>5<6290;w)?=4;68 4462?30(<=::01`?!>72=no7b87:18'563=1=10q~kk:181[cc34oo6hj4}r74>5<5sW?<70kk:458yv222909wS::;13?63>:7:8 4432<1vqo<6e;297?4=<493:J26a=O9;?0(5>54ef8^44728q><7sf4483>>o2?3:17bkk:188f`b=83?1>78t$002>33<,1:18ij4Z003>4}283wdii4?::kfg?6=3`?<6=44i4194?=n<<0;66l95;292?6=8r.:?8496:X265<6s<:1qd9::18'563=?<10e9k50;&270<3m21b8k4?:%301?2a32c:<21vn<=?:182>5<7s-;8977?;n;6>5<#9:?15954}r74>5<5sW?<708::678yv222909wS::;<46>1`{t9;<1<701/=>;512a8 =6=<21vhj50;0xZ`b<5ln1ii5rs4594?4|V<=01hj5569~w13=838pR9;4=df900=z{;0;6>u2ee8fg>;bl3?870?5699'572==2wvn>7n:180>7<3s-;9=78<;I31`>N6:<1/4=4;de9Y576=9r?:6pg;5;29?l302900chj50;9aaa<72<096;u+133920=#090?hi5U13295~362tenh7>5;hg`>5<>o3=3:17o8::185>5<7s-;89789;[314?7|=80ve:;50;&270<0=21b8h4?:%301?2b32c?j7>5$016>1`<3`;;h7>5$016>46c32c:>50;394?6|,89>64>4o8794?"6;<02865rs4594?4|V<=01;;5749~w13=838pR9;4=7790c=z{<91<76<>k;|qf`?6=:rTnh6395;;7?xu6:?0;6?u26487a>;6;90296srb083>4<729q/=?:54:&264<112.:?84>3b9'<5<3lm1d:54?:%301??332wxii4?:3y]aa=:mm0nh6s|5683>7}Y=>16ii4:7:p00<72;qU8852ee871>{t:3:1?v3jd;g`?8cc2<901<498:&261<23twi>8=50;796?0|,88:6;:4H00g?M75=2.3<7:kd:X265<6s<;1qd:j:188m05=831b884?::k63?6=3foo6=44bdf94?0=83:p(<=::748^44728q>=7sf7483>!74=3=>76g;e;29 4522=o07d:i:18'563=k:18'563=99n07d??b;29 45228:i76a64;29 45220>07pl>3383>4<729q/=>;512a8k<2=83.:?8464:9~w`b=838pRhj4=df9=1=z{<=1<75<5sW>n70kk:5g8yv222909wS::;1`46c34;8>77;;|a60>=83?1>78t$002>32<@88o7E?=5:&;4?2cl2P:>=4>{439yl2b2900e8=50;9j00<722c>;7>5;ngg>5<o3m3:1(<=::5g8?l2a290/=>;54g98m46c290/=>;511f8?l77j3:1(<=::02a?>i><3:1(<=::868?xd6;;0;6<4?:1y'563=9:i0c4:50;&270<><21vhj50;0xZ`b<5ln1595rs4594?4|V<=01hj5749~w05=838pR8=4=df955dn6=4={_6f?8cc2=o0q~:::181[2234oo69h4}r4:>5<5s4oo6<>k;<306??33twi>8j50;796?0|,88:6;:4H00g?M75=2.3<7:kd:X265<6s<;1qd:j:188m05=831b884?::k63?6=3foo6=44bdf94?0=83:p(<=::748^44728q>=7sf7483>!74=3=>76g;e;29 4522=o07d:i:18'563=k:18'563=99n07d??b;29 45228:i76a64;29 45220>07pl>3383>4<729q/=>;512a8k<2=83.:?8464:9~w`b=838pRhj4=df9=1=z{<=1<75<5sW>n70kk:5g8yv222909wS::;1`46c34;8>77;;|a7=3=83?1>78t$002>32<@88o7E?=5:&;4?2cl2P:>=4>{439yl2b2900e8=50;9j00<722c>;7>5;ngg>5<o3m3:1(<=::5g8?l2a290/=>;54g98m46c290/=>;511f8?l77j3:1(<=::02a?>i><3:1(<=::868?xd6;;0;6<4?:1y'563=9:i0c4:50;&270<><21vhj50;0xZ`b<5ln1595rs4594?4|V<=01hj5749~w05=838pR8=4=df955dn6=4={_6f?8cc2=o0q~:::181[2234oo69h4}r4:>5<5s4oo6<>k;<306??33twi8lh50;796?0|,88:6;:4H00g?M75=2.3<7:kd:X265<6s<;1qd:j:188m05=831b884?::k63?6=3foo6=44bdf94?0=83:p(<=::748^44728q>=7sf7483>!74=3=>76g;e;29 4522=o07d:i:18'563=k:18'563=99n07d??b;29 45228:i76a64;29 45220>07pl>3383>4<729q/=>;512a8k<2=83.:?8464:9~w`b=838pRhj4=df9=1=z{<=1<75<5sW>n70kk:5g8yv222909wS::;1`46c34;8>77;;|a613=83?1>78t$002>32<@88o7E?=5:&43?0<,1:18ij4Z003>4}293wb8h4?::k67?6=3`>>6=44i4594?=hmm0;66ljd;292?6=8r.:?8496:X265<6s<;1qd9::18'563=?<10e9k50;&270<3m21b8k4?:%301?2a32c:<21vn<==:182>5<7s-;897?64:4;|qf`?6=:rTnh63jd;;7?xu2?3:1>vP:7:?f`?123ty>?7>52z\67>;bl3;;n6s|4d83>7}Y{t>00;6?u2ee824a=:9:81595r}c0720850>N6:m1C=?;4$6592>"?83>oh6T>218207=u`>n6=44i4194?=n<<0;66g:7;29?jcc2900nhj50;494?6|,89>6;84Z003>4}293wb;84?:%301?1232c?i7>5$016>1c<3`>m6=4+12790c=5$016>46e32e287>5$016><2<3th:??4?:083>5}#9:?1=>m4o8694?"6;<02865rsdf94?4|Vln01hj5959~w01=838pR894=df930=z{<91<75<5sW>>70kk:5d8yv0>2909w0kk:02g?874:33?7psm1ed94?5=:3>p(<<>:718L44c3A;996*70;6g`>o3=3:17d;8:188k`b=831iii4?:481>3}#9;;1:85+8187`a=hmm0;66gjc;29?l302900e8=50;9j00<722h=97>56;294~"6;<0=:6g85;29 4522>?07d:j:18'563=m:18'563=99h07b7;:18'563=1=10qo?<0;295?6=8r.:?8460:m:1?6=,89>64:4;|q63?6=:rT>;6395;56?xu3=3:1>vP;5:?51?2a3ty>?7>52z\67>;1=3;;n6s|eb83>7}Ymj16:84>0e9~w`b=838pRhj4=779=1=z{88=6=4={<46>1c<589;64;4}|`2>5<6290;w)?=4;68 4462?30(<=::01`?!>72=no7b87:18'563=1=10q~kk:181[cc34oo6hj4}r74>5<5sW?<70kk:458yv222909wS::;13?63>:7:8 4432<1vqo?k5;297?4=<493:J26a=O9;?0(5>54ef8m13=831b9:4?::mf`?6=3koo6=4::385!7593<>7)6?:5fg?jcc2900ehm50;9j12<722c>?7>5;h66>5<5$016>1`<3`;;h7>5$016>46c32c:>50;394?6|,89>64>4o8794?"6;<02865rs4594?4|V<=01;;5749~w13=838pR9;4=7790c=z{<91<76<>k;|qf`?6=:rTnh6395;;7?xu6:?0;6?u26487a>;6;90296srb083>4<729q/=?:54:&264<112.:?84>3b9'<5<3lm1d:54?:%301??332wxii4?:3y]aa=:mm0nh6s|5683>7}Y=>16ii4:7:p00<72;qU8852ee871>{t:3:1?v3jd;g`?8cc2<901<498:&261<23twi=hj50;196?2|,88:6;=4H00g?M75=2.3<7:kd:k71?6=3`?<6=44odf94?=emm0;684=:7y'577=><1/4=4;de9laa<722cno7>5;h74>5<>d1=3:1:7>50z&270<1>2c<97>5$016>23<3`>n6=4+12790`=3487b>=n99n1<7*>34824a=51;294~"6;<02<6a65;29 45220>07p}:7;296~X2?27=979:;|q71?6=:rT?96395;6e?xu2;3:1>vP:3:?51?77j2wxin4?:3y]af=:><0::182>5<7s-;987:4$002>3?<,89>6<=l;%:3>1bc3f<36=4+1279=1=5<5sW>>70kk:578yv4=839p1hj5eb9>aa<2;27:6;64$007>0=zuk8on7>53;090~"6:80=?6F>2e9K573<,1:18ij4Z003>7}283?96pg;5;29?l302900chj50;9aaa<72<096;u+133920=#090?hi5U13296~372<81qbkk:188m`e=831b9:4?::k67?6=3`>>6=44b7794?0=83:p(<=::748^4472;q><7;=:|k41?6=,89>6:;4;h6f>5<#9:?18h54i5d94?"6;<0?j65f11f94?"6;<0:5<#9:?1==l4;n;7>5<#9:?15954}c304?6=93:1348:4>i>=3:1(<=::868?xu2?3:1>vP:7:?51?123ty?97>52z\71>;1=3>m7p}:3;296~X2;27=97??b:paf<72;qUin5264824a=z{ln1<745d3-2;69jk;n4;>5<#9:?15954}rgg>5<5sWoo70kk:df8yv302909wS;8;01>6=4={_66?8cc2=?0q~<50;1x9`b=mj16ii4:3:?2>3><,88?685r}c05b?6=;3818v*>20857>N6:m1C=?;4$9290ab;7>5;ngg>5<54ef8^44728q><7s`ee83>>obk3:17d;8:188m05=831b884?::`51?6=>3:134852>\6:90:w8>5}h56>5<#9:?1;854i5g94?"6;<0?i65f4g83>!74=3>m76g>0e83>!74=3;;h65f11`94?"6;<0:5<6290;w)?<5;;3?j?2290/=>;59598yv302909wS;8;<46>23>6=4={_66?8022=l0q~;<:181[3434<>6<>m;|qfg?6=:rTno6395;33`>{tmm0;6?uQee9>20<><2wx=?850;0x933=>5949~yg7=83;1<7>t$007>1=#9;;1:45+127956e<,1:18ij4o7:94?"6;<02865rsdf94?4|Vln01hj5ee9~w01=838pR894=df912=z{=?1<7aa196srb3ab>5<42;0?w)?=1;40?M75l2B:>85+8187`a=]9;:1>v;?:409yl222900e8950;9laa<722hnh7>55;092~"6:80=96*70;6g`>\6:909w8>5538~k`b=831bin4?::k63?6=3`?86=44i5794?=e><0;6;4?:1y'563=>?1Q=?>52z73>04=u`=>6=4+127930=3487a>=no68m0;6)?<5;33`>=n99h1<7*>34824g=1<7*>348:0>=zj89;6=4>:183!74=33;7b7::18'563=1=10q~;8:181[3034<>6:;4}r66>5<5sW>>708::5d8yv342909wS;<;<46>46e3tyno7>52z\fg>;1=3;;h6s|ee83>7}Ymm16:8464:p570=838p1;;54d9>566=1<1vqo?50;394?6|,88?695+13392<=#9:?1=>m4$9290ab348:0>=z{ln1<7{zj;i26=4<:387!7593<87E?=d:J260=#090?hi5U13295~372tc?97>5;h74>5<0<52?q/=??5649'<5<3lm1Q=?>51z73>xibl3:17dkl:188m01=831b9>4?::k71?6=3k<>6=49:183!74=3<=7W?=0;3x15?1<7*>34841>=no3n3:1(<=::5d8?l77l3:1(<=::02g?>o68k0;6)?<5;33f>=h1=0;6)?<5;;7?>{e9::1<7?50;2x 45220:0c4;50;&270<><21v8950;0xZ01<5??1;85rs5794?4|V=?01;;54g9~w05=838pR8=4=77955d=2wvn<4?:083>5}#9;>186*>2085=>"6;<0:?n5+8187`a=h>10;6)?<5;;7?>{tmm0;6?uQee9>aa;6s|4483>7}Y<<16ii4;5:p6?6=;r7nh7kl;05<580=46*>2586?x{e;9o1<7=52;6x 4462?90D<"?83>oh6T>218206=u`>>6=44i4594?=hmm0;66ljd;291?4=>r.:><495:&;4?2cl2P:>=4>{429yjcc2900ehm50;9j12<722c>?7>5;h66>5<o3m3:1(<=::5g8?l2a290/=>;54g98m46c290/=>;511f8?l77j3:1(<=::02a?>i><3:1(<=::868?xd6;90;6<4?:1y'563=191d584?:%301??332wx9:4?:3y]12=:><0<96s|4483>7}Y<<16:84;f:p16<72;qU9>5264824g=z{li1<764:4}r312?6=:r7=97:j;<304??23twi=7>51;294~"6:=0?7)?=1;4:?!74=3;8o6*70;6g`>i103:1(<=::868?xubl3:1>vPjd:?f`?cc3ty>;7>52z\63>;bl3?<7p};5;296~X3=27nh7::;|q1>5<4s4oo6hm4=df916=:93<37)?=4;78yxd5?h0;6>4=:5y'577=>:1C=?j4H006?!>72=no7W?=0;3x15>ibl3:17okk:186>7<1s-;9=78:;%:3>1bc3S;9<7?t518~k`b=831bin4?::k63?6=3`?86=44i5794?=e><0;6;4?:1y'563=>?1Q=?>51z73>xo0=3:1(<=::678?l2b290/=>;54d98m1`=83.:?84;f:9j55b=83.:?84>0e98m46e290/=>;511`8?j?3290/=>;59598yg7483:1=7>50z&270<>82e297>5$016><2<3ty>;7>52z\63>;1=3=>7p};5;296~X3=27=97:i;|q67?6=:rT>?6395;33f>{tmj0;6?uQeb9>20<68m1vhj50;0xZ`b<5??1595rs005>5<5s4<>69k4=013><3:183!75<3>0(<<>:7;8 452289h7)6?:5fg?j0?290/=>;59598yvcc2909wSkk;`b;62?20(<<;:49~yg56:3:1?7<54z&264<1;2B:>i5G1378 =6=5;h74>5<>d1=3:1:7>50z&270<1>2P:>=4>{429yl12290/=>;57498m1c=83.:?84;e:9j0c<72-;897:i;:k24a<72-;897??d:9j55d=83.:?84>0c98k<2=83.:?8464:9~f457290:6=4?{%301??73f3>6=4+1279=1=?0q~:::181[2234<>69h4}r70>5<5sW?8708::02a?xubk3:1>vPjc:?51?77l2wxii4?:3y]aa=:><0286s|13494?4|5??18h521229=0=zuk;1<7?50;2x 4432=1/=??5689'563=9:i0(5>54ef8k3>=83.:?8464:9~w`b=838pRhj4=df9aa=z{<=1<71v9;50;0xZ13<5ln1885rs383>6}:mm0no63jd;70?87=>11/=?:55:~f7>429086?4;{%315?043A;9h6F>249'<5<3lm1Q=?>51z73>xo3=3:17d;8:188k`b=831iii4?:481>3}#9;;1:85+8187`a=]9;:1=v;?:|mf`?6=3`oh6=44i4594?=n=:0;66g;5;29?g02290=6=4?{%301?013S;9<7?t518~m23=83.:?8485:9j0`<72-;897:j;:k7b?6=,89>69h4;h33`?6=,89>6<>k;:k24g<72-;897??b:9l=1<72-;8977;;:a566=83;1<7>t$016><6348:0>=z{<=1<746c3tynh7>52z\f`>;1=33?7p}>2783>7}:><0?i63>318:1>{zj80;6<4?:1y'572=<2.:><499:&270<6;j1/4=4;de9l2=<72-;8977;;:paa<72;qUii52ee8f`>{t=>0;6?uQ569>aa<2?2wx884?:3y]00=:mm0?96s|2;297~;bl3oh70kk:41894<102.:>94:;|a74d=8391>7:t$002>35<@88o7E?=5:&;4?2cl2P:>=4>{429yl222900e8950;9laa<722hnh7>55;092~"6:80=96*70;6g`>\6:90:w8>5}ngg>5<>o2;3:17d:::188f33=83<1<7>t$016>3069k4;h6e>5<#9:?18k54i02g>5<#9:?1==j4;h33f?6=,89>6<>m;:m:0?6=,89>64:4;|`275<7280;6=u+1279=5=h1<0;6)?<5;;7?>{t=>0;6?uQ569>20<0=2wx884?:3y]00=:><0?j6s|5283>7}Y=:16:84>0c9~w`e=838pRhm4=77955b0q~?=6;296~;1=3>n70?<0;;6?x{e93:1=7>50z&261<33-;9=786;%301?74k2.3<7:kd:m564:4;|qf`?6=:rTnh63jd;gg?xu2?3:1>vP:7:?f`?303ty?97>52z\71>;bl3>>7p}=:1808cc2li01hj5529>5?0?3-;987;4}|`002<72:0969u+133926=O9;n0D<<:;%:3>1bc3S;9<7?t508~m13=831b9:4?::mf`?6=3koo6=4::385!7593<>7)6?:5fg?_7583;p9<4rodf94?=nmj0;66g:7;29?l342900e9;50;9a20<72?0;6=u+127923=]9;:1=v;>:|k41?6=,89>6:;4;h6f>5<#9:?18h54i5d94?"6;<0?j65f11f94?"6;<0:5<#9:?1==l4;n;7>5<#9:?15954}c304?6=93:1348:4>i>=3:1(<=::868?xu2?3:1>vP:7:?51?123ty?97>52z\71>;1=3>m7p}:3;296~X2;27=97??b:paf<72;qUin5264824a=z{ln1<745d3-2;69jk;n4;>5<#9:?15954}rgg>5<5sWoo70kk:df8yv302909wS;8;01>6=4={_66?8cc2=?0q~<50;1x9`b=mj16ii4:3:?2>3><,88?685r}c157?6=;3818v*>20857>N6:m1C=?;4$9290ab;7>5;ngg>5<54ef8^44728q>=7s`ee83>>obk3:17d;8:188m05=831b884?::`51?6=>3:134852>\6:90:w8?5}h56>5<#9:?1;854i5g94?"6;<0?i65f4g83>!74=3>m76g>0e83>!74=3;;h65f11`94?"6;<0:5<6290;w)?<5;;3?j?2290/=>;59598yv302909wS;8;<46>23>6=4={_66?8022=l0q~;<:181[3434<>6<>m;|qfg?6=:rTno6395;33`>{tmm0;6?uQee9>20<><2wx=?850;0x933=>5949~yg7=83;1<7>t$007>1=#9;;1:45+127956e<,1:18ij4o7:94?"6;<02865rsdf94?4|Vln01hj5ee9~w01=838pR894=df912=z{=?1<7aa196srb5`a>5<42;0?w)?=1;40?M75l2B:>85+8187`a=]9;:1=v;>:|k71?6=3`?<6=44odf94?=emm0;684=:7y'577=><1/4=4;de9Y576=9r?:6pajd;29?lcd2900e8950;9j16<722c?97>5;c46>5<1290;w)?<5;45?_7583;p9<4ri6794?"6;<0<965f4d83>!74=3>n76g;f;29 4522=l07d??d;29 45228:o76g>0c83>!74=3;;n65`9583>!74=33?76sm12294?7=83:p(<=::828k<3=83.:?8464:9~w01=838pR894=77930=z{=?1<75<5sWoh708::02g?xubl3:1>vPjd:?51??33ty:>;4?:3y>20<3m27:?=465:~f4<7280;6=u+13690>"6:80=56*>34827f=#090?hi5`6983>!74=33?76s|ee83>7}Ymm16ii4jd:p12<72;qU9:52ee863>{t<<0;6?uQ449>aa<3=2wx>7>53z?f`?cd34oo68=4=085<>"6:=0>7psm4c494?5=:3>p(<<>:718L44c3A;996*70;6g`>\6:90:w8?5}h66>5<>dbl3:197<56z&264<1=2.3<7:kd:X265<6s<;1qbkk:188m`e=831b9:4?::k67?6=3`>>6=44b7794?0=83:p(<=::748^44728q>=7sf7483>!74=3=>76g;e;29 4522=o07d:i:18'563=k:18'563=99n07d??b;29 45228:i76a64;29 45220>07pl>3183>4<729q/=>;5919l=0<72-;8977;;:p12<72;qU9:5264841>{t<<0;6?uQ449>20<3n2wx9>4?:3y]16=:><0:<252z?51?2b34;8<77:;|a5?6=93:12587?!7593<27)?<5;30g>"?83>oh6a98;29 45220>07p}jd;296~Xbl27nh7kk;|q63?6=:rT>;63jd;74?xu3=3:1>vP;5:?f`?223ty96=4<{`e<5ln19>521;4;?!75<3?0qpl;bg83>6<52=q/=??5629K57b<@88>7)6?:5fg?_7583;p9<4ri5794?=n=>0;66ajd;29?gcc290>6?49{%315?023-2;69jk;[314?7|=80vchj50;9jaf<722c>;7>5;h70>5<3<729q/=>;5679Y576=9r?:6pg85;29 4522>?07d:j:18'563=m:18'563=99h07b7;:18'563=1=10qo?<0;295?6=8r.:?8460:m:1?6=,89>64:4;|q63?6=:rT>;6395;56?xu3=3:1>vP;5:?51?2a3ty>?7>52z\67>;1=3;;n6s|eb83>7}Ymj16:84>0e9~w`b=838pRhj4=779=1=z{88=6=4={<46>1c<589;64;4}|`2>5<6290;w)?=4;68 4462?30(<=::01`?!>72=no7b87:18'563=1=10q~kk:181[cc34oo6hj4}r74>5<5sW?<70kk:458yv222909wS::;13?63>:7:8 4432<1vqo:m7;297?4=<493:J26a=O9;?0(5>54ef8^44728q>=7sf4483>>o2?3:17bkk:188f`b=83?1>78t$002>33<,1:18ij4Z003>4}293wdii4?::kfg?6=3`?<6=44i4194?=n<<0;66l95;292?6=8r.:?8496:X265<6s<;1qd9::18'563=?<10e9k50;&270<3m21b8k4?:%301?2a32c:<21vn<=?:182>5<7s-;8977?;n;6>5<#9:?15954}r74>5<5sW?<708::678yv222909wS::;<46>1`{t9;<1<701/=>;512a8 =6=<21vhj50;0xZ`b<5ln1ii5rs4594?4|V<=01hj5569~w13=838pR9;4=df900=z{;0;6>u2ee8fg>;bl3?870?5699'572==2wvn9>n:180>7<3s-;9=78<;I31`>N6:<1/4=4;de9Y576=9r?:6pg;5;29?l302900chj50;9aaa<72<096;u+133920=#090?hi5U13295~362tenh7>5;hg`>5<>o3=3:17o8::185>5<7s-;89789;[314?7|=80ve:;50;&270<0=21b8h4?:%301?2b32c?j7>5$016>1`<3`;;h7>5$016>46c32c:>50;394?6|,89>64>4o8794?"6;<02865rs4594?4|V<=01;;5749~w13=838pR9;4=7790c=z{<91<76<>k;|qf`?6=:rTnh6395;;7?xu6:?0;6?u26487a>;6;90296srb083>4<729q/=?:54:&264<112.:?84>3b9'<5<3lm1d:54?:%301??332wxii4?:3y]aa=:mm0nh6s|5683>7}Y=>16ii4:7:p00<72;qU8852ee871>{t:3:1?v3jd;g`?8cc2<901<498:&261<23twi8=l50;196?2|,88:6;=4H00g?M75=2.<;784$9290ab;7>5;ngg>5<54ef8^44728q>=7s`ee83>>obk3:17d;8:188m05=831b884?::`51?6=>3:134852>\6:90:w8?5}h56>5<#9:?1;854i5g94?"6;<0?i65f4g83>!74=3>m76g>0e83>!74=3;;h65f11`94?"6;<0:5<6290;w)?<5;;3?j?2290/=>;59598yv302909wS;8;<46>23>6=4={_66?8022=l0q~;<:181[3434<>6<>m;|qfg?6=:rTno6395;33`>{tmm0;6?uQee9>20<><2wx=?850;0x933=>5949~yg7=83;1<7>t$007>1=#9;;1:45+127956e<,1:18ij4o7:94?"6;<02865rsdf94?4|Vln01hj5ee9~w01=838pR894=df912=z{=?1<7aa196srb53f>5<42;0?w)?=1;40?M75l2B:>85+7685?!>72=no7W?=0;3x14>ibl3:17okk:186>7<1s-;9=78:;%:3>1bc3S;9<7?t508~k`b=831bin4?::k63?6=3`?86=44i5794?=e><0;6;4?:1y'563=>?1Q=?>51z72>xo0=3:1(<=::678?l2b290/=>;54d98m1`=83.:?84;f:9j55b=83.:?84>0e98m46e290/=>;511`8?j?3290/=>;59598yg7483:1=7>50z&270<>82e297>5$016><2<3ty>;7>52z\63>;1=3=>7p};5;296~X3=27=97:i;|q67?6=:rT>?6395;33f>{tmj0;6?uQeb9>20<68m1vhj50;0xZ`b<5??1595rs005>5<5s4<>69k4=013><3:183!75<3>0(<<>:7;8 452289h7)6?:5fg?j0?290/=>;59598yvcc2909wSkk;`b;62?20(<<;:49~yg24:3:1?7<54z&264<1;2B:>i5G1378 21=>2.3<7:kd:X265<6s<;1qd:::188m01=831dii4?::`f`?6==381:v*>20851>"?83>oh6T>218207=ufoo6=44ida94?=n=>0;66g:3;29?l222900n;;50;494?6|,89>6;84Z003>4}293wb;84?:%301?1232c?i7>5$016>1c<3`>m6=4+12790c=5$016>46e32e287>5$016><2<3th:?=4?:083>5}#9:?15=5`9483>!74=33?76s|5683>7}Y=>16:8485:p00<72;qU88526487b>{t=:0;6?uQ529>20<68k1vhm50;0xZ`e<5??1==j4}rgg>5<5sWoo708::868yv75>3:1>v395;6f?874833>7psm1;295?6=8r.:>94;;%315?0>3-;897?5$016><2<3tynh7>52z\f`>;bl3oo7p}:7;296~X2?27nh7;8;|q71?6=:rT?963jd;66?xu52908w0kk:da89`b==:16=787;%310?31}#9;;1:>5G13f8L4423-=<6;5+8187`a=]9;:1=v;>:|k71?6=3`?<6=44odf94?=emm0;684=:7y'577=><1/4=4;de9Y576=9r?:6pajd;29?lcd2900e8950;9j16<722c?97>5;c46>5<1290;w)?<5;45?_7583;p9<4ri6794?"6;<0<965f4d83>!74=3>n76g;f;29 4522=l07d??d;29 45228:o76g>0c83>!74=3;;n65`9583>!74=33?76sm12294?7=83:p(<=::828k<3=83.:?8464:9~w01=838pR894=77930=z{=?1<75<5sWoh708::02g?xubl3:1>vPjd:?51??33ty:>;4?:3y>20<3m27:?=465:~f4<7280;6=u+13690>"6:80=56*>34827f=#090?hi5`6983>!74=33?76s|ee83>7}Ymm16ii4jd:p12<72;qU9:52ee863>{t<<0;6?uQ449>aa<3=2wx>7>53z?f`?cd34oo68=4=085<>"6:=0>7psm21g94?5=:3>p(<<>:718L44c3A;996*70;6g`>\6:90:w8<5}h66>5<>dbl3:197<56z&264<1=2.3<7:kd:X265<6s<81qbkk:188m`e=831b9:4?::k67?6=3`>>6=44b7794?0=83:p(<=::748^44728q>>7sf7483>!74=3=>76g;e;29 4522=o07d:i:18'563=k:18'563=99n07d??b;29 45228:i76a64;29 45220>07pl>3183>4<729q/=>;5919l=0<72-;8977;;:p12<72;qU9:5264841>{t<<0;6?uQ449>20<3n2wx9>4?:3y]16=:><0:<252z?51?2b34;8<77:;|a5?6=93:12587?!7593<27)?<5;30g>"?83>oh6a98;29 45220>07p}jd;296~Xbl27nh7kk;|q63?6=:rT>;63jd;74?xu3=3:1>vP;5:?f`?223ty96=4<{`e<5ln19>521;4;?!75<3?0qpl=1783>6<52=q/=??5629K57b<@88>7)6?:5fg?_7583;p9?4ri5794?=n=>0;66ajd;29?gcc290>6?49{%315?023-2;69jk;[314?7|=;0vchj50;9jaf<722c>;7>5;h70>5<3<729q/=>;5679Y576=9r?96pg85;29 4522>?07d:j:18'563=m:18'563=99h07b7;:18'563=1=10qo?<0;295?6=8r.:?8460:m:1?6=,89>64:4;|q63?6=:rT>;6395;56?xu3=3:1>vP;5:?51?2a3ty>?7>52z\67>;1=3;;n6s|eb83>7}Ymj16:84>0e9~w`b=838pRhj4=779=1=z{88=6=4={<46>1c<589;64;4}|`2>5<6290;w)?=4;68 4462?30(<=::01`?!>72=no7b87:18'563=1=10q~kk:181[cc34oo6hj4}r74>5<5sW?<70kk:458yv222909wS::;13?63>:7:8 4432<1vqo?<493:J26a=O9;?0(5>54ef8^44728q>>7sf4483>>o2?3:17bkk:188f`b=83?1>78t$002>33<,1:18ij4Z003>4}2:3wdii4?::kfg?6=3`?<6=44i4194?=n<<0;66l95;292?6=8r.:?8496:X265<6s<81qd9::18'563=?<10e9k50;&270<3m21b8k4?:%301?2a32c:<21vn<=?:182>5<7s-;8977?;n;6>5<#9:?15954}r74>5<5sW?<708::678yv222909wS::;<46>1`{t9;<1<701/=>;512a8 =6=<21vhj50;0xZ`b<5ln1ii5rs4594?4|V<=01hj5569~w13=838pR9;4=df900=z{;0;6>u2ee8fg>;bl3?870?5699'572==2wvn<:>:180>7<3s-;9=78<;I31`>N6:<1/4=4;de9Y576=9r?96pg;5;29?l302900chj50;9aaa<72<096;u+133920=#090?hi5U13295~352tenh7>5;hg`>5<>o3=3:17o8::185>5<7s-;89789;[314?7|=;0ve:;50;&270<0=21b8h4?:%301?2b32c?j7>5$016>1`<3`;;h7>5$016>46c32c:>50;394?6|,89>64>4o8794?"6;<02865rs4594?4|V<=01;;5749~w13=838pR9;4=7790c=z{<91<76<>k;|qf`?6=:rTnh6395;;7?xu6:?0;6?u26487a>;6;90296srb083>4<729q/=?:54:&264<112.:?84>3b9'<5<3lm1d:54?:%301??332wxii4?:3y]aa=:mm0nh6s|5683>7}Y=>16ii4:7:p00<72;qU8852ee871>{t:3:1?v3jd;g`?8cc2<901<498:&261<23twi??h50;196?2|,88:6;=4H00g?M75=2.3<7:kd:X265<6s<:1qd:::188m01=831dii4?::`f`?6==381:v*>20851>"?83>oh6T>218206=ufoo6=44ida94?=n=>0;66g:3;29?l222900n;;50;494?6|,89>6;84Z003>4}283wb;84?:%301?1232c?i7>5$016>1c<3`>m6=4+12790c=5$016>46e32e287>5$016><2<3th:?=4?:083>5}#9:?15=5`9483>!74=33?76s|5683>7}Y=>16:8485:p00<72;qU88526487b>{t=:0;6?uQ529>20<68k1vhm50;0xZ`e<5??1==j4}rgg>5<5sWoo708::868yv75>3:1>v395;6f?874833>7psm1;295?6=8r.:>94;;%315?0>3-;897?5$016><2<3tynh7>52z\f`>;bl3oo7p}:7;296~X2?27nh7;8;|q71?6=:rT?963jd;66?xu52908w0kk:da89`b==:16=787;%310?3?4?:281>1}#9;;1:>5G13f8L4423-2;69jk;[314?7|=90ve9;50;9j12<722enh7>5;cgg>5<22;0=w)?=1;46?!>72=no7W?=0;3x15>o2?3:17d;<:188m13=831i:84?:783>5}#9:?1:;5U13295~372tc<97>5$016>23<3`>n6=4+12790`=3487b>=n99n1<7*>34824a=51;294~"6;<02<6a65;29 45220>07p}:7;296~X2?27=979:;|q71?6=:rT?96395;6e?xu2;3:1>vP:3:?51?77j2wxin4?:3y]af=:><0::182>5<7s-;987:4$002>3?<,89>6<=l;%:3>1bc3f<36=4+1279=1=5<5sW>>70kk:578yv4=839p1hj5eb9>aa<2;27:6;64$007>0=zuk9:97>53;090~"6:80=?6F>2e9K573<,1:18ij4Z003>4}283wb884?::k63?6=3foo6=44bdf94?3=:3:778 =6=56;294~"6;<0=:6T>218206=u`=>6=4+127930=3487a>=no68m0;6)?<5;33`>=n99h1<7*>34824g=1<7*>348:0>=zj89;6=4>:183!74=33;7b7::18'563=1=10q~;8:181[3034<>6:;4}r66>5<5sW>>708::5d8yv342909wS;<;<46>46e3tyno7>52z\fg>;1=3;;h6s|ee83>7}Ymm16:8464:p570=838p1;;54d9>566=1<1vqo?50;394?6|,88?695+13392<=#9:?1=>m4$9290ab348:0>=z{ln1<7{zj:;h6=4<:387!7593<87E?=d:J260=#090?hi5U13295~372tc?97>5;h74>5<0<52?q/=??5649'<5<3lm1Q=?>51z73>xibl3:17dkl:188m01=831b9>4?::k71?6=3k<>6=49:183!74=3<=7W?=0;3x15?1<7*>34841>=no3n3:1(<=::5d8?l77l3:1(<=::02g?>o68k0;6)?<5;33f>=h1=0;6)?<5;;7?>{e9::1<7?50;2x 45220:0c4;50;&270<><21v8950;0xZ01<5??1;85rs5794?4|V=?01;;54g9~w05=838pR8=4=77955d=2wvn<4?:083>5}#9;>186*>2085=>"6;<0:?n5+8187`a=h>10;6)?<5;;7?>{tmm0;6?uQee9>aa;6s|4483>7}Y<<16ii4;5:p6?6=;r7nh7kl;05<580=46*>2586?x{e:j21<7=52;6x 4462?90D<"?83>oh6T>218204=u`>>6=44i4594?=hmm0;66ljd;291?4=>r.:><495:&;4?2cl2P:>=4>{409yjcc2900ehm50;9j12<722c>?7>5;h66>5<o3m3:1(<=::5g8?l2a290/=>;54g98m46c290/=>;511f8?l77j3:1(<=::02a?>i><3:1(<=::868?xd6;90;6<4?:1y'563=191d584?:%301??332wx9:4?:3y]12=:><0<96s|4483>7}Y<<16:84;f:p16<72;qU9>5264824g=z{li1<764:4}r312?6=:r7=97:j;<304??23twi=7>51;294~"6:=0?7)?=1;4:?!74=3;8o6*70;6g`>i103:1(<=::868?xubl3:1>vPjd:?f`?cc3ty>;7>52z\63>;bl3?<7p};5;296~X3=27nh7::;|q1>5<4s4oo6hm4=df916=:93<37)?=4;78yxd48m0;6>4=:5y'577=>:1C=?j4H006?!>72=no7W?=0;3x15>ibl3:17okk:186>7<1s-;9=78:;%:3>1bc3S;9<7?t518~k`b=831bin4?::k63?6=3`?86=44i5794?=e><0;6;4?:1y'563=>?1Q=?>51z73>xo0=3:1(<=::678?l2b290/=>;54d98m1`=83.:?84;f:9j55b=83.:?84>0e98m46e290/=>;511`8?j?3290/=>;59598yg7483:1=7>50z&270<>82e297>5$016><2<3ty>;7>52z\63>;1=3=>7p};5;296~X3=27=97:i;|q67?6=:rT>?6395;33f>{tmj0;6?uQeb9>20<68m1vhj50;0xZ`b<5??1595rs005>5<5s4<>69k4=013><3:183!75<3>0(<<>:7;8 452289h7)6?:5fg?j0?290/=>;59598yvcc2909wSkk;`b;62?20(<<;:49~yg40?3:1?7<54z&264<1;2B:>i5G1378 =6=5;h74>5<>d1=3:1:7>50z&270<1>2P:>=4>{429yl12290/=>;57498m1c=83.:?84;e:9j0c<72-;897:i;:k24a<72-;897??d:9j55d=83.:?84>0c98k<2=83.:?8464:9~f457290:6=4?{%301??73f3>6=4+1279=1=?0q~:::181[2234<>69h4}r70>5<5sW?8708::02a?xubk3:1>vPjc:?51?77l2wxii4?:3y]aa=:><0286s|13494?4|5??18h521229=0=zuk;1<7?50;2x 4432=1/=??5689'563=9:i0(5>54ef8k3>=83.:?8464:9~w`b=838pRhj4=df9aa=z{<=1<71v9;50;0xZ13<5ln1885rs383>6}:mm0no63jd;70?87=>11/=?:55:~f67629086?4;{%315?043A;9h6F>249'<5<3lm1Q=?>51z73>xo3=3:17d;8:188k`b=831iii4?:481>3}#9;;1:85+8187`a=]9;:1=v;?:|mf`?6=3`oh6=44i4594?=n=:0;66g;5;29?g02290=6=4?{%301?013S;9<7?t518~m23=83.:?8485:9j0`<72-;897:j;:k7b?6=,89>69h4;h33`?6=,89>6<>k;:k24g<72-;897??b:9l=1<72-;8977;;:a566=83;1<7>t$016><6348:0>=z{<=1<746c3tynh7>52z\f`>;1=33?7p}>2783>7}:><0?i63>318:1>{zj80;6<4?:1y'572=<2.:><499:&270<6;j1/4=4;de9l2=<72-;8977;;:paa<72;qUii52ee8f`>{t=>0;6?uQ569>aa<2?2wx884?:3y]00=:mm0?96s|2;297~;bl3oh70kk:41894<102.:>94:;|a6=4=8391>7:t$002>35<@88o7E?=5:&;4?2cl2P:>=4>{429yl222900e8950;9laa<722hnh7>55;092~"6:80=96*70;6g`>\6:90:w8>5}ngg>5<>o2;3:17d:::188f33=83<1<7>t$016>3069k4;h6e>5<#9:?18k54i02g>5<#9:?1==j4;h33f?6=,89>6<>m;:m:0?6=,89>64:4;|`275<7280;6=u+1279=5=h1<0;6)?<5;;7?>{t=>0;6?uQ569>20<0=2wx884?:3y]00=:><0?j6s|5283>7}Y=:16:84>0c9~w`e=838pRhm4=77955b0q~?=6;296~;1=3>n70?<0;;6?x{e93:1=7>50z&261<33-;9=786;%301?74k2.3<7:kd:m564:4;|qf`?6=:rTnh63jd;gg?xu2?3:1>vP:7:?f`?303ty?97>52z\71>;bl3>>7p}=:1808cc2li01hj5529>5?0?3-;987;4}|`7g4<72:0969u+133926=O9;n0D<<:;%:3>1bc3S;9<7?t508~m13=831b9:4?::mf`?6=3koo6=4::385!7593<>7)6?:5fg?_7583;p9<4rodf94?=nmj0;66g:7;29?l342900e9;50;9a20<72?0;6=u+127923=]9;:1=v;>:|k41?6=,89>6:;4;h6f>5<#9:?18h54i5d94?"6;<0?j65f11f94?"6;<0:5<#9:?1==l4;n;7>5<#9:?15954}c304?6=93:1348:4>i>=3:1(<=::868?xu2?3:1>vP:7:?51?123ty?97>52z\71>;1=3>m7p}:3;296~X2;27=97??b:paf<72;qUin5264824a=z{ln1<745d3-2;69jk;n4;>5<#9:?15954}rgg>5<5sWoo70kk:df8yv302909wS;8;01>6=4={_66?8cc2=?0q~<50;1x9`b=mj16ii4:3:?2>3><,88?685r}c17a?6=;3818v*>20857>N6:m1C=?;4$6592>"?83>oh6T>218207=u`>>6=44i4594?=hmm0;66ljd;291?4=>r.:><495:&;4?2cl2P:>=4>{439yjcc2900ehm50;9j12<722c>?7>5;h66>5<o3m3:1(<=::5g8?l2a290/=>;54g98m46c290/=>;511f8?l77j3:1(<=::02a?>i><3:1(<=::868?xd6;90;6<4?:1y'563=191d584?:%301??332wx9:4?:3y]12=:><0<96s|4483>7}Y<<16:84;f:p16<72;qU9>5264824g=z{li1<764:4}r312?6=:r7=97:j;<304??23twi=7>51;294~"6:=0?7)?=1;4:?!74=3;8o6*70;6g`>i103:1(<=::868?xubl3:1>vPjd:?f`?cc3ty>;7>52z\63>;bl3?<7p};5;296~X3=27nh7::;|q1>5<4s4oo6hm4=df916=:93<37)?=4;78yxd4190;684=:7y'577=>=1C=?j4H006?!>72=no7W?=0;3x14>o3=3:17d;8:188k`b=831iii4?:783>5}#9:?1:;5U13295~362tc<97>5$016>23<3`>n6=4+12790`=3487b>=n99n1<7*>34824a=7>51;294~"6;<0:?n5`9583>!74=33?76s|ee83>7}Ymm16ii464:p12<72;qU9:52ee841>{t=:0;6?uQ529>aa<68k1v9k50;0xZ1c<5ln18h5rs5794?4|V=?01hj54g9~w3?=838p1hj511f8945520>0qpl<5883>0<52?q/=??5659K57b<@88>7)6?:5fg?_7583;p9<4ri5g94?=n=:0;66g;5;29?l302900chj50;9aaa<72?0;6=u+127923=]9;:1=v;>:|k41?6=,89>6:;4;h6f>5<#9:?18h54i5d94?"6;<0?j65f11f94?"6;<0:5<#9:?1==l4;n;7>5<#9:?15954}c306?6=93:134827f=h1=0;6)?<5;;7?>{tmm0;6?uQee9>aa<><2wx9:4?:3y]12=:mm0<96s|5283>7}Y=:16ii4>0c9~w1c=838pR9k4=df90`=z{=?1<7o0;684=:7y'577=>=1C=?j4H006?!>72=no7W?=0;3x14>o3=3:17d;8:188k`b=831iii4?:783>5}#9:?1:;5U13295~362tc<97>5$016>23<3`>n6=4+12790`=3487b>=n99n1<7*>34824a=7>51;294~"6;<0:?n5`9583>!74=33?76s|ee83>7}Ymm16ii464:p12<72;qU9:52ee841>{t=:0;6?uQ529>aa<68k1v9k50;0xZ1c<5ln18h5rs5794?4|V=?01hj54g9~w3?=838p1hj511f8945520>0qpl<9683>0<52?q/=??5659K57b<@88>7)6?:5fg?_7583;p9<4ri5g94?=n=:0;66g;5;29?l302900chj50;9aaa<72?0;6=u+127923=]9;:1=v;>:|k41?6=,89>6:;4;h6f>5<#9:?18h54i5d94?"6;<0?j65f11f94?"6;<0:5<#9:?1==l4;n;7>5<#9:?15954}c306?6=93:134827f=h1=0;6)?<5;;7?>{tmm0;6?uQee9>aa<><2wx9:4?:3y]12=:mm0<96s|5283>7}Y=:16ii4>0c9~w1c=838pR9k4=df90`=z{=?1<790;684=:7y'577=>=1C=?j4H006?!>72=no7W?=0;3x14>o3=3:17d;8:188k`b=831iii4?:783>5}#9:?1:;5U13295~362tc<97>5$016>23<3`>n6=4+12790`=3487b>=n99n1<7*>34824a=7>51;294~"6;<0:?n5`9583>!74=33?76s|ee83>7}Ymm16ii464:p12<72;qU9:52ee841>{t=:0;6?uQ529>aa<68k1v9k50;0xZ1c<5ln18h5rs5794?4|V=?01hj54g9~w3?=838p1hj511f8945520>0qpl<7e83>0<52?q/=??5659K57b<@88>7)6?:5fg?_7583;p9<4ri5g94?=n=:0;66g;5;29?l302900chj50;9aaa<72?0;6=u+127923=]9;:1=v;>:|k41?6=,89>6:;4;h6f>5<#9:?18h54i5d94?"6;<0?j65f11f94?"6;<0:5<#9:?1==l4;n;7>5<#9:?15954}c306?6=93:134827f=h1=0;6)?<5;;7?>{tmm0;6?uQee9>aa<><2wx9:4?:3y]12=:mm0<96s|5283>7}Y=:16ii4>0c9~w1c=838pR9k4=df90`=z{=?1<74=:5y'577=>:1C=?j4H006?!102?1/4=4;de9Y576=9r?:6pg;5;29?l302900chj50;9aaa<72<096;u+133920=#090?hi5U13295~362tenh7>5;hg`>5<>o3=3:17o8::185>5<7s-;89789;[314?7|=80ve:;50;&270<0=21b8h4?:%301?2b32c?j7>5$016>1`<3`;;h7>5$016>46c32c:>50;394?6|,89>64>4o8794?"6;<02865rs4594?4|V<=01;;5749~w13=838pR9;4=7790c=z{<91<76<>k;|qf`?6=:rTnh6395;;7?xu6:?0;6?u26487a>;6;90296srb083>4<729q/=?:54:&264<112.:?84>3b9'<5<3lm1d:54?:%301??332wxii4?:3y]aa=:mm0nh6s|5683>7}Y=>16ii4:7:p00<72;qU8852ee871>{t:3:1?v3jd;g`?8cc2<901<498:&261<23twi>:j50;796?0|,88:6;:4H00g?M75=2.<;784$9290ab?7>5;h66>5<>dbl3:1:7>50z&270<1>2P:>=4>{429yl12290/=>;57498m1c=83.:?84;e:9j0c<72-;897:i;:k24a<72-;897??d:9j55d=83.:?84>0c98k<2=83.:?8464:9~f455290:6=4?{%301?74k2e287>5$016><2<3tynh7>52z\f`>;bl33?7p}:7;296~X2?27nh79:;|q67?6=:rT>?63jd;33f>{taa<3m2wx884?:3y]00=:mm0?j6s|6883>7}:mm0:53;090~"6:80=?6F>2e9K573<,>=1:6*70;6g`>\6:90:w8>5}h66>5<>dbl3:197<56z&264<1=2.3<7:kd:X265<6s<:1qbkk:188m`e=831b9:4?::k67?6=3`>>6=44b7794?0=83:p(<=::748^44728q><7sf7483>!74=3=>76g;e;29 4522=o07d:i:18'563=k:18'563=99n07d??b;29 45228:i76a64;29 45220>07pl>3183>4<729q/=>;5919l=0<72-;8977;;:p12<72;qU9:5264841>{t<<0;6?uQ449>20<3n2wx9>4?:3y]16=:><0:<252z?51?2b34;8<77:;|a5?6=93:12587?!7593<27)?<5;30g>"?83>oh6a98;29 45220>07p}jd;296~Xbl27nh7kk;|q63?6=:rT>;63jd;74?xu3=3:1>vP;5:?f`?223ty96=4<{`e<5ln19>521;4;?!75<3?0qpl=c183>6<52=q/=??5629K57b<@88>7)98:79'<5<3lm1Q=?>51z73>xo3=3:17d;8:188k`b=831iii4?:481>3}#9;;1:85+8187`a=]9;:1=v;?:|mf`?6=3`oh6=44i4594?=n=:0;66g;5;29?g02290=6=4?{%301?013S;9<7?t518~m23=83.:?8485:9j0`<72-;897:j;:k7b?6=,89>69h4;h33`?6=,89>6<>k;:k24g<72-;897??b:9l=1<72-;8977;;:a566=83;1<7>t$016><6348:0>=z{<=1<746c3tynh7>52z\f`>;1=33?7p}>2783>7}:><0?i63>318:1>{zj80;6<4?:1y'572=<2.:><499:&270<6;j1/4=4;de9l2=<72-;8977;;:paa<72;qUii52ee8f`>{t=>0;6?uQ569>aa<2?2wx884?:3y]00=:mm0?96s|2;297~;bl3oh70kk:41894<102.:>94:;|a6=0=8391>7:t$002>35<@88o7E?=5:&43?0<,1:18ij4Z003>4}283wb884?::k63?6=3foo6=44bdf94?3=:3:778 =6=56;294~"6;<0=:6T>218206=u`=>6=4+127930=3487a>=no68m0;6)?<5;33`>=n99h1<7*>34824g=1<7*>348:0>=zj89;6=4>:183!74=33;7b7::18'563=1=10q~;8:181[3034<>6:;4}r66>5<5sW>>708::5d8yv342909wS;<;<46>46e3tyno7>52z\fg>;1=3;;h6s|ee83>7}Ymm16:8464:p570=838p1;;54d9>566=1<1vqo?50;394?6|,88?695+13392<=#9:?1=>m4$9290ab348:0>=z{ln1<7{zj8i?6=4<:387!7593<87E?=d:J260=#?>0=7)6?:5fg?_7583;p9?4ri5794?=n=>0;66ajd;29?gcc290>6?49{%315?023-2;69jk;[314?7|=;0vchj50;9jaf<722c>;7>5;h70>5<3<729q/=>;5679Y576=9r?96pg85;29 4522>?07d:j:18'563=m:18'563=99h07b7;:18'563=1=10qo?<0;295?6=8r.:?8460:m:1?6=,89>64:4;|q63?6=:rT>;6395;56?xu3=3:1>vP;5:?51?2a3ty>?7>52z\67>;1=3;;n6s|eb83>7}Ymj16:84>0e9~w`b=838pRhj4=779=1=z{88=6=4={<46>1c<589;64;4}|`2>5<6290;w)?=4;68 4462?30(<=::01`?!>72=no7b87:18'563=1=10q~kk:181[cc34oo6hj4}r74>5<5sW?<70kk:458yv222909wS::;13?63>:7:8 4432<1vqo?la;297?4=<493:J26a=O9;?0(:956:&;4?2cl2P:>=4>{409yl222900e8950;9laa<722hnh7>55;092~"6:80=96*70;6g`>\6:90:w8<5}ngg>5<>o2;3:17d:::188f33=83<1<7>t$016>3069k4;h6e>5<#9:?18k54i02g>5<#9:?1==j4;h33f?6=,89>6<>m;:m:0?6=,89>64:4;|`275<7280;6=u+1279=5=h1<0;6)?<5;;7?>{t=>0;6?uQ569>20<0=2wx884?:3y]00=:><0?j6s|5283>7}Y=:16:84>0c9~w`e=838pRhm4=77955b0q~?=6;296~;1=3>n70?<0;;6?x{e93:1=7>50z&261<33-;9=786;%301?74k2.3<7:kd:m564:4;|qf`?6=:rTnh63jd;gg?xu2?3:1>vP:7:?f`?303ty?97>52z\71>;bl3>>7p}=:1808cc2li01hj5529>5?0?3-;987;4}|`1af<72:0969u+133926=O9;n0D<<:;%54>3=#090?hi5U13295~372tc?97>5;h74>5<0<52?q/=??5649'<5<3lm1Q=?>51z73>xibl3:17dkl:188m01=831b9>4?::k71?6=3k<>6=49:183!74=3<=7W?=0;3x15?1<7*>34841>=no3n3:1(<=::5d8?l77l3:1(<=::02g?>o68k0;6)?<5;33f>=h1=0;6)?<5;;7?>{e9::1<7?50;2x 45220:0c4;50;&270<><21v8950;0xZ01<5??1;85rs5794?4|V=?01;;54g9~w05=838pR8=4=77955d=2wvn<4?:083>5}#9;>186*>2085=>"6;<0:?n5+8187`a=h>10;6)?<5;;7?>{tmm0;6?uQee9>aa;6s|4483>7}Y<<16ii4;5:p6?6=;r7nh7kl;05<580=46*>2586?x{e:o81<7=52;6x 4462?90D<"0?3<0(5>54ef8^4472;q><7;=:|k71?6=3`?<6=44odf94?=emm0;684=:7y'577=><1/4=4;de9Y576=:r?;68<5}ngg>5<>o2;3:17d:::188f33=83<1<7>t$016>30xo0=3:1(<=::678?l2b290/=>;54d98m1`=83.:?84;f:9j55b=83.:?84>0e98m46e290/=>;511`8?j?3290/=>;59598yg7483:1=7>50z&270<>82e297>5$016><2<3ty>;7>52z\63>;1=3=>7p};5;296~X3=27=97:i;|q67?6=:rT>?6395;33f>{tmj0;6?uQeb9>20<68m1vhj50;0xZ`b<5??1595rs005>5<5s4<>69k4=013><3:183!75<3>0(<<>:7;8 452289h7)6?:5fg?j0?290/=>;59598yvcc2909wSkk;`b;62?20(<<;:49~yg5783:1?7<54z&264<1;2B:>i5G1378 21=>2.3<7:kd:X265<5s<:19?4ri5794?=n=>0;66ajd;29?gcc290>6?49{%315?023-2;69jk;[314?4|=90>>7s`ee83>>obk3:17d;8:188m05=831b884?::`51?6=>3:134852>\6:909w8>5538~m23=83.:?8485:9j0`<72-;897:j;:k7b?6=,89>69h4;h33`?6=,89>6<>k;:k24g<72-;897??b:9l=1<72-;8977;;:a566=83;1<7>t$016><6348:0>=z{<=1<746c3tynh7>52z\f`>;1=33?7p}>2783>7}:><0?i63>318:1>{zj80;6<4?:1y'572=<2.:><499:&270<6;j1/4=4;de9l2=<72-;8977;;:paa<72;qUii52ee8f`>{t=>0;6?uQ569>aa<2?2wx884?:3y]00=:mm0?96s|2;297~;bl3oh70kk:41894<102.:>94:;|a7d2=8391>7:t$002>35<@88o7E?=5:&43?0<,1:18ij4Z003>4}293wb884?::k63?6=3foo6=44bdf94?3=:3:778 =6=56;294~"6;<0=:6T>218207=u`=>6=4+127930=3487a>=no68m0;6)?<5;33`>=n99h1<7*>34824g=1<7*>348:0>=zj89;6=4>:183!74=33;7b7::18'563=1=10q~;8:181[3034<>6:;4}r66>5<5sW>>708::5d8yv342909wS;<;<46>46e3tyno7>52z\fg>;1=3;;h6s|ee83>7}Ymm16:8464:p570=838p1;;54d9>566=1<1vqo?50;394?6|,88?695+13392<=#9:?1=>m4$9290ab348:0>=z{ln1<7{zj:k36=4<:387!7593<87E?=d:J260=#?>0=7)6?:5fg?_7583;p9<4ri5794?=n=>0;66ajd;29?gcc290>6?49{%315?023-2;69jk;[314?7|=80vchj50;9jaf<722c>;7>5;h70>5<3<729q/=>;5679Y576=9r?:6pg85;29 4522>?07d:j:18'563=m:18'563=99h07b7;:18'563=1=10qo?<0;295?6=8r.:?8460:m:1?6=,89>64:4;|q63?6=:rT>;6395;56?xu3=3:1>vP;5:?51?2a3ty>?7>52z\67>;1=3;;n6s|eb83>7}Ymj16:84>0e9~w`b=838pRhj4=779=1=z{88=6=4={<46>1c<589;64;4}|`2>5<6290;w)?=4;68 4462?30(<=::01`?!>72=no7b87:18'563=1=10q~kk:181[cc34oo6hj4}r74>5<5sW?<70kk:458yv222909wS::;13?63>:7:8 4432<1vqo:8d;297?4=<493:J26a=O9;?0(:956:&;4?2cl2P:>=4>{439yl222900e8950;9laa<722hnh7>55;092~"6:80=96*70;6g`>\6:90:w8?5}ngg>5<>o2;3:17d:::188f33=83<1<7>t$016>3069k4;h6e>5<#9:?18k54i02g>5<#9:?1==j4;h33f?6=,89>6<>m;:m:0?6=,89>64:4;|`275<7280;6=u+1279=5=h1<0;6)?<5;;7?>{t=>0;6?uQ569>20<0=2wx884?:3y]00=:><0?j6s|5283>7}Y=:16:84>0c9~w`e=838pRhm4=77955b0q~?=6;296~;1=3>n70?<0;;6?x{e93:1=7>50z&261<33-;9=786;%301?74k2.3<7:kd:m564:4;|qf`?6=:rTnh63jd;gg?xu2?3:1>vP:7:?f`?303ty?97>52z\71>;bl3>>7p}=:1808cc2li01hj5529>5?0?3-;987;4}|`73=#090?hi5U13295~362tc?97>5;h74>5<0<52?q/=??5649'<5<3lm1Q=?>51z72>xibl3:17dkl:188m01=831b9>4?::k71?6=3k<>6=49:183!74=3<=7W?=0;3x14?1<7*>34841>=no3n3:1(<=::5d8?l77l3:1(<=::02g?>o68k0;6)?<5;33f>=h1=0;6)?<5;;7?>{e9::1<7?50;2x 45220:0c4;50;&270<><21v8950;0xZ01<5??1;85rs5794?4|V=?01;;54g9~w05=838pR8=4=77955d=2wvn<4?:083>5}#9;>186*>2085=>"6;<0:?n5+8187`a=h>10;6)?<5;;7?>{tmm0;6?uQee9>aa;6s|4483>7}Y<<16ii4;5:p6?6=;r7nh7kl;05<580=46*>2586?x{e<0o1<7=52;6x 4462?90D<"0?3<0(5>54ef8^44728q>=7sf4483>>o2?3:17bkk:188f`b=83?1>78t$002>33<,1:18ij4Z003>4}293wdii4?::kfg?6=3`?<6=44i4194?=n<<0;66l95;292?6=8r.:?8496:X265<6s<;1qd9::18'563=?<10e9k50;&270<3m21b8k4?:%301?2a32c:<21vn<=?:182>5<7s-;8977?;n;6>5<#9:?15954}r74>5<5sW?<708::678yv222909wS::;<46>1`{t9;<1<701/=>;512a8 =6=<21vhj50;0xZ`b<5ln1ii5rs4594?4|V<=01hj5569~w13=838pR9;4=df900=z{;0;6>u2ee8fg>;bl3?870?5699'572==2wvn>j?:180>5<7s-;9=7:=;I31`>N6:<1/=>;510;8 =6=5$016><2<@8;j76sm21494?5=939pD<<:;%315?>33`?26=44i4c94?=h99i1<75m12c94?5=83:p(<<>:00`?M75l2B:>85+127957d<,1:18ij4i7`94?"6;<0<965f6b83>!74=3=>76a7d;29 45220>07p}:9;296~X2127:?l49c:p1d<72;qU9l5212c92g=z{8:h6=4={_33g>;6;h03h6srb326>5<42808wE?=5:&26457>5;h7b>5<5<4290;w)?=1;31g>N6:m1C=?;4$016>44e3-2;69jk;h4a>5<#9:?1;854i7a94?"6;<0<965`8e83>!74=33?76s|5883>7}Y=016=>o56b9~w0g=838pR8o4=01b>3d52z\24f=:9:k14i5r}c030?6=;3;1?vF>249'577=0=1b944?::k6e?6=3f;;o7>5;c30e?6=;3:120826f=O9;n0D<<:;%301?75j2.3<7:kd:k5f?6=,89>6:;4;h4`>5<#9:?1;854o9f94?"6;<02865rs4;94?4|V<301<=n:7a8yv3f2909wS;n;<30e?0e3ty:uG1378 44621>0e8750;9j1d<722e:6:;4;n:g>5<#9:?15954}r7:>5<5sW?270?vP:a:?27d<1j2wx==m50;0xZ46d34;8m76k;|a5=`=8391=7=tH006?!75932?7d;6:188m0g=831d==m50;9a56g=8391<7>t$002>44d3A;9h6F>249'563=9;h0(5>54ef8m3d=83.:?8485:9j2f<72-;8979:;:m;`?6=,89>64:4;|q6=?6=:rT>563>3`85g>{t=h0;6?uQ5`9>56g=>k1v<>l:181[77k27:?l47d:~f4>b29086<4<{I311>"6:80386g:9;29?l3f2900c<>l:188f45f29086=4?{%315?75k2B:>i5G1378 452288i7)6?:5fg?l0e290/=>;57498m3e=83.:?8485:9lo58e9~yg7?l3:1?7?53zJ260=#9;;1495f5883>>o2i3:17b??c;29?g74i3:1?7>50z&264<6:j1C=?j4H006?!74=3;9n6*70;6g`>o1j3:1(<=::678?l0d290/=>;57498k=b=83.:?8464:9~w0?=838pR874=01b>3e0b83>7}Y99i01<=n:9f8yxd6100;6>4>:2yK573<,88:65:4i4;94?=n=h0;66a>0b83>>d6;h0;6>4?:1y'577=9;i0D<"6;<0:>o5+8187`a=n>k0;6)?<5;56?>o1k3:1(<=::678?j>c290/=>;59598yv3>2909wS;6;<30e?0d3ty>m7>52z\6e>;6;h0=n6s|11a94?4|V8:h70?5<34841>=n>j0;6)?<5;56?>i?l3:1(<=::868?xu213:1>vP:9:?27d<1k2wx9l4?:3y]1d=:9:k1:o5rs02`>5<5sW;;o63>3`8;`>{zj83<6=4<:080M75=2.:><474:k6=?6=3`?j6=44o02`>5<2e9K573<,89>6<1bc3`34841>=h0m0;6)?<5;;7?>{t=00;6?uQ589>56g=>j1v8o50;0xZ0g<589j6;l4}r33g?6=:rT:53;397~N6:<1/=??5859j1<<722c>m7>5;n33g?6=3k;8m7>53;294~"6:80:>n5G13f8L4423-;897?=b:&;4?2cl2c=n7>5$016>23<3`348:0>=z{<31<7=b6}O9;?0(<<>:968m0?=831b9l4?::m24f<722h:?l4?:283>5}#9;;1=?m4H00g?M75=2.:?84>2c9'<5<3lm1b:o4?:%301?1232c=o7>5$016>23<3f2o6=4+1279=1=l;<30e?>c3twi=4:50;195?5|@88>7)?=1;:7?l3>2900e8o50;9l55e=831i=>o50;194?6|,88:6<N6:<1/=>;513`8 =6=5$016><2<3ty>57>52z\6=>;6;h0=o6s|5`83>7}Y=h16=>o56c9~w46d2909wS??c:?27d4<4sA;996*>208;0>o213:17d;n:188k46d2900n<=n:180>5<7s-;9=7?=c:J26a=O9;?0(<=::00a?!>72=no7d8m:18'563=?<10e;m50;&270<0=21d4i4?:%301??332wx944?:3y]1<=:9:k1:n5rs4c94?4|VvP>0b9>56g=0m1vqo?62;297?7=;rB:>85+1339<1=n=00;66g:a;29?j77k3:17o?<4>2b9K57b<@88>7)?<5;31f>"?83>oh6g9b;29 4522>?07d8l:18'563=?<10c5j50;&270<><21v8750;0xZ0?<589j6;m4}r7b>5<5sW?j70?9083>6<62:qC=?;4$002>=2>i68j0;66l>3`83>6<729q/=??513a8L44c3A;996*>34826g=#090?hi5f6c83>!74=3=>76g9c;29 4522>?07b6k:18'563=1=10q~;6:181[3>34;8m78l;|q6e?6=:rT>m63>3`85f>{t99i1<733`?26=44i4c94?=h99i1<75m12c94?5=83:p(<<>:00`?M75l2B:>85+127957d<,1:18ij4i7`94?"6;<0<965f6b83>!74=3=>76a7d;29 45220>07p}:9;296~X2127:?l49c:p1d<72;qU9l5212c92g=z{8:h6=4={_33g>;6;h03h6srb3c0>5<42808wE?=5:&26457>5;h7b>5<5<4290;w)?=1;31g>N6:m1C=?;4$016>44e3-2;69jk;h4a>5<#9:?1;854i7a94?"6;<0<965`8e83>!74=33?76s|5883>7}Y=016=>o56b9~w0g=838pR8o4=01b>3d52z\24f=:9:k14i5r}c0b6?6=;3;1?vF>249'577=0=1b944?::k6e?6=3f;;o7>5;c30e?6=;3:120826f=O9;n0D<<:;%301?75j2.3<7:kd:k5f?6=,89>6:;4;h4`>5<#9:?1;854o9f94?"6;<02865rs4;94?4|V<301<=n:7a8yv3f2909wS;n;<30e?0e3ty:uG1378 44621>0e8750;9j1d<722e:6:;4;n:g>5<#9:?15954}r7:>5<5sW?270?vP:a:?27d<1j2wx==m50;0xZ46d34;8m76k;|a7fg=8381<7>t$002>2><@88o7E?=5:&270<392.3<7:kd:k5e?6=,89>6:;4;n:g>5<#9:?1595G1268?xd4n10;6?4?:1y'577=?11C=?j4H006?!74=3>:7)6?:5fg?l0f290/=>;57498k=b=83.:?8464:J271=53;294~"6:80i7E?=d:J260=#9:?1m6*70;6g`>o1j3:1(<=::678?l0d290/=>;57498k=b=83.:?8464:9~f1e429096=4?{%315?1?3A;9h6F>249'563=<81/4=4;de9j2d<72-;8979:;:m;`?6=,89>64:4H017?>{e20D<"6;<0?=6*70;6g`>o1i3:1(<=::678?j>c290/=>;5959K562<3th?nh4?:283>5}#9;;1n6F>2e9K573<,89>6l5+8187`a=n>k0;6)?<5;56?>o1k3:1(<=::678?j>c290/=>;59598yg2e13:1>7>50z&264<002B:>i5G1378 4522=;0(5>54ef8m3g=83.:?8485:9l=zj=hj6=4<:183!7593h0D<"6;<0j7)6?:5fg?l0e290/=>;57498m3e=83.:?8485:9lt$002>2><@88o7E?=5:&270<392.3<7:kd:k5e?6=,89>6:;4;n:g>5<#9:?1595G1268?xd3k=0;6?4?:1y'577=?11C=?j4H006?!74=3>:7)6?:5fg?l0f290/=>;57498k=b=83.:?8464:J271=o97>52;294~"6:80<46F>2e9K573<,89>69?4$9290ab34841>=h0m0;6)?<5;;7?M74<21vn9=?:181>5<7s-;9=797;I31`>N6:<1/=>;5409'<5<3lm1b:l4?:%301?1232e3h7>5$016><2<@89?76sm42394?5=83:p(<<>:c9K57b<@88>7)?<5;c8 =6=5$016><2<3th84:4?:383>5}#9;;1;55G13f8L4423-;897:>;%:3>1bc3`348:0>N6;=10qo=78;297?6=8r.:><4m;I31`>N6:<1/=>;5a:&;4?2cl2c=n7>5$016>23<3`348:0>=zj:>j6=4<:183!7593397E?=d:J260=#9:?15<5+8187`a=n>k0;6)?<5;56?>o1k3:1(<=::678?j>c290/=>;59598yg5el3:1>7>50z&264<002B:>i5G1378 4522=;0(5>54ef8m3g=83.:?8485:9l=zj:hn6=4=:183!7593=37E?=d:J260=#9:?18<5+8187`a=n>h0;6)?<5;56?>i?l3:1(<=::868L45332wi?oh50;194?6|,88:64<4H00g?M75=2.:?8461:&;4?2cl2c=n7>5$016>23<3`348:0>=zj:326=4=:183!7593=37E?=d:J260=#9:?18<5+8187`a=n>h0;6)?<5;56?>i?l3:1(<=::868L45332wi?;<50;094?6|,88:6:64H00g?M75=2.:?84;1:&;4?2cl2c=m7>5$016>23<3f2o6=4+1279=1=O9:>07pl<4e83>6<729q/=??5b:J26a=O9;?0(<=::`9'<5<3lm1b:o4?:%301?1232c=o7>5$016>23<3f2o6=4+1279=1=97>52;294~"6:80<46F>2e9K573<,89>69?4$9290ab34841>=h0m0;6)?<5;;7?M74<21vn?;9:181>5<7s-;9=797;I31`>N6:<1/=>;5409'<5<3lm1b:l4?:%301?1232e3h7>5$016><2<@89?76sm24594?5=83:p(<<>:808L44c3A;996*>348:5>"?83>oh6g9b;29 4522>?07d8l:18'563=?<10c5j50;&270<><21vn?;n:181>5<7s-;9=797;I31`>N6:<1/=>;5409'<5<3lm1b:l4?:%301?1232e3h7>5$016><2<@89?76sm24`94?4=83:p(<<>:6:8L44c3A;996*>34875>"?83>oh6g9a;29 4522>?07b6k:18'563=1=1C=>:4;|`11f<72:0;6=u+1339=7=O9;n0D<<:;%301??63-2;69jk;h4a>5<#9:?1;854i7a94?"6;<0<965`8e83>!74=33?76sm24d94?5=83:p(<<>:c9K57b<@88>7)?<5;c8 =6=5$016><2<3th9:l4?:383>5}#9;;1;55G13f8L4423-;897:>;%:3>1bc3`348:0>N6;=10qo<9b;297?6=8r.:><462:J26a=O9;?0(<=::838 =6=5$016><2<3th98k4?:383>5}#9;;1;55G13f8L4423-;897:>;%:3>1bc3`348:0>N6;=10qo<:1;296?6=8r.:><488:J26a=O9;?0(<=::538 =6=5<4290;w)?=1;;1?M75l2B:>85+1279=4=#090?hi5f6c83>!74=3=>76g9c;29 4522>?07b6k:18'563=1=10qo<4m;I31`>N6:<1/=>;5a:&;4?2cl2c=n7>5$016>23<3`348:0>=zj;k36=4<:183!7593h0D<"6;<0j7)6?:5fg?l0e290/=>;57498m3e=83.:?8485:9lt$002>g=O9;n0D<<:;%301?g<,1:18ij4i7`94?"6;<0<965f6b83>!74=3=>76a7d;29 45220>07pl=9683>6<729q/=??5b:J26a=O9;?0(<=::`9'<5<3lm1b:o4?:%301?1232c=o7>5$016>23<3f2o6=4+1279=1=53;294~"6:80i7E?=d:J260=#9:?1m6*70;6g`>o1j3:1(<=::678?l0d290/=>;57498k=b=83.:?8464:9~f7?c29086=4?{%315?d<@88o7E?=5:&2705<#9:?1;854i7a94?"6;<0<965`8e83>!74=33?76sm2`294?5=83:p(<<>:c9K57b<@88>7)?<5;c8 =6=5$016><2<3th8?;4?:383>5}#9;;1;55G13f8L4423-;897:>;%:3>1bc3`348:0>N6;=10qo<8c;296?6=8r.:><488:J26a=O9;?0(<=::538 =6=5<5290;w)?=1;5;?M75l2B:>85+127904=#090?hi5f6`83>!74=3=>76a7d;29 45220>0D<=;;:a6=3=8381<7>t$002>2><@88o7E?=5:&270<392.3<7:kd:k5e?6=,89>6:;4;n:g>5<#9:?1595G1268?xd5?o0;6?4?:1y'577=?11C=?j4H006?!74=3>:7)6?:5fg?l0f290/=>;57498k=b=83.:?8464:J271=52;294~"6:80<46F>2e9K573<,89>69?4$9290ab34841>=h0m0;6)?<5;;7?M74<21vn?l::180>5<7s-;9=7l4H00g?M75=2.:?84n;%:3>1bc3`34841>=h0m0;6)?<5;;7?>{e:1;1<7=50;2x 4462k1C=?j4H006?!74=3k0(5>54ef8m3d=83.:?8485:9j2f<72-;8979:;:m;`?6=,89>64:4;|`133<72:0;6=u+1339f>N6:m1C=?;4$016>d=#090?hi5f6c83>!74=3=>76g9c;29 4522>?07b6k:18'563=1=10qo=?c;297?6=8r.:><4m;I31`>N6:<1/=>;5a:&;4?2cl2c=n7>5$016>23<3`348:0>=zj;:j6=4<:183!7593h0D<"6;<0j7)6?:5fg?l0e290/=>;57498m3e=83.:?8485:9l=8391<7>t$002>g=O9;n0D<<:;%301?g<,1:18ij4i7`94?"6;<0<965f6b83>!74=3=>76a7d;29 45220>07pl>f483>7<729q/=??5799K57b<@88>7)?<5;62?!>72=no7d8n:18'563=?<10c5j50;&270<><2B:?954}c3e2?6=:3:12084<>N6:m1C=?;4$016>17<,1:18ij4i7c94?"6;<0<965`8e83>!74=33?7E?<4:9~f4`029086=4?{%315?d<@88o7E?=5:&2705<#9:?1;854i7a94?"6;<0<965`8e83>!74=33?76sm1gc94?4=83:p(<<>:6:8L44c3A;996*>34875>"?83>oh6g9a;29 4522>?07b6k:18'563=1=1C=>:4;|`2bg<72:0;6=u+1339f>N6:m1C=?;4$016>d=#090?hi5f6c83>!74=3=>76g9c;29 4522>?07b6k:18'563=1=10qo?ie;296?6=8r.:><488:J26a=O9;?0(<=::538 =6=5<4290;w)?=1;`8L44c3A;996*>348b?!>72=no7d8m:18'563=?<10e;m50;&270<0=21d4i4?:%301??332wi>=<50;094?6|,88:6:64H00g?M75=2.:?84;1:&;4?2cl2c=m7>5$016>23<3f2o6=4+1279=1=O9:>07pl=0283>6<729q/=??5b:J26a=O9;?0(<=::`9'<5<3lm1b:o4?:%301?1232c=o7>5$016>23<3f2o6=4+1279=1=53;294~"6:80i7E?=d:J260=#9:?1m6*70;6g`>o1j3:1(<=::678?l0d290/=>;57498k=b=83.:?8464:9~f4g029086=4?{%315?d<@88o7E?=5:&2705<#9:?1;854i7a94?"6;<0<965`8e83>!74=33?76sm1`194?5=83:p(<<>:c9K57b<@88>7)?<5;c8 =6=5$016><2<3th:5k4?:283>5}#9;;1n6F>2e9K573<,89>6l5+8187`a=n>k0;6)?<5;56?>o1k3:1(<=::678?j>c290/=>;59598yg7>j3:1?7>50z&264249'563=i2.3<7:kd:k5f?6=,89>6:;4;h4`>5<#9:?1;854o9f94?"6;<02865rb075>5<5290;w)?=1;5;?M75l2B:>85+127904=#090?hi5f6`83>!74=3=>76a7d;29 45220>0D<=;;:a501=8381<7>t$002>2><@88o7E?=5:&270<392.3<7:kd:k5e?6=,89>6:;4;n:g>5<#9:?1595G1268?xd6=10;6>4?:1y'577=j2B:>i5G1378 4522h1/4=4;de9j2g<72-;8979:;:k5g?6=,89>6:;4;n:g>5<#9:?15954}c35f?6=:3:12084<>N6:m1C=?;4$016>17<,1:18ij4i7c94?"6;<0<965`8e83>!74=33?7E?<4:9~f40d29086=4?{%315?d<@88o7E?=5:&2705<#9:?1;854i7a94?"6;<0<965`8e83>!74=33?76sm17d94?4=83:p(<<>:6:8L44c3A;996*>34875>"?83>oh6g9a;29 4522>?07b6k:18'563=1=1C=>:4;|`235<72:0;6=u+1339f>N6:m1C=?;4$016>d=#090?hi5f6c83>!74=3=>76g9c;29 4522>?07b6k:18'563=1=10qo?83;296?6=8r.:><488:J26a=O9;?0(<=::538 =6=5<4290;w)?=1;`8L44c3A;996*>348b?!>72=no7d8m:18'563=?<10e;m50;&270<0=21d4i4?:%301??332wi=:950;094?6|,88:6:64H00g?M75=2.:?84;1:&;4?2cl2c=m7>5$016>23<3f2o6=4+1279=1=O9:>07pl>7983>6<729q/=??5b:J26a=O9;?0(<=::`9'<5<3lm1b:o4?:%301?1232c=o7>5$016>23<3f2o6=4+1279=1=52;294~"6:80<46F>2e9K573<,89>69?4$9290ab34841>=h0m0;6)?<5;;7?M74<21vn<9l:180>5<7s-;9=7l4H00g?M75=2.:?84n;%:3>1bc3`34841>=h0m0;6)?<5;;7?>{e9>l1<7<50;2x 4462>20D<"6;<0?=6*70;6g`>o1i3:1(<=::678?j>c290/=>;5959K562<3th:4=4?:283>5}#9;;1n6F>2e9K573<,89>6l5+8187`a=n>k0;6)?<5;56?>o1k3:1(<=::678?j>c290/=>;59598yg7?;3:1>7>50z&264<002B:>i5G1378 4522=;0(5>54ef8m3g=83.:?8485:9l=zj82?6=4<:183!7593h0D<"6;<0j7)6?:5fg?l0e290/=>;57498m3e=83.:?8485:9lt$002>2><@88o7E?=5:&270<392.3<7:kd:k5e?6=,89>6:;4;n:g>5<#9:?1595G1268?xd6010;6>4?:1y'577=j2B:>i5G1378 4522h1/4=4;de9j2g<72-;8979:;:k5g?6=,89>6:;4;n:g>5<#9:?15954}c3;f?6=:3:12084<>N6:m1C=?;4$016>17<,1:18ij4i7c94?"6;<0<965`8e83>!74=33?7E?<4:9~f4>d29086=4?{%315?d<@88o7E?=5:&2705<#9:?1;854i7a94?"6;<0<965`8e83>!74=33?76sm14a94?4=83:p(<<>:6:8L44c3A;996*>34875>"?83>oh6g9a;29 4522>?07b6k:18'563=1=1C=>:4;|`21a<72:0;6=u+1339f>N6:m1C=?;4$016>d=#090?hi5f6c83>!74=3=>76g9c;29 4522>?07b6k:18'563=1=10qo?90;296?6=8r.:><488:J26a=O9;?0(<=::538 =6=5<4290;w)?=1;`8L44c3A;996*>348b?!>72=no7d8m:18'563=?<10e;m50;&270<0=21d4i4?:%301??332wi=;:50;094?6|,88:6:64H00g?M75=2.:?84;1:&;4?2cl2c=m7>5$016>23<3f2o6=4+1279=1=O9:>07pl>6483>6<729q/=??5b:J26a=O9;?0(<=::`9'<5<3lm1b:o4?:%301?1232c=o7>5$016>23<3f2o6=4+1279=1=52;294~"6:80<46F>2e9K573<,89>69?4$9290ab34841>=h0m0;6)?<5;;7?M74<21vn<86:180>5<7s-;9=7l4H00g?M75=2.:?84n;%:3>1bc3`34841>=h0m0;6)?<5;;7?>{e9=91<7<50;2x 4462>20D<"6;<0?=6*70;6g`>o1i3:1(<=::678?j>c290/=>;5959K562<3th:?k4?:383>5}#9;;1;55G13f8L4423-;897:>;%:3>1bc3`348:0>N6;=10qo?;0;297?6=8r.:><4m;I31`>N6:<1/=>;5a:&;4?2cl2c=n7>5$016>23<3`348:0>=zj8i86=4<:183!7593h0D<"6;<0j7)6?:5fg?l0e290/=>;57498m3e=83.:?8485:9lt$002>2><@88o7E?=5:&270<392.3<7:kd:k5e?6=,89>6:;4;n:g>5<#9:?1595G1268?xd6l:0;6>4?:1y'577=j2B:>i5G1378 4522h1/4=4;de9j2g<72-;8979:;:k5g?6=,89>6:;4;n:g>5<#9:?15954}c1a2084<>N6:m1C=?;4$016>17<,1:18ij4i7c94?"6;<0<965`8e83>!74=33?7E?<4:9~f6d>29086=4?{%315?d<@88o7E?=5:&2705<#9:?1;854i7a94?"6;<0<965`8e83>!74=33?76sm4`f94?4=83:p(<<>:6:8L44c3A;996*>34875>"?83>oh6g9a;29 4522>?07b6k:18'563=1=1C=>:4;|`7e`<72:0;6=u+1339f>N6:m1C=?;4$016>d=#090?hi5f6c83>!74=3=>76g9c;29 4522>?07b6k:18'563=1=10qo=>0;296?6=8r.:><488:J26a=O9;?0(<=::538 =6=5<5290;w)?=1;5;?M75l2B:>85+127904=#090?hi5f6`83>!74=3=>76a7d;29 45220>0D<=;;:a502=8381<7>t$002>2><@88o7E?=5:&270<392.3<7:kd:k5e?6=,89>6:;4;n:g>5<#9:?1595G1268?xd5?00;6?4?:1y'577=?11C=?j4H006?!74=3>:7)6?:5fg?l0f290/=>;57498k=b=83.:?8464:J271=52;294~"6:80<46F>2e9K573<,89>69?4$9290ab34841>=h0m0;6)?<5;;7?M74<21vn>?j:180>5<7s-;9=7l4H00g?M75=2.:?84n;%:3>1bc3`34841>=h0m0;6)?<5;;7?>{e:hi1<7<50;2x 4462>20D<"6;<0?=6*70;6g`>o1i3:1(<=::678?j>c290/=>;5959K562<3th9mi4?:383>5}#9;;1;55G13f8L4423-;897:>;%:3>1bc3`348:0>N6;=10qo<4m;I31`>N6:<1/=>;5a:&;4?2cl2c=n7>5$016>23<3`348:0>=zj:i>6=4=:183!7593=37E?=d:J260=#9:?18<5+8187`a=n>h0;6)?<5;56?>i?l3:1(<=::868L45332wi?n850;094?6|,88:6:64H00g?M75=2.:?84;1:&;4?2cl2c=m7>5$016>23<3f2o6=4+1279=1=O9:>07pl6<729q/=??5b:J26a=O9;?0(<=::`9'<5<3lm1b:o4?:%301?1232c=o7>5$016>23<3f2o6=4+1279=1=hn7>52;294~"6:80<46F>2e9K573<,89>69?4$9290ab34841>=h0m0;6)?<5;;7?M74<21vn9ml:180>5<7s-;9=77=;I31`>N6:<1/=>;5909'<5<3lm1b:o4?:%301?1232c=o7>5$016>23<3f2o6=4+1279=1=o87>53;294~"6:802>6F>2e9K573<,89>64?4$9290ab34841>=n>j0;6)?<5;56?>i?l3:1(<=::868?xd5>m0;6?4?:1y'577=?11C=?j4H006?!74=3>:7)6?:5fg?l0f290/=>;57498k=b=83.:?8464:J271=53;294~"6:802>6F>2e9K573<,89>64?4$9290ab34841>=n>j0;6)?<5;56?>i?l3:1(<=::868?xd4:90;6?4?:1y'577=?11C=?j4H006?!74=3>:7)6?:5fg?l0f290/=>;57498k=b=83.:?8464:J271=53;294~"6:802>6F>2e9K573<,89>64?4$9290ab34841>=n>j0;6)?<5;56?>i?l3:1(<=::868?xd4;80;6?4?:1y'577=?11C=?j4H006?!74=3>:7)6?:5fg?l0f290/=>;57498k=b=83.:?8464:J271=7>52;294~"6:80<46F>2e9K573<,89>69?4$9290ab34841>=h0m0;6)?<5;;7?M74<21vn>=<:180>5<7s-;9=77=;I31`>N6:<1/=>;5909'<5<3lm1b:o4?:%301?1232c=o7>5$016>23<3f2o6=4+1279=1=52;294~"6:80<46F>2e9K573<,89>69?4$9290ab34841>=h0m0;6)?<5;;7?M74<21vn><::181>5<7s-;9=797;I31`>N6:<1/=>;5409'<5<3lm1b:l4?:%301?1232e3h7>5$016><2<@89?76sm33494?5=83:p(<<>:808L44c3A;996*>348:5>"?83>oh6g9b;29 4522>?07d8l:18'563=?<10c5j50;&270<><21vn??m:18:>5<7s-;9=7??;I31`>N6:<1/=>;5a:&;4?2cl2c=n7>5$016>23<3`34841>=n>l0;6)?<5;56?>o1n3:1(<=::678?l17290/=>;57498m27=83.:?8485:9j37<72-;8979:;:m;`?6=,89>64:4;|`15f<7200;6=u+133955=O9;n0D<<:;%301?g<,1:18ij4i7`94?"6;<0<965f6b83>!74=3=>76g9d;29 4522>?07d8j:18'563=?<10e;h50;&270<0=21b;=4?:%301?1232c<=7>5$016>23<3`=96=4+127930=348:0>=zj;;o6=4<:183!7593h0D<"6;<0j7)6?:5fg?l0e290/=>;57498m3e=83.:?8485:9lt$002>2><@88o7E?=5:&270<392.3<7:kd:k5e?6=,89>6:;4;n:g>5<#9:?1595G1268?xd5;80;6?4?:1y'577=?11C=?j4H006?!74=3>:7)6?:5fg?l0f290/=>;57498k=b=83.:?8464:J271=7>52;294~"6:80<46F>2e9K573<,89>69?4$9290ab34841>=h0m0;6)?<5;;7?M74<21vn?=<:186>5<7s-;9=7j4H00g?M75=2.:?84n;%:3>1bc3`34841>=n>m0;6)?<5;56?>o1m3:1(<=::678?j>c290/=>;59598yg2013:1?7>50z&264249'563=i2.3<7:kd:k5f?6=,89>6:;4;h4`>5<#9:?1;854o9f94?"6;<02865rb55b>5<5290;w)?=1;5;?M75l2B:>85+127904=#090?hi5f6`83>!74=3=>76a7d;29 45220>0D<=;;:a02d=8391<7>t$002>g=O9;n0D<<:;%301?g<,1:18ij4i7`94?"6;<0<965f6b83>!74=3=>76a7d;29 45220>07pl;7b83>6<729q/=??5939K57b<@88>7)?<5;;2?!>72=no7d8m:18'563=?<10e;m50;&270<0=21d4i4?:%301??332wi8;j50;194?6|,88:6o5G13f8L4423-;897o4$9290ab34841>=n>j0;6)?<5;56?>i?l3:1(<=::868?xd3>l0;6?4?:1y'577=?11C=?j4H006?!74=3>:7)6?:5fg?l0f290/=>;57498k=b=83.:?8464:J271==j7>53;294~"6:80i7E?=d:J260=#9:?1m6*70;6g`>o1j3:1(<=::678?l0d290/=>;57498k=b=83.:?8464:9~f11729086=4?{%315??53A;9h6F>249'563=181/4=4;de9j2g<72-;8979:;:k5g?6=,89>6:;4;n:g>5<#9:?15954}c65208a?M75l2B:>85+1279e>"?83>oh6g9b;29 4522>?07d8l:18'563=?<10c5j50;&270<><21vn986:181>5<7s-;9=797;I31`>N6:<1/=>;5409'<5<3lm1b:l4?:%301?1232e3h7>5$016><2<@89?76sm47c94?5=83:p(<<>:c9K57b<@88>7)?<5;c8 =6=5$016><2<3th?:o4?:283>5}#9;;15?5G13f8L4423-;8977>;%:3>1bc3`34841>=h0m0;6)?<5;;7?>{e54ef8m3d=83.:?8485:9j2f<72-;8979:;:m;`?6=,89>64:4;|`721<72;0;6=u+13393==O9;n0D<<:;%301?263-2;69jk;h4b>5<#9:?1;854o9f94?"6;<0286F>3598yg21=3:1?7>50z&264249'563=i2.3<7:kd:k5f?6=,89>6:;4;h4`>5<#9:?1;854o9f94?"6;<02865rb545>5<4290;w)?=1;;1?M75l2B:>85+1279=4=#090?hi5f6c83>!74=3=>76g9c;29 4522>?07b6k:18'563=1=10qo::e;297?6=8r.:><4m;I31`>N6:<1/=>;5a:&;4?2cl2c=n7>5$016>23<3`348:0>=zj=?m6=4=:183!7593=37E?=d:J260=#9:?18<5+8187`a=n>h0;6)?<5;56?>i?l3:1(<=::868L45332wi8;>50;194?6|,88:6o5G13f8L4423-;897o4$9290ab34841>=n>j0;6)?<5;56?>i?l3:1(<=::868?xd3>80;6>4?:1y'577=1;1C=?j4H006?!74=33:7)6?:5fg?l0e290/=>;57498m3e=83.:?8485:9lt$002>g=O9;n0D<<:;%301?g<,1:18ij4i7`94?"6;<0<965f6b83>!74=3=>76a7d;29 45220>07pl;5`83>7<729q/=??5799K57b<@88>7)?<5;62?!>72=no7d8n:18'563=?<10c5j50;&270<><2B:?954}c66f?6=;3:1208a?M75l2B:>85+1279e>"?83>oh6g9b;29 4522>?07d8l:18'563=?<10c5j50;&270<><21vn9;l:180>5<7s-;9=77=;I31`>N6:<1/=>;5909'<5<3lm1b:o4?:%301?1232c=o7>5$016>23<3f2o6=4+1279=1=>87>53;294~"6:80i7E?=d:J260=#9:?1m6*70;6g`>o1j3:1(<=::678?l0d290/=>;57498k=b=83.:?8464:9~f13229096=4?{%315?1?3A;9h6F>249'563=<81/4=4;de9j2d<72-;8979:;:m;`?6=,89>64:4H017?>{e<<<1<7=50;2x 4462k1C=?j4H006?!74=3k0(5>54ef8m3d=83.:?8485:9j2f<72-;8979:;:m;`?6=,89>64:4;|`712<72:0;6=u+1339=7=O9;n0D<<:;%301??63-2;69jk;h4a>5<#9:?1;854i7a94?"6;<0<965`8e83>!74=33?76sm45d94?5=83:p(<<>:c9K57b<@88>7)?<5;c8 =6=5$016><2<3th?9=4?:383>5}#9;;1;55G13f8L4423-;897:>;%:3>1bc3`348:0>N6;=10qo::1;297?6=8r.:><4m;I31`>N6:<1/=>;5a:&;4?2cl2c=n7>5$016>23<3`348:0>=zj=?96=4<:183!7593397E?=d:J260=#9:?15<5+8187`a=n>k0;6)?<5;56?>o1k3:1(<=::678?j>c290/=>;59598yg23i3:1?7>50z&264249'563=i2.3<7:kd:k5f?6=,89>6:;4;h4`>5<#9:?1;854o9f94?"6;<02865rb56a>5<5290;w)?=1;5;?M75l2B:>85+127904=#090?hi5f6`83>!74=3=>76a7d;29 45220>0D<=;;:a01e=8391<7>t$002>g=O9;n0D<<:;%301?g<,1:18ij4i7`94?"6;<0<965f6b83>!74=3=>76a7d;29 45220>07pl;4e83>6<729q/=??5939K57b<@88>7)?<5;;2?!>72=no7d8m:18'563=?<10e;m50;&270<0=21d4i4?:%301??332wi8n850;094?6|,88:6:64H00g?M75=2.:?84;1:&;4?2cl2c=m7>5$016>23<3f2o6=4+1279=1=O9:>07pl;c683>7<729q/=??5799K57b<@88>7)?<5;62?!>72=no7d8n:18'563=?<10c5j50;&270<><2B:?954}c6`2084<>N6:m1C=?;4$016>17<,1:18ij4i7c94?"6;<0<965`8e83>!74=33?7E?<4:9~f1e>290>6=4?{%315?b<@88o7E?=5:&2705<#9:?1;854i7a94?"6;<0<965f6e83>!74=3=>76g9e;29 4522>?07b6k:18'563=1=10qo:k7;296?6=8r.:><488:J26a=O9;?0(<=::538 =6=5<5290;w)?=1;5;?M75l2B:>85+127904=#090?hi5f6`83>!74=3=>76a7d;29 45220>0D<=;;:a0a?=8381<7>t$002>2><@88o7E?=5:&270<392.3<7:kd:k5e?6=,89>6:;4;n:g>5<#9:?1595G1268?xd3lh0;684?:1y'577=l2B:>i5G1378 4522h1/4=4;de9j2g<72-;8979:;:k5g?6=,89>6:;4;h4g>5<#9:?1;854i7g94?"6;<0<965`8e83>!74=33?76sm38094?5=83:p(<<>:c9K57b<@88>7)?<5;c8 =6=5$016><2<3th85>4?:383>5}#9;;1;55G13f8L4423-;897:>;%:3>1bc3`348:0>N6;=10qo=64;296?6=8r.:><488:J26a=O9;?0(<=::538 =6=5<4290;w)?=1;`8L44c3A;996*>348b?!>72=no7d8m:18'563=?<10e;m50;&270<0=21d4i4?:%301??332wi?4850;194?6|,88:64<4H00g?M75=2.:?8461:&;4?2cl2c=n7>5$016>23<3`348:0>=zj:?i6=4<:183!7593h0D<"6;<0j7)6?:5fg?l0e290/=>;57498m3e=83.:?8485:9lt$002>2><@88o7E?=5:&270<392.3<7:kd:k5e?6=,89>6:;4;n:g>5<#9:?1595G1268?xd4=m0;6?4?:1y'577=?11C=?j4H006?!74=3>:7)6?:5fg?l0f290/=>;57498k=b=83.:?8464:J271=i7>53;294~"6:80i7E?=d:J260=#9:?1m6*70;6g`>o1j3:1(<=::678?l0d290/=>;57498k=b=83.:?8464:9~f63a29086=4?{%315??53A;9h6F>249'563=181/4=4;de9j2g<72-;8979:;:k5g?6=,89>6:;4;n:g>5<#9:?15954}c145?6=;3:1208a?M75l2B:>85+1279e>"?83>oh6g9b;29 4522>?07d8l:18'563=?<10c5j50;&270<><21vn>9=:181>5<7s-;9=797;I31`>N6:<1/=>;5409'<5<3lm1b:l4?:%301?1232e3h7>5$016><2<@89?76sm36194?5=83:p(<<>:c9K57b<@88>7)?<5;c8 =6=5$016><2<3th8;94?:283>5}#9;;15?5G13f8L4423-;8977>;%:3>1bc3`34841>=h0m0;6)?<5;;7?>{e;j;1<7=50;2x 4462k1C=?j4H006?!74=3k0(5>54ef8m3d=83.:?8485:9j2f<72-;8979:;:m;`?6=,89>64:4;|`0g7<72;0;6=u+13393==O9;n0D<<:;%301?263-2;69jk;h4b>5<#9:?1;854o9f94?"6;<0286F>3598yg5d;3:1?7>50z&264249'563=i2.3<7:kd:k5f?6=,89>6:;4;h4`>5<#9:?1;854o9f94?"6;<02865rb273>5<5290;w)?=1;5;?M75l2B:>85+127904=#090?hi5f6`83>!74=3=>76a7d;29 45220>0D<=;;:a707=8381<7>t$002>2><@88o7E?=5:&270<392.3<7:kd:k5e?6=,89>6:;4;n:g>5<#9:?1595G1268?xd4=;0;694?:1y'577=k2B:>i5G1378 4522h1/4=4;de9j2g<72-;8979:;:k5g?6=,89>6:;4;h4g>5<#9:?1;854o9f94?"6;<02865rb33;>5<4290;w)?=1;;1?M75l2B:>85+1279=4=#090?hi5f6c83>!74=3=>76g9c;29 4522>?07b6k:18'563=1=10qo<>9;297?6=8r.:><4m;I31`>N6:<1/=>;5a:&;4?2cl2c=n7>5$016>23<3`348:0>=zj8om6=4=:183!7593=37E?=d:J260=#9:?18<5+8187`a=n>h0;6)?<5;56?>i?l3:1(<=::868L45332wi=k>50;094?6|,88:6:64H00g?M75=2.:?84;1:&;4?2cl2c=m7>5$016>23<3f2o6=4+1279=1=O9:>07pl>f083>7<729q/=??5799K57b<@88>7)?<5;62?!>72=no7d8n:18'563=?<10c5j50;&270<><2B:?954}c3e6?6==3:1208g?M75l2B:>85+1279e>"?83>oh6g9b;29 4522>?07d8l:18'563=?<10e;j50;&270<0=21b:h4?:%301?1232e3h7>5$016><2<3th:on4?:383>5}#9;;1;55G13f8L4423-;897:>;%:3>1bc3`348:0>N6;=10qo?ld;296?6=8r.:><488:J26a=O9;?0(<=::538 =6=5<4290;w)?=1;`8L44c3A;996*>348b?!>72=no7d8m:18'563=?<10e;m50;&270<0=21d4i4?:%301??332wi=nh50;194?6|,88:6o5G13f8L4423-;897o4$9290ab34841>=n>j0;6)?<5;56?>i?l3:1(<=::868?xd6l90;6>4?:1y'577=1;1C=?j4H006?!74=33:7)6?:5fg?l0e290/=>;57498m3e=83.:?8485:9lt$002>g=O9;n0D<<:;%301?g<,1:18ij4i7`94?"6;<0<965f6b83>!74=3=>76a7d;29 45220>07pl>c683>7<729q/=??5799K57b<@88>7)?<5;62?!>72=no7d8n:18'563=?<10c5j50;&270<><2B:?954}c3`208a?M75l2B:>85+1279e>"?83>oh6g9b;29 4522>?07d8l:18'563=?<10c5j50;&270<><21vn5<7s-;9=77=;I31`>N6:<1/=>;5909'<5<3lm1b:o4?:%301?1232c=o7>5$016>23<3f2o6=4+1279=1=7>53;294~"6:80i7E?=d:J260=#9:?1m6*70;6g`>o1j3:1(<=::678?l0d290/=>;57498k=b=83.:?8464:9~f66429086=4?{%315?d<@88o7E?=5:&2705<#9:?1;854i7a94?"6;<0<965`8e83>!74=33?76sm31694?4=83:p(<<>:6:8L44c3A;996*>34875>"?83>oh6g9a;29 4522>?07b6k:18'563=1=1C=>:4;|`040<72;0;6=u+13393==O9;n0D<<:;%301?263-2;69jk;h4b>5<#9:?1;854o9f94?"6;<0286F>3598yg57>3:1>7>50z&264<002B:>i5G1378 4522=;0(5>54ef8m3g=83.:?8485:9l=zj::<6=4<:183!7593h0D<"6;<0j7)6?:5fg?l0e290/=>;57498m3e=83.:?8485:9l=8391<7>t$002><4<@88o7E?=5:&270<>92.3<7:kd:k5f?6=,89>6:;4;h4`>5<#9:?1;854o9f94?"6;<02865rb22:>5<3290;w)?=1;a8L44c3A;996*>348b?!>72=no7d8m:18'563=?<10e;m50;&270<0=21b:i4?:%301?1232e3h7>5$016><2<3th85}#9;;15?5G13f8L4423-;8977>;%:3>1bc3`34841>=h0m0;6)?<5;;7?>{e:o>1<7<50;2x 4462>20D<"6;<0?=6*70;6g`>o1i3:1(<=::678?j>c290/=>;5959K562<3th9j54?:383>5}#9;;1;55G13f8L4423-;897:>;%:3>1bc3`348:0>N6;=10qo<488:J26a=O9;?0(<=::538 =6=5<5290;w)?=1;5;?M75l2B:>85+127904=#090?hi5f6`83>!74=3=>76a7d;29 45220>0D<=;;:a6cd=8381<7>t$002>2><@88o7E?=5:&270<392.3<7:kd:k5e?6=,89>6:;4;n:g>5<#9:?1595G1268?xd5nj0;6?4?:1y'577=?11C=?j4H006?!74=3>:7)6?:5fg?l0f290/=>;57498k=b=83.:?8464:J271=52;294~"6:80<46F>2e9K573<,89>69?4$9290ab34841>=h0m0;6)?<5;;7?M74<21vn?hj:186>5<7s-;9=7j4H00g?M75=2.:?84n;%:3>1bc3`34841>=n>m0;6)?<5;56?>o1m3:1(<=::678?j>c290/=>;59598yg4an3:1?7>50z&264249'563=i2.3<7:kd:k5f?6=,89>6:;4;h4`>5<#9:?1;854o9f94?"6;<02865rb3d6>5<3290;w)?=1;;0?M75l2B:>85+1279=4=#090?hi5f6c83>!74=3=>76g9c;29 4522>?07d8k:18'563=?<10c5j50;&270<><21vn?h9:180>5<7s-;9=7l4H00g?M75=2.:?84n;%:3>1bc3`34841>=h0m0;6)?<5;;7?>{e:o=1<7=50;2x 4462080D<"6;<02=6*70;6g`>o1j3:1(<=::678?l0d290/=>;57498k=b=83.:?8464:9~f7cb29086=4?{%315?d<@88o7E?=5:&2705<#9:?1;854i7a94?"6;<0<965`8e83>!74=33?76sm2dd94?4=83:p(<<>:6:8L44c3A;996*>34875>"?83>oh6g9a;29 4522>?07b6k:18'563=1=1C=>:4;|`1b5<72:0;6=u+1339f>N6:m1C=?;4$016>d=#090?hi5f6c83>!74=3=>76g9c;29 4522>?07b6k:18'563=1=10qo<462:J26a=O9;?0(<=::838 =6=5$016><2<3th8ml4?:383>5}#9;;1;55G13f8L4423-;897:>;%:3>1bc3`348:0>N6;=10qo=nf;297?6=8r.:><462:J26a=O9;?0(<=::838 =6=5$016><2<3th8n=4?:283>5}#9;;1n6F>2e9K573<,89>6l5+8187`a=n>k0;6)?<5;56?>o1k3:1(<=::678?j>c290/=>;59598yg5e93:1>7>50z&264<002B:>i5G1378 4522=;0(5>54ef8m3g=83.:?8485:9l=zj:h96=4=:183!7593=37E?=d:J260=#9:?18<5+8187`a=n>h0;6)?<5;56?>i?l3:1(<=::868L45332wi?o=50;194?6|,88:6o5G13f8L4423-;897o4$9290ab34841>=n>j0;6)?<5;56?>i?l3:1(<=::868?xd4j=0;6?4?:1y'577=?11C=?j4H006?!74=3>:7)6?:5fg?l0f290/=>;57498k=b=83.:?8464:J271=53;294~"6:80i7E?=d:J260=#9:?1m6*70;6g`>o1j3:1(<=::678?l0d290/=>;57498k=b=83.:?8464:9~f6d129086=4?{%315?d<@88o7E?=5:&2705<#9:?1;854i7a94?"6;<0<965`8e83>!74=33?76sm3``94?5=83:p(<<>:c9K57b<@88>7)?<5;c8 =6=5$016><2<3th8mn4?:283>5}#9;;15?5G13f8L4423-;8977>;%:3>1bc3`34841>=h0m0;6)?<5;;7?>{e;hn1<7=50;2x 4462k1C=?j4H006?!74=3k0(5>54ef8m3d=83.:?8485:9j2f<72-;8979:;:m;`?6=,89>64:4;|`0e`<72=0;6=u+1339=6=O9;n0D<<:;%301??63-2;69jk;h4a>5<#9:?1;854i7a94?"6;<0<965f6e83>!74=3=>76a7d;29 45220>07pl6<729q/=??5b:J26a=O9;?0(<=::`9'<5<3lm1b:o4?:%301?1232c=o7>5$016>23<3f2o6=4+1279=1=53;294~"6:802>6F>2e9K573<,89>64?4$9290ab34841>=n>j0;6)?<5;56?>i?l3:1(<=::868?xd3i90;6?4?:1y'577=?11C=?j4H006?!74=3>:7)6?:5fg?l0f290/=>;57498k=b=83.:?8464:J271=j87>53;294~"6:80i7E?=d:J260=#9:?1m6*70;6g`>o1j3:1(<=::678?l0d290/=>;57498k=b=83.:?8464:9~f1g229096=4?{%315?1?3A;9h6F>249'563=<81/4=4;de9j2d<72-;8979:;:m;`?6=,89>64:4H017?>{e"6;<02=6*70;6g`>o1j3:1(<=::678?l0d290/=>;57498k=b=83.:?8464:9~f1g029096=4?{%315?1?3A;9h6F>249'563=<81/4=4;de9j2d<72-;8979:;:m;`?6=,89>64:4H017?>{e20D<"6;<0?=6*70;6g`>o1i3:1(<=::678?j>c290/=>;5959K562<3th?m44?:283>5}#9;;1n6F>2e9K573<,89>6l5+8187`a=n>k0;6)?<5;56?>o1k3:1(<=::678?j>c290/=>;59598yg2fi3:1?7>50z&264249'563=i2.3<7:kd:k5f?6=,89>6:;4;h4`>5<#9:?1;854o9f94?"6;<02865rb5ca>5<3290;w)?=1;a8L44c3A;996*>348b?!>72=no7d8m:18'563=?<10e;m50;&270<0=21b:i4?:%301?1232e3h7>5$016><2<3th?m<4?:283>5}#9;;15?5G13f8L4423-;8977>;%:3>1bc3`34841>=h0m0;6)?<5;;7?>{e54ef8m3d=83.:?8485:9j2f<72-;8979:;:k5`?6=,89>6:;4;n:g>5<#9:?15954}c6b7?6=;3:1208:6>N6:m1C=?;4$016><7<,1:18ij4i7`94?"6;<0<965f6b83>!74=3=>76a7d;29 45220>07pl;8g83>7<729q/=??5799K57b<@88>7)?<5;62?!>72=no7d8n:18'563=?<10c5j50;&270<><2B:?954}c6:2?6=:3:12084<>N6:m1C=?;4$016>17<,1:18ij4i7c94?"6;<0<965`8e83>!74=33?7E?<4:9~f1?029086=4?{%315?d<@88o7E?=5:&2705<#9:?1;854i7a94?"6;<0<965`8e83>!74=33?76sm48:94?4=83:p(<<>:6:8L44c3A;996*>34875>"?83>oh6g9a;29 4522>?07b6k:18'563=1=1C=>:4;|`7=<<72;0;6=u+13393==O9;n0D<<:;%301?263-2;69jk;h4b>5<#9:?1;854o9f94?"6;<0286F>3598yg2>i3:1>7>50z&264<002B:>i5G1378 4522=;0(5>54ef8m3g=83.:?8485:9l=zj=3i6=4<:183!7593h0D<"6;<0j7)6?:5fg?l0e290/=>;57498m3e=83.:?8485:9lt$002>g=O9;n0D<<:;%301?g<,1:18ij4i7`94?"6;<0<965f6b83>!74=3=>76a7d;29 45220>07pl;9e83>6<729q/=??5939K57b<@88>7)?<5;;2?!>72=no7d8m:18'563=?<10e;m50;&270<0=21d4i4?:%301??332wi84>50;194?6|,88:64<4H00g?M75=2.:?8461:&;4?2cl2c=n7>5$016>23<3`348:0>=zj=3:6=4<:183!7593h0D<"6;<0j7)6?:5fg?l0e290/=>;57498m3e=83.:?8485:9lt$002>g=O9;n0D<<:;%301?g<,1:18ij4i7`94?"6;<0<965f6b83>!74=3=>76a7d;29 45220>07pl;9283>6<729q/=??5939K57b<@88>7)?<5;;2?!>72=no7d8m:18'563=?<10e;m50;&270<0=21d4i4?:%301??332wi84:50;194?6|,88:6o5G13f8L4423-;897o4$9290ab34841>=n>j0;6)?<5;56?>i?l3:1(<=::868?xd31<0;6>4?:1y'577=1;1C=?j4H006?!74=33:7)6?:5fg?l0e290/=>;57498m3e=83.:?8485:9lt$002>g=O9;n0D<<:;%301?g<,1:18ij4i7`94?"6;<0<965f6b83>!74=3=>76a7d;29 45220>07pl;8483>7<729q/=??5799K57b<@88>7)?<5;62?!>72=no7d8n:18'563=?<10c5j50;&270<><2B:?954}c6;2?6=;3:1208a?M75l2B:>85+1279e>"?83>oh6g9b;29 4522>?07d8l:18'563=?<10c5j50;&270<><21vn968:181>5<7s-;9=797;I31`>N6:<1/=>;5409'<5<3lm1b:l4?:%301?1232e3h7>5$016><2<@89?76sm49:94?4=83:p(<<>:6:8L44c3A;996*>34875>"?83>oh6g9a;29 4522>?07b6k:18'563=1=1C=>:4;|`7<<<72;0;6=u+13393==O9;n0D<<:;%301?263-2;69jk;h4b>5<#9:?1;854o9f94?"6;<0286F>3598yg2?i3:1>7>50z&264<002B:>i5G1378 4522=;0(5>54ef8m3g=83.:?8485:9l=zj=2i6=4<:183!7593h0D<"6;<0j7)6?:5fg?l0e290/=>;57498m3e=83.:?8485:9lt$002>g=O9;n0D<<:;%301?g<,1:18ij4i7`94?"6;<0<965f6b83>!74=3=>76a7d;29 45220>07pl;8183>0<729q/=??5d:J26a=O9;?0(<=::`9'<5<3lm1b:o4?:%301?1232c=o7>5$016>23<3`34841>=h0m0;6)?<5;;7?>{e<1;1<7=50;2x 4462080D<"6;<02=6*70;6g`>o1j3:1(<=::678?l0d290/=>;57498k=b=83.:?8464:9~f1>529086=4?{%315??53A;9h6F>249'563=181/4=4;de9j2g<72-;8979:;:k5g?6=,89>6:;4;n:g>5<#9:?15954}c6;7?6=;3:1208a?M75l2B:>85+1279e>"?83>oh6g9b;29 4522>?07d8l:18'563=?<10c5j50;&270<><21vn96;:180>5<7s-;9=77=;I31`>N6:<1/=>;5909'<5<3lm1b:o4?:%301?1232c=o7>5$016>23<3f2o6=4+1279=1=54;294~"6:80h7E?=d:J260=#9:?1m6*70;6g`>o1j3:1(<=::678?l0d290/=>;57498m3b=83.:?8485:9l=8381<7>t$002>2><@88o7E?=5:&270<392.3<7:kd:k5e?6=,89>6:;4;n:g>5<#9:?1595G1268?xd5j00;6?4?:1y'577=?11C=?j4H006?!74=3>:7)6?:5fg?l0f290/=>;57498k=b=83.:?8464:J271=52;294~"6:80<46F>2e9K573<,89>69?4$9290ab34841>=h0m0;6)?<5;;7?M74<21vn?lm:181>5<7s-;9=797;I31`>N6:<1/=>;5409'<5<3lm1b:l4?:%301?1232e3h7>5$016><2<@89?76sm2ca94?4=83:p(<<>:6:8L44c3A;996*>34875>"?83>oh6g9a;29 4522>?07b6k:18'563=1=1C=>:4;|`1fa<72=0;6=u+1339g>N6:m1C=?;4$016>d=#090?hi5f6c83>!74=3=>76g9c;29 4522>?07d8k:18'563=?<10c5j50;&270<><21vn?lj:180>5<7s-;9=77=;I31`>N6:<1/=>;5909'<5<3lm1b:o4?:%301?1232c=o7>5$016>23<3f2o6=4+1279=1=54;294~"6:80h7E?=d:J260=#9:?1m6*70;6g`>o1j3:1(<=::678?l0d290/=>;57498m3b=83.:?8485:9lt$002><4<@88o7E?=5:&270<>92.3<7:kd:k5f?6=,89>6:;4;h4`>5<#9:?1;854o9f94?"6;<02865rb351>5<5290;w)?=1;5;?M75l2B:>85+127904=#090?hi5f6`83>!74=3=>76a7d;29 45220>0D<=;;:a625=8391<7>t$002>g=O9;n0D<<:;%301?g<,1:18ij4i7`94?"6;<0<965f6b83>!74=3=>76a7d;29 45220>07pl=7583>6<729q/=??5939K57b<@88>7)?<5;;2?!>72=no7d8m:18'563=?<10e;m50;&270<0=21d4i4?:%301??332wi=h?50;194?6|,88:6o5G13f8L4423-;897o4$9290ab34841>=n>j0;6)?<5;56?>i?l3:1(<=::868?xd6m;0;6?4?:1y'577=?11C=?j4H006?!74=3>:7)6?:5fg?l0f290/=>;57498k=b=83.:?8464:J271=52;294~"6:80<46F>2e9K573<,89>69?4$9290ab34841>=h0m0;6)?<5;;7?M74<21vn5<7s-;9=797;I31`>N6:<1/=>;5409'<5<3lm1b:l4?:%301?1232e3h7>5$016><2<@89?76sm1d794?4=83:p(<<>:6:8L44c3A;996*>34875>"?83>oh6g9a;29 4522>?07b6k:18'563=1=1C=>:4;|`2a3<72:0;6=u+1339f>N6:m1C=?;4$016>d=#090?hi5f6c83>!74=3=>76g9c;29 4522>?07b6k:18'563=1=10qo?j7;291?6=8r.:><4k;I31`>N6:<1/=>;5a:&;4?2cl2c=n7>5$016>23<3`34841>=n>l0;6)?<5;56?>i?l3:1(<=::868?xd6m10;6>4?:1y'577=1;1C=?j4H006?!74=33:7)6?:5fg?l0e290/=>;57498m3e=83.:?8485:9lt$002><4<@88o7E?=5:&270<>92.3<7:kd:k5f?6=,89>6:;4;h4`>5<#9:?1;854o9f94?"6;<02865rb0f;>5<5290;w)?=1;5;?M75l2B:>85+127904=#090?hi5f6`83>!74=3=>76a7d;29 45220>0D<=;;:a5a?=8381<7>t$002>2><@88o7E?=5:&270<392.3<7:kd:k5e?6=,89>6:;4;n:g>5<#9:?1595G1268?xd6lh0;6?4?:1y'577=?11C=?j4H006?!74=3>:7)6?:5fg?l0f290/=>;57498k=b=83.:?8464:J271=52;294~"6:80<46F>2e9K573<,89>69?4$9290ab34841>=h0m0;6)?<5;;7?M74<21vn5<7s-;9=7l4H00g?M75=2.:?84n;%:3>1bc3`34841>=h0m0;6)?<5;;7?>{e9mn1<7;50;2x 4462m1C=?j4H006?!74=3k0(5>54ef8m3d=83.:?8485:9j2f<72-;8979:;:k5`?6=,89>6:;4;h4f>5<#9:?1;854o9f94?"6;<02865rb0ff>5<4290;w)?=1;;1?M75l2B:>85+1279=4=#090?hi5f6c83>!74=3=>76g9c;29 4522>?07b6k:18'563=1=10qo<>0;297?6=8r.:><4m;I31`>N6:<1/=>;5a:&;4?2cl2c=n7>5$016>23<3`348:0>=zj;;:6=4=:183!7593=37E?=d:J260=#9:?18<5+8187`a=n>h0;6)?<5;56?>i?l3:1(<=::868L45332wi><<50;194?6|,88:6o5G13f8L4423-;897o4$9290ab34841>=n>j0;6)?<5;56?>i?l3:1(<=::868?xd59:0;6>4?:1y'577=1;1C=?j4H006?!74=33:7)6?:5fg?l0e290/=>;57498m3e=83.:?8485:9lt$002>g=O9;n0D<<:;%301?g<,1:18ij4i7`94?"6;<0<965f6b83>!74=3=>76a7d;29 45220>07pl=1483>6<729q/=??5939K57b<@88>7)?<5;;2?!>72=no7d8m:18'563=?<10e;m50;&270<0=21d4i4?:%301??332wi>4?50;094?6|,88:6:64H00g?M75=2.:?84;1:&;4?2cl2c=m7>5$016>23<3f2o6=4+1279=1=O9:>07pl=9383>7<729q/=??5799K57b<@88>7)?<5;62?!>72=no7d8n:18'563=?<10c5j50;&270<><2B:?954}c0:7?6=;3:1208a?M75l2B:>85+1279e>"?83>oh6g9b;29 4522>?07d8l:18'563=?<10c5j50;&270<><21vn?7;:180>5<7s-;9=77=;I31`>N6:<1/=>;5909'<5<3lm1b:o4?:%301?1232c=o7>5$016>23<3f2o6=4+1279=1=52;294~"6:80<46F>2e9K573<,89>69?4$9290ab34841>=h0m0;6)?<5;;7?M74<21vn?k<:181>5<7s-;9=797;I31`>N6:<1/=>;5409'<5<3lm1b:l4?:%301?1232e3h7>5$016><2<@89?76sm2d694?4=83:p(<<>:6:8L44c3A;996*>34875>"?83>oh6g9a;29 4522>?07b6k:18'563=1=1C=>:4;|`1a0<72:0;6=u+1339f>N6:m1C=?;4$016>d=#090?hi5f6c83>!74=3=>76g9c;29 4522>?07b6k:18'563=1=10qo<488:J26a=O9;?0(<=::538 =6=5<5290;w)?=1;5;?M75l2B:>85+127904=#090?hi5f6`83>!74=3=>76a7d;29 45220>0D<=;;:a6`>=8391<7>t$002><4<@88o7E?=5:&270<>92.3<7:kd:k5f?6=,89>6:;4;h4`>5<#9:?1;854o9f94?"6;<02865rb3g:>5<4290;w)?=1;`8L44c3A;996*>348b?!>72=no7d8m:18'563=?<10e;m50;&270<0=21d4i4?:%301??332wi>ho50;194?6|,88:6o5G13f8L4423-;897o4$9290ab34841>=n>j0;6)?<5;56?>i?l3:1(<=::868?xd5ll0;6>4?:1y'577=j2B:>i5G1378 4522h1/4=4;de9j2g<72-;8979:;:k5g?6=,89>6:;4;n:g>5<#9:?15954}c0gb?6=<3:1208`?M75l2B:>85+1279e>"?83>oh6g9b;29 4522>?07d8l:18'563=?<10e;j50;&270<0=21d4i4?:%301??332wi>h>50;694?6|,88:64=4H00g?M75=2.:?8461:&;4?2cl2c=n7>5$016>23<3`34841>=h0m0;6)?<5;;7?>{e:l;1<7=50;2x 4462k1C=?j4H006?!74=3k0(5>54ef8m3d=83.:?8485:9j2f<72-;8979:;:m;`?6=,89>64:4;|`1a7<72:0;6=u+1339=7=O9;n0D<<:;%301??63-2;69jk;h4a>5<#9:?1;854i7a94?"6;<0<965`8e83>!74=33?76sm2e694?4=83:p(<<>:6:8L44c3A;996*>34875>"?83>oh6g9a;29 4522>?07b6k:18'563=1=1C=>:4;|`1`0<72:0;6=u+1339=7=O9;n0D<<:;%301??63-2;69jk;h4a>5<#9:?1;854i7a94?"6;<0<965`8e83>!74=33?76sm2e494?4=83:p(<<>:6:8L44c3A;996*>34875>"?83>oh6g9a;29 4522>?07b6k:18'563=1=1C=>:4;|`1`2<72:0;6=u+1339=7=O9;n0D<<:;%301??63-2;69jk;h4a>5<#9:?1;854i7a94?"6;<0<965`8e83>!74=33?76sm2e:94?5=83:p(<<>:c9K57b<@88>7)?<5;c8 =6=5$016><2<3th9h44?:283>5}#9;;1n6F>2e9K573<,89>6l5+8187`a=n>k0;6)?<5;56?>o1k3:1(<=::678?j>c290/=>;59598yg4ci3:1?7>50z&264<>:2B:>i5G1378 45220;0(5>54ef8m3d=83.:?8485:9j2f<72-;8979:;:m;`?6=,89>64:4;|`170<72;0;6=u+13393==O9;n0D<<:;%301?263-2;69jk;h4b>5<#9:?1;854o9f94?"6;<0286F>3598yg44>3:1?7>50z&264249'563=i2.3<7:kd:k5f?6=,89>6:;4;h4`>5<#9:?1;854o9f94?"6;<02865rb314>5<5290;w)?=1;5;?M75l2B:>85+127904=#090?hi5f6`83>!74=3=>76a7d;29 45220>0D<=;;:a66>=8381<7>t$002>2><@88o7E?=5:&270<392.3<7:kd:k5e?6=,89>6:;4;n:g>5<#9:?1595G1268?xd5;00;6>4?:1y'577=j2B:>i5G1378 4522h1/4=4;de9j2g<72-;8979:;:k5g?6=,89>6:;4;n:g>5<#9:?15954}c00e?6=;3:1208a?M75l2B:>85+1279e>"?83>oh6g9b;29 4522>?07d8l:18'563=?<10c5j50;&270<><21vn?=m:180>5<7s-;9=77=;I31`>N6:<1/=>;5909'<5<3lm1b:o4?:%301?1232c=o7>5$016>23<3f2o6=4+1279=1=53;294~"6:80i7E?=d:J260=#9:?1m6*70;6g`>o1j3:1(<=::678?l0d290/=>;57498k=b=83.:?8464:9~f15329096=4?{%315?1?3A;9h6F>249'563=<81/4=4;de9j2d<72-;8979:;:m;`?6=,89>64:4H017?>{e<:l1<7=50;2x 4462k1C=?j4H006?!74=3k0(5>54ef8m3d=83.:?8485:9j2f<72-;8979:;:m;`?6=,89>64:4;|`707<72;0;6=u+13393==O9;n0D<<:;%301?263-2;69jk;h4b>5<#9:?1;854o9f94?"6;<0286F>3598yg23;3:1>7>50z&264<002B:>i5G1378 4522=;0(5>54ef8m3g=83.:?8485:9l=zj=>?6=4=:183!7593=37E?=d:J260=#9:?18<5+8187`a=n>h0;6)?<5;56?>i?l3:1(<=::868L45332wi89;50;094?6|,88:6:64H00g?M75=2.:?84;1:&;4?2cl2c=m7>5$016>23<3f2o6=4+1279=1=O9:>07pl;4783>7<729q/=??5799K57b<@88>7)?<5;62?!>72=no7d8n:18'563=?<10c5j50;&270<><2B:?954}c673?6=:3:12084<>N6:m1C=?;4$016>17<,1:18ij4i7c94?"6;<0<965`8e83>!74=33?7E?<4:9~f12?29096=4?{%315?1?3A;9h6F>249'563=<81/4=4;de9j2d<72-;8979:;:m;`?6=,89>64:4H017?>{e<:?1<7=50;2x 4462k1C=?j4H006?!74=3k0(5>54ef8m3d=83.:?8485:9j2f<72-;8979:;:m;`?6=,89>64:4;|`773<72=0;6=u+1339g>N6:m1C=?;4$016>d=#090?hi5f6c83>!74=3=>76g9c;29 4522>?07d8k:18'563=?<10c5j50;&270<><21vn9=8:180>5<7s-;9=7l4H00g?M75=2.:?84n;%:3>1bc3`34841>=h0m0;6)?<5;;7?>{e<:21<7:50;2x 4462j1C=?j4H006?!74=3k0(5>54ef8m3d=83.:?8485:9j2f<72-;8979:;:k5`?6=,89>6:;4;n:g>5<#9:?15954}c60=?6=;3:1208:6>N6:m1C=?;4$016><7<,1:18ij4i7`94?"6;<0<965f6b83>!74=3=>76a7d;29 45220>07pl;3`83>6<729q/=??5939K57b<@88>7)?<5;;2?!>72=no7d8m:18'563=?<10e;m50;&270<0=21d4i4?:%301??332wi8>l50;194?6|,88:6o5G13f8L4423-;897o4$9290ab34841>=n>j0;6)?<5;56?>i?l3:1(<=::868?xd3;j0;6>4?:1y'577=1;1C=?j4H006?!74=33:7)6?:5fg?l0e290/=>;57498m3e=83.:?8485:9lt$002>g=O9;n0D<<:;%301?g<,1:18ij4i7`94?"6;<0<965f6b83>!74=3=>76a7d;29 45220>07pl;3d83>6<729q/=??5939K57b<@88>7)?<5;;2?!>72=no7d8m:18'563=?<10e;m50;&270<0=21d4i4?:%301??332wi89>50;194?6|,88:6o5G13f8L4423-;897o4$9290ab34841>=n>j0;6)?<5;56?>i?l3:1(<=::868?xd3<80;6>4?:1y'577=1;1C=?j4H006?!74=33:7)6?:5fg?l0e290/=>;57498m3e=83.:?8485:9lt$002><4<@88o7E?=5:&270<>92.3<7:kd:k5f?6=,89>6:;4;h4`>5<#9:?1;854o9f94?"6;<02865rb535>5<4290;w)?=1;`8L44c3A;996*>348b?!>72=no7d8m:18'563=?<10e;m50;&270<0=21d4i4?:%301??332wi8<950;094?6|,88:6:64H00g?M75=2.:?84;1:&;4?2cl2c=m7>5$016>23<3f2o6=4+1279=1=O9:>07pl;1983>7<729q/=??5799K57b<@88>7)?<5;62?!>72=no7d8n:18'563=?<10c5j50;&270<><2B:?954}c62=?6=:3:12084<>N6:m1C=?;4$016>17<,1:18ij4i7c94?"6;<0<965`8e83>!74=33?7E?<4:9~f17f29096=4?{%315?1?3A;9h6F>249'563=<81/4=4;de9j2d<72-;8979:;:m;`?6=,89>64:4H017?>{e<8h1<7<50;2x 4462>20D<"6;<0?=6*70;6g`>o1i3:1(<=::678?j>c290/=>;5959K562<3th?=n4?:383>5}#9;;1;55G13f8L4423-;897:>;%:3>1bc3`348:0>N6;=10qo:>d;296?6=8r.:><488:J26a=O9;?0(<=::538 =6=5<4290;w)?=1;;1?M75l2B:>85+1279=4=#090?hi5f6c83>!74=3=>76g9c;29 4522>?07b6k:18'563=1=10qo:?f;291?6=8r.:><4k;I31`>N6:<1/=>;5a:&;4?2cl2c=n7>5$016>23<3`34841>=n>l0;6)?<5;56?>i?l3:1(<=::868?xd3990;6>4?:1y'577=j2B:>i5G1378 4522h1/4=4;de9j2g<72-;8979:;:k5g?6=,89>6:;4;n:g>5<#9:?15954}c625?6=;3:1208:6>N6:m1C=?;4$016><7<,1:18ij4i7`94?"6;<0<965f6b83>!74=3=>76a7d;29 45220>07pl;1383>6<729q/=??5b:J26a=O9;?0(<=::`9'<5<3lm1b:o4?:%301?1232c=o7>5$016>23<3f2o6=4+1279=1=:?7>53;294~"6:802>6F>2e9K573<,89>64?4$9290ab34841>=n>j0;6)?<5;56?>i?l3:1(<=::868?xd39=0;6>4?:1y'577=j2B:>i5G1378 4522h1/4=4;de9j2g<72-;8979:;:k5g?6=,89>6:;4;n:g>5<#9:?15954}c621?6=;3:1208:6>N6:m1C=?;4$016><7<,1:18ij4i7`94?"6;<0<965f6b83>!74=3=>76a7d;29 45220>07pl;2183>7<729q/=??5799K57b<@88>7)?<5;62?!>72=no7d8n:18'563=?<10c5j50;&270<><2B:?954}c613?6=:3:12084<>N6:m1C=?;4$016>17<,1:18ij4i7c94?"6;<0<965`8e83>!74=33?7E?<4:9~f14?29096=4?{%315?1?3A;9h6F>249'563=<81/4=4;de9j2d<72-;8979:;:m;`?6=,89>64:4H017?>{e<;31<7<50;2x 4462>20D<"6;<0?=6*70;6g`>o1i3:1(<=::678?j>c290/=>;5959K562<3th?>l4?:383>5}#9;;1;55G13f8L4423-;897:>;%:3>1bc3`348:0>N6;=10qo:=b;296?6=8r.:><488:J26a=O9;?0(<=::538 =6=5<5290;w)?=1;5;?M75l2B:>85+127904=#090?hi5f6`83>!74=3=>76a7d;29 45220>0D<=;;:a07b=8391<7>t$002>g=O9;n0D<<:;%301?g<,1:18ij4i7`94?"6;<0<965f6b83>!74=3=>76a7d;29 45220>07pl;2d83>6<729q/=??5939K57b<@88>7)?<5;;2?!>72=no7d8m:18'563=?<10e;m50;&270<0=21d4i4?:%301??332wi8??50;194?6|,88:6o5G13f8L4423-;897o4$9290ab34841>=n>j0;6)?<5;56?>i?l3:1(<=::868?xd3:;0;6>4?:1y'577=1;1C=?j4H006?!74=33:7)6?:5fg?l0e290/=>;57498m3e=83.:?8485:9lt$002>g=O9;n0D<<:;%301?g<,1:18ij4i7`94?"6;<0<965f6b83>!74=3=>76a7d;29 45220>07pl;2583>6<729q/=??5939K57b<@88>7)?<5;;2?!>72=no7d8m:18'563=?<10e;m50;&270<0=21d4i4?:%301??332wi8?;50;194?6|,88:64<4H00g?M75=2.:?8461:&;4?2cl2c=n7>5$016>23<3`348:0>=zj=8=6=4;:183!7593i0D<"6;<0j7)6?:5fg?l0e290/=>;57498m3e=83.:?8485:9j2a<72-;8979:;:m;`?6=,89>64:4;|`1g7<72;0;6=u+13393==O9;n0D<<:;%301?263-2;69jk;h4b>5<#9:?1;854o9f94?"6;<0286F>3598yg4d;3:1>7>50z&264<002B:>i5G1378 4522=;0(5>54ef8m3g=83.:?8485:9l=zj;i?6=4=:183!7593=37E?=d:J260=#9:?18<5+8187`a=n>h0;6)?<5;56?>i?l3:1(<=::868L45332wi>n;50;094?6|,88:6:64H00g?M75=2.:?84;1:&;4?2cl2c=m7>5$016>23<3f2o6=4+1279=1=O9:>07pl=c783>7<729q/=??5799K57b<@88>7)?<5;62?!>72=no7d8n:18'563=?<10c5j50;&270<><2B:?954}c0`3?6=?3:1208e?M75l2B:>85+1279e>"?83>oh6g9b;29 4522>?07d8l:18'563=?<10e;j50;&270<0=21b:h4?:%301?1232c=j7>5$016>23<3`=;6=4+127930=348:0>=zj:936=4=:183!7593=37E?=d:J260=#9:?18<5+8187`a=n>h0;6)?<5;56?>i?l3:1(<=::868L45332wi?>750;094?6|,88:6:64H00g?M75=2.:?84;1:&;4?2cl2c=m7>5$016>23<3f2o6=4+1279=1=O9:>07pl<3`83>7<729q/=??5799K57b<@88>7)?<5;62?!>72=no7d8n:18'563=?<10c5j50;&270<><2B:?954}c10f?6=:3:12084<>N6:m1C=?;4$016>17<,1:18ij4i7c94?"6;<0<965`8e83>!74=33?7E?<4:9~f65d29096=4?{%315?1?3A;9h6F>249'563=<81/4=4;de9j2d<72-;8979:;:m;`?6=,89>64:4H017?>{e;:n1<7850;2x 4462l1C=?j4H006?!74=3k0(5>54ef8m3d=83.:?8485:9j2f<72-;8979:;:k5`?6=,89>6:;4;h4f>5<#9:?1;854i7d94?"6;<0<965`8e83>!74=33?76sm32g94?5=83:p(<<>:808L44c3A;996*>348:5>"?83>oh6g9b;29 4522>?07d8l:18'563=?<10c5j50;&270<><21vn>:?:186>5<7s-;9=7j4H00g?M75=2.:?84n;%:3>1bc3`34841>=n>m0;6)?<5;56?>o1m3:1(<=::678?j>c290/=>;59598yg5393:1?7>50z&264249'563=i2.3<7:kd:k5f?6=,89>6:;4;h4`>5<#9:?1;854o9f94?"6;<02865rb261>5<5290;w)?=1;5;?M75l2B:>85+127904=#090?hi5f6`83>!74=3=>76a7d;29 45220>0D<=;;:a715=8381<7>t$002>2><@88o7E?=5:&270<392.3<7:kd:k5e?6=,89>6:;4;n:g>5<#9:?1595G1268?xd4<=0;6>4?:1y'577=j2B:>i5G1378 4522h1/4=4;de9j2g<72-;8979:;:k5g?6=,89>6:;4;n:g>5<#9:?15954}c171?6=;3:1208a?M75l2B:>85+1279e>"?83>oh6g9b;29 4522>?07d8l:18'563=?<10c5j50;&270<><21vn>:9:180>5<7s-;9=7l4H00g?M75=2.:?84n;%:3>1bc3`34841>=h0m0;6)?<5;;7?>{e20D<"6;<0?=6*70;6g`>o1i3:1(<=::678?j>c290/=>;5959K562<3th?n?4?:383>5}#9;;1;55G13f8L4423-;897:>;%:3>1bc3`348:0>N6;=10qo:m3;297?6=8r.:><4m;I31`>N6:<1/=>;5a:&;4?2cl2c=n7>5$016>23<3`348:0>=zj=h?6=4<:183!7593397E?=d:J260=#9:?15<5+8187`a=n>k0;6)?<5;56?>o1k3:1(<=::678?j>c290/=>;59598yg50n3:1?7>50z&264249'563=i2.3<7:kd:k5f?6=,89>6:;4;h4`>5<#9:?1;854o9f94?"6;<02865rb2:3>5<4290;w)?=1;;1?M75l2B:>85+1279=4=#090?hi5f6c83>!74=3=>76g9c;29 4522>?07b6k:18'563=1=10qo=71;296?6=8r.:><488:J26a=O9;?0(<=::538 =6=5<5290;w)?=1;5;?M75l2B:>85+127904=#090?hi5f6`83>!74=3=>76a7d;29 45220>0D<=;;:a7=5=8391<7>t$002>g=O9;n0D<<:;%301?g<,1:18ij4i7`94?"6;<0<965f6b83>!74=3=>76a7d;29 45220>07pl<8583>1<729q/=??5c:J26a=O9;?0(<=::`9'<5<3lm1b:o4?:%301?1232c=o7>5$016>23<3`348:0>=zj:;<6=4=:183!7593=37E?=d:J260=#9:?18<5+8187`a=n>h0;6)?<5;56?>i?l3:1(<=::868L45332wi?<650;094?6|,88:6:64H00g?M75=2.:?84;1:&;4?2cl2c=m7>5$016>23<3f2o6=4+1279=1=O9:>07pl<1883>6<729q/=??5939K57b<@88>7)?<5;;2?!>72=no7d8m:18'563=?<10e;m50;&270<0=21d4i4?:%301??332wi?34841>=n>j0;6)?<5;56?>i?l3:1(<=::868?xd5010;6>4?:1y'577=1;1C=?j4H006?!74=33:7)6?:5fg?l0e290/=>;57498m3e=83.:?8485:9lt$002>g=O9;n0D<<:;%301?g<,1:18ij4i7`94?"6;<0<965f6b83>!74=3=>76a7d;29 45220>07pl=3d83>7<729q/=??5799K57b<@88>7)?<5;62?!>72=no7d8n:18'563=?<10c5j50;&270<><2B:?954}c00b?6=:3:12084<>N6:m1C=?;4$016>17<,1:18ij4i7c94?"6;<0<965`8e83>!74=33?7E?<4:9~f72729096=4?{%315?1?3A;9h6F>249'563=<81/4=4;de9j2d<72-;8979:;:m;`?6=,89>64:4H017?>{e:=;1<7<50;2x 4462>20D<"6;<0?=6*70;6g`>o1i3:1(<=::678?j>c290/=>;5959K562<3th98?4?:383>5}#9;;1;55G13f8L4423-;897:>;%:3>1bc3`348:0>N6;=10qo<;3;292?6=8r.:><4j;I31`>N6:<1/=>;5a:&;4?2cl2c=n7>5$016>23<3`34841>=n>l0;6)?<5;56?>o1n3:1(<=::678?j>c290/=>;59598yg43<3:1?7>50z&264<>:2B:>i5G1378 45220;0(5>54ef8m3d=83.:?8485:9j2f<72-;8979:;:m;`?6=,89>64:4;|`011<72;0;6=u+13393==O9;n0D<<:;%301?263-2;69jk;h4b>5<#9:?1;854o9f94?"6;<0286F>3598yg52=3:1>7>50z&264<002B:>i5G1378 4522=;0(5>54ef8m3g=83.:?8485:9l=zj:?=6=4=:183!7593=37E?=d:J260=#9:?18<5+8187`a=n>h0;6)?<5;56?>i?l3:1(<=::868L45332wi?8950;094?6|,88:6:64H00g?M75=2.:?84;1:&;4?2cl2c=m7>5$016>23<3f2o6=4+1279=1=O9:>07pl<5983>0<729q/=??5d:J26a=O9;?0(<=::`9'<5<3lm1b:o4?:%301?1232c=o7>5$016>23<3`34841>=h0m0;6)?<5;;7?>{e<>81<7<50;2x 4462>20D<"6;<0?=6*70;6g`>o1i3:1(<=::678?j>c290/=>;5959K562<3th?;>4?:383>5}#9;;1;55G13f8L4423-;897:>;%:3>1bc3`348:0>N6;=10qo:84;290?6=8r.:><4l;I31`>N6:<1/=>;5a:&;4?2cl2c=n7>5$016>23<3`34841>=h0m0;6)?<5;;7?>{e<>?1<7=50;2x 4462080D<"6;<02=6*70;6g`>o1j3:1(<=::678?l0d290/=>;57498k=b=83.:?8464:9~f11129086=4?{%315?d<@88o7E?=5:&2705<#9:?1;854i7a94?"6;<0<965`8e83>!74=33?76sm46594?5=83:p(<<>:808L44c3A;996*>348:5>"?83>oh6g9b;29 4522>?07d8l:18'563=?<10c5j50;&270<><21vn>6n:181>5<7s-;9=797;I31`>N6:<1/=>;5409'<5<3lm1b:l4?:%301?1232e3h7>5$016><2<@89?76sm39`94?4=83:p(<<>:6:8L44c3A;996*>34875>"?83>oh6g9a;29 4522>?07b6k:18'563=1=1C=>:4;|`05<#9:?1;854o9f94?"6;<0286F>3598yg5?l3:1>7>50z&264<002B:>i5G1378 4522=;0(5>54ef8m3g=83.:?8485:9l=zj:2n6=4::183!7593n0D<"6;<0j7)6?:5fg?l0e290/=>;57498m3e=83.:?8485:9j2a<72-;8979:;:k5a?6=,89>6:;4;n:g>5<#9:?15954}c1;b?6=;3:1208:6>N6:m1C=?;4$016><7<,1:18ij4i7`94?"6;<0<965f6b83>!74=3=>76a7d;29 45220>07pl<2983>7<729q/=??5799K57b<@88>7)?<5;62?!>72=no7d8n:18'563=?<10c5j50;&270<><2B:?954}c11=?6=:3:12084<>N6:m1C=?;4$016>17<,1:18ij4i7c94?"6;<0<965`8e83>!74=33?7E?<4:9~f64f29096=4?{%315?1?3A;9h6F>249'563=<81/4=4;de9j2d<72-;8979:;:m;`?6=,89>64:4H017?>{e;;h1<7=50;2x 4462080D<"6;<02=6*70;6g`>o1j3:1(<=::678?l0d290/=>;57498k=b=83.:?8464:9~f64d290?6=4?{%315?e<@88o7E?=5:&2705<#9:?1;854i7a94?"6;<0<965f6e83>!74=3=>76a7d;29 45220>07pl<2e83>6<729q/=??5b:J26a=O9;?0(<=::`9'<5<3lm1b:o4?:%301?1232c=o7>5$016>23<3f2o6=4+1279=1=53;294~"6:802>6F>2e9K573<,89>64?4$9290ab34841>=n>j0;6)?<5;56?>i?l3:1(<=::868?xd5kj0;6?4?:1y'577=?11C=?j4H006?!74=3>:7)6?:5fg?l0f290/=>;57498k=b=83.:?8464:J271=52;294~"6:80<46F>2e9K573<,89>69?4$9290ab34841>=h0m0;6)?<5;;7?M74<21vn?mj:181>5<7s-;9=797;I31`>N6:<1/=>;5409'<5<3lm1b:l4?:%301?1232e3h7>5$016><2<@89?76sm2bd94?4=83:p(<<>:6:8L44c3A;996*>34875>"?83>oh6g9a;29 4522>?07b6k:18'563=1=1C=>:4;|`1`5<72;0;6=u+13393==O9;n0D<<:;%301?263-2;69jk;h4b>5<#9:?1;854o9f94?"6;<0286F>3598yg4c93:197>50z&264249'563=i2.3<7:kd:k5f?6=,89>6:;4;h4`>5<#9:?1;854i7f94?"6;<0<965f6d83>!74=3=>76a7d;29 45220>07pl=d383>6<729q/=??5939K57b<@88>7)?<5;;2?!>72=no7d8m:18'563=?<10e;m50;&270<0=21d4i4?:%301??332wi>5$016>23<3f2o6=4+1279=1=O9:>07pl=2683>0<729q/=??5d:J26a=O9;?0(<=::`9'<5<3lm1b:o4?:%301?1232c=o7>5$016>23<3`34841>=h0m0;6)?<5;;7?>{e:;21<7=50;2x 4462080D<"6;<02=6*70;6g`>o1j3:1(<=::678?l0d290/=>;57498k=b=83.:?8464:9~f74>29096=4?{%315?1?3A;9h6F>249'563=<81/4=4;de9j2d<72-;8979:;:m;`?6=,89>64:4H017?>{e:;k1<7=50;2x 4462k1C=?j4H006?!74=3k0(5>54ef8m3d=83.:?8485:9j2f<72-;8979:;:m;`?6=,89>64:4;|`16g<72;0;6=u+13393==O9;n0D<<:;%301?263-2;69jk;h4b>5<#9:?1;854o9f94?"6;<0286F>3598yg45k3:1>7>50z&264<002B:>i5G1378 4522=;0(5>54ef8m3g=83.:?8485:9l=zj;8o6=4<:183!7593h0D<"6;<0j7)6?:5fg?l0e290/=>;57498m3e=83.:?8485:9lt$002>g=O9;n0D<<:;%301?g<,1:18ij4i7`94?"6;<0<965f6b83>!74=3=>76a7d;29 45220>07pl=2183>6<729q/=??5b:J26a=O9;?0(<=::`9'<5<3lm1b:o4?:%301?1232c=o7>5$016>23<3f2o6=4+1279=1=53;294~"6:802>6F>2e9K573<,89>64?4$9290ab34841>=n>j0;6)?<5;56?>i?l3:1(<=::868?xd5:;0;694?:1y'577=k2B:>i5G1378 4522h1/4=4;de9j2g<72-;8979:;:k5g?6=,89>6:;4;h4g>5<#9:?1;854o9f94?"6;<02865rb300>5<4290;w)?=1;`8L44c3A;996*>348b?!>72=no7d8m:18'563=?<10e;m50;&270<0=21d4i4?:%301??332wi>?:50;194?6|,88:64<4H00g?M75=2.:?8461:&;4?2cl2c=n7>5$016>23<3`348:0>=zj;8>6=4<:183!7593h0D<"6;<0j7)6?:5fg?l0e290/=>;57498m3e=83.:?8485:9lt$002><4<@88o7E?=5:&270<>92.3<7:kd:k5f?6=,89>6:;4;h4`>5<#9:?1;854o9f94?"6;<02865rb5af>5<5290;w)?=1;5;?M75l2B:>85+127904=#090?hi5f6`83>!74=3=>76a7d;29 45220>0D<=;;:a0f`=8381<7>t$002>2><@88o7E?=5:&270<392.3<7:kd:k5e?6=,89>6:;4;n:g>5<#9:?1595G1268?xd3l90;6?4?:1y'577=?11C=?j4H006?!74=3>:7)6?:5fg?l0f290/=>;57498k=b=83.:?8464:J271=o=7>54;294~"6:802?6F>2e9K573<,89>64?4$9290ab34841>=n>j0;6)?<5;56?>o1l3:1(<=::678?j>c290/=>;59598yg2c:3:1?7>50z&264249'563=i2.3<7:kd:k5f?6=,89>6:;4;h4`>5<#9:?1;854o9f94?"6;<02865rb246>5<5290;w)?=1;5;?M75l2B:>85+127904=#090?hi5f6`83>!74=3=>76a7d;29 45220>0D<=;;:a731=8391<7>t$002><4<@88o7E?=5:&270<>92.3<7:kd:k5f?6=,89>6:;4;h4`>5<#9:?1;854o9f94?"6;<02865rb24;>5<4290;w)?=1;`8L44c3A;996*>348b?!>72=no7d8m:18'563=?<10e;m50;&270<0=21d4i4?:%301??332wi?;750;094?6|,88:6:64H00g?M75=2.:?84;1:&;4?2cl2c=m7>5$016>23<3f2o6=4+1279=1=O9:>07pl<6`83>7<729q/=??5799K57b<@88>7)?<5;62?!>72=no7d8n:18'563=?<10c5j50;&270<><2B:?954}c15f?6=;3:1208a?M75l2B:>85+1279e>"?83>oh6g9b;29 4522>?07d8l:18'563=?<10c5j50;&270<><21vn>8l:180>5<7s-;9=7l4H00g?M75=2.:?84n;%:3>1bc3`34841>=h0m0;6)?<5;;7?>{e;?n1<7=50;2x 4462080D<"6;<02=6*70;6g`>o1j3:1(<=::678?l0d290/=>;57498k=b=83.:?8464:9~f60b29086=4?{%315?d<@88o7E?=5:&2705<#9:?1;854i7a94?"6;<0<965`8e83>!74=33?76sm37494?5=83:p(<<>:808L44c3A;996*>348:5>"?83>oh6g9b;29 4522>?07d8l:18'563=?<10c5j50;&270<><21vn>7k:181>5<7s-;9=797;I31`>N6:<1/=>;5409'<5<3lm1b:l4?:%301?1232e3h7>5$016><2<@89?76sm38g94?4=83:p(<<>:6:8L44c3A;996*>34875>"?83>oh6g9a;29 4522>?07b6k:18'563=1=1C=>:4;|`0=c<72;0;6=u+13393==O9;n0D<<:;%301?263-2;69jk;h4b>5<#9:?1;854o9f94?"6;<0286F>3598yg5f83:1?7>50z&264249'563=i2.3<7:kd:k5f?6=,89>6:;4;h4`>5<#9:?1;854o9f94?"6;<02865rb2c2>5<4290;w)?=1;;1?M75l2B:>85+1279=4=#090?hi5f6c83>!74=3=>76g9c;29 4522>?07b6k:18'563=1=10qo=n2;297?6=8r.:><4m;I31`>N6:<1/=>;5a:&;4?2cl2c=n7>5$016>23<3`348:0>=zj:k86=4<:183!7593397E?=d:J260=#9:?15<5+8187`a=n>k0;6)?<5;56?>o1k3:1(<=::678?j>c290/=>;59598yg50>3:1?7>50z&264249'563=i2.3<7:kd:k5f?6=,89>6:;4;h4`>5<#9:?1;854o9f94?"6;<02865rb254>5<5290;w)?=1;5;?M75l2B:>85+127904=#090?hi5f6`83>!74=3=>76a7d;29 45220>0D<=;;:a72>=8381<7>t$002>2><@88o7E?=5:&270<392.3<7:kd:k5e?6=,89>6:;4;n:g>5<#9:?1595G1268?xd4?00;6?4?:1y'577=?11C=?j4H006?!74=3>:7)6?:5fg?l0f290/=>;57498k=b=83.:?8464:J271=53;294~"6:80i7E?=d:J260=#9:?1m6*70;6g`>o1j3:1(<=::678?l0d290/=>;57498k=b=83.:?8464:9~f61e29086=4?{%315??53A;9h6F>249'563=181/4=4;de9j2g<72-;8979:;:k5g?6=,89>6:;4;n:g>5<#9:?15954}c14g?6=<3:1208`?M75l2B:>85+1279e>"?83>oh6g9b;29 4522>?07d8l:18'563=?<10e;j50;&270<0=21d4i4?:%301??332wi>;?50;094?6|,88:6:64H00g?M75=2.:?84;1:&;4?2cl2c=m7>5$016>23<3f2o6=4+1279=1=O9:>07pl=6383>7<729q/=??5799K57b<@88>7)?<5;62?!>72=no7d8n:18'563=?<10c5j50;&270<><2B:?954}c057?6=:3:12084<>N6:m1C=?;4$016>17<,1:18ij4i7c94?"6;<0<965`8e83>!74=33?7E?<4:9~f70329086=4?{%315??53A;9h6F>249'563=181/4=4;de9j2g<72-;8979:;:k5g?6=,89>6:;4;n:g>5<#9:?15954}c051?6=;3:1208:6>N6:m1C=?;4$016><7<,1:18ij4i7`94?"6;<0<965f6b83>!74=3=>76a7d;29 45220>07pl=6783>6<729q/=??5b:J26a=O9;?0(<=::`9'<5<3lm1b:o4?:%301?1232c=o7>5$016>23<3f2o6=4+1279=1=53;294~"6:802>6F>2e9K573<,89>64?4$9290ab34841>=n>j0;6)?<5;56?>i?l3:1(<=::868?xd6j=0;694?:1y'577=k2B:>i5G1378 4522h1/4=4;de9j2g<72-;8979:;:k5g?6=,89>6:;4;h4g>5<#9:?1;854o9f94?"6;<02865rb0;g>5<3290;w)?=1;a8L44c3A;996*>348b?!>72=no7d8m:18'563=?<10e;m50;&270<0=21b:i4?:%301?1232e3h7>5$016><2<3th:m84?:583>5}#9;;1o6F>2e9K573<,89>6l5+8187`a=n>k0;6)?<5;56?>o1k3:1(<=::678?l0c290/=>;57498k=b=83.:?8464:9~f4d5290>6=4?{%315?b<@88o7E?=5:&2705<#9:?1;854i7a94?"6;<0<965f6e83>!74=3=>76g9e;29 4522>?07b6k:18'563=1=10qo?n1;292?6=8r.:><4j;I31`>N6:<1/=>;5a:&;4?2cl2c=n7>5$016>23<3`34841>=n>l0;6)?<5;56?>o1n3:1(<=::678?j>c290/=>;59598yg7f13:157>50z&264<682B:>i5G1378 4522h1/4=4;de9j2g<72-;8979:;:k5g?6=,89>6:;4;h4g>5<#9:?1;854i7g94?"6;<0<965f6g83>!74=3=>76g80;29 4522>?07d9>:18'563=?<10e:<50;&270<0=21d4i4?:%301??332wi=lo50;194?6|,88:6o5G13f8L4423-;897o4$9290ab34841>=n>j0;6)?<5;56?>i?l3:1(<=::868?xd6il0;644?:1y'577=991C=?j4H006?!74=3k0(5>54ef8m3d=83.:?8485:9j2f<72-;8979:;:k5`?6=,89>6:;4;h4f>5<#9:?1;854i7d94?"6;<0<965f7183>!74=3=>76g81;29 4522>?07d9=:18'563=?<10c5j50;&270<><21vn5<7s-;9=7m4H00g?M75=2.:?84n;%:3>1bc3`34841>=n>m0;6)?<5;56?>i?l3:1(<=::868?xd6j90;6>4?:1y'577=j2B:>i5G1378 4522h1/4=4;de9j2g<72-;8979:;:k5g?6=,89>6:;4;n:g>5<#9:?15954}c1``?6=:3:120840>N6:m1C=?;4$016>17<@>n0(:h5749'<5<3lm1b:l4?:%301?1232e3h7>5$016><2<3th8jh4?:383>5}#9;;1;95G13f8L4423-;897:>;I5g?!1a2>?0(5>54ef8m3g=83.:?8485:9lt$002>22<@88o7E?=5:&270<392B72=no7d8n:18'563=?<10c5j50;&270<><21vn;>50;094?6|,88:6::4H00g?M75=2.:?84;1:J4`>"0n3=>7)6?:5fg?l0f290/=>;57498k=b=83.:?8464:9~f34=8381<7>t$002>22<@88o7E?=5:&270<392B72=no7d8n:18'563=?<10c5j50;&270<><21vn>k?:181>5<7s-;9=79;;I31`>N6:<1/=>;5409K3a=#?o0<96*70;6g`>o1i3:1(<=::678?j>c290/=>;59598yg5b03:1>7>50z&264<0<2B:>i5G1378 4522=;0D:j4$6d930=#090?hi5f6`83>!74=3=>76a7d;29 45220>07pl;0783>7<729q/=??5759K57b<@88>7)?<5;62?M1c3-=m6:;4$9290ab34841>=h0m0;6)?<5;;7?>{e:7E9k;%5e>23<,1:18ij4i7c94?"6;<0<965`8e83>!74=33?76sm4683>7<729q/=??5759K57b<@88>7)?<5;62?M1c3-=m6:;4$9290ab34841>=h0m0;6)?<5;;7?>{e;lk1<7<50;2x 4462>>0D<"6;<0?=6F8d:&4b?123-2;69jk;h4b>5<#9:?1;854o9f94?"6;<02865rb2f;>5<5290;w)?=1;57?M75l2B:>85+127904=O?m1/;k485:&;4?2cl2c=m7>5$016>23<3f2o6=4+1279=1=;47>52;294~"6:80<86F>2e9K573<,89>69?4H6f8 2`=?<1/4=4;de9j2d<72-;8979:;:m;`?6=,89>64:4;|`03?6=:3:120840>N6:m1C=?;4$016>17<@>n0(:h5749'<5<3lm1b:l4?:%301?1232e3h7>5$016><2<3th8:7>52;294~"6:80<86F>2e9K573<,89>69?4H6f8 2`=?<1/4=4;de9j2d<72-;8979:;:m;`?6=,89>64:4;|`01?6=:3:120840>N6:m1C=?;4$016>17<@>n0(:h5749'<5<3lm1b:l4?:%301?1232e3h7>5$016><2<3th887>52;294~"6:80<86F>2e9K573<,89>69?4H6f8 2`=?<1/4=4;de9j2d<72-;8979:;:m;`?6=,89>64:4;|`06?6=:3:120840>N6:m1C=?;4$016>17<@>n0(:h5749'<5<3lm1b:l4?:%301?1232e3h7>5$016><2<3th8=7>52;294~"6:80<86F>2e9K573<,89>69?4H6f8 2`=?<1/4=4;de9j2d<72-;8979:;:m;`?6=,89>64:4;|`04?6=:3:120840>N6:m1C=?;4$016>17<@>n0(:h5749'<5<3lm1b:l4?:%301?1232e3h7>5$016><2<3th9j7>52;294~"6:80<86F>2e9K573<,89>69?4H6f8 2`=?<1/4=4;de9j2d<72-;8979:;:m;`?6=,89>64:4;|`1a?6=:3:120840>N6:m1C=?;4$016>17<@>n0(:h5749'<5<3lm1b:l4?:%301?1232e3h7>5$016><2<3th9h7>52;294~"6:80<86F>2e9K573<,89>69?4H6f8 2`=?<1/4=4;de9j2d<72-;8979:;:m;`?6=,89>64:4;|`1g?6=:3:120840>N6:m1C=?;4$016>17<@>n0(:h5749'<5<3lm1b:l4?:%301?1232e3h7>5$016><2<3th9n7>52;294~"6:80<86F>2e9K573<,89>69?4H6f8 2`=?<1/4=4;de9j2d<72-;8979:;:m;`?6=,89>64:4;|`1e?6=:3:120840>N6:m1C=?;4$016>17<@>n0(:h5749'<5<3lm1b:l4?:%301?1232e3h7>5$016><2<3th957>52;294~"6:80<86F>2e9K573<,89>69?4H6f8 2`=?<1/4=4;de9j2d<72-;8979:;:m;`?6=,89>64:4;|`0b?6=:3:120840>N6:m1C=?;4$016>17<@>n0(:h5749'<5<3lm1b:l4?:%301?1232e3h7>5$016><2<3th8i7>52;294~"6:80<86F>2e9K573<,89>69?4H6f8 2`=?<1/4=4;de9j2d<72-;8979:;:m;`?6=,89>64:4;|`0`?6=:3:120840>N6:m1C=?;4$016>17<@>n0(:h5749'<5<3lm1b:l4?:%301?1232e3h7>5$016><2<3th8o7>52;294~"6:80<86F>2e9K573<,89>69?4H6f8 2`=?<1/4=4;de9j2d<72-;8979:;:m;`?6=,89>64:4;|`0f?6=:3:120840>N6:m1C=?;4$016>17<@>n0(:h5749'<5<3lm1b:l4?:%301?1232e3h7>5$016><2<3th8m7>52;294~"6:80<86F>2e9K573<,89>69?4H6f8 2`=?<1/4=4;de9j2d<72-;8979:;:m;`?6=,89>64:4;|`0=?6=:3:120840>N6:m1C=?;4$016>17<@>n0(:h5749'<5<3lm1b:l4?:%301?1232e3h7>5$016><2<3th847>52;294~"6:80<86F>2e9K573<,89>69?4H6f8 2`=?<1/4=4;de9j2d<72-;8979:;:m;`?6=,89>64:4;|`07?6=:3:120840>N6:m1C=?;4$016>17<@>n0(:h5749'<5<3lm1b:l4?:%301?1232e3h7>5$016><2<3th8j;4?:581>1}#9;;1;n5G13f8L4423-2;69jk;h4b>5<>i0i3:17o9;:181>5<7s-;9=79;;%301?263A=o7)9i:678 =6=6}#9;;14k5G7e9'3c<><2.3<7:kd:k5e?6=3`?i6=44o9f94?=e9:;1<7=50;2x 45228;27d8n:18'563=?<10e<2B:=l54}r4b>5<5sWvP:b:?274<69<1v5j50;0xZ=b<589:65j4}|q;`?6=:rT3h6384;:g?xu0i3:1?vP8a:?40?0f342m65j4}r4b>5<5sW0d52;294~"6:803i6F>2e9K573<,89>69?4H6f8 2`=1=1/4=4;de9j2d<72-;8979:;:m;`?6=,89>64:4;|`0``<72;0;6=u+1339<`=O9;n0D<<:;%301?263A=o7)9i:868 =6="0n33?7)6?:5fg?l0f290/=>;57498k=b=83.:?8464:9~f6`d29096=4?{%315?>b3A;9h6F>249'563=<81C;i5+7g8:0>"?83>oh6g9a;29 4522>?07b6k:18'563=1=10qo:m:181>5<7s-;9=76j;I31`>N6:<1/=>;5409K3a=#?o0286*70;6g`>o1i3:1(<=::678?j>c290/=>;59598yg5a<3:1>7>50z&264i5G1378 4522=;0D:j4$6d9=1=#090?hi5f6`83>!74=3=>76a7d;29 45220>07pl7<729q/=??58d9K57b<@88>7)?<5;62?M1c3-=m64:4$9290ab34841>=h0m0;6)?<5;;7?>{e;mi1<7<50;2x 44621o0D<"6;<0?=6F8d:&4b??33-2;69jk;h4b>5<#9:?1;854o9f94?"6;<02865rb2fb>5<5290;w)?=1;:f?M75l2B:>85+127904=O?m1/;k464:&;4?2cl2c=m7>5$016>23<3f2o6=4+1279=1=53;397~"6:803j6F>2e9K573<@>n0(:h5959'<5<3lm1b:l4?::k6f?6=3f2o6=44b012>5<4290;w)?<5;32=>o1i3:1(<=::678?l76=3:1(<=::448?j>c290/=>;5959K54g<3ty=m7>52z\5e>;6;80=m6s|5c83>7}Y=k16=>?51078yv>c2909wS6k;<305?>c3twi?i<50;094?6|,88:65k4H00g?M75=2.:?84;1:J4`>"0n33?7)6?:5fg?l0f290/=>;57498k=b=83.:?8464:9~f6`529096=4?{%315?>b3A;9h6F>249'563=<81C;i5+7g8:0>"?83>oh6g9a;29 4522>?07b6k:18'563=1=10qo:?0;297?7=;r.:><47f:J26a=O9;?0D:j4$6d9=1=#090?hi5f6`83>>o2j3:17b6k:188f45629086=4?{%301?7612c=m7>5$016>23<3`;:97>5$016>00<3f2o6=4+1279=1=O98k07p}9a;296~X1i27:?<49a:p1g<72;qU9o521239543:9g8L44c3A;996*>34875>N0l2.1bc3`348:0>=zj:i36=4=:183!75932n7E?=d:J260=#9:?18<5G7e9'3c<><2.3<7:kd:k5e?6=,89>6:;4;n:g>5<#9:?15954}c1`f?6=:3:1208;a>N6:m1C=?;4$016>17<@>n0(:h5959'<5<3lm1b:l4?:%301?1232e3h7>5$016><2<3th8jl4?:383>5}#9;;14h5G13f8L4423-;897:>;I5g?!1a20>0(5>54ef8m3g=83.:?8485:9lt$002>=c<@88o7E?=5:&270<392B72=no7d8n:18'563=?<10c5j50;&270<><21vn>kl:180>4<4s-;9=76i;I31`>N6:<1C;i5+7g8:0>"?83>oh6g9a;29?l3e2900c5j50;9a567=8391<7>t$016>47>3`6=4+127913=348:0>N69h10q~8n:181[0f34;8=78n;|q6f?6=:rT>n63>308250=z{1n1<76<62:q/=??58g9K57b<@88>7E9k;%5e><2<,1:18ij4i7c94?=n=k0;66a7d;29?g7493:1?7>50z&270<6901b:l4?:%301?1232c:=84?:%301?3132e3h7>5$016><2<@8;j76s|6`83>7}Y>h16=>?56`9~w0d=838pR8l4=012>4723ty3h7>52z\;`>;6;803h6srb2g7>5<5290;w)?=1;:f?M75l2B:>85+127904=O?m1/;k464:&;4?2cl2c=m7>5$016>23<3f2o6=4+1279=1=52;294~"6:803i6F>2e9K573<,89>69?4H6f8 2`=1=1/4=4;de9j2d<72-;8979:;:m;`?6=,89>64:4;|`0`1<72;0;6=u+1339<`=O9;n0D<<:;%301?263A=o7)9i:868 =6=5}#9;;14h5G13f8L4423-;897:>;I5g?!1a20>0(5>54ef8m3g=83.:?8485:9l"0n33?7)6?:5fg?l0f290/=>;57498k=b=83.:?8464:9~f46029096=4?{%315?>b3A;9h6F>249'563=<81C;i5+7g8:0>"?83>oh6g9a;29 4522>?07b6k:18'563=1=10qo??6;296?6=8r.:><47e:J26a=O9;?0(<=::538L2b<,>l1595+8187`a=n>h0;6)?<5;56?>i?l3:1(<=::868?xd68<0;6?4?:1y'577=0l1C=?j4H006?!74=3>:7E9k;%5e><2<,1:18ij4i7c94?"6;<0<965`8e83>!74=33?76sm11694?4=83:p(<<>:9g8L44c3A;996*>34875>N0l2.1bc3`348:0>=zj8:86=4=:183!75932n7E?=d:J260=#9:?18<5G7e9'3c<><2.3<7:kd:k5e?6=,89>6:;4;n:g>5<#9:?15954}c336?6=:3:1208;a>N6:m1C=?;4$016>17<@>n0(:h5959'<5<3lm1b:l4?:%301?1232e3h7>5$016><2<3th:<<4?:383>5}#9;;14h5G13f8L4423-;897:>;I5g?!1a20>0(5>54ef8m3g=83.:?8485:9lt$002>=c<@88o7E?=5:&270<392B72=no7d8n:18'563=?<10c5j50;&270<><21vnkj50;094?6|,88:65k4H00g?M75=2.:?84;1:J4`>"0n33?7)6?:5fg?l0f290/=>;57498k=b=83.:?8464:9~f47=83;1<7>t$016>`4<@88>7E99;%5e>23<,181h<5fe383>!74=3o976sm1383>4<729q/=>;5e39K573<@><0(:h5749'<77>5$016>`4<3th:?7>51;294~"6;<0n>6F>249K33=#?o0<96*72;;4?lc5290/=>;5e398yg73290:6=4?{%301?c53A;996F86:&4b?123-296464id094?"6;<0n>65rb0794?7=83:p(<=::d08L4423A==7)9i:678 =4=101bi?4?:%301?c532wi=;4?:083>5}#9:?1i?5G1378L20<,>l1;85+838:e>ob:3:1(<=::d08?xd6?3:1=7>50z&27085G779'3c<0=2.3>77m;hg1>5<#9:?1i?54}c3;>5<6290;w)?<5;g1?M75=2B<:6*8f;56?!>520i0eh<50;&2706h<4H006?M113-=m6:;4$909=a=nm;0;6)?<5;g1?>{e9h0;6<4?:1y'563=m;1C=?;4H648 2`=?<1/4?46e:kf6?6=,89>6h<4;|`2f?6=93:1348f6>N6:<1C;;5+7g841>"?:3nn7dk=:18'563=m;10qo?l:182>5<7s-;897k=;I311>N0>2.<`348f6>=zj8n1<7?50;2x 4522l80D<<:;I55?!1a2>?0(5<5a19ja7<72-;897k=;:a5`<7280;6=u+1279a7=O9;?0D:84$6d930=#0;0j>6gj2;29 4522l807pl=0;295?6=8r.:?84j2:J260=O??1/;k485:&;6?g23`o96=4+1279a7=:183!74=3o97E?=5:J42>"0n3=>7)6=:ed8m`4=83.:?84j2:9~f74=83;1<7>t$016>`4<@88>7E99;%5e>23<,181i=5fe383>!74=3o976sm2283>4<729q/=>;5e39K573<@><0(:h5749'<77>5$016>`4<3th987>51;294~"6;<0n>6F>249K33=#?o0<96*72;c2?lc5290/=>;5e398yg42290:6=4?{%301?c53A;996F86:&4b?123-296lo4id094?"6;<0n>65rb3494?7=83:p(<=::d08L4423A==7)9i:678 =4=j;1bi?4?:%301?c532wi>:4?:083>5}#9:?1i?5G1378L20<,>l1;85+838`4>ob:3:1(<=::d08?xd503:1=7>50z&27085G779'3c<0=2.3>7m7;hg1>5<#9:?1i?54}c65>5<6290;w)?<5;g1?M75=2B<:6*8f;56?!>52h90eh<50;&2706h<4H006?M113-=m64:4$909fc=hm;0;6)?<5;g1?>{e6h<4;|`7g?6=93:1348f6>N6:<1C;;5+7g841>"?:3k?7dk=:18'563=m;10qo;m:182>5<7s-;897k=;I311>N0>2.d0348f6>=zj?;1<7?50;2x 4522l80D<<:;I55?!1a2>?0(5<5a69ja7<72-;897k=;:aa`<7280;6=u+1279a7=O9;?0D:84$6d9=1=#0;0im6aj2;29 4522l807pljf;295?6=8r.:?84j2:J260=O??1/;k464:&;6?dd3fo96=4+1279a7=:183!74=3o97E?=5:J42>"0n33?7)6=:b18k`4=83.:?84j2:9~fc4=83;1<7>t$016>`4<@88>7E99;%5e><2<,181n55`e383>!74=3o976smf283>4<729q/=>;5e39K573<@><0(:h5959'<72en>7>5$016>`4<3thm87>51;294~"6;<0n>6F>249K33=#?o0286*72;`7?jc5290/=>;5e398yg`2290:6=4?{%301?c53A;996F86:&4b??33-296o=4od094?"6;<0n>65rbg494?7=83:p(<=::d08L4423A==7)9i:868 =4=j<1di?4?:%301?c532wij:4?:083>5}#9:?1i?5G1378L20<,>l1595+838a3>ib:3:1(<=::d08?xda03:1=7>50z&27085G779'3c<><2.3>7l6;ng1>5<#9:?1i?54}cd:>5<6290;w)?<5;g1?M75=2B<:6*8f;;7?!>52kh0ch<50;&2706h<4H006?M113-=m64:4$909fa=hm;0;6)?<5;g1?>{e;j>1<7?50;2x 4522l80D<<:;I55?!1a20>0(5<5d49la7<72-;897k=;:a7f?=83;1<7>t$016>`4<@88>7E99;%5e><2<,181h95`e383>!74=3o976sm3ba94?7=83:p(<=::d08L4423A==7)9i:678 =4=ij1bi?4?:%301?c532wi?nk50;394?6|,89>6h<4H006?M113-=m64:4$909`6=hm;0;6)?<5;g1?M76i21vn>j>:182>5<7s-;897k=;I311>N0>2.a1348f6>=zj:n86=4>:183!74=3o97E?=5:J42>"0n33?7)6=:b;8k`4=83.:?84j2:9~f6b2290:6=4?{%301?c53A;996F86:&4b?123-296nj4id094?"6;<0n>65rb2f4>5<6290;w)?<5;g1?M75=2B<:6*8f;56?!>52jh0eh<50;&270j6:182>5<7s-;897k=;I311>N0>2.fg348f6>=zj:ni6=4>:183!74=3o97E?=5:J42>"0n33?7)6=:c28k`4=83.:?84j2:9~f6bc290:6=4?{%301?c53A;996F86:&4b??33-296l64od094?"6;<0n>65rb2fe>5<6290;w)?<5;g1?M75=2B<:6*8f;56?!>52jl0eh<50;&270k>:182>5<7s-;897k=;I311>N0>2.a0348f6>=zj:o86=4>:183!74=3o97E?=5:J42>"0n33?7)6=:ec8k`4=83.:?84j2:9~f6c2290:6=4?{%301?c53A;996F86:&4b??33-296i64od094?"6;<0n>65rb2g4>5<6290;w)?<5;g1?M75=2B<:6*8f;56?!>52mi0eh<50;&270k6:182>5<7s-;897k=;I311>N0>2.dd348f6>=zj:oi6=4>:183!74=3o97E?=5:J42>"0n33?7)6=:e28k`4=83.:?84j2:J25d=51;294~"6;<0n>6F>249K33=#?o0286*72;`2?jc5290/=>;5e398yg5bn3:1=7>50z&27085G779'3c<><2.3>7m:;ng1>5<#9:?1i?54}c1e5?6=93:1348f6>N6:<1C;;5+7g8:0>"?:3i=7bk=:18'563=m;10qo=i3;295?6=8r.:?84j2:J260=O??1/;k464:&;6?e33fo96=4+1279a7=51;294~"6;<0n>6F>249K33=#0;0on6%j2;29 4522l807pl4<729q/=>;5e39K573<@><0(:h5959'<77>5$016>`4<3th8jo4?:083>5}#9:?1i?5G1378L20<,>l1595+838ba>ib:3:1(<=::d08?xd4nm0;6<4?:1y'563=m;1C=?;4H648 2`=?<1/4?4nd:kf6?6=,89>6h<4;|`0bc<7280;6=u+1279a7=O9;?0D:84$6d9=1=#0;0o>6aj2;29 4522l80Dt$016>`4<@88>7E99;%5e><2<,181on5`e383>!74=3o97E?>a:9~f164290:6=4?{%301?c53A;996F86:&4b??33-296ij4od094?"6;<0n>65rb526>5<6290;w)?<5;g1?M75=2B<:6*8f;56?!>52jo0eh<50;&2708:182>5<7s-;897k=;I311>N0>2.d?348f6>=zj8;86=4>:183!74=3o97E?=5:J42>"6::0:=o5+7g841>"?:3i<7dk=:18'563=m;10qo?>4;296?6=8r.:?84;1:&264<0<2.1c9K573<@>n0(5>54ef8m3g=83.:?8485:9lt$016>`4<@88>7E99;%317?76j2.f7348f6>=zj8;:6=4=:183!74=3>:7)?=1;57?!1a2>?0(<<<:03a?!7713;:n6F>249K3a=#090?hi5f6`83>!74=3=>76a7d;29 45220>07pl>0d83>4<729q/=>;5e39K573<@><0(<<<:03a?!1a2>?0(5<5c39ja7<72-;897k=;:a55`=8381<7>t$016>17<,88:6::4$6d930=#9;91=47e3A;996F8d:&;4?2cl2c=m7>5$016>23<3f2o6=4+1279=1=50;294~"6:80:=:5G1308L4713E;:;7=t$03g>`3<,8;h6h:4$03f>`05}#9;;1=1;297~X69279578n;<32>`46s|1583>6}Y9=16>n49a:?20?c53ty:97>53z\21>;5l33g<58<1i?5rs0594?5|V8=01?h56`9>528;g1?xu613:1?vP>9:?05?0f34;26h<4}r3b>5<4sW;j70==:7c894g=m;1v{t9j0;6>uQ1b9>71<1i27:o7k=;|q2`?6=;rT:h63<5;4b?87c2l80q~?j:180[7b349=6;o4=0g9a7=z{;:1<7=t^328961=>h16>=4j2:p64<72:qU><523985e>;593o97p}=2;297~X5:278578n;<01>`46s|2483>6}Y:<16?n49a:?11?c53ty9:7>53z\12>;4l33g<5;=1i?5rs3:94?5|V;201>h56`9>6=44?:2y>64d=>m1689m56c9>6<l4?:2y>64d=>l1688?56c9>6do4?:2y>64d=>o1688856c9>6gn4?:5y>64d=?91688l56c9>67>=>k16>n47d:p6a<72=q6>036=>k16>?656b9>6ah4?:2y>64d=?;168;;56c9>6`k4?:5y>64e=>k168;o56c9>671=>k16>k47d:p75<72=q6>03`=>k16>?956b9>7564e=>m168:856c9>671=>m16?<47d:p77<7202d=>k16>?956d9>77c3ty887>56z?15f<1n279?=49a:?73<<1k279?h49a:?160<1j278876k;|q01?6=0r79=n480:?174<1i279?;49c:?105<1i279=k49a:?16d<1k278976k;3g:78n;<01f?0f3489h78m;<01a?0e349=65j4}r14>5<0s48:o79=;<006?0f3488478n;<076?0f349>;78n;<012?0e349<65j4}r1;>5<5s4>?j78l;<1;>=b5<5s4>>578l;<1b>=b5<5s4>=?78l;<1`>=b5<4s48:n78m;<65`?0d349n65j4}r1e>5<4s48:n78l;<640?0e349m65j4}r63>5<6lr7?4:7:?11=<2?2798:49b:?0<=<1j278nh49a:?113<1i2799o49a:?11c<1j279:l49a:?117449a:?03c<1j2784i49a:?02=<1k2785k49a:?033<1k279:>49a:p03<72:qU8;524685e>;3>3o97p};7;2952}:;:>1885229d900=::0?1885228:900=::0h1885228g900=::mh1885227d900=::jk188522b;900=:;9o1885226c900=:;8818852291900=:;8h1885226f900=::j:18852294900=::li188522g0900=:;9:1885226;92d=:<>03h6s|4983>6}Y<1168447d:?753z\7e>;3j32o70:n:d08yv2d2908wS:l;<6g>3g<5=i1i?5rs5f94?3|58??6;o4=227>3g<5;lh6;o4=3f3>3g<5=n14i5rs4`94?5|V56`9>1g656=<<16=km5449>5c>=<<16=k=5449>5a`=<<16=i;5449>5`b=<<16>n65569>25;0=m6391;g1?xu1:3:1>;u227:900=:;kh1885238`900=:;=21885235`900=:;0k18852241900=::<21885224f900=:;1?188524`d900=::=?1885225:900=:;==18852371900=:188523`:900=:<>n1885249f900=:<0o1885225f92d=:>;03h6s|7283>6}::=b<5;<:6;o4}r5f>578m;<142?0e3ty3;7>523y>57c=>116=8<5529>50?==:16=;j5529>527==:16=:;5529>52?==:16=:j5529>5=7==:16=5;5529>5=?==:16=8o5529>50c==:16=;<5529>530==:16?>:5529>63>==:16?ol5529>7605==:16>865529>60b==:16?5;5529>0d`==:16>965529>7<6==:16?875529>73`==:16?495529>736==:16?:j5529>62b==:16?k856`9~w=>=838p1>h9:9f896772?k0q~66:187875n33>70<;5;74?82>2?k019l56`9~w=g=838p1>j?:9f8967e2<=0q~kj:180[cb34lo65j4=dg9a7=z{ll1<7=t^dd89cc=0m16ik4j2:pb4<72:qUj<52fg8;`>;a93o97p}i2;297~Xa:27:<=47d:?e6?c53tym?7>53z\e7>;68803h63i3;g1?xua<3:1?vPi4:?247028;`>;a=3o97p}i6;297~Xa>27:<947d:?e2?c53tym;7>53z\e3>;68<03h63i7;g1?xua03:1?vPi8:?243068;`>;a13o97p}ia;297~Xai27:<547d:?ee?c53tymn7>5ez?774<1j27?mo49c:?7=<<1i27?4=49b:?17f549a:?76a<1j27?;>49a:?731<1l27?ok49a:pbf<72:q68>?58e9>603=>h16>;<56`9~wcb=838p19:k:9f89cb=>h1v<>?:181822:32o70??0;4b?xu6880;6?u244595<5s4>>o76k;<336?0f3ty:<>4?:3y>037=0m16===56`9~w4632909w0:96;:g?877<30483>7}:c34;;:78n;|q242<72;q68:958e9>551=>h1v<>7:181820k32o70??8;4b?xu68h0;6?u24e192d=::=>14i5rs01g>578n;<634?3e349no7;m;<636?3e3ty:?h4?:3y>56b==>16=9>58e9~w45a2909w0?4083>6}:9=;1ii521b592d=::881:o5rs061>5<5s4;?=7;8;<377?>c3ty:894?:9y>504=mm16=4?5589>5j16=8956`9>5m16=l?56g9>5dg=>j16=lh56e9~w434290::v3>53871>;6=h0?963>5d871>;6>;0?963>67871>;6=00?963>6e871>;6?80?963>74871>;6?00?963>7e871>;6080?963>84871>;6000?963>3e871>;5k10?963=17871>;58l0?963>c`871>;6k=0?963>40871>;6==03h6s|14794?4|58?96894=07;>=b:7>52z?2139086e>;61k0=n63>9e85g>;6i80=i63>a8846>;6io0=n6s|15794?2|58?j6hj4=0:g>0g<58h96;m4=0cf>3en7>52z?21d<2?27:9i47d:p50e=838p1<;l:9f8943c2?i0q~?;6;290~;6=l0nh63>8d86e>;6j=0=o63>b385f>{t901<58<:65j4}r354?6=:r7::=47d:?224<1k2wx=9950;1x94052ln01<6i:4c894d32?h0q~?93;296~;6>;0>;63>648;`>{t9?>1<7=b<58<>6;m4}r3749b:?15=<1j27:oi49a:?2g`<1j27:o;49c:p531=838p1<89:458940>21n0q~?98;296~;6>103h63>6885g>{t9?k1<701<58:7f894g>2>;01v3>6e863>;6?903h6s|17d94?4|583e56z?2344:a:?2=c<1j27:m<49c:?2e<<0827:mh481:p524=838p1<9>:458941321n0q~?83;296~;6?:03h63>7585g>{t9=i1<7;t=056>`b<583?68o4=0c2>3d<58k26;h4=0cf>2452z?230<2?27:;547d:p521=838p1<98:9f8941?2?i0q~?;d;292~;6?00nh63>9486e>;6i:0=n63>a485g>;6i00=i63>ad844>{t9>k1<701<58=h65j4}r34f?6=:r7:;o47d:?23f<1k2wx=9k50;7x941c2ln01<79:4c894g22?h01;63>818;`>{t9>l1<7=b<582;6;m4}r37b?6==r7:4<4jd:?2=2<2i27:m:49b:?2e<<1j27:mh49f:p5=4=838p1<6>:45894>321n0q~?73;296~;60:03h63>8585g>{t9<:1<7:t=0:6>`b<583368o4=0c:>3e<58kn6;j4}r3;2?6=:r7:484:7:?2<=021n01<67:7a8yv7293:19v3>888f`>;6100>m63>ab85f>;6j;0=h63>ad85f>{t91k1<701<582h65j4}r3;f?6=:r7:4o47d:?2k3:1?v3>9286=>;61o0=o63>9e8;`>{t90o1<70?<583m65j4}r3b4?6=9686=>;6i>0=o63>a48;`>{t9h<1<70?<58k<65j4}r3b21n01v3>8e86=>;6ij03h6s|1`f94?5|582n6874=0`7>3b<58h;65j4}r3ba?6=:r7:mh47d:?2f5<1j2wx=lh50;0x94ga21n01v3>8g86=>;6j;03h6s|1c194?4|583;6874=0`7>=b52z?2;6>80=n6s|1c594?4|582m6<>l;<351?0e3ty:n54?:3y>5<6=99i01<86:7`8yv7e13:1>v3>90824f=:9?i1:o5rs0`b>5<5s4;2>7??c:?235<1j2wx=ol50;0x94?428:h70?84;4a?xu6jj0;6?u2186955e<58=36;l4}r3a`?6=:r7:584>0b9>52e=>k1v>3;;o63>8185f>{t9kl1<746d34;3878m;|q2g5<72;q6=46511a894>?2?h0q~?l1;296~;6100:c3ty:o94?:7y>5f2=mm16=i=56c9>5fe=>h16=n756c9>646=>k16>c783>7}:9j<14i521b:92g=z{8i<6=4={<3`3?>c34;h478l;|q2g=<72;q6=n658e9>5f?=>j1vcc83>7}:9jk19:521e29c34;hi78l;|q2ga<72;q6=nj58e9>5f`=>j1v5<5s4;o>76k;<3g7?0d3ty:h94?:2y>516=>k16=i=58e9>64?=>k1v5<5s4;o;76k;<3gg?0e3ty:h54?:3y>5a>=0m16=im56b9~w4b>2909w0?k9;:g?87cl3d`83>7}:9mk14i521ef92a=z{8ni6=4={<3gf?>c34;oh78j;|q2`f<72;q6=im58e9>5ac=>k1v5<5s4;oj7;8;<3fc3ty:i<4?:3y>5`7=0m16=h856c9~w4c52909w0?j2;:g?87b>3e283>7}:9l914i521d592f=z{8o?6=4={<3f0?>c34;n;78k;|q2a0<72;q6=h;58e9>5`1=>l1v32o70?j8;4a?xu6m>0;6?u21d595<6v3>eg8;`>;6n;0=o6s|1g294?4|58l;65j4=0d1>3b52z?2b47:7a894`12?k01v3>f2863>;6n>03h6s|1g794?4|58l>65j4=0d4>3d52z?2b37:7`894`72?k01:7a894c32?k01;63>fc8;`>{t9ok1<7=b<58li6;m4}r3ff?6=0r7:jn4jd:?140<2i279v3>fd8;`>;6no0=o6s|1da94?1|5;:;6hj4=325>0g<58l96;l4=0g1>3g<58o<6;l4=0f;>3g<58no6;l4}r035?6=:r79<=4:7:?146=<50;0x976521n01?><:7a8yv47?3:1?v3=0486=>;58h0=o63=098;`>{t:931<70?<5;:j65j4}r03f?6=:r79<94>0b9>5cd=>k1v?>l:181847=3;;o63>fg85f>{t:9n1<746d348;?78m;|q14`<72=k5ee9>56`=>h16><>56b9>645=>k16?io56`9~w76a2909w07}::8:14i5220792g=z{;;:6=4={<025?>c348:>78l;|q157<72;q6><<58e9>645=>j1v??<:181846;32o70<>4;4`?xu59=0;6?u220695<4s48::7kk;<1e<85569>64?=0m1v??7:181846032o70<>9;4`?xu59h0;6?u220`95<5s48:o76k;<02`?0d3ty9=h4?:3y>64`=0m16>?j56b9~w77a2909w0<=7;:g?845;37}::;;14i5223092g=z{;8:6=4={<016?>c3489?78l;|q167<72;q6>?=58e9>672=>j1v?<<:181845<32o70<=5;4`?xu5:=0;6?u223795<5s489476k;<016?0d3ty9>54?:3y>67?=0m16>?k56b9~w74>2909w0<=a;:g?845837}::;h14i5223292f=z{;8i6=4={<01g?>c3489>78k;|q16f<72;q6>?j58e9>672=>k1v?5<4s48?;78l;<007?>c348?878m;|q175<72;q6>>>58e9>665=>j1v?=>:181844932o70<<3;4g?xu5;;0;6?u222095<5s488976k;<00=?0d3ty9?84?:3y>660=0m16>>o56c9~w7512909w0<<7;:g?844i37}:::214i5222a92f=z{;936=4={<00=?>c3488n78m;|q17<<72;q6>>o58e9>66d=>j1v?=n:181844j32o70<5<5s488j76k;<077?0e3ty9?k4?:3y>616=0m16>9=56e9~w7272909w0<;1;:g?843;37}::=814i5225192c=z{;>96=4={<077?>c348?878l;|q100<72;q6>9;5ee9>61>==>1v?:9:181843=3>n70<;7;:g?xu5<10;6;u225:9aa=:::=1:l5222;92g=:::l1:l5223;92d=::;k1:o5rs36b>5<5s48?47:j;<07=?>c3ty98o4?:3y>61d=mm16>8<56c9~w72d2908w0<;b;66?82d93>>70<;d;:g?xu55<5s48>=76k;<066?0d3ty99>4?:3y>605=mm16>;;56c9~w7332909w0<:3;6f?842?32o7p}=5483>7}::c348>;78l;|q11=<72;q6>865ee9>632=>k1v?;6:18184203>n70<:c;:g?xu5=h0;6?u224c95<5s48>n76k;<06g?0d3ty99i4?:3y>60b=mm16>;j56`9~w73b2909w0<:d;74?842n32o7p}=6183>6}::5<5s48==76k;<050?0d3ty9:?4?:3y>634=0m16>;;56b9~w7042909w0<93;:g?841?37}::?>14i5227492g=z{;<>6=4={<051?>c348=:78l;|q123<72;q6>;858e9>631=>k1v?87:18184103oo70=ke;4b?xu5>00;6?u227:912=::?h14i5rs34b>5<5s48=m76k;<05f?0d3ty9:n4?:3y>63b=0m16>;k56b9~w70a2909w0<9f;gg?85d=37}::?l19:522669c348:<58e9>625=>j1v?9<:181840;32o70<84;4`?xu5?<0;6>u226491:l522ba92d=z{;=<6=4={<043?cc348<:78l;|q13=<72hq6>:95449>77`=<<16??<5449>74e=<<16?<;5449>747=<<16?=j5449>6`d=<<16>5<5449>62?=0m1v?9n:181840i3oo70<86;4a?xu5?k0;6>u226c912=::>=19:5226a9:j5569>62`=0m1v?6?:18084?932o707}::181ii5229392f=z{;286=4={<0;7?cc3483=78m;|q1<1<72:q6>5=5569>6=4==>16>5;58e9~w7>12909w0<76;gg?855837}::1<19:5229;9c3483578l;|q15h5ee9>6d7==016>l856b9>6g1=>k16>o756`9>6<4=>h16>n<56`9>76?=>h16?9>56b9~w7?72909w0<7f;74?84><32o7p}=9083>7}::0;14i5228192g=z{;396=4={<0:6?>c3482?78l;|q1=6<72;q6>4=58e9>6<2=>j1v?6m:18;84>=3oo7035<0s48247kk;<0b6?3f348j478m;<0ag?0f348h:78n;<10e?0f349?<78j;|q1=<<72;q6>465569>6j3oo707}::0h19:5228f9616>l>58e9~w7g22908w00;6>u22`191<=::hk1:n522`:9348jm76k;|q1eg<724956c9>6k16>4j56c9>6d6=>k16>lk58e9~w7gd2909w07}::hn14i522`g92f=z{;km6=4={<0b5?77k2795:49c:p6g6=838p1?o=:02`?84>i37}::h91==m4=3;g>3e7>52z?1e1<68j16>l>56b9~w7d42908w05<4s48jo78n;<0ab?>c3482=78n;|q1f2<72;q6>o958e9>6gc=>k1v?l7:18184e032o705<5s48im76k;<0a`?0c3ty9no4?:3y>6gd=0m16>oh56c9~w7dd2909w07}::kn14i522cg92f=z{;hn6=4={<0aa?>c348ij78l;|q1g5<72:q6>n>5ee9>6c?=>h16>nh56`9~w7e62909w07}::j814i522b592g=z{;i86=4={<0`7?>c348h;78k;|q1g1<72;q6>n:58e9>6f1=>o1v?m::18184d=32o705<4s48h57;8;<0`n75ee9>6g3=>k1v?mn:18084di3oo70=;e;74?853831}::l<1:l522d:92g=::m=1:o522e09c348o=78m;|q1ga<72;q6>nj58e9>6a7=>j1v?mj:18184dm32o705<5s48o<76k;<0g6?0d3ty9h<4?:3y>6a7=0m16>i<56c9~w7b42909w07}::m>14i522e592f=z{;n>6=4={<0g1?>c348o478m;|q1`3<72;q6>i858e9>6a>=>j1v?j8:18184c?32o705<5s48o576k;<0ge?0e3ty9ho4?:5y>6ad=mm16?5956`9>7gb=>h16>h?56c9~w7bd2909w07}::mn14i522d:92f=z{;nn6=4={<0ga?>c348n<78l;|q1`c<72;q6>ih58e9>6`4=>j1v?k?:18184b832o705<5s48n?76k;<0f=?0d3ty9i94?:3y>6`2=0m16>h>56e9~w7c22909w07}::l<14i522dc92f=z{;o<6=4={<0f3?>c348oj78k;|q1a=<72;q6>h658e9>6ac=>j1v?k6:18184b132o705<3s48nn7kk;<137?0d348<=78m;<0g1?0e3ty9in4?:dy>6`e=mm16?754=>k16?=856`9>6cb=>h16>hk56b9>624=>h16>h=56`9>6`3=>k16>ik56c9>6a0=>h16?<756c9>77?=>h16??l56c9~w7cc2909w07}::lo14i522g392g=z{;om6=4={<0fb?>c348m<78l;|q1b5<72;q6>k>58e9>6c7=>j1v?h=:18f84a:3oo70=>e;4a?857:338;4b?84?035<5s48m876k;<0ea?0e3ty9j84?:3y>6c3=0m16>k856b9~w7`12909w07}::o214i522gg92f=z{;l26=4={<0e=?>c348mi78k;|q1bd<72;q6>ko58e9>6cc=>l1v?hm:18184aj32o705<5s48mh76k;<0eb?0d3ty9jh4?:3y>6cc=0m16>k;56b9~w7`a2909w0c}:;9:1ii5231:92g=::oh1:l522gd92g=::lo1:o522dd92d=::>;1:n522d692d=::lk1:o522e692d=::m?1:n5230592d=::121:n5233:92d=:;;i1:n5rs222>5<5s49;<7;8;<13e?>c3ty8754=0m16?=o56c9~w6642909w0=?3;:g?857?37}:;9>14i5231592f=z{::>6=4={<131?>c349;578m;|q043<72;q6?=858e9>75?=>m1v>>8:181857?32o70=?8;4`?xu4810;6?u231:95<5s49;576k;<13e?0d3ty875e=0m16>ko56`9>6fb=>h1v>>k:181857l3oo70=?c;4`?xu48l0;6?u231g9aa=:;9i1:o5rs22e>5<3s49;i7;8;<13`?30348?97;<;<124?>c3ty8=<4?:3y>747=mm16?9?56b9~w6752909w0=>2;gg?853936}:;8819:52303912=:;8>14i5rs236>5<4s49:97kk;<1g4?0f349hj78n;|q053<72;q6?<;5569>74g=0m1v>?8:181856?32o70=>9;4`?xu4910;6?u230:95<5s49:576k;<12e?0e3ty8=o4?:3y>74d=mm16>:j54d9~w67d2909w0=>c;gg?85b:37}:;8i19:5230g9c3499=78l;|q067<72;q6??<5ee9>7`e=>h1v><<:181855:3?<70==6;:g?xu4:=0;6?u233695<5s499976k;<112?0d3ty8>:4?:2y>767=>h16??:56`9>77c=0m1v><7:181855032o70==b;4`?xu4:00;6?u233;95<5s499m76k;<11g?0c3ty8>o4?:3y>77d=0m16??j56b9~w64d2909w0==c;:g?855m37}:;;n14i5233g92g=z{:8m6=4={<11b?cc34>;<78n;|q075<72;q6??h5569>765=0m1v>=>:181854932o70=<3;4a?xu4;;0;6?u232095<4s49887kk;<0`7?0f34>;>78n;|q070<72;q6?>:5569>760=0m1v>=8:181854<3>n70=5<5s498576k;<10`?0e3ty8?l4?:3y>76g=0m16?>j56e9~w65e2909w0=7}:;:i14i5232f92c=z{:9o6=4={<10`?>c3498i78l;|q07c<72:q6?>856`9>76c=>k16?9858e9~w6272909w0=;0;:g?853>37}:;=;14i5235792g=z{:>96=4={<176?>c349?878m;|q006<72;q6?9=58e9>712=>j1v>:;:181853<32o70=;5;4`?xu4<<0;6?u235795<5s49?;7kk;<1`2?0f3ty8854?:gy>71>=mm16?no56`9>71b=>j16?4<56c9>7<5=>h16?8l56c9>70e=>h16?:?56c9>724=>h16?lh56b9>7=7=>h16?8:56`9>7=e=>h16?;956b9>72d=>k1v>:6:18185303>n70=;a;:g?xu45<5s49?n7;8;<17`?>c3ty88h4?:7y>71c=mm16?lo56`9>7g5=>j16?l856b9>7=d=>h16?;;56`9~w62a2909w0=:0;:g?852:37}:;<;14i5234092a=z{:?86=4<{<164?0f349>476k;<1b5?0e3ty8994?:3y>702=0m16?8656c9~w6322909w0=:5;:g?852037}:;<<14i5234:92a=z{:?<6=4={<163?>c349>478j;|q01<<72;q6?875ee9>773=>h1v>;n:18185213?<70=:f;:g?xu4=k0;6?u234`95<5s49>o76k;<16a?0e3ty89i4?:3y>70b=0m16?8k56b9~w63b2909w0=:e;:g?852n37}:;?:1ii5234`92f=z{:<:6=4={<154?30349=>76k;|q026<72;q6?;=5ee9>6`d==>1v>8;:181851;3?<70=96;:g?xu4><0;6?u237795<5s49=;76k;<15`?0e3ty8:54?:3y>73>=0m16?;m56c9~w60>2909w0=99;:g?851k37}:;?k14i5237g92f=z{:c349=:78m;|q02f<72;q6?;m58e9>73b=>j1v>8k:181851l32o70=9e;4a?xu4>l0;6?u237g95<4s49=j7kk;<0;=?0e3499m78n;|q035<72;q6?;h5569>722=0m1v>9>:181850932o70=84;4a?xu4?;0;6?u2360991:n5rs250>5<5s4973`=7}:;>=14i5236c92f=z{:=36=4={<14c34972e=>m1v>9n:181850i32o70=8b;4`?xu4?k0;6?u236`9i1:n5rs25g>5<5s4972b=7=2=0m1v>9i:181850n32o70=74;4`?xu4090;6?u239295<5s493=76k;<1;7?0d3ty84?4?:3y>7=4=0m16?5:56e9~w6>42909w0=73;:g?85?<37}:;1?1ii5234392d=z{:2=6=4={<1;1?303493476k;|q0<2<72;q6?5958e9>7=>=>j1v>66:18085?=3>n70=mb;6f?85?n32o7p}<8`83>7}:;1k14i5239g92f=z{:2i6=4={<1;f?>c3493i78k;|q07=c=>l1v>6k:18185?l32o70=7f;4`?xu40l0;6?u239g95<5s492<7kk;<106?0f3ty85<4?:3y>7<6==>16?4858e9~w6?52909w0=62;:g?85>>37}:;0914i5238792g=z{:3?6=4={<1:0?>c3492978l;|q0=0<72;q6?4;58e9>7<0=>j1v>78:18185>?3oo70=62;4`?xu4110;6?u2385912=:;0314i5rs2;b>5<2s49?47;8;<1:e?cc3492;7:j;<154?2b349?m78l;|q0=g<72?q6?4l5ee9>7g7=>h16?5<56`9>7=c=>k16?;756`9>721=>h1v>7l:18185>j3>n70=n3;:g?xu41m0;6?u238f95<5s492i76k;<1b4?0d3ty85k4?:3y>7<`=0m16?l=56b9~w6g72909w0=n0;:g?85f937}:;h;14i523`092f=z{:k96=4={<1b6?>c349j?78m;|q0e1<720q6?l:5ee9>7g>=>h16?o<56`9>7g5=>k16?l856c9>7=6=>k16?;o56`9>7h16?:756`9~w6g22909w0=n4;74?85f?32o7p}7}:;h<14i523`592f=z{:k36=4l{<1b7dc=0m1v>on:18185fi32o70=m5;4`?xu4ik0;6?u23``95<5s49jo76k;<1b`?0e3ty8mi4?:3y>7db=0m16?lk56c9~w6ga2909w0=nf;:g?85fk37}:;k:14i523c492g=z{:h:6=4={<1a5?>c349i:78l;|q0f7<72;q6?o<58e9>7db=>j1v>l<:18185e;32o70=nb;4a?xu4j=0;6?u23c695<5s49i976k;<1ba?0d3ty8n;4?:3y>7g0=0m16?lm56b9~w6d02909w0=m8;:g?85e130}:;==19:5238290`=:;<318h5235f92g=:;k314i5rs2`a>5<5s49in7kk;<1g0?0f3ty8nn4?:3y>7gd==>16?oh58e9~w6dc2909w0=md;:g?85en37}:;ko14i523cd92f=z{:i;6=4={<1`5?>c349h?78m;|q0g4<72;q6?n<58e9>7f5=>j1v>m;:180[5d<278o547d:?0g1m8:7`8yv5d>3:1>v3;4k>0=o6s|3b:94?4|5:i<65j4=2a;>3g53z\0g<=:;jh14i523b;9a7=z{:ii6=4={<1`e?>c349hn78n;|q0gf<72:qU?nm4=2ag>3g<5:ih6h<4}r1``?6=ir798k49a:?114<1i27?on49b:?7`1<1k279:h49b:?7g3<1i27?h:49a:?0g7<1i27?h<49c:?0ga`453z\0`6=:;m>14i523e19a7=z{:n>6=4<{_1g1>;4l?0=m63{t;m<1<7=t=35`>3g<5;o<6;o4=2f5>=b53z\0`2=:;m21:l523e59a7=z{:n36=4={<04b?0f349o476k;|q0`<<72:qU?i74=2fb>=b<5:n26h<4}r1gf?6=;rT8ho523ea95<4sW9oh63;4lm0n>6s|3ed94?5|V:nm70=j0;4b?85cn3o97p}7}::1?1:l523d29;4m;03h63{t;l91<7=t^2g0?85b<32o70=j3;g1?xu4m=0;6?u23b191:l5rs2g6>5<4sW9n963;4m<0n>6s|3d494?4|5:8:65j4=2g5>3g53z\0a2=:;l21:l523d59a7=z{:o36=4={<377?0f349n476k;|q0a<<72:qU?h74=2gb>3g<5:o26h<4}r1fe?6=`452z?7gfki;<1e4?>c349nj7k=;|q0b5<72;q68n:58e9>7c6=>h1v>h>:180[5a9278j?47d:?0b4h=:7c8yv5a;3:1?vP7c2=0m16?k=5e39~w6`32909w0:k2;:g?85a<36}Y;o?01>h9:6c896`22l80q~=i7;296~;4n103h63{t;o31<7=t^2d:?85ai32o70=i9;g1?xu4nh0;6?u24e195<4sW9mn63;4nk0n>6s|3ga94?4|5=n?65j4=2d`>3g53z\0ba=:;oo1:l523gf9a7=z{:ln6=4;{<1:=?0f34>o578n;<1:0?0f349mi76k;|q0bc<72:qU?kh4=523>=b<5:lm6h<4}r635?6=;rT?<<5241095<4sW>;?63;058;`>;38:0n>6s|41694?4|5;3g;97>53z\740=:<9<1:l524179a7=z{=:=6=4={<120?0f34>;:76k;|q742<72:qU8=94=52;>3g<5=:<6h<4}r63n49a:?74=j:7`8914b2?h0q~:?b;29=~;38k0nh63;c885f>;3lh0=n63;a785f>;3010=m63;3g85f>;39=0=n63;2185e>;3kl0=m6s|41a94?4|5=:i6894=536>=b;h7>52z?74a49b:p05c=838p19>j:9f891772?h0q~:?f;296~;38o03h63;1485g>{t<8:1<7=b<5=;:6;m4}r625?6=:r7?=<47d:?757<1j2wx8<<50;0x917521n019?<:7a8yv26;3:1>v3;128;`>;39=0=o6s|40694?4|5=;?65j4=536>3d::7>52z?7538;296~;39103h63;1185g>{t<831<7=b<5=;96;m4}r62e?6=:r7?=l47d:?74c<1j2wx8i:7a8yv26k3:1>v3;1b8;`>;38o0=h6s|40f94?4|5=;o65j4=52e>3c:i7>52z?75`{t<;;1<7=b<5=8=6;j4}r616?6=:r7?>?47d:?760<1j2wx8?=50;0x914421n019<;:7`8yv25<3:1>v3;258;`>;3:<0=o6s|43794?4|5=8>65j4=505>3e9;7>52z?762h49c:p07>=838p19<7:9f891442?i0q~:=9;296~;3:003h63;2585g>{t<;k1<7=b<5=8=6;l4}r61f?6=:r7?>o47d:?764<1j2wx8?m50;0x914d21n019<>:7a8yv25l3:1>v3;2e8;`>;3:;0=o6s|43g94?4|5=8n65j4=500>3d9j7>52z?7757}:<:819:524539c34>8978l;|q770<72;q68>;58e9>06?=>j1v9=9:181824>32o70:0;6?u242595<5s4>8476k;<675?0d3ty??44?:3y>06?=0m168>k56c9~w15f2909w0:7}:<:h14i5242a92g=z{=9h6=4={<60g?>c34>8h78m;|q77a<72;q68>j58e9>06c=>j1v9=j:181824m32o70:;0;4a?xu3;o0;6?u242d95<5s4>?<76k;<675?0e3ty?8?4?:3y>014=0m168>856c9~w1242909w0:;3;:g?824>37}:<=>14i5242592f=z{=>>6=4={<671?>c34>8h78l;|q703<72;q689858e9>016=>j1v9:8:181823?32o70:<8;4a?xu3<10;6?u245:95<5s4>?m76k;<67`?0e3ty?8l4?:3y>01d=0m1689m56b9~w12e2909w0:;c;:g?823l37}:<=l14i5244092g=z{=>m6=4={<664?>c34>>=78l;|q715<72;q688?58e9>004=>j1v9;<:181822<32o70::7;4a?xu3==0;6?u244795<5s4>>:76k;<663?0d3ty?954?:3y>00?=0m1688m56c9~w13>2909w0::a;:g?822j37}:<c34>==78m;|q71`<72;q688h58e9>036=>j1v9;i:181821832o70:91;4`?xu3>;0;6?u247195<5s4>=876k;<651?0d3ty?:94?:3y>033=0m168;856b9~w1002909w0:98;:g?821j37}:c34>=n78l;|q72f<72;q68;j58e9>026=>k1v98k:181821m32o70:9f;4`?xu3>l0;6?u247d9:1:n5rs552>5<5s4><>76k;<641?0e3ty?;?4?:3y>025=0m168:;56b9~w1142909w0:84;:g?820?37}:<>?14i5246492f=z{==>6=4={<642?>c34><;78m;|q73=<72;q68:758e9>02e=>k1v996:181820i32o70:8b;4`?xu3?h0;6?u246`9i1:n5rs55g>5j<78n;<6b0?0e34>j;78n;<6:e?0f34>3578n;<6;g?0e34>?978n;<673?0f34>:578n;<616?0e34>i=78n;|q73`<72;q68:j5569>0=2=0m1v99i:181820n32o70:71;4a?xu3090;6?u249295<5s4>3=76k;<6;0?0e3ty?4?4?:3y>0=4=0m1685=56b9~w1>42909w0:73;:g?82?<37}:<1?14i5249`92f=z{=2=6=4={<6;2?>c34>3?78m;|q7<2<72;q685958e9>0=e=>j1v967:18182?032o70:70;4`?xu3000;6?u249;95<5s4>3m76k;<6;4?0b3ty?4o4?:3y>0=d=0m1685?56b9~w1>d2909w0:7c;:g?82?:3`}:<1n1ii524`g92g=:l1:n5249c92d=:<=<1:l5242:92f=:<9n1:n5240a92d=:<;h1:l524c192g=z{=2n6=4={<6;`?3034>2976k;|q70j1v97?:18182>832o70:62;4a?xu3180;6?u248395<5s4>2>76k;<6:7?0d3ty?5>4?:3y>0<5=0m1684:56c9~w1?32909w0:64;:g?82>=37}:<0<14i5248392f=z{=3<6=4={<6:3?>c34>2o78m;|q7==<72;q684658e9>0j1v976:18182>132o70:62;4`?xu31h0;6?u248c91:n5rs5;a>5<5s4>2n76k;<6:`?0d3ty?5n4?:3y>056b9~w1?c2909w0:6d;:g?82>93d}:<0o1ii524`:92d=:<0n1:o5249592d=:<1h1:o5245:92d=:<:31:o5241f92g=:<8n1:l5243a92d=z{=3m6=4={<6:a?3034>j?76k;|q7e5<72;q68l>58e9>0d?=>j1v9o>:18182f932o70:n2;4a?xu3i;0;6?u24`095<5s4>j876k;<6be?0e3ty?m84?:3y>0d3=0m168lo56b9~w1g12909w0:n6;:g?82fj37}:c34>j>78k;|q7e<<72;q68l758e9>0d5=>k1v9on:18182fi32o70:n1;4a?xu3ik0;6?u24``95<5s4>jh76k;<6ba?0d3ty?mk4?:2y>0d`=mm168oj56`9>0g?=>h1v9l?:18182fn3>n70:m4;:g?xu3j80;6?u24c395<5s4>i>76k;<6a0?0d3ty?n>4?:3y>0g5=0m168o:56c9~w1d22909w0:m5;gg?82c830}:5<3s4>jj7;8;<6a3?cc34>im78m;<6a6?0f3ty?n54?:3y>0g0==>168oo58e9~w1d>2909w0:m9;:g?82ei3g}:5<5s4>in7;8;<6aa?>c3ty?ni4?:3y>0gb=0m168ok56b9~w1da2909w0:mf;gg?82em37}:0f5=0m1v9m::18182d<35<5s4>h;76k;<6`=?0c3ty?o54?:3y>0f>=0m168n756d9~w1ef2909w0:lb;:g?82dk37}:c34>o=78k;|q7gc<72;q68i>58e9>0a4=>j1v9j?:18182c932o70:k2;4a?xu3l?0;6?u24e792d=:5<5s4>o;76k;<6ge?0d3ty?h54?:3y>0a>=0m168io56e9~w1b>2909w0:k9;:g?82ci31283>6}Y989010;297~X69916=<>5e39>547=>h1v<>j:180[77m27:1;295~;69803h6s|11d94?7|58:m65j4}|l210>=83;pD<<:;Hg1>4}62tP=;7?t838~yk72=00;6m7>51zJ260=Nm;0:w<4rZ7595~>52twe=8;m:182M75=2Cn>7?t1;Y22<6s181qp`>54a94?7|@88>7Dk=:0y2>x\1?3;p4?4r}o361a<728qC=?;4Id095~7=uS<<6249Ja7<6s80vV;951z:1>x{i9{I311>Ob:3;p=7sU6682=4=utd:9;>50;3xL4423@o96:|X53?7|0;0vqc?:6083>4}O9;?0Eh<51z39y_0028q3>7srn0756?6=9rB:>85Fe3824{909yxh6=?>1<7?tH006?Lc528q:6pT97;3x<7:84?:0yK5734}?:3wvb<;96;295~N6:<1Bi?4>{08~^31=9r296psa1444>5<6sA;996Gj2;3x5?{]>>0:w5<5}|l213>=83;pD<<:;Hg1>4}62tP=;7?t838~yk72>00;651zJ260=Nm;0:w<4rZ7595~>52twe=88m:182M75=2Cn>7?t1;Y22<6s181qp`>57a94?7|@88>7Dk=:0y2>x\1?3;p4?4r}o362a<728qC=?;4Id095~7=uS<<6249Ja7<6s80vV;951z:1>x{i9<{I311>{i9<=;6=4>{I311>{i9<=:6=4>{I311>{i9<=96=4>{I311>{i9<=86=4>{I311>{i9<=?6=4>{I311>{i9<=>6=4>{I311>{i9<==6=4>{I311>{i9<=<6=4>{I311>{i9<=36=4>{I311>{i9<=26=4>{I311>{i9<=j6=4>{I311>{i9<=i6=4>{I311>{i9<=h6=4>{I311>{i9<=o6=4>{I311>{i9<=n6=4>{I311>{i9<=m6=4>{I311>{i9<2;6=4>{I311>{i9<2:6=4>{I311>{i9<296=4>{I311>{i9<286=4>{I311>{i9<2?6=4>{I311>{i9<2>6=4>{I311>{i9<2=6=49{I311>{i9<2<6=4>{I311>Ob:3;p=7sU10:95~372tP=;7454?:3;xL4423td:95750;3xL4423@o964}O9;?0Eh<51z09y_0028q3>7srn07;f?6=9rB:>85Fe38244}62tP=;7?t838~yk720l0;6198207=uS<<6?u>198;6?{zf8?2<7>539yK5735<4?:0yK5735?4?:0yK5735>4?:0yK573594?:31xL4423td:94;50;0xL4423td:94850;0xL4423td:94950;1xL4423td:94650;3xL4423@o964}O9;?0Eh<51z09y_0028q3>7srn07:e?6=9rB:>85Fe3827{909yxh6=0i1<7?tH006?Lc528q96pT97;3x<75i4?:0yK5734}?:3wvb<;6e;295~N6:<1Bi?4>{38~^31=9r296psa14;e>5<6sA;996Gj2;3x6?{]>>0:w5<5}|l21d6=83;pD<<:;Hg1>4}52tP=;7?t838~yk72i80;67>51zJ260=Nm;0:w?4rZ7595~>52twe=8o<:182M75=2Cn>7?t2;Y22<6s181qp`>5`694?7|@88>7p`>5`794?7|@88>7p`>5`494?7|@88>7p`>5`594?7|@88>7p`>5`:94?7|@88>7p`>5`;94?7|@88>7p`>5`c94?7|@88>7p`>5``94?7|@88>7p`>5`a94?7|@88>7p`>5`f94?7|@88>7p`>5`g94?7|@88>7p`>5`d94?7|@88>7p`>5c294?7|@88>7p`>5c394?1|@88>7p`>5c094?5|@88>7p`>5c194?7|@88>7p`>5c694?5|@88>7p`>5c794?5|@88>7p`>5c494?5|@88>7p`>5c594?7csA;996sa14`;>5<5sA;996sa14`:>5<6sA;996sa14`b>5<6sA;996sa14`a>5<4sA;996sa14``>5<4sA;996sa14`g>5<5sA;996sa14`f>5<6sA;996sa14`e>5<4sA;996sa14a3>5<5sA;996sa14a2>5<6sA;996sa14a1>5<4sA;996sa14a0>5<5sA;996sa14a7>5<6sA;996sa14a6>5<4sA;996sa14a5>5<5sA;996sa14a4>5<6sA;996sa14a;>5<5sA;996sa14a:>5<6sA;996sa14ab>5<4sA;996sa14aa>5<5sA;996sa14a`>5<6sA;996sa14ag>5<4sA;996sa14af>5<5sA;996sa14ae>5<6sA;996sa14f3>5<4sA;996sa14f2>5<5sA;996sa14f1>5<6sA;996sa14f0>5<4sA;996sa14f7>5<5sA;996sa14f6>5<6sA;996sa14f5>5<4sA;996sa14f4>5<5sA;996sa14f;>5<6sA;996sa14f:>5<4sA;996sa14fb>5<5sA;996sa14fa>5<6sA;996sa14f`>5<4sA;996sa14fg>5<5sA;996sa14ff>5<6sA;996sa14fe>5<4sA;996sa14g3>5<5sA;996sa14g2>5<6sA;996sa14g1>5<5sA;996sa14g0>5<5sA;996sa14g7>5<5sA;996sa14g6>5<5sA;996sa14g5>5<5sA;996sa14g4>5<5sA;996sa14g;>5<5sA;996sa14g:>5<5sA;996sa14gb>5<6sA;996sa14ga>5<5sA;996sa14g`>5<5sA;996sa14gg>5<6sA;996sa14gf>5<6sA;996sa14ge>5<5sA;996sa14d3>5<5sA;996sa14d2>5<5sA;996sa14d1>5<5sA;996sa14d0>5<5sA;996sa14d7>5<5sA;996sa14d6>5<5sA;996sa14d5>5<5sA;996sa14d4>5<5sA;996sa14d;>5<5sA;996sa14d:>5<5sA;996sa14db>5<5sA;996sa14da>5<5sA;996sa14d`>5<5sA;996sa14dg>5<5sA;996sa14df>5<6sA;996sa14de>5<4sA;996sa1723>5<4sA;996sa1722>5<6sA;996sa1721>5<6sA;996sa1720>5<6sA;996sa1727>5<4sA;996sa1726>5<4sA;996sa1725>5<6sA;996sa1724>5<6sA;996sa172;>5<6sA;996sa172:>5<6sA;996sa172b>5<6sA;996sa172a>5<6sA;996sa172`>5<2sA;996sa172g>5<4sA;996sa172f>5<6sA;996sa172e>5<6sA;996sa1733>5<6sA;996sa1732>5<6sA;996sa1731>5<6sA;996sa1730>5<6sA;996sa1737>5<6sA;996sa1736>5<2sA;996sa1735>5<4sA;996sa1734>5<6sA;996sa173;>5<6sA;996sa173:>5<6sA;996sa173b>5<6sA;996sa173a>5<6sA;996sa173`>5<6sA;996sa173g>5<6sA;996sa173f>5<69rB:>85rn042b?6=;rB:>85rn0414?6=9rB:>85rn0415?6=9rB:>85rn0416?6=9rB:>85rn0417?6=85rn0410?6=;rB:>85rn0411?6=9rB:>85rn0412?6=9rB:>85rn0413?6=85rn04185rn041=?6=9rB:>85rn041e?6=85rn041f?6=;rB:>85rn041g?6=9rB:>85rn041`?6=85rn041a?6=;rB:>85rn041b?6=9rB:>85rn0404?6=:rB:>85rn0405?6=:rB:>85rn0406?6=:rB:>85rn0407?6=:rB:>85rn0400?6=:rB:>85rn0401?6=;rB:>85rn0402?6=;rB:>85rn0403?6=9rB:>85rn04085rn040=?6=9rB:>85rn040e?6=9rB:>85rn040f?6=9rB:>85rn040g?6=;rB:>85rn040`?6=;rB:>85rn040a?6=9rB:>85rn040b?6=9rB:>85rn0474?6=9rB:>85rn0475?6=9rB:>85rn0476?6=9rB:>85rn0477?6=9rB:>85rn0470?6=9rB:>85rn0471?6=9rB:>85rn0472?6=9rB:>85rn0473?6=9rB:>85rn04785rn047=?6=9rB:>85rn047e?6=9rB:>85rn047f?6=9rB:>85rn047g?6=9rB:>85rn047`?6=9rB:>85rn047a?6=9rB:>85rn047b?6=9rB:>85rn0464?6=9rB:>85rn0465?6=9rB:>85rn0466?6=9rB:>85rn0467?6=9rB:>85rn0460?6=9rB:>85rn0461?6=9rB:>85rn0462?6=9rB:>85rn0463?6=9rB:>85rn04685rn046=?6=9rB:>85rn046e?6=9rB:>85rn046f?6=9rB:>85rn046g?6=9rB:>85rn046`?6=9rB:>85rn046a?6=9rB:>85rn046b?6=9rB:>85rn0454?6=9rB:>85rn0455?6=;rB:>85rn0456?6=:rB:>85rn0457?6=:rB:>85rn0450?6=:rB:>85rn0451?6=;rB:>85rn0452?6==rB:>85rn0453?6=;rB:>85rn04585rn045=?6=:rB:>85rn045e?6=:rB:>85rn045f?6=9rB:>85rn045g?6=9rB:>85rn045`?6=:rB:>85rn045a?6=:rB:>85rn045b?6=9rB:>85rn0444?6=9rB:>85rn0445?6=:rB:>85rn0446?6=:rB:>85rn0447?6=;rB:>85rn0440?6=9rB:>85rn0441?6=9rB:>85rn0442?6=9rB:>85rn0443?6=9rB:>85rn04485rn044=?6=9rB:>85rn044e?6=:rB:>85rn044f?6=:rB:>85rn044g?6=9rB:>85rn044`?6=9rB:>85rn044a?6=;rB:>85rn044b?6=;rB:>85rn04;4?6=9rB:>85rn04;5?6=9rB:>85rn04;6?6=9rB:>85rn04;7?6=9rB:>85rn04;0?6=;rB:>85rn04;1?6=9:qC=?;4}o35<3<72:qC=?;4}o35<2<72249~j41?m3:1=vF>249~j41?n3:1=vF>249~j41>83:1=vF>249Ja7<6s;0vV;951z:1>x{i9>3:6=4>{I311>{i9>396=4>{I311>Ob:3;p=7sU6682=4=utd:;4=50;3xL4423td:;4:50;0xL4423@o964}O9;?0Eh<51z09y_0028q3>7srn05:2?6=9rB:>85Fe3827{909yxh6?021<7?tH006?xh6?031<7?tH006?Lc528q:6pT97;3x<74}?:3wvb<96c;295~N6:<1Bi?4>{38~^31=9r296psa16;g>5<6sA;996Gj2;3x6?{]>>0:w5<5}|l234}62tP=;7?t838~yk701o0;651zJ260=Nm;0:w?4rZ7595~>52twe=:o=:182M75=2we=:o<:182M75=2Cn>7?t2;Y22<6s181qp`>7`694?7|@88>7p`>7`794?7|@88>7Dk=:0y2>x\1?3;p4?4r}o34e3<728qC=?;4}o34e2<728qC=?;4Id095~7=uS<<6249~j41f13:1>vF>249Ja7<6s:0vV;951z:1>x{i9>kj6=4>{I311>Ob:3;p>7sU6682=4=utd:;ll50;3xL4423td:;lm50;3xL4423@o964}O9;?0qc?8ad83>4}O9;?0Eh<51z09y_0028q3>7srn05bb?6=9rB:>85rn05a4?6=9rB:>85Fe38274}O9;?0qc?8b783>4}O9;?0Eh<51z09y_0028q3>7srn05a3?6=9rB:>85rn05a85Fe3824290:wE?=5:m52df2909wE?=5:Kf6?7|;3wQ::4>{909yxh6?kh1<74}?:3wvb<9md;295~N6:<1vb<9me;295~N6:<1Bi?4>{08~^31=9r296psa16`e>5<6sA;996sa16a3>5<6sA;996Gj2;3x5?{]>>0:w5<5}|l23f7=838pD<<:;|l23f4=839pD<<:;|l23f5=839pD<<:;|l23f2=839pD<<:;|l23f3=83;pD<<:;|l23f0=83;pD<<:;|l23f1=83;pD<<:;|l23f>=83;pD<<:;|l23f?=83;pD<<:;|l23fg=83;pD<<:;|l23fd=83;pD<<:;|l23fe=83;pD<<:;|l23fb=83;pD<<:;|l23fc=83;pD<<:;|l23f`=83;pD<<:;|l23a6=83;pD<<:;|l23a7=83;pD<<:;|l23a4=83;pD<<:;|l23a5=83;pD<<:;|l23a2=83;pD<<:;|l23a3=839pD<<:;|l23a0=839pD<<:;|l23a1=83;pD<<:;|l23a>=83;pD<<:;|l23a?=83;pD<<:;|l23ag=83;pD<<:;|l23ad=83;pD<<:;|l23ae=83;pD<<:;|l23ab=83;pD<<:;|l23ac=83;pD<<:;|l23a`=83;pD<<:;|l23`6=83;pD<<:;|l23`7=83;pD<<:;|l23`4=83;pD<<:;|l23`5=83;pD<<:;|l23`2=83;pD<<:;|l23`3=83;pD<<:;|l23`0=839pD<<:;|l23`1=839pD<<:;|l23`>=83;pD<<:;|l23`?=83;pD<<:;|l23`g=83;pD<<:;|l23`d=83;pD<<:;|l23`e=83;pD<<:;|l23`b=83;pD<<:;|l23`c=83;pD<<:;|l23``=83;pD<<:;|l23c6=83;pD<<:;|l23c7=83;pD<<:;|l23c4=83;pD<<:;|l23c5=83;pD<<:;|l23c2=83;pD<<:;|l23c3=83;pD<<:;|l23c0=83;pD<<:;|l23c1=83;pD<<:;|l23c>=83;pD<<:;|l23c?=83;pD<<:;|l23cg=83;pD<<:;|l23cd=83;pD<<:;|l23ce=83;pD<<:;|l23cb=83;pD<<:;|l23cc=83;pD<<:;|l23c`=83;pD<<:;|l2<56=83;pD<<:;|l2<57=83;pD<<:;|l2<54=83;pD<<:;|l2<55=83;pD<<:;|l2<52=83;pD<<:;|l2<53=83;pD<<:;|l2<50=83;pD<<:;|l2<51=83;pD<<:;|l2<5>=83;pD<<:;|l2<5?=83;pD<<:;|l2<5g=83;pD<<:;|l2<5d=83;pD<<:;|l2<5e=83;pD<<:;|l2<5b=83;pD<<:;|l2<5c=83;pD<<:;|l2<5`=83;pD<<:;|l2<46=83;pD<<:;|l2<47=83;pD<<:;|l2<44=83;pD<<:;|l2<45=83;pD<<:;|l2<42=83;pD<<:;|l2<43=83;pD<<:;|l2<40=83;pD<<:;|l2<41=83;pD<<:;|l2<4>=83;pD<<:;|l2<4?=83;pD<<:;|l2<4g=83;pD<<:;|l2<4d=83;pD<<:;|l2<4e=839pD<<:;|l2<4b=839pD<<:;|l2<4c=83;pD<<:;|l2<4`=83;pD<<:;|l2<76=83;pD<<:;|l2<77=83;pD<<:;|l2<74=83;pD<<:;|l2<75=83;pD<<:;|l2<72=83;pD<<:;|l2<73=83;pD<<:;|l2<70=83;pD<<:;|l2<71=83;pD<<:;|l2<7>=83;pD<<:;|l2<7?=83;pD<<:;|l2<7g=83;pD<<:;|l2<7d=839pD<<:;|l2<7e=839pD<<:;|l2<7b=83;pD<<:;|l2<7c=83;pD<<:;|l2<7`=83;pD<<:;|l2<66=83;pD<<:;|l2<67=83;pD<<:;|l2<64=83;pD<<:;|l2<65=83;pD<<:;|l2<62=83;pD<<:;|l2<63=83;pD<<:;|l2<60=83;pD<<:;|l2<61=83;pD<<:;|l2<6>=83;pD<<:;|l2<6?=83;pD<<:;|l2<6g=83;pD<<:;|l2<6d=839pD<<:;|l2<6e=839pD<<:;|l2<6b=83;pD<<:;|l2<6c=83;pD<<:;|l2<6`=83;pD<<:;|l2<16=83;pD<<:;|l2<17=83;pD<<:;|l2<14=83;pD<<:;|l2<15=83;pD<<:;|l2<12=83;pD<<:;|l2<13=83;pD<<:;|l2<10=83;pD<<:;|l2<11=83;pD<<:;|l2<1>=83;pD<<:;|l2<1?=838pD<<:;|l2<1g=838pD<<:;|l2<1d=83;pD<<:;|l2<1e=83;pD<<:;|l2<1b=83;pD<<:;|l2<1c=839pD<<:;|l2<1`=839pD<<:;|l2<06=83>pD<<:;|l2<07=839pD<<:;|l2<04=83;pD<<:;|l2<05=839pD<<:;|l2<02=839pD<<:;|l2<03=83;pD<<:;|l2<00=839pD<<:;|l2<01=839pD<<:;|l2<0>=839pD<<:;|l2<0?=839pD<<:;|l2<0g=83;pD<<:;|l2<0d=83;pD<<:;|l2<0e=83;pD<<:;|l2<0b=83;pD<<:;|l2<0c=83;pD<<:;|l2<0`=83;pD<<:;|l2<36=83;pD<<:;|l2<37=83;pD<<:;|l2<34=83;pD<<:;|l2<35=83;pD<<:;|l2<32=83;pD<<:;|l2<33=83;pD<<:;|l2<30=83;pD<<:;|l5a?6=9rwe4>4?:0y~j<>=83;pqcok:182xhd:3:1=vsad683>4}zfli1<7?t}o335?6=9rwe=<850;3xyk75j3:1=vsa15294?7|ug;>97>51zm53g=83;pqc?8f;295~{i90o1<7=t}o3:51zm5cd=839pqc?i5;295~{i:8:1<7?t}o01b?6=;rwe>?750;3xyk43<3:1=vsa24;94?7|ug8=i7>51zm6=5=83;pqcol50;3xyk4b83:1?vsa2ec94?7|ug8mj7>53zm6c?=83;pqc=>e;297~{i;821<7?t}o10`?6=;rwe?>950;3xyk52:3:1=vsa37594?7|ug951zm7<7=83;pqc=n6;295~{i;kh1<7?t}o1g4?6=9rwe?hh50;1xyk5b13:1=vsa41g94?5|ug>;47>51zm07b=839pqc:=7;295~{i<=i1<7=t}o672?6=9rwe8;l50;1xyk21=3:1=vsa49c94?5|ug>387>51zm0d?=839pqc:n3;295~{i51zm173=839pqc;>f;295~{i==>1<7=t}o70a?6=9rwe9;=50;1xyk32l3:1=vsa59094?5|ug?51zm1d7=839pqc;6b;295~{i=j:1<7=t}o7ae?6=9rwe9ih50;1xyk3c13:1=vsa5gg94?5|ug?m47>51zm24b=839pqc8>7;295~{i>:i1<7=t}o402?6=9rwe:8l50;1xyk02=3:1=vsa66c94?5|ug<<87>51zm2k21<7=t}o4a6?6=9rwe:i950;1xyk0c93:1=vsa6g494?5|ug51zm343=839pqc9?f;295~{i?:>1<7=t}o51a?6=9rwe;8=50;1xyk13l3:1=vsa76094?5|ug==o7>51zm3<7=839pqc97b;295~{i?k:1<7=t}o5be?6=9rwe;nh50;1xyk1d13:1=vsa7dg94?5|ug=n47>51zm<5b=839pqc6?7;295~{i0;i1<7=t}o:12?6=9rwe49l50;1xyk>3=3:1=vsa87c94?5|ug2=87>51zm<2`=83;pqc664;295~{i0h31<7?t}o:aa?6=9rwe4i=50;3xyk>b03:1=vsa91594?5|ug3;=7>51zm=4e=83;pqc753zm=<4=83;pqc7m7;297~{i1k;1<7?t}o;g2?6=;rwe5i>50;3xyk?a=3:1?vsa9dd94?7|ugk:87>53zme5c=83;pqco<3;297~{ii;n1<7?t}oc66?6=;rwem9m50;3xykg093:1?vsaa7`94?7|ugk2<7>53zme=g=83;pqconf;297~{iih31<7?t}o3;22<728qQ::4>{909yM>d3td:4;650;3x^31=9r296pF7c:m5=0>290:wW88:0y;6?{O0j1vb<69a;295~{i91{|l2<3e=83;pqpsr@AAx5f462<:m;k;;d|BCF~6zHIZpqMN \ No newline at end of file diff --git a/cpld/XC95144XL/WarpSE.ngr b/cpld/XC95144XL/WarpSE.ngr index 5c3b112..9f794dc 100644 --- a/cpld/XC95144XL/WarpSE.ngr +++ b/cpld/XC95144XL/WarpSE.ngr @@ -1,3 +1,3 @@ XILINX-XDB 0.1 STUB 0.1 ASCII XILINX-XDM V1.6e -$56`==)&&IEX#x8;/,,GV(q02$%#K]M.w;8*+)NGKG%z45!..KLFV(q02$%#_OB.w`8*+)Pi{zXI#x>;138544<9;0DYY^ZT;PB847=87h0=?4]A=32>58f38<1M1<<:9<24>712@D[YY4N<319<;7<:h19=7O32082:46<:80BB][[:@>15?79m2886LQISC>17?799=19?7GAPTV9EZ@TJ5886<0>;2d87?OIX\^1_Z2=:1<:?63=L5>1<3m45;FbpdG;<3:556;5ER>7>58b3<0BB][[:E>7>586:2?1EC^ZT;FbpdG;<3:5j6;5OTVSQQ3=Y5>1<3j45;Pfwpjs4=0;2k5::^cg`56785>1<3?46094<>>=L5=1<3m48;FbpdG;?3:5i665IORVP?B;?3:5=?57:HLSQQg9;>JSSX\^1]1950?37?=g9;>Zgcl9:;<1950?78E9776<1J049B84?9<2K7=3;4A=03:2=F4;;1=3;4A=02:0=F4;85;6O3228;:0=F4;9586O32?68E959<2K783:4A=7=0>G;>7>0M1914:C?<;2\c:COA5Yj}q:;<=j4AMG3[hs89:;=h5NLD2\ip~789::=i5NLD2\ip~789:9==5NLD2\ip~789:Te`~;;@NF51=FFM8=7L@K2C35?DHC:K8?7L@K379BJA5E9?1JBI=M279BJA5E;=1JBI:9;@LG0G713HDO8O<9;@LG0G513HDO8O:9;@LG1G413HDO9O=9;@LG1G213HDO9O;;;@LG<1=F[{lh7L]}f^llp56798o0M^|i_omw4566W`g{SL]}f^llp5679830MRH\B=33:<=FWOYI027;?89B[CUE48?556OPFR@?538>3HUM_O2>7?;8EZ@TJ5;3245N_GQA84?902KTJ^L31?;8EZ@TJ58;245N_GQA877912KTJ^L3231:==FWOYI0>07;@]EWG:3611JSK]M<4<;?DYA[K6=255N_GQA828?3HUM_O27>99B[CUE404?7Lmcr048EfjuW@D]Sdc_@aovZOI^8>0NLM[e:@BGQYneyUIMNZ>5:@BGQt33KOY^85MESP32>DBZ[:y96LJRS35?GCUZ8x27OK]R^KLFVc1H@F<:EO37?FJL:EKCVLXN:5LLJ]JKG5ET>2Igil|>e:Aoadt6Whdo<=>?239@h`gu9Vkeh=>?0^kntZEkmhx:Sl`k012356=Ddb?0OaeJn0:8GimBfVCEZRgbp^Aoo@hXAG\:;6Mck^DPI423:1=C484?7I2=>59G86813M6?6=0;;E>7:1=C4<4?7I29>79G82<76=1O0:0:;EVBGN773M^JOFQfmq]GPDEL9>1OXLMDrg58@QGDC{xm7IZNCJpq[kis89::>95KT@AHvwYig}:;<?0034?Agsi5:5;6Jnt`>2:0=Ci}kJ46Jnt`C?4;>Bf|hK787>18:FbpdG;<720HlznA=7=<>Bf|hK7:3o4D`vbE91=8720HlznA=5=3>Bn{hhgik5Kircah`Yffm:;<=??;EkpegjbWhdo<=>?1028@lufjeoTmcj?012155=Cazki`hQnne234556;2Nbllce^cm`5678Vcf|<5J2:GP1>CT494>7H]31?78AV:56<1N_1=17:GP81<76<1N_1:1a:GP[dhc89:;=l5JS^cm`5678Vcf|RK\_`lg45679h1N_Road12354g?00]jiuYB[Vkeh=>?10f8AVYdeyUn}=>?0328AVYdeyUn}=>?0^kntZCTWjg{Sh?0122`>CTWjg{Sh?01314>CTWjg{Sh?013\mhvXMZUha}Qjq12354??00:8AVYhz9:;?0103?@RFKBUjbi>?01]jiuYB\HI@Sl`k0123546?149F[LIE02OemobjTs08Aw545IFe3.Mk4602LMh<#Fn2;8BCb6%@d8=45IFe3.Mk5512LMh<#Fn21:?C@c9$Ce8<64FGf2)Lh2j2LMh<#Fn^knt1=ANm8<7KHk2,Km<>@Al;'Bb<74FGf1)Lh6911MJi<"Io0:?C@c:$Ce><64FGf1)Lh412LMh?#Fn23;?C@c:$Ce845IFe0.Mk2602LMh?#Fn4;8BCb5%@d>=55IFe0.Mk0e3OLo> Ga_hos0>@Al:=0JKj<-Hl;?C@c;$Ce=45IFe1.Mk7602LMh>#Fn3;8BCb4%@d9=55IFe1.Mk5>3OLo? Ga30:8BCb4%@d?56HId2/Jj17?3OLo? Ga5c9EBa5*AgUba}=4FR@0?CUJk2LXAR>"p`pfjqe@TEV8&|l|jnu38C6=@FM;0E?5F039J57=N:;1B??5F439J17=N>;1B;95FABQ6?LGD[8?0ELM\249JEFU402CJO^Qfmq68MKOS;2CEZ85FO@AW`>OHIJ^Tmcj?01214>OHIJ^Tmcj?012\mhvXAFKHXRoad12344bOHJLXYi6G@BDPQ[hs89:;j6G@BDPQ[hs89:;=<>4IN@FVWYj}q:;<=??119JKGCUZVg~t=>?003e?LIEM[XTaxv?012155=NGKOY^Rczx123477a3@EII_\Pmtz34564991BCOK]R^ov|5678:;m7DAMESP\ip~789:?==5FOCGQVZkrp9:;<9?i;HMAAWTXe|r;<=>:119JKGCUZVg~t=>?04324>OHJLXYS`{w012324773@EII_\Pmtz345609o1BCOK]R^ov|56781;;7DAMESP\ip~789:3=<>4IN@FVWYj}q:;<=7>139JKGCUZVg~t=>?0^knta=NGKOY^Ra}012365=NGKOY^Ra}0123[lkwW@EII_\Pos2345733@EIA95FOCQ7?LID[<1BCN]>5:KLGV423@EH_>m4INAP[dhc89:;=h5FOBQ\ekb789:Te`~PINAP[dhc89:;=k5FOBQ\ghvXmx:;<=<;;HM@WZejxVoz<=>?_hos[LID[Vif|Rk~01235c=NGJYTo`~Pep2344433@EH_Rmbp^gr4566W`g{SDALS^antZcv89::=k5FOBQ\ghvXmx:;=_hos[LID[Vif|Rk~01215c=NGJYTo`~Pep2346433@EH_Rmbp^gr4564W`g{SDALS^antZcv89:8=k5FOBQ\ghvXmx:;<9<;;HM@WZejxVoz<=>;_hos[LID[Vif|Rk~01275c=NGJYTo`~Pep2340433@EH_Rmbp^gr4562W`g{SDALS^antZcv89:>=k5FOBQ\ghvXmx:;<;<;;HM@WZejxVoz<=>9_hos[LID[Vif|Rk~01255==NGJYTe`~;;HMO4f=NGE:Taxv?012g?LIK8Vg~t=>?00g8MJJ7Wds<=>?10g8MJJ7Wds<=>?20f8MJJ7Wds<=>?3028MJJ7Wds<=>?_hos0>OHD8i0EBB>_omw45669l1BCA?Pnnv3457XadzTEBB>_omw45669<1BCAJ\6:KLTRET9;1BC]YLS^kntZOHX^IX=85FOSGR`>OHZL[Tmcj?01214>OHZL[Tmcj?012\mhvXAFXN]Road12344b1:KLVR6Xe|r;<=>Pilrg?LIU_9Uecy>?0003?LIU_9Uecy>?00]jiuYNG[];Sca{01225f=NG[];Sb|?0122a>OHZ^:Tc>?01]jiuYNG[];Sb|?0122g>OHZ^:Tc>?003f?LIU_9Ud~=>?1^kntZOHZ^:Tc>?0036?LIU_8n0EB\X1^cm`5678;:0EB\X1^cm`5678Vcf|RG@RV3\ekb789::o6G@RV3\kw6789;n7DA]W0]lv5678Vcf|RG@RV3\kw6789;<7DA]e`fzb>OHZlkouRoad123472OHZlkouRa}012367=NG[ojhtQ`r1234ZojxVCD^hoky^mq45679:1BC^k4INQ\ghvXmx:;<=k4INQ\ghvXmx:;<k4INQ\ghvXmx:;<9k4INQ\ghvXmx:;<8k4INQ\ghvXmx:;<;:4INW3g>OH]9Ufyu>?01f8MJS7Wds<=>?1d9JKP6Xe|r;<=>>1d9JKP6Xe|r;<=>=1e9JKP6Xe|r;<=><119JKP6Xe|r;<=>Pilr7?LIR9j1BCX?Pnnv34576m2CDY?1048MUJ5z890Ec><;Hl27>Oi::1Bb>=4Io60?Lh2;2Ce:h5DEDR\LLCUI[ICU:5COFK@EIbJWEVH^_Y\F1e9OTHYDG\D_I_Q>d:NSIZEH]G^N^R<7;MRN[A@AW01G\@QKFG]2e>JWEVNMJR??a:NSIZBANV;:m6B_M^FEBZ75i2F[ARJIF^30e>JWEVNMJR?;a:NSIZBANV;>m6B_M^FEBZ71i2F[ARJIF^34e>JWEVNMJR?7a:NSIZBANV;256B_M^FEBZ4f3EZFSIHI_32b?IVJWMLMS??n;MRN[A@AW;8j7A^B_EDE[75f3EZFSIHI_36b?IVJWMLMS?;n;MRN[A@AW;k0@]CPDGD\7=g>1e9NeabXWhno<=>?119Nkqnbzkej~i\@MDL12>Kh|aoynbo}dSMNAKYneyUFcyfjrcmbvaTHELD:i6Cwos]Qavsk|5;5i6Cwos]Qavsk|585i6Cwos]Qavsk|595i6Cwos]Qavsk|5>5i6Cwos]Qavsk|5?5i6Cwos]Qavsk|5<5i6Cwos]Qavsk|5=5>6@?2:L26>H5:2D886@@R668JJT?92E?7BJLSd9L@FUXadzTCIM\129LV73IU;<1D^>L>5:MQ7G443FX??6A]529LV35>e:Mmg`Yffm:;<=Qfmq]LjfcXign;<=>>b:Mmg`Yhz9:;<<:4Otv0a>Ir|:Uba}Q@uu120>Ir|=o0Cxz;_hos[Jss<8>0Cxz:e:Mvp0YneyUDyy;>4:Mvp3c>3^N7?UGU\h1[ECQMURKG\g=WAGUIY^@NMD08TVbVTWjg{Sh?010g?UUXkdzTi|>?02f8TVYdeyUei=>?059Sewmb3YkygRgbp^Rbvn763X>0]1>14:S?5;20]1:14:S?1;2W;?7k0]R?Pos23457f3XU:Sb|?012\mhvXYV;Tc>?0136?WG;87<0^L2>0?:8VD:693:5:6\N<03=1>TF484>7_O32?78VD:46<1YM1:15:PB80823[K7:3;4R@>4:0=UI52596\N<8<0?WGJ=2XJAN]>0:PBIFUXGoy`lw@fg05?WGJKZUDzh|caxMebZojxVXJAN]POwgqhdHno;m7_OBCR]Lr`tkipEe>95]ALAP[JpbzekrCcQfmq]QEHETWF|n~aovOo3g?WGJKZUjbi>?0103?WGJKZUjbi>?01]jiuYUIDIXSl`k01235a=UIDIXSl`k012265=UIDIXSl`k0122[lkwW[KFO^Qnne23447682XJAN]Pilr\VDKD[8<0^LCKIR58VDKCAZ;m7_OBDHQ2[hs89:;==5]ALFJW4Yj}q:;<=?>1:PBIAOT9Vg~t=>?00325>TFEMCX=Rczx123477682XJAIG\1^ov|5678:;:7_OBDHQ2[hs89:;?;SCN@LU6Wds<=>?4032?WGJL@Y:S`{w012314763[KFHD]>_lw{4567>8;;7_OBDHQ2[hs89:;;<=4R@OGMV7Xe|r;<=>Pilr4?WGJL@Y9j6\NMEKP6Zgil9:;Pilr\VDKCAZ8Tmcj?0122b>TFEMCX>Road123572?5]ALFJW7Yhz9:;?1008VDKCAZUba}Q]ALFJW4>TFE[ojhtQnne2345YneyUYM@\jae{\ekb789::==5]ALPfeaXign;<=?=6:PBIWcflpUjbi>?00]jiuYUIDXnmiwPaof34576991YM@\jae{\ekb78989:6\NMSgb`|Yffm:;2XJA_kndx]bja678:Uba}Q]ALPfeaXign;<==>119QEHTbimsTmcj?01612>TFE[ojhtQnne2341YneyUYM@\jae{\ekb789>:==5]ALPfeaXign;<=;=6:PBIWcflpUjbi>?04]jiuYUIDXnmiwPaof34536991YM@\jae{\ip~789::=6\NMSgb`|Yj}q:;<=?>2:PBIWcflpUfyu>?013257=UIDXnmiwPmtz3456598;0^LC]e`fz[hs89:;?<:4R@OQadb~Wds<=>?_hosb>TFE[ojhtQ`r123472?01g8VDUBDVg~t=>?00d8VDUBDVg~t=>?003e?WGTMEUfyu>?0102a>TF[LFTaxv?0120b>TF[LFTaxv?01205c=UIZOGS`{w012304cTFW:Ufyu>?0132a>TFW:Ufyu>?0102`>TFW:Ufyu>?01124>TFW:Ufyu>?01]jiueTFW=Ufyu>?013f?WGX?003f?WGX?033g?WGX?0233?WGX?0^kntf=UIV?Taxv?012g?WGX=Vg~t=>?00g8VDY2Wds<=>?10g8VDY2Wds<=>?20f8VDY2Wds<=>?3028VDY2Wds<=>?_hosg>TFW?Ufyu>?01f8VDY1Wds<=>?1d9QEZ0Xe|r;<=>>1d9QEZ0Xe|r;<=>=1e9QEZ0Xe|r;<=><119QEZ0Xe|r;<=>Pilr`?WGX?Vg~t=>?0e9QEZ1Xe|r;<=>>e:PB[2Yj}q:;<=?>e:PB[2Yj}q:;<=<>d:PB[2Yj}q:;<==>0:PB[2Yj}q:;<=Qfmqa8VDY?Whdo<=>?1d9QEZ>Xign;<=>Pilr\VDY?Whdo<=>?1b9QEZ>Xe|r;<=>k;SC\4R@];[hs89:;Sdcc:PB[TB[Meeik5]ERFlj`Yffm:;<=<;;SGP@jhbWhdo<=>?_hos[WCTLfdnSl`k01235f=UMZUba}Q]ER36?WCTz9n0^H]}0^llp5679;:0^H]}0^llp5679Vcf|R\JSs2\jjr789;:96\JSs36?WCTz;?0^H]}f49QAVtul2XN_|Pnnv3457582XN_|Pnnv3457XadzT^H]}r^llp56798?0^BCLSe9QKHETWhdo<=>?219QKHETWhdo<=>?_hos[WIJKZUjbi>?013g?WIJKZUjbi>?0003?WIJKZUjbi>?00]jiuYUGDIXSl`k01225a=UGDIXSl`k012165=UGDIXSl`k0121[lkwW[EFO^Qnne23477682XDAN]Pclr\at67898=7_ABCR]`iuYby9:;?01324>THEJYTo`~Pep2344413[EFO^Qlmq]fu5679Vcf|R\@MBQ\ghvXmx:;<0:PLIFUXadzT^BCLS008VVbTTWjg{Sh?010g?WUXkdzTi|>?02f8VVYdeyUn}=>?4e9QWZejxVoz<=>:d:PP[fkwWl{;<=8<;SVO1>Tbims=7_kndx24?Wcflp:y:6\jae{23>Tbims:~;5]e`fz62=Umhnr>o4Rdcg}ZOHJZ30^hoky^PBIa=UmhnrSl`k012365=UmhnrSl`k0123[lkwW[ojhtQnne23457682XnmiwPilr\V`gcq8i0^hoky^mq45679l1Yiljv_np3456XadzT^hoky^mq45679j1Yiljv_np34576m2XnmiwPos2344YneyUYiljv_np34576k2XnmiwPos23477b3[ojhtQ`r1236ZojxVXnmiwPos2347713[omMne9;SgeGkr>3[omOcz30?;8V``Df}6:245]egAmp94912XnjN`{<2<:?WcaKg~78374Rdd@jq:2601YikMat=4=f>TbnJd0:4?>89QacEi|5=5;6\jfEmma44Pilr\V``CggoTo`~Pep23457a3[omHb`j_omw4566:=1YikJ`nd]mkq6788Uba}Q]egFlj`Yig}:;<2:PfbWcvW`g{S_kiRds2=>Tbn\xlicz>8:PfbPt`mg~Te`~PRddVvbci|8<0^h}zlu;8V`urd}6;245]erwop97912Xnxb{<3<:?Wct}e~7?3l4Rdqvhq:329427_k|umv?0;?89Qavsk|5<5n6\jstnw82<7601Yi~{ct=5=63=UmzgxR>Pnnv3456XadzT^h}zlu]3[kis89:;=;5\CRK@W44<[JYBO^Qfmq]PGVOD[8h0_DIMUR]JJCIf3ZCLSO[\IEZa?VO@WK_XBLCJ5:QT85823Z]7=394SV>1>5823Z]7>3:4Sbnq0>Ud{}k0_cj]ALAPSW753Zdo^LCLSVP\ekb789:9m6]adSCNGVQUWhdo<=>?_hos[VhcZHGH_Z\Paof345669;1Xbi\NMBQTVZgil9:;=?o4SofQEHET_[Ujbi>?00]jiuYTfmXJAN]XR^cm`56798;>7^`kR@O@WRTXkdzTi|>?0113?VhcZHGH_Z\Pclr\at6789Uba}Q\nePBIFUPZVif|Rk~0123543<[gnYM@M\WS]`iuYby9:;=>>4SofQEHET_[Uha}Qjq1235ZojxVYeh_OBCRUQ[fkwWl{;<=?>149PjaTFEJY\^Rmbp^gr4565;91Xbi\NMBQTVZejxVoz<=>=_hos[VhcZHGH_Z\Pclr\at678;;:96]adSCNGVQUWjg{Sh?01104>Uil[KFO^Y]_bos[`w7899Te`~PSofQEHET_[Uha}Qjq12374723Zdo^LCLSVP\ghvXmx:;<9=?;RlgVDKD[^XTo`~Pep2341YneyUXbi\NMBQTVZejxVoz<=>;1078WkbUIDIX[_Qlmq]fu567=::0_cj]ALAPSWYdeyUn}=>?5^kntZUil[KFO^Y]_bos[`w789?:=l5\nePBIFUPZVcf|R]adSCNGVQU98;0_cj]ALAPSWYhz9:;?0^kntZUil[KFO^Y]_np34566981Xbi\NMBQTVZiu89::>55\nePBIFUPZVey<=>>_hos[VhcZHGH_Z\Pos23447692Yeh_OBCRUQ[jt7898946]adSCNGVQUWfx;<=5[ilglqqGXkdzTi|>?010`?QojmfMRmbp^gr4567W`g{SYgbenwwEZejxVoz<=>?1028Plkbg|~JSca{012263=SadodyyOPnnv3457XadzTXdcjotvB[kis89::=55[ilglqqDe3]cfib{{BQpf55=SadodyyLPaof34565>2^bahaztC]bja6789Uba}Q[ilglqqDXign;<=>>7:VqmAgsi:1]ON74VHGT[Q_WM:1]\Lm4VQC\mhvX^YK:96X_Asd6?SVFz{n0Z]O}r^llp5679;:0Z]O}r^llp5679Vcf|RX_Asp\jjr789;:m6XfdSCNGVQUl2\bh_OBCRUQ21m602\bh_OBCRUQ21mXkdzTi|>?0115?SocZHGH_Z\94j]`iuYby9:;=aTo`~Pep2344513_co^LCLSVP50nYdeyUn}=>?1^kntZPnl[KFO^Y]65i\ghvXmx:;<?01]jiuYQamXJAN]XR^antZcv89:;=<;4VhfQEHET_[Uha}Qjq123566<^`nYM@M\WS]`iuYby9:;=Rgbp^Tj`WGJKZ]YSnc_ds345769<1]ei\NMBQTVZejxVoz<=>=319UmaTFEJY\^Rmbp^gr4565W`g{S[gkR@O@WRTXkdzTi|>?03321>Pnl[KFO^Y]_bos[`w78998<6XfdSCNGVQUWjg{Sh?011\mhvX^`nYM@M\WS]`iuYby9:;??5228RlbUIDIX[_Qlmq]fu567=Vcf|RXfdSCNGVQUWjg{Sh?017250=QamXJAN]XR^antZcv89:=?=5YiePBIFUPZVif|Rk~0125[lkwW_co^LCLSVP\ghvXmx:;<;?>5:Tj`WGJKZ]YSnc_ds3451482\bh_OBCRUQ[fkwWl{;<=9Pilr\RlbUIDIX[_Qlmq]fu567?8;>7[gkR@O@WRTXkdzTi|>?0913?SocZHGH_Z\Pclr\at6781Uba}QYiePBIFUPZVif|Rk~012;543<^`nYM@M\WS]`iuYby9:;5>>4VhfQEHET_[Uha}Qjq123=ZojxV\bh_OBCRUQ[fkwWl{;<=7>149UmaTFEJY\^Rmbp^gr4577;91]ei\NMBQTVZejxVoz<=??_hos[SocZHGH_Z\Pclr\at6799;:96XfdSCNGVQUWjg{Sh?00304>Pnl[KFO^Y]_bos[`w788;Te`~PVhfQEHET_[Uha}Qjq122547f3_co^LCLSVP\mhvX^`nYM@M\WS325>Pnl[KFO^Y]_np34566:2\bh_OBCRUQ[jt789::=?5YiePBIFUPZVey<=>?2008RlbUIDIX[_Q`r12346723_co^LCLSVP\kw6789Uba}84W`psW@7c3QCGECV"XE@#4+7'[]_I,= > @Q@ML0^cjVCo==5Wdl]Neoiu^lxxeb`>0:ZgiZKnffx]i}foo78\j:76?1Sc1>1179[k969:?1Sc1>1389[k969W`g{?6V|t89[wqAJgmogn6Qnde2345:76k1Tmij?012?5;d?<35n6Qnde2345:26k1Tmij?012?2;b?<683:g=Ximn;<=>37?:8[dhc89:;=;5Paof3456XadzTSl`k01235==Xign;<=?>6:]bja6788Uba}QPaof3457602Ujbi>?0335?Zgil9:;>Rgbp^]bja678;;37Road123740<_hos[Zgil9:;?<64_`lg45639?1Tmcj?016\mhvXWhdo<=>;199\ekb789?::6Qnne2340YneyUTmcj?0172<>Yj}q:;<=94_np34566<2Ud~=>?0^kntZYhz9:;<<94_np34576<2Ud~=>?1^kntZYhz9:;=<;4aeffv`=ffm:;<=Q`r123474Pos2345YneyUjbi>?01]lv5678890ocz8;bmvjqcu:2ix?6jif89gmwcd|`ee?6h|bc9ewgYig}:;<=?l;gqa[kis89:;Sdc_gqa[kis89:;=95foco7?lie{01eMIaztMG35==iIMe~xAK?_hos[kGCg|~GI=?>0:lB@jssDL:Tc>?0105?kGCg|~GI=Q`r1234ZojxVdJHb{{LD2\kw6789;27cOKotvOA47?3gKOcxzCE0]jiuYiIMe~xAK>129mEV1?0001?kGTg|~Tbbz?013\mhvXfHYdyyQaou23447c3gKXcxzPos2345473gKXcxzPos2345YneyUeM^azt^mq45679>1eMb{{OD37?kGh}}ENSdc_oClqqIB9<1eNH\]9:lAAWTXNZH27cLJRS]JKGbhC\HI@SK]Ma:lGPDELW@EIi6`KT@AH[dhc89:;>?5aDUC@OZgil9:;?179m@lhKMm1eHd`CE^mq4567:91eHd`CE^mq4567W`g{ScJfnMG\kw6789;=7cJfnNG26>hCagENSdc_oFjjJC6?2dOcxz@Eg9m@jssGLUjbi>?0107?kBh}}ENSl`k0123[lkwWgNdyyAJ_`lg45679o1eHb{{OD]mkq67888?7cJ`uuMF[kis89::Sdc_oFlqqIBWge<=>>1d9m@jssGLUd~=>?0308jAir|FOTc>?01]jiuYiLfCHQ`r12344395aLEQ;?kJC[VLXN55aLEQ\MJD6<2dGH^QFOC]mHAUXAFHdyyl4nMFP[LIEg|~h7cBKS^cm`56788o0bAJ\_`lg4567W`g{ScBKS^cm`56788i0bAJ\_`lg45669l1e@I]Paof3457XadzTbAJ\_`lg45669j1e@I]Pnnv34576m2dGH^Qaou2344YneyUe@I]Pnnv34576j2dGH^Q`r12344ehKLZUd~=>?10a8jIBTWfx;<=?Pilr\jIBTWfx;<=?>4:lOS@ehH~lxgmt>>1:lLr`tkip:Tmcj?0121<>hH~lxgmt>Paof3456XadzTbBxjrmcz4Zgil9:;<<74nNtfvig~9>1e^LCCWD58jWGJ]^O?7c\NSb9mVDUXign;<=>>e:lQEVYffm:;<=Qfmq]mVDUXign;<=>>e:lQEVYneyUe^L]>b:lQEVYhz9:;<?00g8jPQBWhdo<=>?_hos[kSPMVkeh=>?00g8jPQBW`g{Sc[XE068jSKF02d]ALQFOC37?kPJIVCDNR`YM@]JKGir|k1eZ@OPIN@lqqe?01]bja6789;<7}ac_bnh=>vhdViggh`7;qmo[vekz11{caQ|sdv;?uiu|Viggl5osv\gimbf01{czPd`vb<>vhz}Ud|l84pnpw[tdh40>0=7)=75;14b>{Ti;084i4=288271>>i10935l6521f05>pA:lo1<7?51;2xWd5=;1n1>?75126;=d>=:9n8=6*<5382fa=#:;k189l4b25f>5<3j38;6:7tL2:1>4}#:;k189o4}M1;7?7|,=>>6;5r$265>61b3S386>u6:03956un:02951>i3:m0;66g;1783>>i3980;66a;0983>>o4kl0;66a;0783>>i4l?0;66a>o38l0;66g>i4jo0;66a>i>i3:17d=ja;29?j27?3:17d:=e;29?j26?3:17b=ie;29?j5e?3:17b=mb;29?l5bk3:17d7k:188m6b42900e4k50;9l05`=831bi54?::m0``<722e8ii4?::m766<722e8i<4?::m0a6<722c??>4?::m0ga<722e9884?:%01g?43<2d9>o4?;:m106<72-89o7<;4:l16g<632e98h4?:%01g?43<2d9>o4=;:m10a<72-89o7<;4:l16g<432e98n4?:%01g?43<2d9>o4;;:m10g<72-89o7<;4:l16g<232e98l4?:%01g?43<2d9>o49;:m10<<72-89o7<;4:l16g<032e9854?:%01g?43<2d9>o47;:m102<72-89o7<;4:l16g<>32e98;4?:%01g?43<2d9>o4n;:m107<72-89o7<;4:l16g7>5$30`>27o1n3:1(?o4<;:k5g?6=,;8h6:?4n30a>1=h5:k0>76g9a;29 74d2>;0b?n481:l16g<032c=47>5$30`>27o1>3:1(?o4m;:k50?6=,;8h6:?4n30a>f=h5:k0o76g8a;29 74d2>;0b?n481:l16g5$30`>274;h54>5<#:;i1;<5a23`954=<1<7*=2b845>h5:k0:>65f7483>!45k3=:7c<=b;30?>o0<3:1(?07d9<:18'67e=?81e>?l51498m3b=83.9>n481:l16g<6>21b??o50;&16f<4:01e>?l50:9j77>=83.9>n4<289m67d=921b??950;&16f<4:01e>?l52:9a7=2=83;1<7>t$265>6363A93<6a=2983>>{e;hl1<7?l:2;90`}K;181=vF>bb9~H6>42;qe8985369'013=>2w/?985a59Y=6<6sj0vV><;:0ya>xo>13:17b?83;29?j55:3:17b==d;29?l1c2900e9>j:188k4342900e9==:188k7372900en45$30`>6eo403:1(?850;&16f<4k2d9>o4;;:k01?6=,;8h6>m4n30a>0=1<7*=2b80g>h5:k0=76g<3;29 74d2:i0b?n45$30`>6eo5n3:1(?o4l;:k1`?6=,;8h6>m4n30a>a=h5:k0n76g;4;29 74d2:i0b?3290:6=4?{%172?5292B84=5`23:94?=zj=:96=4::385I5?:3;pD?97?4}%172?7d<2cjh7>5;n001?6=3`k36=44i`;94?=n?k0;66l8:186>5<7s-9?:7kj;I1;4>J40;0:w)?=c;676>{n110;66gn0;29?l?32900elj50;9l663=831vn:m50;094?6|,:>=6<o69l0;66a=0483>>{ti10;6?uQa99>3??33ty52z\4f>;0k3;:i6s|1gd94?4|5>0j<638c;031>{ti00;6?uQa89>3???3ty9<=4?:3y>3?44=2T9?85rs322>5<5sWko7095ae9~yg27=3:197=56zN0<7<6sA;io6sC39195~"3<<0:7p*<4782gc=nim0;66a=3483>>of03:17do6:188md6=831i;7>55;294~"4n4;439~m<>=831bm=4?::k:0?6=3`ko6=44o316>5<51;294~"45<7s-9?:7?=d:J0<5=n98o1<75`21794?=z{h21<7<2wxm44?:3y]e<=:ih0:=h5rs0de>5<5s4=15552a`8140=z{;:;6=4={<1;5?76k27<6l>4}r035?6=:r7<6?=:;_001>{t:981<75;h0f>5<>o493:17d==:188m65=831b?94?::k01?6=3`9=6=44i2:94?=n;h0;66g5<7s-9?:7;i;I1;4>o69o0;66g>2183>>o6:80;66g>2383>>o6::0;66g>2583>>o6:<0;66g>2783>>i58<0;66sm13;94??=83:p(>:9:4d8L6>73`;:j7>5;h314?6=3`;9=7>5;h316?6=3`;9?7>5;h310?6=3`;997>5;h312?6=3f8;97>5;|`26d<72:0;6=u+35490c=O;1:0e::188yv75?3:1>vP>269>57g=:9?0q~:;:181[2334;947?>f:p00<72;qU885213:95767}Y:l16=?651308yv4a2909wS5<5sW9:70?=8;311>{t;;0;6?uQ339>57>=9;<0q~=<:181[5434;957?>f:p71<72;qU?95213;95766=4={_16?87513;9=6s|3783>7}Y;?16=?751308yv5?2909wS=7;<31=?75;2wx?l4?:3y]7d=:9;31=?:4}r1a>5<5sW9i70?=9;311>{t;m0;6?uQ3e9>57?=9;<0q~?=8;296~;6:109<85213c954`52z?26<<58<16=?o51328yxd6>>0;6n4<:dy'710=9?=0c<;<:188m4302900e><=:188m7c62900e<;6:188m43e2900e<;k:188m43a2900e<8>:188m4042900e<8::188m63>2900n<;;:18:>5<7s-9?:7<>1:J0<5=n98l1<75f13294?=n9;;1<75f13094?=n9;91<75f13694?=n9;?1<75f13494?=h:9?1<75rb076>5<3290;w)=;6;03f>N4091b=5<7s-9?:75<5sW;>?63>578140=z{8?<6=4={_363>;6==0:>=5rs201>5<5sW99>63>55825c=z{;o:6=4={_0f5>;6==0:><5rs07:>5<5sW;>563>558266=z{8?i6=4={_36f>;6==0:>?5rs07g>5<5sW;>h63>558261=z{8?m6=4={_36b>;6==0:>;5rs042>5<5sW;==63>558260=z{8<86=4={_357>;6=<0:>=5rs046>5<5sW;=963>54825c=z{:?26=4={_16=>;6=<0:><5rs077>5<5s4;>87578265=zuk95d;19b~"41<75f37494?=n;?21<75f37c94?=n;?i1<75f37g94?=n;>:1<75f36094?=n;>>1<75f36494?=e;>k1<7750;2x 6212;;:7E=70:k25c<722c:>=4?::k264<722c:>?4?::k266<722c:>94?::k260<722c:>;4?::m140<722wi?:l50;794?6|,:>=6?>j;I1;4>o69o0;66g>2183>>o6:80;66g>2383>>i58<0;66sm36a94?5=83:p(>:9:32;?M5?82c:=k4?::k265<722e9<84?::p72?=838pR>96;<14g?47=2wx?;>50;0xZ6073498=;<14e?76n2wx?;:50;0xZ60334989;<14e?75;2wx?;650;0xZ60?3498n;<14e?75<2wx?;m50;0xZ60d3498j;<14e?75=2wx?:>50;0xZ617349f:p724=838pR>9=;<14f?7592wx?::50;0xZ61334999;<14f?75:2wx?:o50;0x961f2;:>70=8c;32b>{t;>h1<77623496=4?{%172?3>3A93<6g>1g83>>o6:90;66g>2083>>o6:;0;66a=0483>>{e:<81<7=50;2x 6212<;0D>6?;h32b?6=3`;9<7>5;n031?6=3th9994?:583>5}#;=<19>5G3928m47a2900e<::188yg4213:1?7>50z&003<5811C?5>4i03e>5<5<j7>55;294~"4;6F<819j54`=831b=?>50;9j577=831b=?<50;9l653=831vn>97:180>5<7s-9?:7:i;I1;4>o69o0;66g>2183>>i58<0;66sm32g94?5=83:p(>:9:5d8L6>73`;:j7>5;h314?6=3f8;97>5;|`1a0<72=0;6=u+354965d<@:2;7d?>f;29?l7583:17d?=1;29?j47=3:17pl<2283>0<729q/?985599K7=65<5<6=44}c340?6=;3:1N4091b==;50;9~f16329086=4?{%172?2a3A93<6g>1g83>>o6:90;66a=0483>>{e;6?;h32b?6=3`;9<7>5;n031?6=3th89h4?:483>5}#;=<19;5G3928m47a2900e<5<2290;w)=;6;7;?M5?82c:=k4?::k265<722c:><4?::k267<722e9<84?::a733=83?1<7>t$265>0?<@:2;7d?>f;29?l7583:17d?=1;29?l75:3:17b>0;684?:1y'710==11C?5>4i03e>5<5<5<55;294~"446F<819j54`=831b=?>50;9j577=831b=?<50;9l653=831vn>8m:186>5<7s-9?:7;8;I1;4>o69o0;66g>2183>>o6:80;66g>2383>>i58<0;66sm37f94?3=83:p(>:9:4:8L6>73`;:j7>5;h314?6=3`;9=7>5;h316?6=3f8;97>5;|`02c<72<0;6=u+354912=O;1:0e:188m4452900c?>::188yg5093:197>50z&003<202B84=5f10d94?=n9;:1<75f13394?=n9;81<75`21794?=zj:=86=4::183!53>3?<7E=70:k25c<722c:>=4?::k264<722c:>?4?::m140<722wi?:;50;794?6|,:>=6894H2:3?l76n3:17d?=0;29?l7593:17d?=2;29?j47=3:17pl<7683>0<729q/?985579K7=65<5<6=44}c107?6==3:1N4091b==;50;9~f652290>6=4?{%172?303A93<6g>1g83>>o6:90;66g>2083>>o6:;0;66a=0483>>{e;:=1<7;50;2x 6212<<0D>6?;h32b?6=3`;9<7>5;h315?6=3`;9>7>5;n031?6=3th8?44?:483>5}#;=<1945G3928m47a2900e<5<2290;w)=;6;7;?M5?82c:=k4?::k265<722c:><4?::k267<722e9<84?::a6`4=83?1<7>t$265>0?<@:2;7d?>f;29?l7583:17d?=1;29?l75:3:17b4i03e>5<5<5<m7>55;294~"446F<819j54`=831b=?>50;9j577=831b=?<50;9l653=831vn<;l:186>5<7s-9?:7;7;I1;4>o69o0;66g>2183>>o6:80;66g>2383>>i58<0;66sm14g94?3=83:p(>:9:458L6>73`;:j7>5;h314?6=3`;9=7>5;h316?6=3f8;97>5;|`225<72<0;6=u+35491==O;1:0e:188m4452900c?>::188yg71:3:197>50z&003<2?2B84=5f10d94?=n9;:1<75f13394?=n9;81<75`21794?=zj83?<7E=70:k25c<722c:>=4?::k264<722c:>?4?::m140<722wi=;850;794?6|,:>=6884H2:3?l76n3:17d?=0;29?l7593:17d?=2;29?j47=3:17pl=5783>6<729q/?9854g9K7=65<6=44}c06N4091b==;50;9~f627290?6=4?{%172?47j2B84=5f10d94?=n9;:1<75f13394?=h:9?1<75rb20e>5<4290;w)=;6;6e?M5?82c:=k4?::k265<722e9<84?::a714=83>1<7>t$265>76e3A93<6g>1g83>>o6:90;66g>2083>>i58<0;66sm32394?5=83:p(>:9:5d8L6>73`;:j7>5;h314?6=3f8;97>5;|`001<72:0;6=u+354965><@:2;7d?>f;29?l7583:17b4?:1y'710==91C?5>4i03e>5<5<53;294~"450;9l653=831vn?k?:180>5<7s-9?:7;?;I1;4>o69o0;66g>2183>>i58<0;66sm14:94?5=83:p(>:9:5d8L6>73`;:j7>5;h314?6=3f8;97>5;|q:=?6=;rT2563;038b<>;38<0j46s|16194?4|V8=870?84;031>{t;;81<7=t^201?871?399>63<228140=z{:8o6=4={_11`>;4;l09<85rs6f94?5|V>n019>::`;8916328;m7p};0d83>7}Y<9o019>=:6`8yv72;3:1>vP>529>531=9<90q~:<2;297~X3;;16?8h510d8941328;m7p}=5183>6}Y:<:01?;6:326?852n3;9<6s|1g494?2|V8l=70;5ll0:>=5214:957652z\1`d=::l?1>=;4}r313?6=:rT:>:5213`957157>52z?222<6=016=8o52178yv72j3:1>v3>66821g=:9=;4}r36`?6=:r7:::4>5e9>50c=:9?0q~?:f;296~;6>>0:9k52172965352z?222<6>816=;<52178yv71;3:1>v3>668226=:9?>1>=;4}r351?6=:r7:::4>649>530=:9?0q~52z?1a0<6:916>ik52178yv4cn3:1>v3=e48264=::l:1>=;4}r032?6=052=9;:01?jl:003?87203;:j6s|32094?4|5:986?>:;<174?76n2wx?>:50;0x96522;:>70=;0;314>{t;:<1<7762349?<7?=1:p76>=838p1>=6:326?853:3;:j6s|32c94?4|5:9i6?>:;<176?7582wx?>m50;0x965c2;:>70=;2;315>{t;;o1<7762349?87?>f:p766=838p1>=>:326?853<3;9<6s|41094?4|5=:96?=:;<631?gc3ty?<84?:5y>053=::?01?;9:003?84203;:j63=e1825c=z{;o:6=4<{<353?4b9279i?4=049>6ac=98l0q~n7>52z?01c<6:816?8m52178yv52l3:1>v3<5g8267=:;=;4}r363?6=:r7:::4>569>50>=:9?0q~<:5;296~;5=00:=k522449653;7>52z?11<<6:916>8652178yv5013:1>v3<7e803<=:;>21=?>4}r637?6=:r7?;n:180852n38;963<79825c=:;:o1=77`=9;:0q~=;1;296~;4<;09<852323957652z?07`<6:916?9:52178yv5183:1>v3<7e8025=:;?;1>=;4}r067?6=:r79994=049>60>=9;:0q~=92;296~;4?m08:?52371965352z?03a<4>=16?;;52178yv51>3:1>v3<7e8023=:;?=1>=;4}r1573?=:9?0q~=9a;296~;4?m08:l5237`965352z?03a<4?=16?:;52178yv5213:1>v3>66801<=:;>21>=;4}r142?6=;r78;i4<779>721=:9?01>j0;6>u236f973e<5::;<105?76n2wx?;k50;0x961c2:{t;>:1<7617349<=79k:251?850;38;96s|24394?5|5;?96?>:;<340?7582799;4>1g9~w6b=83lpR>j4=00a>6b<5;:<6<<>;<066?758279994>1g9>775=9;:01?k=:001?84b<3;9>63>5`8267=:944534;=<7?=2:?227<6:;16=;:51308940128897)==6;1`?k55=3:0q~=m:18e[5e34;9n7=m;<033?75:2799?4>1g9>602=9;:01><<:001?84b:3;9=63=e58264=:94=07`>44734;>i7?>f:?225<6:816=;<513389403288:70?96;315>"4:?08o6`<2482?xu4i3:1jvP219>602=9;;01><<:03e?852k3;9<63=e38265=::l>1=?>4=07b>47a34;>o7?=1:?21`<6:816=;>51328940528;m70?94;314>;6>?0:>=5+33497f=i;;?1>6s|3983>`}Y;116=?l5399>651=98l01><<:002?852k3;:j63=e3825c=::l>1=44634;>o7?>f:?21`<6:916=;>510d89405288;70?94;32b>;6>?0:=k5+33497f=i;;?1?6s|3783>6}Y;?16=?l5379>70c=9;80(><9:2a8j6422=1v>;50;1xZ63<588i6>;4=27f>4463-99:7=l;o111?3"4:?08o6`<2485?xu4;3:1?vP<3:?26g<4;2789h4>1g9'770=;j1e??;57:p77<72lqU??5213`977=:;?;1=??4=240>447349=97?=1:?022<6:916?;751328960e28;m70=9d;316>;4>o0:>?523639574<5:=86<<=;<141?75:278;:4>239'770=;j1e??;58:p74<72lqU?<5213`974=:;?;1=?>4=240>47a349=97?=2:?022<6:;16?;751308960e288970=9d;314>;4>o0:=k523639577<5:=86<<>;<141?759278;:4>209'770=;j1e??;59:p75<72lqU?=5213`975=:;?;1=?<4=240>445349=97?=0:?022<69o16?;751338960e288:70=9d;315>;4>o0:><523639576<5:=86219'770=;j1e??;5a:p6c<72lqU>k5213`96c=:;?;1=446349=97?>f:?022<6:816?;7510d8960e288;70=9d;32b>;4>o0:>=52363954`<5:=86<1g9'770=;j1e??;5b:p6`<721qU>h5213`96`=:;:91=?<4=216>4453498;7?=2:?07<<6:816?>l51338965c288;7)==6;1`?k55=3i0q~209>761=9;;01>=6:003?854j3;9<63<3e825c=#;;<1?n5a3379`>{t<<0;65uQ449>57d=<<16?>=510d89652288;70=<7;314>;4;00:=k5232`9574<5:9o6<<=;%112?5d3g9997k4}r67>5?70?=b;67?854;3;9<63<34825c=:;:=1=4453498n7?>f:?07a<6:81/??853b9m773=n2wx>=>50;0x96>32;8370:?2;cg?x{e<=91<7=;:23912}K;181=vF>bb9~H6>42;qe8985439'013=>2w/?98525d8^<5=:r31j7sU33696~g=m3wb544?::k051<722e8<<4?::m75`<722c8ni4?::m754<722e?<54?::m0`3<722c8=?4?::k4`?6=3f9mi7>5;h1ff?6=3`>8>7>5;h621?6=3`8><7>5;n63b?6=3`8om7>5;n0fb?6=3f9mm7>5;n071?6=,;8h6?:;;o01f?6<3f8??7>5$30`>7233g89n7?4;n07a?6=,;8h6?:;;o01f?4<3f8?h7>5$30`>7233g89n7=4;n07g?6=,;8h6?:;;o01f?2<3f8?n7>5$30`>7233g89n7;4;n07e?6=,;8h6?:;;o01f?0<3f8?57>5$30`>7233g89n794;n07<3f8?;7>5$30`>7233g89n774;n072?6=,;8h6?:;;o01f?g<3f8?>7>5$30`>7233g89n7l4;h1b>5<#:;i1?45a23`94>=n;10;6)<=c;1:?k45j3;07d=9:18'67e=;01e>?l52:9j70<72-89o7=6;o01f?5<3`9?6=4+23a97<=i:;h1865f3283>!45k3927c<=b;78?l55290/>?m5389m67d=>21b?<4?:%01g?5>3g89n794;h13>5<#:;i1?45a23`9<>=n:o0;6)<=c;1:?k45j3307d?l5a:9j6a<72-89o7=6;o01f?d<3`>>6=4+23a97<=i:;h1o65f4583>!45k3927c<=b;f8?l24290/>?m5389m67d=m21b8?4?:%01g?5>3g89n7h4;h62>5<#:;i1?45a23`955=h5:k0:=65f3g83>!45k3927c<=b;31?>o4m3:1(??l51598f7c1290:<7?511yO7=4=9rB:nn5rL2:0>4}#<=?196s+354954?<750;9l64d=831d>??50;9l675=831d>?;50;9l670=831ij=4?:282>6}K;181=vF>bb9~ 6212ll0elj50;9l663=831bm54?::`4>5<4290;w)=;6;gg?M5?82F84?4>{%31g?23:2wb594?::kb`?6=3f8897>5;|qbv38:316?[44=2wx>=>50;0xZdb<5>0jh6srbgf94?5=939p@>6=:0yK5ge5<6=44i`:94?=e?3:1?7>50z&003>{ti10;6?uQa99>3??33ty:jk4?:3y>3?44=2T9?85rs323>5<5sWko7095ae9~yg77j3:1?7?53zN0<7<6sA;io6s+3549ac=nim0;66a=3483>>of03:17o950;194?6|,:>=6hj4H2:3?I5?:3;p(<<3:17dok:188k7522900q~o7:181[g?34=1595rs0de>5<5s4=1>>;4^316?xu5890;6?uQae9>3?gc3twijn4?:984>`}#;=<1jn5f1c794?=n9k31<75f11`94?=nn90;66gid;29?l7e?3:17d?ma;29?j`62900nkl50;794?6|,:>=6894H2:3?l76n3:17d?=0;29?l7593:17d?=2;29?j47=3:17pli3;297?6=8r.88;4:0:J0<5=n98l1<75f13294?=h:9?1<75rbg794?5=83:p(>:9:5d8L6>73`;:j7>5;h314?6=3f8;97>5;|`e3?6==3:1N4091b==;50;9~fc>=8391<7>t$265>07<@:2;7d?>f;29?l7583:17b50z&003<3n2B84=5f10d94?=n9;:1<75`21794?=zjok1<7;50;2x 6212;:n7E=70:k25c<722c:>=4?::k264<722c:>?4?::m140<722wx=o;50;1xZ4d234li6<4473ty:n44?:2y]5g?<5oh1=??4=g5957453z\24g=:nk0:=k52f28265=z{o:1<7;t^g289cd=9;801k=510d89c3=9;:01k651328yv`c2908wShk;47a34l3652z\2fd=:n>0:><5rsg394?4|Vo;01ko52178yv`52909w0hm:326?8`f28;m7p}i3;296~;a;38;963ia;314>{tn=0;6?u2f48140=:nh0:><5rsg494?4|5o31>=;4=gc957476234l26n:18:>35;h3a3?6=3`;i97>5;h3a2?6=3`;i47>5;h33f?6=3`lo6=44ogg94?=e9931<7:50;2x 6212<>0D>6?;h32b?6=3`;9<7>5;h315?6=3f8;97>5;|`245<72?0;6=u+35491f=O;1:0e:188m4452900e<<<:188k7622900qo??2;297?6=8r.88;4:0:J0<5=n98l1<75f13294?=h:9?1<75rb027>5<4290;w)=;6;73?M5?82c:=k4?::k265<722e9<84?::a550=83>1<7>t$265>02<@:2;7d?>f;29?l7583:17d?=1;29?j47=3:17pl>0983>3<729q/?98521d8L6>73`;:j7>5;h314?6=3`;9=7>5;h316?6=3`;9?7>5;n031?6=3tym<7>54z\e4>;6800:>=521129576<58:?644634;;:7?=1:p5g1=839pR1g9~w4d22908wS?m5:?245<6:;16==851328yv7e>3:1>vP>b79>556=9;90q~?m8;296~X6j116==>51338yv77j3:1>vP>0c9>554=9;:0q~hk:187[`c34;;>7?>f:?241<6:916==8510d8yv`b2909wShj;<3355?=:9?01<>7:03e?xu6890;6?u21129653<58:36<0283>7}:99>1>=;4=02;>4453ty:<84?:3y>550=:9?01<>7:000?x{e98<1<7655;ax 62128;=7d?m5;29?l7e?3:17d??b;29?l`72900e=68l4H2:3?l76n3:17d?=0;29?l7593:17d?=2;29?l75;3:17b4i03e>5<5<5<6=44}c324?6=;3:1N4091b==;50;9~f475290=6=4?{%172?3d3A93<6g>1g83>>o6:90;66g>2083>>o6:;0;66g>2283>>i58<0;66sm10694?3=83:p(>:9:32f?M5?82c:=k4?::k265<722c:><4?::k267<722e9<84?::p5g3=83>pR209>544=9;90q~?m7;297~X6j>16=<;51338947528897p}>0c83>1}Y99h0113825c=z{o:1<7;t^g28947228;m70??e;316>;6990:=k52100957753z\2f<=:98?1=?=4=02f>4443tymh7>53z\e`>;68l0:=k52102957652z\2fd=:9881=?>4}r33g?6=:rT:52z?250<58<16=<:510d8yv77m3:1>v3>0d8140=:98>1=?>4}r33b?6=:r7:==4=049>542=9;;0q~?>1;296~;69;09<85210695745}#;=<1985G3928m47a2900e<::188yg46i3:187>50z&003<2<2B84=5f10d94?=n9;:1<75f13394?=h:9?1<75rb33`>5<3290;w)=;6;70?M5?82c:=k4?::k265<722c:><4?::m140<722wi>=68:4H2:3?l76n3:17d?=0;29?l7593:17b4i03e>5<5<6=44}c016?6=<3:1N4091b=5<7s-9?:7;;;I1;4>o69o0;66g>2183>>o6:80;66a=0483>>{e:;=1<7=50;2x 6212<:0D>6?;h32b?6=3`;9<7>5;n031?6=3tym<7>5cz?e4?44=27mo7h?;<33e?`734;::7h?;<02209>64e=9;:01??j:03e?84583;:j63=238264=::;>1=47a3tymh7>5cz?e`?44=27mo7hk;<33e?`c34;::7hk;<02219>64e=98l01??j:002?84583;9<63=238265=::;>1=?>4=304>4473ty:55d=::?01km511`8946f28:i70?>6;33f>;5910:=k5220c954`<5;;h6<<>;<02a?758279>=4>209>674=98l01?<;:002?xu6j<0;69uQ1c789ce=9k?01<>n:0`6?876>3;i96s|1c494?4|V8h=70??a;3a2>{t9k=1<7:t^0`4?8`d28h<70??a;3a3>;69?0:n:5rs0`;>5<5sW;i463>0`82f==z{8h26=4;{_3a=>;ak3;i563>0`82f<=:98<1=o74}r3ae?6=;rT:nl52fb82fd=:98<1=oo4}rca>5<3sWki70h?:`:89cb=i116==l5a99~w7702909wS<>7:?15=<58<1v??6:181[461279=l4=049~w77e2909wS<>b:?15f<58<1v??k:181[46l279=h4=049~w77a2909wS<>f:?165<58<1v?<>:181[459279>?4=049~w7442909wS<=3:?161<58<1v?<9:181[45>279>:4=049~wc7=838p1k>5ae9>bfbam:`f8947128:h7ps|a983>7}Yi116=<65ac9~w7702909wS<>7:?25=<59>1v??6:181[46127:=54=189~w77e2909wS<>b:?25=<59k1v??k:181[46l27:=54=1e9~w77a2909wS<>f:?25=<59o1v?<>:181[45927:=54=209~w7442909wS<=3:?25=<5::1v?<::181[45=27:=54=249~w7412909wS<=6:?25=<5:?1vb49~w4d12909wS?m6:?25=<6j?1vb69~w4d?2909wS?m8:?25=<6j11vb89~w4df2909wS?ma:?25=<6jh1vqo<>2;291?4==rF84?4>{I3ag>{K;191mv*;4486?!23<39i<6*;3b8b`>"3;l09?85+42c9e==i<:<1=6*;3c8b=>h3;>0:7):;0;11f>h3;10;7p*<4782`d=ni10;66gn9;29?lgc2900c?=::188m64e2900n:4?:481>0}K;181=vF>bb9~ 62128i87dok:188k7522900el650;9je<<722cj<7>5;c594?3=83:p(>:9:dg8L6>73E93>7?t$00`>1253tc247>5;hc3>5<1<75fae83>>i5;<0;66sm39394?7=83:p(>:9:03g?M5?82e:=n4?::pe=<72;qUm5527;;7?xuf13:1>vPn9:?4><>52z?0<4<69j16;7o?;|q145<72;q6;7<<5:\170=z{;::6=4={_cg?81=im1vqo=n7;297?6=8r.88;4=089K7=65<6=44}rc;>5<5sWk37095a99~wd?=838pRl74=2c4>4473ty9?84?:3y]663<5>09?85rs20a>5<4sW99n638:`f896g028;m7p}7}:?3k270=n7;031>{zj;<<6=4::386I5?:3;pD?97;4$567>6d73->8o7ok;%60a?44=2.??l4n8:l773<63->8n7o6;o603?7<,=>;6>5}#;=<1ih5G3928H6>528q/=?m54508yl??2900el>50;9j=1<722cjh7>5;n001?6=3th84<4?:083>5}#;=<1=5<5sWk27095999~w4`a2909w0=71;32g>;02h:0q~7S<<5:p657=838pRlj4=68b`>{zj:k<6=4<:183!53>38;56F<819j54`=831b=?>50;9l653=831vl650;0xZd><5>0j46s|a883>7}Yi016?l951328yv44=3:1>vP=349>3?44=2wx??l50;1xZ64e34=1mi523`5954`52z?4>d?<5:k<6?>:;|a3c<72:0:6>uC39095~N6jj1v@>6<:0y'013==2w/?9851da8mdb=831d>>;50;9je=<722h<6=4<:183!53>3oo7E=70:k:0?6=3`ko6=44o316>5<528qC=om4}M1;7?7|,=>>685r$265>4cc3`ko6=44o316>5<2b8707=za0>1<75fae83>>i5;<0;66s|a983>7}Yi116;77;;|q2bc<72;q6;7<<5:\170=z{;:;6=4={_cg?81=im1vqo<74;297?7=;rF84?4>{I3ag>{K;191=v*;4486?x"4>i5;<0;66gn8;29?g1=8391<7>t$265>`b<@:2;7A=72;3x 44d2=>97pg64;29?lgc2900c?=::188yvg?2909wSo7;<59=1=z{8lm6=4={<596637p}=0183>7}Yim16;7ok;|a60d=8391=7=tL2:1>4}O9ki0qA=73;3x 1222<1v(>:9:0ge?lgc2900c?=::188md>=831i;7>53;294~"4n4;439~m<2=831bmi4?::m170<722wxm54?:3y]e==:?33?7p}>fg83>7}:?38896P=349~w7672909wSok;<59ea=zuk9:=7>53;397~J40;0:wE?mc:O7=5=9r.?884:;|&003<6n91bmi4?::m170<722cj47>5;c594?5=83:p(>:9:df8L6>73E93>7?t$00`>1253tc287>5;hcg>5<6=44}rc;>5<5sWk37095959~w4`a2909w0952278Z7523ty9<=4?:3y]ea=:?3ko7psm3e494?5=:3>p@>6=:0yK5ge0=z,:>=65<6=44i`:94?=e?3:1?7>50z&003>ofl3:17b<<5;29?xdfk3:1>7>50z&003<6:m1C?5>4i03f>5<6=44}rc;>5<5sWk370ol:03f?xu6no0;6?u27;;7?8gd2;:>7p}=0183>7}:?38896P=349~w7662909wSok;<59ea=zuk83=7>58;19e~"4<1<75f26:94?=n:l21<75f26094?=n:>k1<75m29294?3=83:p(>:9:4;8L6>73`;:j7>5;h314?6=3`;9=7>5;h316?6=3f8;97>5;|`13`<72=0;6=u+354911=O;1:0e:188k7622900qo<8f;297?6=8r.88;4=099K7=65<6=44}r04g?6=:rT9;n5226d965352z\1a2=::1:1=?<4}r0;6?6=:rT94?52292954`52z\133=::1:1=?>4}r0452z\1a==::>o1=??4}r046?6=:rT9;?5226g957652z\13d=::>o1=62`=98l0q~<8e;296~;5?l09<85226d9576a}#;=<1>5j4o3:6>5<5<5<5<5<3?;7E=70:k25c<722c:>=4?::m140<722wi>5950;194?6|,:>=6?>7;I1;4>o69o0;66g>2183>>i58<0;66sm29:94?5=83:p(>:9:5d8L6>73`;:j7>5;h314?6=3f8;97>5;|`1::188yg4?j3:1:7>50z&003<58o1C?5>4i03e>5<5<5<6=44}r0;1?6=:rT9485229`965352z\1a2=::121=:84=3:`>4473ty9i44?:3y]6`?<5;2i6<ho4=3:a>4463ty9ii4?:3y]6`b<5;2i6<<=;|q1a=<72;qU>h64=3:b>47a3ty9;?4?:3y]624<5;2j6<5m5217897>0288;7p}>fg83>7}::1=1>=;4=3:;>4473ty9<=4?:3y>6=>=:9?01?6m:03e?xu5000;6?u229c9653<5;2i6<<<;|a630=83i1;7?={%172?41>2e99n4?::k1a2<722c94?4?::k133<722c9;54?::k1a<<722c9il4?::k1ag<722c9in4?::k1a=<722c9;?4?::k13d<722h9:84?:583>5}#;=<1995G3928m47a2900e<::188yg42m3:1?7>50z&003<5811C?5>4i03e>5<5<j7>53;294~"450;9l653=831vn?8>:180>5<7s-9?:7;?;I1;4>o69o0;66g>2183>>i58<0;66sm27094?5=83:p(>:9:32;?M5?82c:=k4?::k265<722e9<84?::a635=8391<7>t$265>1`<@:2;7d?>f;29?l7583:17b=0;6:4?:1y'710=:8:0D>6?;h32b?6=3`;9<7>5;h315?6=3`;9>7>5;h317?6=3`;987>5;n031?6=3ty99n4?:3y]60e<5;:;|q1a2<72;qU>h94=37e>47a3ty94?4?:2y]6=4<5;<>6:850;0xZ711348=97?=0:p62>=838pR?97;<051?7592wx>h750;0xZ7c>348=87?=0:p6`g=838pR?kn;<050?7592wx>hl50;0xZ7ce348=87?=2:p6`e=838pR?kl;<050?75;2wx>h650;0xZ7c?348=?7?>f:p624=839pR?9=;<055?76n279:?4>1g9~w71f2909wS<8a:?124<6:91v?;k:181841=38;963=5d8265=z{8lm6=4={<06a?47=2799k4>219~w7672909w0<:f;031>;5>=0:=k5rs343>5<5s48==7>:181841:38;963=628265=z{;:96=4={<057?47=279:94>259~yg4>;3:187=56z&003<51:1d>9<50;9j6=2=831b?:4?::k1`?6=3k82>7>53;294~"450;9l653=831vn?7?:180>5<7s-9?:7;?;I1;4>o69o0;66g>2183>>i58<0;66sm28394?5=83:p(>:9:32;?M5?82c:=k4?::k265<722e9<84?::p614=838pR?:=;<0:5?47=2wx>5:50;1xZ7>33482>7?>f:?1=5<69o1v>950;0xZ61<5;396<1g9~w7>a2909w0<60;031>;5180:>=5r}c0:=?6=<391:v*<4781=<=h:=<1<75f29694?=n;l0;66g=e;29?g4>03:1?7>50z&003<3n2B84=5f10d94?=n9;:1<75`21794?=zj;3=6=4<:183!53>3?;7E=70:k25c<722c:>=4?::m140<722wi>4950;194?6|,:>=6?>7;I1;4>o69o0;66g>2183>>i58<0;66s|25494?4|V;>=70<67;031>{t:1>1<7=t^3:7?84>03;:j63=97825c=z{:o1<7219~w7?32909w0<68;031>;51>0:=k5rs3;6>5<5s482:7r.88;4=9g9l611=831b>5:50;9j7c<722c9j7>5;c0:a?6=;3:1N4091b==;50;9~f7?d29086=4?{%172?373A93<6g>1g83>>o6:90;66a=0483>>{e:0n1<7=50;2x 6212;:37E=70:k25c<722c:>=4?::m140<722wx>9950;0xZ7203482h71g9~w6`=838pR>h4=3;f>4473ty9j7>52z\1b>;51j0:>=5rs3;b>5<5s482i7k38;963=9e8265=zuk8j97>54;192~"41<75f4183>>o483:17o5<4290;w)=;6;73?M5?82c:=k4?::k265<722e9<84?::a6d5=8391<7>t$265>76?3A93<6g>1g83>>o6:90;66a=0483>>{t:=21<7;5i;0:=k5rs5294?4|V=:01?o;:003?xu483:1>vP<0:?1e7<6:91v?o?:18184f<38;963=a2825c=z{;k:6=4={<0b6?47=279m>4>219~yg4fj3:187=56z&003<5ik1d>9750;9j6=2=831b8<4?::k05?6=3k8jm7>53;294~"450;9l653=831vn?o7:180>5<7s-9?:7;?;I1;4>o69o0;66g>2183>>i58<0;66sm2`;94?5=83:p(>:9:32;?M5?82c:=k4?::k265<722e9<84?::p61?=838pR?:6;<0b=?47=2wx>5:50;1xZ7>3348jm7?>f:?1e=<69o1v9?50;0xZ17<5;kj6<1g9~w7g02909w0;5i00:>=5r}c0a5?6=<391:v*<4781f4=h:=k1<75f29694?=n<;0;66g<2;29?g4e83:1?7>50z&003<3n2B84=5f10d94?=n9;:1<75`21794?=zj;kn6=4<:183!53>3?;7E=70:k25c<722c:>=4?::m140<722wi>lh50;194?6|,:>=6?>7;I1;4>o69o0;66g>2183>>i58<0;66s|25c94?4|V;>j70{t:1>1<7=t^3:7?84e83;:j63=ad825c=z{=81<7219~w7gd2909w0;5io0:=k5rs3cg>5<5s48ji7r.88;4=b69l61d=831b>5:50;9j06<722c8?7>5;c0a2?6=;3:1N4091b==;50;9~f7d329086=4?{%172?373A93<6g>1g83>>o6:90;66a=0483>>{e:k?1<7=50;2x 6212;:37E=70:k25c<722c:>=4?::m140<722wx>9l50;0xZ72e348i971g9~w15=838pR9=4=3`5>4473ty8?7>52z\07>;5j=0:>=5rs3`1>5<5s48i:754;192~"41<75f4583>>o4<3:17o5<4290;w)=;6;73?M5?82c:=k4?::k265<722e9<84?::a6gd=8391<7>t$265>76?3A93<6g>1g83>>o6:90;66a=0483>>{t:=i1<7;5jh0:=k5rs5694?4|V=>01?ll:003?xu4<3:1>vP<4:?1fd<6:91v?l7:18184ek38;963=bc825c=z{;h26=4={<0ae?47=279no4>219~yg4d=3:187=56z&003<5k<1d>9j50;9j6gc=831b884?::k01?6=3k8h87>53;294~"450;9l653=831vn?m=:180>5<7s-9?:7;?;I1;4>o69o0;66g>2183>>i58<0;66sm2b194?5=83:p(>:9:32;?M5?82c:=k4?::k265<722e9<84?::p61b=838pR?:k;<0`7?47=2wx>ok50;1xZ7db348h87?>f:?1g7<69o1v9;50;0xZ13<5;i?6<4>1g9~w7e62909w0;5k:0:>=5r}c0`f?6=<391:v*<4781gg=h:=o1<75f29694?=n;10;66g<6;29?g4di3:1?7>50z&003<3n2B84=5f10d94?=n9;:1<75`21794?=zj;i36=4<:183!53>3?;7E=70:k25c<722c:>=4?::m140<722wi>n750;194?6|,:>=6?>7;I1;4>o69o0;66g>2183>>i58<0;66s|25g94?4|V;>n70{t:1>1<7=t^3:7?84di3;:j63=c9825c=z{:21<7279o54>219~w7e12909w0;5k00:=k5rs3a4>5<5s48h475<6=44}c620?6=:3:12e9K7=65<52;294~"4i5G3928m47b2900c?>::188yg2793:1>7>50z&003<6:m1C?5>4i03f>5<6=44}c63f?6=:3:10;296?6=8r.88;4>2e9K7=65<53;294~"44;297?6=8r.88;4;f:J0<5=n98l1<75f13294?=h:9?1<75rb34g>5<4290;w)=;6;03<>N4091b==;50;9~f7da29086=4?{%172?2a3A93<6g>1g83>>o6:90;66a=0483>>{e<891<7=50;2x 6212<;0D>6?;h32b?6=3`;9<7>5;n031?6=3th?>=4?:483>5}#;=<19l5G3928m47a2900e<5<4290;w)=;6;73?M5?82c:=k4?::k265<722e9<84?::a05g=83>1<7>t$265>02<@:2;7d?>f;29?l7583:17d?=1;29?j47=3:17pl;0e83>6<729q/?98521:8L6>73`;:j7>5;h314?6=3f8;97>5;|`12<<72<0;6=u+354913=O;1:0e:188m4452900c?>::188yg41j3:187>50z&003<2:2B84=5f10d94?=n9;:1<75f13394?=h:9?1<75rb352>5<2290;w)=;6;7;?M5?82c:=k4?::k265<722c:><4?::k267<722e9<84?::a625=8391<7>t$265>06<@:2;7d?>f;29?l7583:17b4i03e>5<5<6=44}c0;7?6=<3:1:188k7622900qo<87;290?6=8r.88;4:3:J0<5=n98l1<75f13294?=n9;;1<75`21794?=zj;=26=4;:183!53>3?97E=70:k25c<722c:>=4?::k264<722e9<84?::a62d=8391<7>t$265>1`<@:2;7d?>f;29?l7583:17b6?;h32a?6=3f8;97>5;|q:=?6=irT2563=e78b<>;59;0j463=668b<>;0n3k370<9f;c;?84?<3k370<:b;c;?85693k370=k6;c;?xu49=0;65uQ306897c128h270<99;314>;5>k0:>=522619576<5;=>6<219~w17b2909wS:>e:?764<58<1v>lk:185[5el27?=>4>1g9>076=98l01>hl:03e?82783;:j63;0`8265=z{=;:6=4={_625>;39=09<85rs52;>5<5sW>;463;0c8140=z{:n=6=4={_1g2>;4l?09?85rs231>5<4sW9:>63=e782f3=::>;1=?<4}r5g>5;59;08>o52275977d<5>l1mi522639577<5;=86209>62?=98l01?9m:03e?xu4nl0;6?uQ3gg891662;:>7p}7}Y;lh01>hl:002?xu3;;0;68uQ42089174288;70:=0;314>;4nj0:>=524129576:97>52z\750=:<;:1=??4}r064?6=jrT99=522d495g1<5;lj6219>05g=9;;01?86:001?84093;:j63=74825c=::>=1=?>4=35:>4473ty?:;|q1`d<72;qU>io4=533>47b3ty9ik4?:3y]6``<5;lj6?>:;|q0bd<72;qU?ko4=2dg>7623ty53z?1a3<6j116;k4=349>627=9;:0q~<:a;290~;5>l09<8524329574<5:lh6<<=;<63e?76n2wx>;650;0x970c28;m70<99;031>{t:?k1<7447348=n7>>;<125?44=27?219~w6`a2909w0:?1;32a>;38909<85rs53e>5<5s4>9=7?>e:?765<58<1v?lj:18184d=38ii63=bg8140=z{;?i6=4={<06f?44=279:h4>1g9~w7002909w0<97;001>;5>l0:>=5rs3g4>5<1s48n:7<>7:?1<4<5m>16>5j52d5897012;o<70<>4;32b>;5>00:=k5rs3g;>5<2s48n:7<>9:?1<4<5m116>5j52d:897012;o370<9b;32b>{t:l31<7=t=3g5>77e3483h7630=:lk0q~<82;291~;50809;?5229f9624<5;<=6?9=;<047?47=2794>4>219~w7ce2909w0;5>?09io5rs357>5<5s48<97389=63=6781af=z{;==6=4;{<0;5?40>2794i4=779>630=:><01?98:326?xu5mm0;6?u22d49675<5;2o6?kk;|q13=<72:q6>5?526:897012;=370<89;031>{t:881<7;t=3g5>4df348:>7<<5:?12<<6:816>;l51338971028;m7p}=7`83>6}::1;1>:o4=345>71f3487}:;on1=7623ty?<44?:3y>05g=:9?019>k:03e?xu5>j0;6?u22759e<=::?n1>=;4}r1g3?6=:r78h;4nd:?0`=<58<1v?6;:18`84?<388963=9281<1=::031>5:4=3;e>7>3348j97<74:?1eg<50=16>o?5296897d02;2?70;5kk0949522cd954`<5:n365:5ae9>6=b=:1?0q~<>3;296~;59;0j563=158140=z{;296=4;{<0;5?4?:2794i4=839>630=:1801?6<:326?xu38j0;6?u241`954c<5=:o6?>:;|q11f<72;q6>8l5ae9>630=:o09?8522gc957652z?12c4;32a>;39:09<85rs36f>5<5sW8?i63=cc810`=#;;<1>9:4n206>7=z{;>o6=4={_07`>;5k<098i5+33496126>5rs36`>5<5sW8?o63=be810f=#;;<1>9:4n206>1=z{;>i6=4={_07f>;5j>098o5+3349612685rs36b>5<5sW8?m63=b0810d=#;;<1>9:4n206>3=z{;>26=4={_07=>;5ik09845+33496126:5rs36;>5<5sW8?463=a4810==#;;<1>9:4n206>==z{;><6=4={_073>;51o098:5+3349612645rs365>5<5sW8?:63=988103=#;;<1>9:4n206>d=z{;>96=4={_076>;51:098?5+33496126o5rs2c94?4|V:k0R?:<;%112?5>3g9997>4}r1;>5<5sW93703927c==5;38yv512908wS=9;_071>;5kk08:6*<2780=>h4:<097p}<5;296~X4=279o84<5:&063<412d8>84<;|q00?6=:rT8863=be800>"4:?0856`<2487?xu4;3:1>vP<3:?1f2<4;2.8>;4<9:l060<23ty8>7>52z\06>;5j808>6*<2780=>h4:<0=7p}<1;296~X49279mo4<1:&063<412d8>848;|q04?6=:rT8<63=a4804>"4:?0856`<248;?xu5n3:1>vP=f:?1=c<5n2.8>;4<9:l060<>3ty9i7>52z\1a>;51009i6*<2780=>h4:<0j7p}=d;296~X5l2795>4=d:&063<412d8>84m;|q71?6=:rT?963=c4871>"4:?0856`<248`?xu3<3:1>vP;4:?1fa<3<2.8>;4<9:l06052z\77>;5j>0??6*<2780=>h4:<0n7p};2;296~X3:279n<4;2:&063<412d8>84i;|q75?6=:rT?=63=ac875>"4:?0856`<24824>{t<90;6?uQ419>6d3=<91/??85389m773=981v>h50;0xZ6`<5;3m6>h4$205>6?6<<4}r1f>5<5sW9n70<69;1f?!55>3927c==5;30?xu4?3:1>vP<7:?1=6<4?2.8>;4<9:l060<6<2wvn>l9:1827?402:?p@>6=:0yK5ge03<,=>>6;5r$265>4353`326=44i01`>5<5<7483>>o4mk0;66g>5283>>i60;0;66g;3383>>o39<0;66a>6983>>i5mo0;66a>i6i<0;66a;6;29?j2b2900n?47?tH0``?xJ40:0:w):;5;68y!53>3;:m6gn8;29?j46?3:17b<>9;29?j46j3:17b<>d;29?j46n3:17d?m5;29?l7e>3:17d?m7;29?l7e03:17d?m9;29?g7603:1n775fzN0<7<6sA;io6s+354967b6=44i0`5>5<5<6<62:qG?5<51zJ2ff=z,:>=6hh4i`f94?=h::?1<75fa983>>d029086=4?{%172?cc3A93<6B<8382!75k3>?>6sf9583>>ofl3:17b<<5;29?xuf03:1>vPn8:?4><252z?4>7523W8896s|21294?4|Vhn01:4nd:~fcb=8391=7=tL2:1>4}O9ki0q)=;6;ge?lgc2900c?=::188md>=831i;7>53;294~"4n4;439~m<2=831bmi4?::m170<722wxm54?:3y]e==:?33?7p}>fg83>7}:?38896P=349~w7672909wSok;<59ea=zuklh6=4;:386!53>3lh7dh?:188m4d>2900ekj50;9lb4<722hm87>53;294~"450;9l653=831vnk=50;194?6|,:>=6?>7;I1;4>o69o0;66g>2183>>i58<0;66s|f183>7}Yn916j94>1g9~w4d>2909wS?m9:?e0?7582wxji4?:3y]ba=:n:0:>=5rsg394?4|Vo;01k=52178yv`52909w0h;:326?8`428;m7psm11c94?>=>3np(>:9:02b?l7e=3:17dh?:188mcb=831b=o750;9j5g0=831b=o950;9j5g>=831djh4?::`24<<72:0;6=u+354915=O;1:0e::188yg7783:1?7>50z&003<282B84=5f10d94?=n9;:1<75`21794?=zj8:96=4<:183!53>3?;7E=70:k25c<722c:>=4?::m140<722wi==:50;694?6|,:>=68=4H2:3?l76n3:17d?=0;29?l7593:17b4i03e>5<5<5<56;294~"4::188yv7e=3:1>vP>b49>55?=9;:0q~h?:186[`734;;57?>f:?245<69o16==:513289461288;7p}id;290~Xal27:<=4>219>554=9;:01<>;:03e?xu6j00;6>uQ1c;8946528;m70??4;315>{t9k<1<73;9=6s|1c594?4|V8h<70??6;316>{t9k21<73;:j6s|fd83>7}Ynl16==652178yv`a2909w0??9;031>;6810:=k5rs023>5<5s4;;<7>:181877:38;963>098264=z{8:86=4={<330?47=27:<54>239~w4622909w0??6;031>;6810:>>5r}c02N4091b==;50;9~f77f29086=4?{%172?2a3A93<6g>1g83>>o6:90;66a=0483>>{e:8i1<7=50;2x 6212<:0D>6?;h32b?6=3`;9<7>5;n031?6=3th9=h4?:283>5}#;=<19<5G3928m47a2900e<5<6=44}rd3>5c6<58:j6k>4=33;>47a348:m7?=0:?15f<6:916>8;314>;59h0:=k5220a954`<5;;n62wx=o950;0xZ4d034;;m7?m7:p5g>=838pR34lh60;6?uQ2058977?2;:>7p}=1883>7}Y:8301??n:326?xu59k0;6?uQ20`8977d2;:>7p}=1e83>7}Y:8n01??j:326?xu59o0;6?uQ20d897472;:>7p}i1;296~;a83ko70hl:g38yv`b2909w0hk:`f8946f2oo0qp}n8;296~Xf027:=54nb:p641=838pR??8;<32<750;0xZ77>34;:47<>9:p64d=838pR??m;<32d:p64`=838pR??i;<322wx=o950;0xZ4d034;:47?m7:p5g>=838pR34;:47?m9:~f45e29086<4<{M1;6?7|@8hh7pB<8282!23=3>0q)=;6;3`2>ofl3:17b<<5;29?lg?2900n:4?:283>5}#;=<1ii5G3928H6>528q/=?m54508yl?32900elj50;9l663=831vl650;0xZd><5>0286s|1gd94?4|5>09?85Q2278yv4783:1>vPnd:?4>db0}K;181=vF>bb9~H6>428q/89;54:'710=9j=0elj50;9l663=831bm54?::kb=?6=3`k;6=44b683>0<729q/?985ed9K7=6>o><3:17dok:188k7522900qo=71;295?6=8r.88;4>1e9K7=65<5sWk37095959~wd?=838pRl74=68:<>{t9ol1<747d34=1m=5rs323>5<5s4=1>>;4^316?xu5880;6?uQae9>3?gc3twi=k<50;696?2|D:2967):3;0(9:?:20a?k2403;0q)=;6;3`<>of03:17dok:188k7522900e>uC39095~N6jj1v(>:9:0a0?lgc2900c?=::188md>=831i;7>53;294~"45;n001?6=3tyj47>52z\b<>;020>0q~?if;296~;02;9>7S<<5:p656=838pRlj4=68b`>{zj:3j6=4=:183!53>3;9h6F<819j54c=831d>=;50;9~wd>=838pRl64=68b<>{t::?1<713:1>v38:`f896?f2;:>7psma483>1<62:qG?5<51zJ2ff=zD:2865u+45790>"3<=08n=5+42a9ea=#<:o1>>;4$51b>d>4e>3`k36=44i`f94?=h::?1<75f33`94?=e?3:1?7?53zN0<7<6sA;io6s+35495f55<7s-9?:7kk;I1;4>o><3:17dok:188k7522900q~o7:181[g?34=1595rs0de>5<5s4=1>>;4^316?xu5890;6?uQae9>3?gc3twxm54?:3y]e==:?3k37p}=3483>7}Y::?01:4=349~w64e2909wS==b:?4>db55;091~J40;0:wE?mc:O7=5=ir.?884;;%670?5e82.??n4nd:&77`<5;<1/8>o5a99m060=92.??o4n9:l772<63->?=7=;5:l77<<63t.88;4>c`9je=<722cj57>5;hcg>5<6=44i266>5<0;684=:4yO7=4=9rB:nn5r$265>4e43`ko6=44o316>5<>of83:17o950;794?6|,:>=6hk4H2:3?l??2900el>50;9j=1<722cjh7>5;n001?6=3th84<4?:083>5}#;=<1=5<5sWk27095999~w4`a2909w0=71;32g>;02h:0q~7S<<5:p657=838pRlj4=68b`>{zj:k26=4<:183!53>38;46F<819j54`=831b=?>50;9l653=831vl650;0xZd><5>0j46s|a883>7}Yi016?l751328yv44=3:1>vP=349>3?44=2wx?9;50;1xZ62234=1mi523`;954`52z?4>d?<5:k26?>:;|a53`=83?1>7;tL2:1>4}O9ki0qA=73;3x 1222=1v(>:9:0a4?lgc2900c?=::188md>=831bm44?::kb4?6=3k=1<7;50;2x 6212lo0D>6?;h;;>5<>ofl3:17b<<5;29?xd4080;6<4?:1y'710=98n0D>6?;n32g?6=3tyj47>52z\b<>;020>0q~o6:181[g>34=1555rs0de>5<5s493=7?>c:?4>d652z?4>7523W8896s|21394?4|Vhn01:4nd:~f4gd290>6?4:{M1;6?7|@8hh7pB<8282!23=3>0q)=;6;3`3>ofl3:17b<<5;29?lg?2900el750;9je5<722h<6=4::183!53>3on7E=70:k:>{e;1;1<7?50;2x 62128;o7E=70:m25f<722wxm54?:3y]e==:?33?7p}n9;296~Xf127<6464}r3eb?6=:r784<4>1b9>3?g73ty9<=4?:3y>3?44=2T9?85rs322>5<5sWko7095ae9~yg70=3:1?7?53zN0<7<6sA;io6sC39195~"3<<0?7p*<4782af=nim0;66a=3483>>of03:17o950;194?6|,:>=6hj4H2:3?l?32900elj50;9l663=831vl650;0xZd><5>0286s|1gd94?4|5>09?85Q2278yv4783:1>vPnd:?4>db0}K;181=vF>bb9~H6>428q/89;54:'710=9j=0elj50;9l663=831bm54?::kb=?6=3`k;6=44b683>0<729q/?985ed9K7=6>o><3:17dok:188k7522900qo=71;295?6=8r.88;4>1e9K7=65<5sWk37095959~wd?=838pRl74=68:<>{t9ol1<747d34=1m=5rs323>5<5s4=1>>;4^316?xu5880;6?uQae9>3?gc3twi8;4?:282>6}K;181=vF>bb9~H6>428q/89;54:'710=9li0elj50;9l663=831bm54?::`4>5<4290;w)=;6;gg?M5?82c287>5;hcg>5<6=44}rc;>5<5sWk37095959~w4`a2909w0952278Z7523ty9<=4?:3y]ea=:?3ko7psm17:94?3=:3?p@>6=:0yK5ge1=z,:>=65<6=44i`:94?=ni00;66gn0;29?g1=83?1<7>t$265>`c<@:2;7d77:188md6=831b594?::kb`?6=3f8897>5;|`0<4<7280;6=u+354954b<@:2;7b?>c;29?xuf03:1>vPn8:?4><2v38:316?[44=2wx>=?50;0xZdb<5>0jh6srb0c6>5<22;0>wA=72;3xL4dd3tF84>4>{%671?25;hc;>5<>d0290>6=4?{%172?cb3A93<6g68;29?lg72900e4:50;9jea<722e9?84?::a7=7=83;1<7>t$265>47c3A93<6a>1b83>>{ti10;6?uQa99>3??33tyj57>52z\b=>;02020q~?if;296~;4080:=n527;c3?xu5890;6?u27;001>X5;<1v?>>:181[gc34=1mi5r}c026?6==3819vB<8382M7ek2wG?5=5az&700<33->?87=m0:&77f851:&77g;%674?55j2d??54?;|&003<6kk1bm54?::kb=?6=3`ko6=44o316>5<0<52=65<6=44i`:94?=ni00;66gn0;29?g1=83?1<7>t$265>`c<@:2;7A=72;3x 44d2=>97pg68;29?lg72900e4:50;9jea<722e9?84?::a7=7=83;1<7>t$265>47c3A93<6a>1b83>>{ti10;6?uQa99>3??33tyj57>52z\b=>;02020q~?if;296~;4080:=n527;c3?xu5890;6?u27;001>X5;<1v?>>:181[gc34=1mi5r}c1b3?6=;3:1::188yvg?2909wSo7;<59e==z{h31<77}Y::?01:4=349~w64e2908wS==b:?4>db<5:k<66<0sE93>7?tH0``?xJ40:0jw):;5;68 1232:h;7):h3;?0:7):of03:17do6:188mdb=831d>>;50;9j77d=831i;7>55;091~J40;0:wE?mc:'710=9j90elj50;9l663=831bm54?::kb=?6=3`k;6=44b683>0<729q/?985ed9K7=6>o><3:17dok:188k7522900qo=71;295?6=8r.88;4>1e9K7=65<5sWk37095959~wd?=838pRl74=68:<>{t9ol1<747d34=1m=5rs323>5<5s4=1>>;4^316?xu5880;6?uQae9>3?gc3twi?4o50;194?6|,:>=69h4H2:3?l76n3:17d?=0;29?j47=3:17pl6<729q/?98521;8L6>73`;:j7>5;h314?6=3f8;97>5;|qb2909wSo6;<1b3?7582wxmi4?:3y]ea=:;0k1=?>4}r001?6=:rT9?8527;001>{t;;h1<7=t^20a?85>i3;:j63=;4}r1b2?6=:r7<6l74=2c4>7623twi4=4?:480>2}K;181=vF>bb9~H6>42hq/89;54:&701<4j91/8>m5ae9'06c=::?0(9=n:`:8j151281/8>l5a89m061=92.?8=4<2c9m06>=82w/?9851ba8md>=831bm44?::kb`?6=3f8897>5;h11f?6=3k=1<7;52;7xH6>528qC=om4}%172?7d;2cjh7>5;n001?6=3`k36=44i`;94?=ni90;66l8:186>5<7s-9?:7kj;I1;4>o>03:17do?:188m<2=831bmi4?::m170<722wi?5?50;394?6|,:>=6i69j0;66s|a983>7}Yi116;77;;|qb=?6=:rTj5638:8:8yv7an3:1>v3<80825f=:?3k;7p}=0183>7}:?38896P=349~w7662909wSok;<59ea=zuk92m7>53;294~"450;9l653=831vn>o8:180>5<7s-9?:7i3;9<6s|22794?4|V;9>70952278yv55j3:1?vP<2c9>7o8:03e?xu4100;6?u27;cg?85>i38;96s|3`494?4|5>0j56357;79f~"4>o6??0;66g=2d83>>o5:o0;66g>3c83>>d6?o0;6>4?:1y'710==91C?5>4i03e>5<5<53;294~"45<4290;w)=;6;73?M5?82c:=k4?::k265<722e9<84?::a52c=83>1<7>t$265>76e3A93<6g>1g83>>o6:90;66g>2083>>i58<0;66s|16:94?4|V8=370?8e;031>{t::;1<76}Y7783>7}Y9><01<9i:003?xu5:l0;6?uQ23g8941b288;7p}=2g83>7}Y:;l01<9k:003?xu6;k0;6?uQ12`8941c28;m7p}>7883>7}:9>l1>=;4=05b>4473ty:jk4?:3y>52g=:9?01<9m:003?xu5890;6?u216`9653<58=n6:9:0:b?j7?=3:17d:j:188m4?62900e9==:188f4>>29086=4?{%172?2a3A93<6g>1g83>>o6:90;66a=0483>>{e91=1<7=50;2x 6212<:0D>6?;h32b?6=3`;9<7>5;n031?6=3th:454?:283>5}#;=<1>=64H2:3?l76n3:17d?=0;29?j47=3:17p}>8483>7}Y91?01<67:326?xu3m3:1?vP;e:?2<<<69o16=59510d8yv7>93:1>vP>909>5=?=9;:0q~:<2;296~X3;;16=5951328yv7?>3:1>v3>888140=:9121=5=>=9;:0qpl>6d83>1<42?q/?98517g8k40>2900e9k50;9j53`=831b?hl50;9a53b=8391<7>t$265>1`<@:2;7d?>f;29?l7583:17bk0;6>4?:1y'710==81C?5>4i03e>5<5<53;294~"4016=;m52178yv2b2908wS:j;<35`?76n27::o4>1g9~w40a2909wS?9f:?22a<6:91v>km:181[5bj27::o4>219~w40f2909w0?9d;031>;6>j0:=k5rs04a>5<5s4;=n7r.88;4>ac9l5d0=831b8h4?::k2ef<722c?=84?::`2ed<72:0;6=u+35490c=O;1:0e::188yg7f03:1?7>50z&003<292B84=5f10d94?=n9;:1<75`21794?=zj8k26=4<:183!53>38;46F<819j54`=831b=?>50;9l653=831v27:m44=049~w1c=839pR9k4=0cb>47a34;j47?>f:p5de=838pR:;<3b=?7582wvn?hn:187>5<7s-9?:73?87E=70:k25c<722c:>=4?::k264<722e9<84?::a5<5=83<1<7>t$265>0d<@:2;7d?>f;29?l7583:17d?=1;29?l75:3:17d?=3;29?j47=3:17pln7;297?6=8r.88;4;f:J0<5=n98l1<75f13294?=h:9?1<75rb337>5<4290;w)=;6;6e?M5?82c:=k4?::k265<722e9<84?::a5<>=83?1<7>t$265>0><@:2;7d?>f;29?l7583:17d?=1;29?l75:3:17b4?:1y'710==91C?5>4i03e>5<5<54;294~"4::188yg70?3:187>50z&003<2;2B84=5f10d94?=n9;:1<75f13394?=h:9?1<75rb0:f>5<3290;w)=;6;03`>N4091b=5<7s-9?:7;?;I1;4>o69o0;66g>2183>>i58<0;66sm18294?5=83:p(>:9:32;?M5?82c:=k4?::k265<722e9<84?::a640=8391<7>t$265>76?3A93<6g>1g83>>o6:90;66a=0483>>{e90i1<7=50;2x 6212;:37E=70:k25c<722c:>=4?::m140<722wi=k:50;094?6|,:>=6<o69l0;66a=0483>>{e9>;1<7<50;2x 621288o7E=70:k25`<722e9<84?::a5dc=8381<7>t$265>44c3A93<6g>1d83>>i58<0;66sm19a94?4=83:p(>:9:00g?M5?82c:=h4?::m140<722wi=4o50;094?6|,:>=6<o69l0;66a=0483>>{t100;67o7;<3:2?g?342;6l64}r30g?6=;rT:?n52818b`>;61h0:=h5rs050>5<5sW;;6;k0jh6s|3cf94?4|V:ho70=j2;32b>{t090;6?uQ819><5<5;<1v:j50;:xZ2b<5;8o6<5<4:k16=4=513389410288:70?7e;32b>{t9>?1<7;6?80:=h5rs070>5?63=2e82f2=::ok1=44734;2?7?=3:?151<69o16=:95132894>b288;7p}>8383>7}Y91801<6=:316?xu3;;0;69uQ420894?62hn01<6n:511?85b:3;9=6s|40794?5|V=;>70?nb;621>;6il0:=h5rs04;>5<5sW;=463>698170=z{;om6=4={_0fb>;5nh09<85rs2g2>5<5sW9n=636=4={_3b1>;6i<09?85rs5494?4|V=<019852278yv2b290hwS:j;<01`?7e=27?i7<<5:?2<5<3m27:4l4;e:?22`<3m27:mo4;e:?2=6<69o16m:4>219>5<>=9;:01?h6:03e?87?<3;:j6s|18;94?4|583=6lj4=0;b>7623ty:m;4?:3y>5d3=im16=ll51`48yv7>:3:1?v3>908b=>;61:09<8521g6954c52z?2<1<58<16=4>510d8yv74j3:18v3=2e82f<=:9:h1>>;4=0:3>45e34;247?>f:p520=838p1<6?:055?870?38;96s|a783>7}:i<08>o52a68140=z{;;96=49{<01`?7e0279=?4=349>5<5=9;:01<77:002?870?3;:j63>8d8264=z{h?1<75<5s4;m>7==b:?2b1<58<1v??::181846<3;9<63=178140=z{;996=4={<01`?46n27:5=4>219~w4?02908w0?66;c:?8>72h301<77:326?xu6?90;6?u217d9ea=:9>;1>=;4}r3:f?6=:r7:554>239>58b8140=z{;8n6=48{<01`?46?27?:7ok;<3534;j97o6;<3;4?45m27j;7?>f:?2=0<69o1v<7;:18187>;3;9>63>948140=z{;8m6=4<{<01`?46127:4=4=2g9>5<3=9;:0q~<<0;297~;5:m09=o521879577<583h6<?j520f894>72;9:70<>6;32b>;61j0:=k5rs0;2>5<5s4;2=7<<5:?24;031>{t9o81<7:t=0d1>75234>n6>::;<35b?g>34;jo7o6;|q22c<72;q6=;h52278940b286883>7}:9?21mi5217g953?52z?2<7849~w41?2909w0?85;cg?87?83;<46s|19f94?4|582n6?>:;<3;0?7582wx>k650;1x97`f288:70;59?0:>=5rs0:e>5<5s4;2<7{t9hi1<775234;jn7?nc:p5<0=838p1<79:316?84ai3;9<6srb2`6>5<613>868ltL2:1>4}O9ki0qA=73;0xj1212?k0(9:::79~ 62128?:7W==4;3x5<5<6=44i2f:>5<5<5<?1<75`3bc94?=n1?0;66g65;29?j5bj3:17d?82;29?j26=3:17d=l4;29?l44;3:17d?nf;29?l5dk3:17b=ke;29?j5b;3:17b:9:188k1452900n<7k:1824?7=99qG?5<51zJ2ff=zD:286{#;=<1=2900e<750;9l64d=831d>??50;9l675=831d>?;50;9ab5<72:0:6>uC39095~N6jj1v(>:9:dd8mdb=831d>>;50;9je=<722h<6=4<:183!53>3oo7E=70:N0<7<6s-;9o7:;2:j=1<722cjh7>5;n001?6=3tyj47>52z\b<>;020>0q~?if;296~;02;9>7S<<5:p656=838pRlj4=68b`>{zjon1<7=51;1xH6>528qC=om4}%172?ca3`ko6=44o316>5<2b8707=za0>1<75fae83>>i5;<0;66s|a983>7}Yi116;77;;|q2bc<72;q6;7<<5:\170=z{;:;6=4={_cg?81=im1vqo??b;297?7=;rF84?4>{I3ag>{#;=<1ik5fae83>>i5;<0;66gn8;29?g1=8391<7>t$265>`b<@:2;7A=72;3x 44d2=>97pg64;29?lgc2900c?=::188yvg?2909wSo7;<59=1=z{8lm6=4={<596637p}=0183>7}Yim16;7ok;|abf<72=086;u+3549bf=n99h1<75ffe83>>oa83:17bh>:188fc0=8391<7>t$265>06<@:2;7d?>f;29?l7583:17b50z&003<3n2B84=5f10d94?=n9;:1<75`21794?=zjo?1<7=50;2x 6212;:37E=70:k25c<722c:>=4?::m140<722wx==l50;1xZ46e34l=647a3tymh7>52z\e`>;a>3;9<6s|f183>7}Yn916j>4>219~wc7=838pRk?4=g7965376234l>6n:18:>05;h33f?6=3`l;6=44i0`;>5<5<6?;h32b?6=3`;9<7>5;n031?6=3th:<=4?:283>5}#;=<19=5G3928m47a2900e<5<1290;w)=;6;7g?M5?82c:=k4?::k265<722c:><4?::k267<722c:>>4?::m140<722wi==850;794?6|,:>=6?>j;I1;4>o69o0;66g>2183>>o6:80;66g>2383>>i58<0;66s|fe83>1}Ynm16==9513289465288;70??4;317>{t9k=1<7;68;0:=k5rsg294?4|Vo:01<>?:03e?xu6j10;6?uQ1c:8946328;m7p}>b883>7}Y9k301<>;:003?xu6jh0;6?uQ1cc89463288:7p}>bc83>7}Y9kh01<>;:001?xuam3:1>vPie:?243<58<1vkh50;0x94602;:>70??6;32b>{t99:1<776234;;:7?=0:p557=838p1<>=:326?877>3;9=6s|11194?4|58:?6?>:;<332?75:2wvn16:ke`?6=3`l;6=44i02a>5<5<5<3>m7E=70:k25c<722c:>=4?::m140<722wi==k50;694?6|,:>=68:4H2:3?l76n3:17d?=0;29?l7593:17b4i03e>5<5<5<6=44}c326?6=<3:1:188k7622900q~hk:187[`c34;:?7?>f:?24`<6:916=<>510d8yv`7290?wSh?;<327?75827:

1g9>546=9;;0q~??b;296~X68k16==k51338yv7e?3:1>vP>b69>546=9;:0q~?m5;296~X6j<16=<>51308yv7e>3:1>vP>b79>546=9;90q~??c;296~X68j16=<<52178yv77l3:1>v3>128140=:9881=544=9;:0q~??f;296~;69909<85210095775}#;=<1985G3928m47a2900e<::188yg46i3:187>50z&003<2<2B84=5f10d94?=n9;:1<75f13394?=h:9?1<75rb33`>5<3290;w)=;6;70?M5?82c:=k4?::k265<722c:><4?::m140<722wi>=68:4H2:3?l76n3:17d?=0;29?l7593:17b4i03e>5<5<6=44}c016?6=<3:1N4091b=5<7s-9?:7;<;I1;4>o69o0;66g>2183>>o6:80;66a=0483>>{tn90;6ou2f18170=:nj0m<63>0`8e4>;69?0m<63=198264=::8k1=47a348:i7?>f:?165<6:816>?<513389743288;7p}id;29f~;al388963ic;dg?877i3lo70?>6;dg?84603;9<63=1`8265=::8i1=?>4=33f>4463489<7?=0:?167<6:916>?:510d8yv77j3:1nv3>0c8170=:nj0:m;<02209>64e=9;;01??j:003?84583;:j63=23825c=::;>1=??4}r3a1?6=:rT:n85210495g352z\2f3=:98<1=o84}r3a3?6=;rT:n:5211c95g1<58;=64d?3ty:n44?:3y]5g?<58:j64df3ty:no4?:3y]5gd<58:j6m:`:8yv46?3:1>vP=169>64>=:9?0q~<>9;296~X59016>vP=1c9>64e=:9?0q~<>d;296~X59m16>vP=1g9>676=:9?0q~<=1;296~X5:816>?<52178yv45;3:1>vP=229>672=:9?0q~h>:1818`72hn01km5f09~wcc=838p1kj5ae9>55g=nl1v<>l:181877j3ko70?>6;33g>{z{h21<77;296~X59>16=<652058yv4613:1>vP=189>54>=:830q~<>b;296~X59k16=<6520`8yv46l3:1>vP=1e9>54>=:8n0q~<>f;296~X59o16=<6520d8yv4593:1>vP=209>54>=:;;0q~<=3;296~X5::16=<652318yv45=3:1>vP=249>54>=:;?0q~?m5;296~X6j<16=<651c78yv7e>3:1>vP>b79>54>=9k<0q~?m7;296~X6j>16=<651c58yv7e03:1>vP>b99>54>=9k20q~?m9;296~X6j016=<651c;8yv7ei3:1>vP>b`9>54>=9kk0q~?mb;296~X6jk16=<651c`8yxd6080;6>4=:5yO7=4=9rB:nn5rL2:0>4}#<=?1?6s+35495fb5<7s-9?:7kk;I1;4>J40;0:w)?=c;676>{n1=0;66gnd;29?j44=3:17plnc;296?6=8r.88;4>2e9K7=65<fg83>7}:?33?70ol:326?xu5890;6?u27;001>X5;<1v?>>:181[gc34=1mi5r}c`a>5<42808wA=72;3xL4dd3tF84>4>{%671?55;hc;>5<0;6>4?:1y'710=mm1C?5>4i8694?=nim0;66a=3483>>{ti10;6?uQa99>3??33ty:jk4?:3y>3?44=2T9?85rs323>5<5sWko7095ae9~yg52>3:1?7?53zN0<7<6sA;io6sC39195~"3<<087p*<4782af=nim0;66a=3483>>of03:17o950;194?6|,:>=6hj4H2:3?l?32900elj50;9l663=831vl650;0xZd><5>0286s|1gd94?4|5>09?85Q2278yv4783:1>vPnd:?4>db53;397~J40;0:wE?mc:O7=5=9r.?884<;|&003<6mj1bmi4?::m170<722cj47>5;c594?5=83:p(>:9:df8L6>73`3?6=44i`f94?=h::?1<75rs`:94?4|Vh201:464:p5c`=838p1:4=349]66352z\b`>;02hn0qpl=d683>6<62:qG?5<51zJ2ff=zD:286{#;=<1=hm4i`f94?=h::?1<75fa983>>d029086=4?{%172?cc3A93<6g64;29?lgc2900c?=::188yvg?2909wSo7;<59=1=z{8lm6=4={<596637p}=0183>7}Yim16;7ok;|afd<72:0969uC39095~N6jj1v@>6<:0y'013=;2w/?9851b78mdb=831d>>;50;9je=<722h<6=4<:183!53>3oo7E=70:k:0?6=3`ko6=44o316>5<3;9h6F<819j54c=831d>=;50;9~wd>=838pRl64=`a954c52z?4><2<5hi1>=;4}r034?6=:r7<6?=:;_001>{t:9;1<7;::180>7<3sE93>7?tH0``?xJ40:0:w):;5;18y!53>3;h96gnd;29?j44=3:17do7:188f2<72:0;6=u+3549aa=O;1:0e4:50;9jea<722e9?84?::aef<72;0;6=u+354957b<@:2;7d?>e;29?j47=3:17p}n8;296~Xf027jo7?>e:p5c`=838p1:464:?bg?47=2wx>=>50;0x92<5;<1U>>;4}r035?6=:rTjh638:`f8yxd??3:1?7<54zN0<7<6sA;io6sC39195~"3<<087p*<4782g0=nim0;66a=3483>>of03:17o950;194?6|,:>=6hj4H2:3?l?32900elj50;9l663=831vnlm50;094?6|,:>=6<o69l0;66a=0483>>{ti10;6?uQa99>ef<69l1vp@>6=:0yK5ge6=z,:>=65<6=44i`:94?=e?3:1?7>50z&003>ofl3:17b<<5;29?xdfk3:1>7>50z&003<6:m1C?5>4i03f>5<6=44}rc;>5<5sWk370ol:03f?xu6no0;6?u27;;7?8gd2;:>7p}=0183>7}:?38896P=349~w7662909wSok;<59ea=zukoj6=4<:387I5?:3;pD?97=4}%172?7d=2cjh7>5;n001?6=3`k36=44b683>6<729q/?985ee9K7=61<75fae83>>i5;<0;66smab83>7<729q/?98513f8L6>73`;:i7>5;n031?6=3tyj47>52z\b<>;fk3;:i6s|1gd94?4|5>02863nc;031>{t:9:1<7eb9jea<722e9?84?::kb6?;h;7>5<<2wx=kh50;0x92<5;<1U>>;4}r034?6=:rTjh638:`f8yxdc:3:147<5dzN0<7<6sA;io6sC3919<~"3<<087):;4;1ba>"4j80?8:5+42g9663<,=9j6l64n515>4=#<=:1??l4n51;>4=z,:>=6;[;0>4}6k3wQ??:52z3f>76=u`99o7>5;hc;>5<5<#:;i1>>o4n30a>5=5<#:;i1>>o4n30a>7=54o315>5<#:;i1>>o4n30a>1=0;6i49:dyO7=4=9rB:nn5rL2:0>2}#<=>1?o>4$51`>db<,=9n6?=:;%60e?g?3g>8:7?4$563>64e3g>847?4}%172?7dm2P2?71>v?j:059yl55k3:17do7:188m64e2900c?=m:18'67e=::k0b?290/>?m522c8j74e2810c?=7:18'67e=::k0b??m522c8j74e2:10c?=9:18'67e=::k0b?n4m2:l16g<732ci=7>5$30`>g46`=2c81?>ofn3:1(?o4;;:`4>5<22:046{%670?5e82.??n4nd:&77`<5;<1/8>o5a99m060=92.?8=4<2c9m06>=92.?8<4<449m06?=92w/?9851e28md>=831bmi4?::m170<722c8884?::k06g<722h<6=4<:080I5?:3;pD5;c594?5=83:p(>:9:df8L6>73`3?6=44i`f94?=h::?1<75rs`:94?4|Vh201:464:p5c`=838p1:4=349]66352z\b`>;02hn0qpl<9`83>6<729q/?985519K7=65<6=44}c1bg?6=;3:1::188yvg?2909wSo7;<59e==z{hn1<77}Y::?01:4=349~w6222909wS=;5:?0ef<69o1v>1g9~w6ge2909w0=6a;314>;4ij09<85rs2;:>5<5s4=1mi5238c9653?87=m0:&77f851:&705<4:k1e8>651:'710=9j20el650;9jea<722e9?84?::k06g<722h<6=4<:080I5?:3;pD5;c594?5=83:p(>:9:df8L6>73`3?6=44i`f94?=h::?1<75rs`:94?4|Vh201:464:p5c`=838p1:4=349]66352z\b`>;02hn0qpl<9`83>6<729q/?985519K7=65<6=44}rc;>5<5sWk37095a99~wdb=838pRlj4=2;b>4473ty9?84?:3y]663<5>09?85rs20a>5<5sW99n63<9`825c=z{:326=4={<59ea=:;0k1>=;4}|`g>5<32;0>wA=72;3xL4dd3tF84>48{%670?5e82.??n4nd:&77`<5;<1/8>o5a99m060=92.?8=4<2c9m06>=92w/?9851b:8md>=831bmi4?::m170<722c8>o4?::`4>5<42808wA=72;3xL4dd3t.88;4>c29jea<722e9?84?::kb6?;h;7>5<<2wx=kh50;0x92<5;<1U>>;4}r034?6=:rTjh638:`f8yxd41h0;6>4?:1y'710==91C?5>4i03e>5<5<>;4=68170=z{:8i6=4={_11f>;41h0:=k5rs2;:>5<5s4=1mi5238c96537>54;091~J40;0:wE?mc:O7=5=?r.?894k52278 15f2h20b9=9:09'016=;;h0b9=7:09~ 62128i37do7:188mdb=831d>>;50;9j77d=831i;7>53;397~J40;0:wE?mc:'710=9j90elj50;9l663=831bm54?::`4>5<4290;w)=;6;gg?M5?82c287>5;hcg>5<6=44}rc;>5<5sWk37095959~w4`a2909w0952278Z7523ty9<=4?:3y]ea=:?3ko7psm38c94?5=83:p(>:9:428L6>73`;:j7>5;h314?6=3f8;97>5;|qb>;50;0xZ75234=1>>;4}r11f?6=:rT8>o5238c954`52z?4>db<5:3j6?>:;|a50<72=0968uC39095~N6jj1v@>6<:6y'012=;k:0(9=l:`f8 15b2;9>7):3;0(9:?:20a?k2403;0q)=;6;3`<>of03:17dok:188k7522900e>uC39095~N6jj1v(>:9:0a0?lgc2900c?=::188md>=831i;7>53;294~"45;n001?6=3tyj47>52z\b<>;020>0q~?if;296~;02;9>7S<<5:p656=838pRlj4=68b`>{zj:3j6=4<:183!53>3?;7E=70:k25c<722c:>=4?::m140<722wxm54?:3y]e==:?3k37p}nd;296~Xfl2785l4>219~w7522909wS<<5:?4>7523ty8>o4?:3y]77d<5:3j6:180>5<7s-9?:75<4sW99o638:266?84393;9<6s|a983>3}Yi116;7o7;<:9e==:l3k370?=:`:8943=i11v>9>50;7x9=<4:k16h7==b:?26?55j27:97==b:?104<58<1v?=m:181[44j27:97<<5:&063<5;h1e??;50:p66?=838pR?=6;<31>7523-99:7<<5m09?85+334966g6?5rs314>5<5sW88;637:316?!55>388m6`<2480?xu5;?0;6?uQ224892<5;<1/??8522c8j6422=1vo=50;0xZg5<58?1mi5+3349f7=i;;?1<6s|b083>7}Yj816=?4nd:&06384>;|qa4?6=:rTi<63k:`f8 6412k80b><::39~wd`=838pRlh4=98b`>"4:?0i>6`<2480?xufm3:1>vPne:?4>db<,:8=6o<4n206>1=zuk;m97>5a;:9`~J40;0:wE?mc:O7=5==r.?8944d=uS9987=h;831<7*=2b805g=i:;h1>65`30:94?"5:j08=o5a23`97>=h;8=1<7*=2b805g=i:;h1865fc783>!45k3i>7c<=b;28?le3290/>?m5c49m67d=921bo>4?:%01g?e23g89n7<4;ha1>5<#:;i1o85a23`97>=nk80;6)<=c;a6?k45j3>07o?i8;297?7=;rF84?4>{I3ag>{#;=<1=k?4icg94?=njo0;66a<1783>>d40j0;6>4<:4y'710=;1i0eok50;9jfc<722e8=;4?::`0<<<72:0;6=u+354915=O;1:0e::188yg5?i3:1?7>50z&003<282B84=5f10d94?=n9;:1<75`21794?=zj:2i6=4<:183!53>38;46F<819j54`=831b=?>50;9l653=831vok50;1xZgc<5:226<4473ty8=;4?:3y]740<5:2i6?>:;|q0<=<72;q6?575217896>e28;m7p}<8883>7}:;1k1>=;4=2:a>4473twxnh4?:3y]f`=:;1i1nh5rscd94?4|Vkl01>6l:cd8yv56>3:1>vP<179>7=e=;8<0qpl>f883>6<62:qG?5<51zJ2ff=z,:>=6;h`f>5<t$265>06<@:2;7d?>f;29?l7583:17b4?:1y'710==91C?5>4i03e>5<5<53;294~"4=838p1>66:326?85?j3;:j6s|39;94?4|5:2j6?>:;<1;f?7582wvok50;0xZgc<5:2h6ok4}r`e>5<5sWhm70=7c;`e?xu49?0;6?uQ304896>d2:;=7psm1gc94?5=939p@>6=:0yK5ge5<393o6gme;29?lda2900c>?9:188f6>>29086=4?{%172?373A93<6g>1g83>>o6:90;66a=0483>>{e;1k1<7=50;2x 6212<:0D>6?;h32b?6=3`;9<7>5;n031?6=3th84o4?:283>5}#;=<1>=64H2:3?l76n3:17d?=0;29?j47=3:17p}me;297~Xem278444>219>7=g=98l0q~li:180[da349357?>f:?0?9:181[56>2784o4=049~w6>?2909w0=79;031>;40k0:=k5rs2::>5<5s493m7{t;8<1<75<42808wA=72;3xL4dd3t.88;4>f09jf`<722cij7>5;n122?6=3k93o7>53;191~"4>oen3:17b=>6;29?g5?13:1?7>50z&003<282B84=5f10d94?=n9;:1<75`21794?=zj:2j6=4<:183!53>3?;7E=70:k25c<722c:>=4?::m140<722wi?5l50;194?6|,:>=6?>7;I1;4>o69o0;66g>2183>>i58<0;66s|bd83>6}Yjl16?575132896>f28;m7p}mf;297~Xen278444>1g9>7=g=9;:0q~=>6;296~X49?16?5l52178yv5?03:1>v3<888140=:;1h1=7=d=9;:0qp}me;296~Xem2784n4me:pfc<72;qUnk5239a9fc=z{:;=6=4={_122>;40j08=;5r}c1:e?6=;3:1N4091b==;50;9~f6?d29086=4?{%172?2a3A93<6g>1g83>>o6:90;66a=0483>>{e;0o1<7=50;2x 6212=l0D>6?;h32b?6=3`;9<7>5;n031?6=3th8><4?:383>5}#;=<1=?j4H2:3?l76m3:17bu21g;9f`=:;0k1>=;4=2;`>4473ty85o4?:2y>5cg=jl16?4m5217896?b288;7p}<9e83>7}:9oh1nh5238g965352z\05f=:9oh1?<84$205>67e3g9997>4}r12e?6=:rT8=l521gc9740<,:8=6>?m;o111?752z\05<=:9o31?<84$205>67e3g9997<4}r12?m;o111?552z\052=:;;;1>=;4$205>67e3g9997:4}ra5>5<5sWi=70?ib;`e?!55>3i>7c==5;28yve32908wSm;;<3ee?da3492i7?>f:&06384>;|q`7?6=;rTh?63>f88ab>;41j0:=k5+3349g0=i;;?1>6s|c383>6}Yk;16=k65bg9>7<9:b78j6422:1vn?50;6xZf7<58l36ok4=2;b>4473499=7?>e:&06384;;|p77e=838pR>>l4=6817g=:9o?1o;5+334966g6=5rs31:>5<4sW885638:31:?87a=3i?7)==6;00e>h4:<0:7p}=3983>6}Y::201:4=399>5c3=k:1/??8522c8j6422;1v?=8:180[44?27<6?=8;<3e1?e53-99:7<09?;521g79g4=#;;<1>>o4n206>1=z{:3?6=4={<59f6=:9o?1?6?43g9997>4}r1:6?6=:r7<6o?4=0d6>67f3-99:7=63:l060<63ty85<4?:3y>3?d734;m97=>9:&063<41:1e??;52:p7<6=838p1:4nf:?2b0<4911/??853818j6422:1v>6i:18181=il16=k;53058 6412:387c==5;68yxdb;3:1?7?53zN0<7<6sA;io6sC39195~"3<<087p*<4782`4=nim0;66a=3483>>of03:17o950;194?6|,:>=6hj4H2:3?I5?:3;p(<<3:17dok:188k7522900q~o7:181[g?34=1595rs0de>5<5s4=1>>;4^316?xu5890;6?uQae9>3?gc3twi8?<50;797?0|D:2967):3;0(9=m:`;8j150291/89>533`8j15?281v(>:9:0f1?lg?2900el750;9jea<722e9?84?::k06g<722h<6=4::386I5?:3;pD5;hc:>5<6>:182>5<7s-9?:7?>d:J0<5=h98i1<75rs`:94?4|Vh201:464:pe<<72;qUm4527;;;?xu6no0;6?u2393954e<5>0j<6s|21294?4|5>09?85Q2278yv4793:1>vPnd:?4>db5}#;=<1=?j4H2:3?l76m3:17b0;6>4?:1y'710=:930D>6?;h32b?6=3`;9<7>5;n031?6=3tyj47>52z\b<>;02h20q~o6:181[g>349j;7?>f:p663=838pR?=:;<5966353z\06g=:;0k1=4473ty8544?:3y>3?gc3492m74<4sE93>7?tH0``?xJ40:0:w):;5;18y!53>3;o?6gnd;29?j44=3:17do7:188f2<72:0;6=u+3549aa=O;1:0@>6=:0y'57e=<=80qd7;:188mdb=831d>>;50;9~wd>=838pRl64=68:0>{t9ol1<7d59jea<722e9?84?::kb6?;M1;6?7|,88h69:=;|k:0?6=3`ko6=44o316>5<d}#<=?1?6*;4580f5=#<:i1mi5+42g9663<,=9j6l64n515>4=#<:h1m45a42594>"3<808885a42;95>{#;=<1=i;4i`:94?=ni00;66gnd;29?j44=3:17d=;5;29?g1=83?1>7;tL2:1>4}O9ki0q)=;6;3`7>ofl3:17b<<5;29?lg?2900el750;9je5<722h<6=4::183!53>3on7E=70:N0<7<6s-;9o7:;2:j==<722cj<7>5;h;7>5<:183!53>3;:h6F<819l54e=831vl650;0xZd><5>0286s|a883>7}Yi016;777;|q2bc<72;q6?5?510a892=>50;0x92<5;<1U>>;4}r035?6=:rTjh638:`f8yxd4i00;6>4?:1y'710=:930D>6?;h32b?6=3`;9<7>5;n031?6=3tyj47>52z\b<>;02h20q~o6:181[g>349j57?>f:p663=838pR?=:;<5966353z\000=:?3ko70=n9;314>{t;h21<7;4i009<85r}c31a?6=;3;1?vB<8382M7ek2wG?5=51z&700<43t.88;4>eb9jea<722e9?84?::kb6?;h;7>5<<2wx=kh50;0x92<5;<1U>>;4}r034?6=:rTjh638:`f8yxd3>3:1?7?53zN0<7<6sA;io6sC39195~"3<<087p*<4782af=nim0;66a=3483>>of03:17o950;194?6|,:>=6hj4H2:3?l?32900elj50;9l663=831vl650;0xZd><5>0286s|1gd94?4|5>09?85Q2278yv4783:1>vPnd:?4>db6}K;181=vF>bb9~H6>428q/89;53:'710=9li0elj50;9l663=831bm54?::`4>5<4290;w)=;6;gg?M5?82c287>5;hcg>5<6=44}rc;>5<5sWk37095959~w4`a2909w0952278Z7523ty9<=4?:3y]ea=:?3ko7psm3eg94?5=:3>p@>6=:0yK5ge6=z,:>=65<6=44i`:94?=e?3:1?7>50z&003>ofl3:17b<<5;29?xdfk3:1>7>50z&003<6:m1C?5>4i03f>5<6=44}rc;>5<5sWk370ol:03f?xu6no0;6?u27;;7?8gd2;:>7p}=0183>7}:?38896P=349~w7662909wSok;<59ea=zuk9n?7>53;397~J40;0:wE?mc:O7=5=9r.?884<;|&003<6mj1bmi4?::m170<722cj47>5;c594?5=83:p(>:9:df8L6>73`3?6=44i`f94?=h::?1<75rs`:94?4|Vh201:464:p5c`=838p1:4=349]66352z\b`>;02hn0qpl6<52=qG?5<51zJ2ff=zD:286{#;=<1=n;4i`f94?=h::?1<75fa983>>d029086=4?{%172?cc3A93<6g64;29?lgc2900c?=::188yggd29096=4?{%172?75l2B84=5f10g94?=h:9?1<75rs`:94?4|Vh201lm510g8yv7an3:1>v38:8689de=:9?0q~7S<<5:p657=838pRlj4=68b`>{zj:oi6=4<:387I5?:3;pD?97=4}%172?7d=2cjh7>5;n001?6=3`k36=44b683>6<729q/?985ee9K7=61<75fae83>>i5;<0;66smab83>7<729q/?98513f8L6>73`;:i7>5;n031?6=3tyj47>52z\b<>;fk3;:i6s|1gd94?4|5>02863nc;031>{t:9:1<76<:0y'013=;2w/?9851b78mdb=831d>>;50;9je=<722h<6=4<:183!53>3oo7E=70:k:0?6=3`ko6=44o316>5<3;9h6F<819j54c=831d>=;50;9~wd>=838pRl64=`a954c52z?4><2<5hi1>=;4}r034?6=:r7<6?=:;_001>{t:9;1<764?::k274<722h:?:4?:283>5}#;=<18k5G3928m47a2900e<5<0290;w)=;6;024>N4091b==;50;9~w4542909wS?<3:?273<58<1v<7j:181[7>m27:?:4>1g9~w44a2909wS?=f:?272<6:91v<7i:181[7>n27:?;4>219~w4g72909wS?n0:?273<6:81v:181[7f927:?;4>239~w4g52909wS?n2:?273<6::1v219~w4562909wS?<1:?270<69o1v<=;:181874?38;963>37825c=z{89>6=4={<301?47=27:?;4>259~yg2c29036?46{%172?2c3f>26=44i0;f>5<5<5<5<6?;h32b?6=3`;9<7>5;n031?6=3th?n7>57;294~"434>i6?>:;|q2=`<72;qU=4k4=5a954`52z\26c=:=5rs0;e>5<5sW;2j63;b;314>{t9h:1<7a083>7}Y9h;019l51308yv7f:3:1>vP>a39>0g<6::1v0g<69o1vqo?;e;29e?g=9:q/?98515g8k45c2900e<7j:188m45d2900e:188m6ed2900e<:i:188f45a29086=4?{%172?2a3A93<6g>1g83>>o6:90;66a=0483>>{e9=:1<7=50;2x 6212=l0D>6?;h32b?6=3`;9<7>5;n031?6=3th:8?4?:283>5}#;=<18k5G3928m47a2900e<5<4290;w)=;6;73?M5?82c:=k4?::k265<722e9<84?::a51>=8391<7>t$265>06<@:2;7d?>f;29?l7583:17b4?:1y'710=:920D>6?;h32b?6=3`;9<7>5;n031?6=3th:8l4?:283>5}#;=<18k5G3928m47a2900e<5<0290;w)=;6;024>N4091b==;50;9~w45c2909wS?m27:?k4>1g9~w45d290513289425288;70?;4;314>;6<10:>=5215a957652z\2e5=:9=:1=7>52z\2e7=:9=>1=5215c954`53z\274=:9=<1=?>4=06;>47a3ty8on4?:3y]7fe<58>=647a3ty:?h4?:3y>56`=:9?01<:k:03e?xu6<90;6?u21529653<58>o6<4283>7}:9=>1>=;4=06g>4453ty:884?:3y>510=:9?01<:6:03e?xu6<>0;6?u215:9653<58>26<7}:9=k1>=;4=06g>4443ty:8o4?:3y>51e=:9?01<:k:007?x{e;jh1<7<50;2x 621288o7E=70:k25`<722e9<84?::a6a6=8391<7>t$265>1`<@:2;7d?>f;29?l7583:17b4?:1y'710=4i03e>5<5<3>m7E=70:k25c<722c:>=4?::m140<722win44?:283>5}#;=<18k5G3928m47a2900e<5<7s-9?:7;?;I1;4>o69o0;66g>2183>>i58<0;66smdb83>6<729q/?985519K7=65<6=44}cg6>5<4290;w)=;6;73?M5?82c:=k4?::k265<722e9<84?::a071=83>1<7>t$265>04<@:2;7d?>f;29?l7583:17d?=1;29?j47=3:17pl7d;297?6=8r.88;4;f:J0<5=n98l1<75f13294?=h:9?1<75rb2g3>5<4290;w)=;6;03<>N4091b==;50;9~fac=83<1<7>t$265>0c<@:2;7d?>f;29?l7583:17d?=1;29?l75:3:17d?=3;29?j47=3:17plj0;292?6=8r.88;4:b:J0<5=n98l1<75f13294?=n9;;1<75f13094?=n9;91<75`21794?=zjl=1<7850;2x 62126?;h32b?6=3`;9<7>5;h315?6=3`;9>7>5;h317?6=3f8;97>5;|`76<<72?0;6=u+35491g=O;1:0e:188m4452900e<<<:188k7622900qok=:180>5<7s-9?:75<4290;w)=;6;6e?M5?82c:=k4?::k265<722e9<84?::a56g=83?1<7>t$265>76b3A93<6g>1g83>>o6:90;66g>2083>>o6:;0;66a=0483>>{e9:81<7=50;2x 6212=l0D>6?;h32b?6=3`;9<7>5;n031?6=3th:9=4?:683>5}#;=<1><>4H2:3?l76n3:17d?=0;29?l7593:17d?=2;29?l75;3:17d?=4;29?j47=3:17pl2<729q/?9852028L6>73`;:j7>5;h314?6=3`;9=7>5;h316?6=3`;9?7>5;h310?6=3f8;97>5;|`0a0<72:0;6=u+35490c=O;1:0e::188yg5d13:1:7>50z&003<58o1C?5>4i03e>5<5<5<6=44}c1e3?6=;3:1::188yg5a93:1?7>50z&003<282B84=5f10d94?=n9;:1<75`21794?=zj:l26=4::183!53>38;i6F<819j54`=831b=?>50;9j577=831b=?<50;9l653=831vn>h<:180>5<7s-9?:7:i;I1;4>o69o0;66g>2183>>i58<0;66sm40`94?5=83:p(>:9:5d8L6>73`;:j7>5;h314?6=3f8;97>5;|`a`?6=:3:12e9K7=65<3;9h6F<819j54c=831d>=;50;9~f7b>29096=4?{%172?75l2B84=5f10g94?=h:9?1<75rb50a>5<5290;w)=;6;31`>N4091b=50;094?6|,:>=6<o69l0;66a=0483>>{e;l=1<7<50;2x 621288o7E=70:k25`<722e9<84?::a7f1=8381<7>t$265>44c3A93<6g>1d83>>i58<0;66sm3g794?4=83:p(>:9:00g?M5?82c:=h4?::m140<722wi8=6<o69l0;66a=0483>>{t9:i1<7=t^01`?874k388963>4d827f=z{=8h6=4={_61g>;4=10:=h5rs2a6>5<5sW9h963;el3;:i6s|1c294?5|V8h;70=j5;32b>;4n80:=k5rs00f>5<4sW;9i63>2d8170=:<;=1=?>4}r1g6?6=:rT8h?5291825`=z{m;1<7j:181[27m279h44>1d9~w4122909wS?85:?2<46=4>az\:1>;61m0j463>808b<>;ej3k370=:6;c;?8>?2h201?j8:`:89gg=i116?8;5a99><2;>93k3706m:`:8944b2h201985a99>56e=i116?ik5a99>7`5=i116?n;5a99>7`d=i1168<;5a99~w6ce2909wS=jb:?0ag<5;<1v<9=:181[70:278j>4>1g9~w1722909wS:>5:?750<5;<1v>m;:181[5d<273h7?=0:p665=838pR?=<;_1g0>{t9hl1<7;?i3;:i6s|3eg94?4|V:nn70=ke;001>{t;l91<77}Y1g9~w`0=838p1h;513289`1=:9?0q~6i:1818>b2hn014>52178yv>e290?w0?6d;3a2>;?j3889634}r1f7`3=9;:0q~l7:18087>l3;i463m9;031>;6;h0:=k5rs53b>5<5s4>:n7`f<69o1v>;::181852=388963<558265=z{8926=4={<30e?47=27:??4>219~w=6=839p1<7k:0`b?8>12;:>70?{tm=0;6?u2e28b`>;b=38;96s|8b83>7}:0k0888528e8140=z{:?=6=4={<162?44=278994>1g9~wge=839p1ol5ae9>fd`d<58<1vil50;1x9a4=;;i01io510d89ae=:9?0q~k>:1818bf288;70k=:326?xu4k10;6?u23b;9653<5:i<6?4<2c9>071=:9?0q~68:1818>02;9>7069:003?xubj3:1>v3jb;001>;ck3;9<6s|9083>7}:1809?8528e825c=z{:l;6=4={<1e5?47=278j44>1g9~w6`52909w0=i3;031>;4n<0:=h5rscc94?4|5kk1>>;4=c;9576752342=67`6=:9?0q~k<:18087>l3;i563j3;001>;6;h0:>=5rs0:2>5<4s4;2h7?m5:?2<4<5;<16=>>510d8yvde2909w0lm:316?8d>28;m7p}>3e83>7}:9:i1mi5215g956b52z?1`3<5;<16>i>51328yv5b<3:1>v370:=7;315>{t<00;6?u2478b`>;3l3>27p}kd;297~;cm38;963j2;32b>;3:k0:=h5rsed94?4|5l:1>=;4=d0957655z?2=a<59>16=>6518g891b=90o01<:j:0;f?87283;:j6s|18d94?1|583o6??6;<30n27?h7?6f:?215<6:916?h7510d896e>28;m70=i7;32b>{t9h:1<76t=0;g>77e34;847?n0:?7`?7f827:8h4>a19>506=9;;01>k6:003?85d13;9<63a09>0a<6i816=9k51`389437288970=j9;315>;4k00:><523g;95767>59z?2=a<59o16=>651`0891b=9h801<:j:0c1?85b83;:j63>518266=:;l31=?<4=2a:>445349m57?=1:p5d5=833p1<7k:302?87403;j?63;d;3b7>;6523d29576<58?;6<<;;<1f=?75;278o44>229>7c?=9;80q~?n4;296~;61m09>>523d;957252z?0g05;cg?826l38;96s|2e:94?5|5;n<6lj4=3f5>db<5;n26?>:;|q;=?6=;r7347ok;<:4>db<51k1>=;4}r61e?6=:r7?>?4n9:?76g<58<1v>h9:18185a?38;9634>219>04d=9;:0q~=i4;296~;4mk0jh6356g=9;80q~?=f;297~;6;10:>k524e826c=:9::1>=;4}r37b?6=:r7:8h4>4g9>506=:9?0q~?<1;297~;6;10:?<5215g9567<58996?>:;|q0a3<72;q6?h=5ae9>7`1=:9?0q~:=8;296~;3:>0:=k5243;965375e34nn6<<<;44434o<6<<<;<61=?76n2.8>;4k7:l060<73tyo:7>55z?g6?44127oi7?=2:?f4?76n27n;7?=2:?76<<6:91/??85d69m773=92wxh84?:4y>`7<5;116hh4>209>a5<6:916i:4>209>07?=9;90(><9:e58j6422;1vi:50;7x9a4=::=01ik513289`6=9;;01h951328914>288:7)==6;f4?k55=390q~j<:1868b52;9=70jj:03e?8c7288970k8:03e?82513;9>6*<278g3>h4:<0?7psm3`f94?1=k3;=wA=72;3xL4dd3tF84>4={o672?113->?9784}%172?g63S9987?t2`8~k6732900e>>>:188k6752900ehm50;9l711=831d?9m50;9j=2<722h847|D:296l>:564?!24m38896*;3`8b<>h3;?0:7p*<4782g7=]1:0:w?95}[110?4|:009o7sfa983>>i5;o0;6)<=c;00a>h5:k0;76a=3e83>!45k388i6`=2c82?>i5;j0;6)<=c;00a>h5:k0976a=3c83>!45k388i6`=2c80?>i5;00;6)<=c;00a>h5:k0?76a=3983>!45k388i6`=2c86?>i5;>0;6)<=c;00a>h5:k0=76a=3783>!45k388i6`=2c84?>d0290:=76510yO7=4=9rB:nn5rL2:0>0}#<=>1?o>4$51`>db<,=9n6?=:;%60e?g?3g>8:7?4}%172?7c>2P2?71>v<6:319ylg?2900c?=i:18'67e=::o0b??m522g8j74e2810c?=l:18'67e=::o0b??m522g8j74e2:10c?=6:18'67e=::o0b??m522g8j74e2<10c?=8:18'67e=::o0b??m522g8j74e2>10eo950;&16f2d9>o4?;:ka1?6=,;8h6o84n30a>4=1<7*=2b8a2>h5:k0976gm3;29 74d2k<0b?n4m6:l16g<332ci<7>5$30`>g0ofm3:1(?10n:4?:282>6}K;181=vF>bb9~ 62128n<7dok:188k7522900el650;9a3?6=;3:1N4091G?5<51z&26f<3<;1ve4:50;9jea<722e9?84?::pe=<72;qUm5527;;7?xu6no0;6?u27;001>X5;<1v?>?:181[gc34=1mi5r}c:94?5=939p@>6=:0yK5ge5;hc;>5<0;6>4?:1y'710=mm1C?5>4L2:1>4}#9;i189<4}h;7>5<<2wx=kh50;0x92<5;<1U>>;4}r034?6=:rTjh638:`f8yxdc29086<4<{M1;6?7|@8hh7p*<4782`<=nim0;66a=3483>>of03:17o950;194?6|,:>=6hj4H2:3?I5?:3;p(<<3:17dok:188k7522900q~o7:181[g?34=1595rs0de>5<5s4=1>>;4^316?xu5890;6?uQae9>3?gc3twi=?4?:282>6}K;181=vF>bb9~ 62128ni7dok:188k7522900el650;9a3?6=;3:1N4091G?5<51z&26f<3<;1ve4:50;9jea<722e9?84?::pe=<72;qUm5527;;7?xu6no0;6?u27;001>X5;<1v?>?:181[gc34=1mi5r}c36>5<42808wA=72;3xL4dd3t.88;4>db9jea<722e9?84?::kb6?;M1;6?7|,88h69:=;|k:0?6=3`ko6=44o316>5<4>:2yO7=4=9rB:nn5r$265>4bc3`ko6=44o316>5<2b8707=za0>1<75fae83>>i5;<0;66s|a983>7}Yi116;77;;|q2bc<72;q6;7<<5:\170=z{;:;6=4={_cg?81=im1vqo<>:180>4<4sE93>7?tH0``?x"4>i5;<0;66gn8;29?g1=8391<7>t$265>`b<@:2;7A=72;3x 44d2=>97pg64;29?lgc2900c?=::188yvg?2909wSo7;<59=1=z{8lm6=4={<596637p}=0183>7}Yim16;7ok;|a67<72:0:6>uC39095~N6jj1v(>:9:0fe?lgc2900c?=::188md>=831i;7>53;294~"4n4;439~m<2=831bmi4?::m170<722wxm54?:3y]e==:?33?7p}>fg83>7}:?38896P=349~w7672909wSok;<59ea=zuzk36=46{_c;?81=i11647o7;5;c;?8712h201??5a99>67>h50;0xZ75a34896?=:;%112?44m2d8>84?;|q17a<72;qU>>j4=339663<,:8=6?=j;o111?752z\17f=:9?09?85+334966c6?5rs31a>5<5sW88n63>5;001>"4:?09?h5a33797>{t::31<77)==6;00a>h4:<0?7p}=3983>7}Y::201i4=349'770=::o0b><::49~w7502909wS<<7:?;>7523-99:7<09?85+334966c6:5rsc594?4|Vk=01?<5ae9'770=j?1e??;50:pf0<72;qUn852208b`>"4:?0i:6`<2482?xue<3:1>vPm4:?22?gc3-99:7l9;o111?4<9:c48j6422:1vo?50;0xZg7<5881mi5+3349f3=i;;?186s|b183>7}Yj916h7ok;%112?d13g9997;4}rce>5<5sWkm7065ae9'770=j?1e??;56:pe`<72;qUmh527;cg?!55>3h=7c==5;58yxd6n<0;6<>5e;35I5?:3;pD?87=na:&77a2.?8548;|&003<6jo1Q5>4={3:963xi4:90;6)<=c;12b>h5:k0;76a<1d83>!45k39:j6`=2c82?>i49m0;6)<=c;12b>h5:k0976a<1b83>!45k39:j6`=2c80?>i49h0;6)<=c;12b>h5:k0?76a<1883>!45k39:j6`=2c86?>i4910;6)<=c;12b>h5:k0=76a<1683>!45k39:j6`=2c84?>odi3:1(?o4>;:k`3?6=,;8h6n74n30a>7=h5:k0876gl4;29 74d2j30b?n4l9:l16g<232ch>7>5$30`>f?d6n10;6>4>:2yO7=4=9rB:nn5r$265>4`63`hn6=44icd94?=h;8<1<75m39a94?5=;3?p(>:9:2:`?ldb2900eoh50;9l740=831i?5750;194?6|,:>=68>4H2:3?l76n3:17d?=0;29?j47=3:17pl<8`83>6<729q/?985519K7=65<6=44}c1;f?6=;3:1::188yvdb2908wSlj;<1;=?7582784l4>1g9~wg`=839pRoh4=2::>47a3493m7?=0:p740=838pR>?9;<1;f?47=2wx?5650;0x96>>2;:>70=7b;32b>{t;131<77623493n7?=0:~wgc=838pRok4=2:`>gc7}Y;8<01>6l:235?x{e9o31<7=51;1xH6>528qC=om4}%172?7a92cii7>5;h`e>5<5<42:0>w)=;6;1;g>oem3:17dli:188k6712900n>66:180>5<7s-9?:7;?;I1;4>o69o0;66g>2183>>i58<0;66sm39c94?5=83:p(>:9:428L6>73`;:j7>5;h314?6=3f8;97>5;|`0<@:2;7d?>f;29?l7583:17b219~w6712909wS=>6:?067:18185?138;963<8c825c=z{:226=4={<1;e?47=2784o4>219~yvdb2909wSlj;<1;g?db3tyij7>52z\ab>;40j0ij6s|30494?4|V:;=70=7c;122>{zj8lj6=4<:080I5?:3;pD5;c1;g?6=;3919v*<47803:17o=79;297?6=8r.88;4:0:J0<5=n98l1<75f13294?=h:9?1<75rb2:b>5<4290;w)=;6;73?M5?82c:=k4?::k265<722e9<84?::a7=d=8391<7>t$265>76?3A93<6g>1g83>>o6:90;66a=0483>>{tjl0;6>uQbd9>7=?=9;:01>6n:03e?xuen3:1?vPmf:?0<<<69o16?5o51328yv56>3:1>vP<179>7=d=:9?0q~=78;296~;40009<85239`954`52z?0vPme:?05<5sW9::63<8b8053=zuk;mn7>53;397~J40;0:wE?mc:'710=9o;0eok50;9jfc<722e8=;4?::`0>i49?0;66l<8883>6<729q/?985519K7=65<6=44}c1;e?6=;3:1N4091b==;50;9~f6>e29086=4?{%172?4702B84=5f10d94?=n9;:1<75`21794?=z{ko1<7=t^cg896>>288;70=7a;32b>{tjo0;6>uQbg9>7=?=98l01>6n:003?xu49?0;6?uQ304896>e2;:>7p}<8983>7}:;131>=;4=2:a>47a3ty8444?:3y>7=g=:9?01>6m:003?x{tjl0;6?uQbd9>7=e=jl1voh50;0xZg`<5:2h6oh4}r122?6=:rT8=;5239a97406}K;181=vF>bb9~ 62128l:7dlj:188mg`=831d?<850;9a7=e=8391?7;t$265>6>d3`hn6=44icd94?=h;8<1<75m39;94?5=83:p(>:9:428L6>73`;:j7>5;h314?6=3f8;97>5;|`0::188yg5?j3:1?7>50z&003<5811C?5>4i03e>5<5<>28;m70=7a;314>{t;8<1<7:;<1;f?76n2wx?5750;0x96>f2;:>70=7b;314>{z{ko1<7d2ko0q~li:181[da3493o7li;|q053<72;qU?<84=2:`>6713twi=kj50;195?5|D:2963;m=6gme;29?lda2900c>?9:188f6>d29086>4:{%172?5?k2cii7>5;h`e>5<5<4290;w)=;6;73?M5?82c:=k4?::k265<722e9<84?::a7=g=8391<7>t$265>06<@:2;7d?>f;29?l7583:17b4?:1y'710=:920D>6?;h32b?6=3`;9<7>5;n031?6=3tyii7>53z\aa>;4000:>=5239c954`;40k09<85rs2:;>5<5s4935766:18185?i38;963<8c8265=zuzhn6=4={_`f?85?k3hn7p}mf;296~Xen2784n4mf:p740=838pR>?9;<1;g?56>2wvn4<4sE93>7?tH0``?x"4>oen3:17b=>6;29?g5?k3:1?7=55z&003<40j1bnh4?::kab?6=3f9::7>5;c1;=?6=;3:1N4091b==;50;9~f6>f29086=4?{%172?373A93<6g>1g83>>o6:90;66a=0483>>{e;1h1<7=50;2x 6212;:37E=70:k25c<722c:>=4?::m140<722wxnh4?:2y]f`=:;131=?>4=2:b>47a3tyij7>53z\ab>;4000:=k5239c957652z\053=:;1h1>=;4}r1;7=d=98l0q~=79;296~;40h09<85239`957652z\aa>;40j0ii6s|bg83>7}Yjo16?5m5bg9~w6712909wS=>6:?05<4290;w)=;6;6e?M5?82c:=k4?::k265<722e9<84?::a7t$265>1`<@:2;7d?>f;29?l7583:17b4?:1y'710=4i03e>5<5<7>53;294~"450;9l653=831vn>o;:180>5<7s-9?:7:i;I1;4>o69o0;66g>2183>>i58<0;66sm33394?4=83:p(>:9:00g?M5?82c:=h4?::m140<722wx?4750;1x94`>2ko01>7n:326?85>k3;9<6s|38`94?5|58lj6ok4=2;`>7623492i7?=0:p770=n0;314>{t;0l1<7=t=0d`>gc<5:k;6?>:;<1b6?7582wx?l?50;1x94`c2ko01>o=:326?85f<3;9<6s|3`194?4|58ln6ok4=2c7>7623ty8>=4?:3y]776<58ln6>?9;%112?56n2d8>84?;|q05`<72;qU?6713-99:7=>f:l060<63ty8=i4?:3y]74b<58lh6>?9;%112?56n2d8>84=;|q05f<72;qU?6713-99:7=>f:l060<43ty8=l4?:3y]74g<58lj6>?9;%112?56n2d8>84;;|q05<<72;qU?<74=0d:>6713-99:7=>f:l060<23ty8=54?:3y]74><58l36>?9;%112?56n2d8>849;|q052<72;qU?<94=202>7623-99:7=>f:l060<03tyhm7>52z\`e>;6nl0ij6*<278`=>h4:<0;7p}l8;297~Xd027:ji4mf:?0e1<69o1/??85c89m773=92wxo:4?:2y]g2=:9oi1nk523`0954`<,:8=6n74n206>7=z{j<1<7=t^b4894`e2kl01>o?:03e?!55>3i27c==5;18yve32908wSm;;<3ee?da3492i7?>f:&06384;;|q`7?6=;rTh?63>f88ab>;41j0:=k5+3349g<=i;;?196s|c383>6}Yk;16=k65bg9>7<9:b;8j6422?1vn?50;6xZf7<58l36ok4=2;b>4473499=7?>e:&063848;|pe=<72;qUm5527;c;?xu5;o0;6>uQ22d892<5;o16=k;5c`9'770=::o0b><::19~w75c2908wS<75c34;m97m7;%112?44m2d8>84>;|q17f<72:qU>>m4=6817f=:9o?1o:5+334966c6?5rs31a>5<4sW88n638:31a?87a=3i=7)==6;00a>h4:<087p}=3883>6}Y::301:4=389>5c3=k=1/??8522g8j6422=1v?=7:180[44027<6?=7;<3e1?e43-99:7<09?:521g79g7=#;;<1>>k4n206>3=z{;9=6=4<{_002>;02;9=70?i5;a2?!55>388i6`<2484?xu4110;6?u27;`4?87a=399<6*<2780=2=i;;?1<6s|38494?4|5>0i963>f4805`=#;;<1?494n206>4=z{:3>6=4={<59f1=:9o?1?6?03g9997<4}r1:0?6=:r7<6o=4=0d6>67d3-99:7=67:l060<43ty85?4?:3y>3?d634;m97=>a:&063<41>1e??;54:p7<7=838p1:4m0:?2b0<4901/??853858j6422<1v>7?:18181=io16=k;530:8 6412:3<7c==5;48yv5?n3:1>v38:`g894`22:;<7)==6;1:3>h4:<0<7psm31a94?3=:3?p@>6=:0yK5ge5=#<=>1?o>4$51`>db<,=9n6?=:;%60e?g?3g>8:7?4$51a>d?4c73`k36=44i`;94?=nim0;66a=3483>>o4:k0;66l8:186>7<2sE93>7?tH0``?x"45fae83>>i5;<0;66gn8;29?lg>2900el>50;9a3?6==3:1N4091G?5<51z&26f<3<;1ve4650;9je5<722c287>5;hcg>5<6=44}c1;5?6=93:102wx=kh50;0x96>628;h7095a19~w7672909w0952278Z7523ty9<<4?:3y]ea=:?3ko7psm3`594?5=83:p(>:9:32:?M5?82c:=k4?::k265<722e9<84?::pe=<72;qUm5527;c;?xuf13:1>vPn9:?0e2<6:91v?=::181[44=27<6?=:;|q06g<72:qU??l4=68b`>;4i>0:=k5rs2c5>5<5s4=1m4523`596530}K;181=vF>bb9~H6>42hq/89;50:&701<4j91/8>m5ae9'06c=::?0(9=n:`:8j151281/8>l5a89m061=82.?8=4<2c9m06>=82w/?9851d38md>=831bm44?::kb`?6=3f8897>5;h11f?6=3k=1<7;52;7xH6>528qC=om4}%172?7d;2cjh7>5;n001?6=3`k36=44i`;94?=ni90;66l8:186>5<7s-9?:7kj;I1;4>o>03:17do?:188m<2=831bmi4?::m170<722wi?5?50;394?6|,:>=6i69j0;66s|a983>7}Yi116;77;;|qb=?6=:rTj5638:8:8yv7an3:1>v3<80825f=:?3k;7p}=0183>7}:?38896P=349~w7662909wSok;<59ea=zuk9j;7>53;294~"4o8:003?xu5;<0;6?uQ227892<5;<1v>47a3ty8m;4?:3y>3?g>349j;76?4:{M1;6?7|@8hh7pB<828b!23=3:0(9:;:2`3?!24k3ko7):"3;h0j46`;3782?!24j3k27c:<7;28 1272:8i7c:<8;28y!53>3;n=6gn8;29?lg>2900elj50;9l663=831b??l50;9a3?6==3819vB<8382M7ek2w/?9851b18mdb=831d>>;50;9je=<722cj57>5;hc3>5<0;684?:1y'710=ml1C?5>4i8:94?=ni90;66g64;29?lgc2900c?=::188yg5?93:1=7>50z&003<69m1C?5>4o03`>5<0246s|1gd94?4|5:2:67p}=0083>7}Yim16;7ok;|a7d1=8391<7>t$265>76f3A93<6g>1g83>>o6:90;66a=0483>>{ti10;6?uQa99>3?g?3tyj57>52z\b=>;4i>0:>=5rs316>5<5sW889638:316?xu4:k0;6>uQ33`8921g9~w6g12909w095a89>7d1=:9?0qpl<4b83>0<52"3<=08n=5+42a9ea=#<:o1>>;4$51b>d>>ofl3:17b<<5;29?l55j3:17o950;796?3|D:2963;h?6gnd;29?j44=3:17do7:188md?=831bm=4?::`4>5<2290;w)=;6;gf?M5?82c247>5;hc3>5<1<75fae83>>i5;<0;66sm39394?7=83:p(>:9:03g?M5?82e:=n4?::pe=<72;qUm5527;;7?xuf13:1>vPn9:?4><>52z?0<4<69j16;7o?;|q145<72;q6;7<<5:\170=z{;::6=4={_cg?81=im1vqo=n7;297?6=8r.88;4=089K7=65<6=44}rc;>5<5sWk37095a99~wd?=838pRl74=2c4>4473ty9?84?:3y]663<5>09?85rs20a>5<4sW99n638:`f896g028;m7p}7}:?3k270=n7;031>{zj:;86=4=:183!53>3;9h6F<819j54c=831d>=;50;9~f672290>6=4?{%172?303A93<6g>1g83>>o6:90;66g>2083>>o6:;0;66a=0483>>{e;=l1<7=50;2x 6212=l0D>6?;h32b?6=3`;9<7>5;n031?6=3th85}#;=<1>=:4H2:3?l76n3:17d?=0;29?l7593:17d?=2;29?l75;3:17d?=4;29?l75=3:17d?=6;29?j47=3:17pl<4883>=<729q/?9852118L6>73`;:j7>5;h314?6=3`;9=7>5;h316?6=3`;9?7>5;h310?6=3`;997>5;n031?6=3th8==4?:383>5}#;=<1=?j4H2:3?l76m3:17b6?;h32a?6=3f8;97>5;|q051<72;qU?<:4=236>7623ty8<<4?:3y]757<5::h6l74}r126?6=:rT8=?5230196537}Y;==01>:8:316?xu47p}67;290~X>?2788:4<2c9>71b=;;h01>:l:20a?xu4m6<5;32b>{t;9l1<7=t=22`>64e349?h7o6;<124?47=2wx?9o50;0x96202h301>:m:326?xu48m0;6>u235d954`<5::n6?>:;<124?76m2wx?9k50;0x962d2h301>:i:326?xu4<10;6?u235;9653<5:>i6"4:?08{t;931<7:t=221>75c349:97?=1:?04`<6:<16?9751378 6412::j7c==5;38yv5703:18v3<03817f=:;8?1=?<4=22f>443349?57?=4:&063<48h1e??;52:p751=839p1>>=:31a?857m3;9?63<488266=#;;<1?=o4n206>6=z{::=6=4<{<136?441278

239>71?=9;80(><9:22b?k55=3>0q~=?5;297~;48;09?55231g9577<5:>26<<>;%112?57i2d8>84:;|q041<72:q6?=<52258966b288;70=;9;314>"4:?08{t;991<7=t=221>751349;i7?>f:?00<<69o1/??8531c8j6422>1vqo=m2;29`?7328np@>6=:0yK5ge=c<,=>>6;5r$265>4703f>9o7>5;n1g=?6=3`9ih7>5;n5g>5<>o?;3:17d50;196?2|D:296N4091G?5<51z&26f<3<;1ve4:50;9jea<722e9?84?::aef<72;0;6=u+354957b<@:2;7d?>e;29?j47=3:17p}n8;296~Xf027jo7?>e:p5c`=838p1:464:?bg?47=2wx>=>50;0x92<5;<1U>>;4}r035?6=:rTjh638:`f8yxd5n80;684=:4yO7=4=9rB:nn5rL2:0>d}#<=?1>6*;4580f5=#<:i1mi5+42g9663<,=9j6l64n515>4=#<:h1m45a42595>"3<908>o5a42:94>{#;=<1=nm4i`:94?=ni00;66gnd;29?j44=3:17d==b;29?g1=83?1>7;tL2:1>4}O9ki0q)=;6;3`7>ofl3:17b<<5;29?lg?2900el750;9je5<722h<6=4::183!53>3on7E=70:k:>{e;1;1<7?50;2x 62128;o7E=70:m25f<722wxm54?:3y]e==:?33?7p}n9;296~Xf127<6464}r3eb?6=:r784<4>1b9>3?g73ty9<=4?:3y>3?44=2T9?85rs322>5<5sWko7095ae9~yg5f?3:1?7>50z&003<5801C?5>4i03e>5<5<>;4=68170=z{:8i6=4<{_11f>;02hn01>o8:03e?xu4i?0;6?u27;c:?85f?38;96srb3d0>5<22;0>wA=72;3xL4dd3tF84>4n{%671?4<,=>?6>l?;%60g?gc3->8i7<<5:&77d;%60f?g>3g>8;7?4$563>64e3g>847>4}%172?7dk2cj47>5;hc:>5<{I3ag>{#;=<1=n=4i`f94?=h::?1<75fa983>>of13:17do?:188f2<72<0;6=u+3549a`=O;1:0e4650;9je5<722c287>5;hcg>5<6=44}c1;5?6=93:102wx=kh50;0x96>628;h7095a19~w7672909w0952278Z7523ty9<<4?:3y]ea=:?3ko7psm3`594?5=83:p(>:9:32:?M5?82c:=k4?::k265<722e9<84?::pe=<72;qUm5527;c;?xuf13:1>vPn9:?0e2<6:91v?=::181[44=27<6?=:;|q06g<72:qU??l4=68b`>;4i>0:=k5rs2c5>5<5s4=1m4523`596530}K;181=vF>bb9~H6>42hq/89;52:&701<4j91/8>m5ae9'06c=::?0(9=n:`:8j151281/8>l5a89m061=92.?8=4<2c9m06>=82w/?9851ba8md>=831bm44?::kb`?6=3f8897>5;h11f?6=3k=1<7;52;7xH6>528qC=om4}%172?7d;2cjh7>5;n001?6=3`k36=44i`;94?=ni90;66l8:186>5<7s-9?:7kj;I1;4>o>03:17do?:188m<2=831bmi4?::m170<722wi?5?50;394?6|,:>=6i69j0;66s|a983>7}Yi116;77;;|qb=?6=:rTj5638:8:8yv7an3:1>v3<80825f=:?3k;7p}=0183>7}:?38896P=349~w7662909wSok;<59ea=zuk9j;7>53;294~"4o8:003?xu5;<0;6?uQ227892<5;<1v>47a3ty8m;4?:3y>3?g>349j;77;tL2:1>4}O9ki0qA=73;cx 1222;1/89:53c28 15d2hn0(9=j:316?!24i3k37c:<6;38 15e2h30b9=8:09'016=;;h0b9=7:19~ 62128ih7do7:188md?=831bmi4?::m170<722c8>o4?::`4>5<22;0>wA=72;3xL4dd3t.88;4>c29jea<722e9?84?::kb50z&003>of83:17d7;:188mdb=831d>>;50;9~f6>6290:6=4?{%172?76l2B84=5`10a94?=z{h21<7<2wxm44?:3y]e<=:?3337p}>fg83>7}:;1;1={t:9:1<7f;29?l7583:17bvPn8:?4>d>70952278yv55j3:1?vP<2c9>3?gc349j;7?>f:p7d0=838p1:4n9:?0e2<58<1vqo6;:186>7<2sE93>7?tH0``?xJ40:0jw):;5;08 1232:h;7):h3;?0:7):of03:17do6:188mdb=831d>>;50;9j77d=831i;7>55;091~J40;0:wE?mc:'710=9j90elj50;9l663=831bm54?::kb=?6=3`k;6=44b683>0<729q/?985ed9K7=6>o><3:17dok:188k7522900qo=71;295?6=8r.88;4>1e9K7=65<5sWk37095959~wd?=838pRl74=68:<>{t9ol1<747d34=1m=5rs323>5<5s4=1>>;4^316?xu5880;6?uQae9>3?gc3twi?l950;194?6|,:>=6?>6;I1;4>o69o0;66g>2183>>i58<0;66s|a983>7}Yi116;7o7;|qb=?6=:rTj5636=4={_001>;02;9>7p}<2c83>6}Y;;h01:4nd:?0e2<69o1v>o9:18181=i016?l952178yxd4l00;684<:6yO7=4=9rB:nn5rL2:0>d}#<=?1>6*;4580f5=#<:i1mi5+42g9663<,=9j6l64n515>4=#<:h1m45a42595>"3<808885a42;94>{#;=<1=h=4i`:94?=ni00;66gnd;29?j44=3:17d=;5;29?g1=83?1>7;tL2:1>4}O9ki0q)=;6;3`7>ofl3:17b<<5;29?lg?2900el750;9je5<722h<6=4::183!53>3on7E=70:k:>{e;1;1<7?50;2x 62128;o7E=70:m25f<722wxm54?:3y]e==:?33?7p}n9;296~Xf127<6464}r3eb?6=:r784<4>1b9>3?g73ty9<=4?:3y>3?44=2T9?85rs322>5<5sWko7095ae9~yg5f?3:1?7>50z&003<5801C?5>4i03e>5<5<53;294~"4o6:003?xufl3:1>vPnd:?0e2<6:91v?=::181[44=27<6?=:;|q000<72:qU?9;4=2c4>47a349j57?>f:p7d>=838p1:4n9:?0e<<58<1v>o9:18181=im16?l952178yxd4=:0;684<:6yO7=4=9rB:nn5rL2:0>d}#<=?1>6*;4580f5=#<:i1mi5+42g9663<,=9j6l64n515>4=#<:h1m45a42595>"3<908>o5a42:94>{#;=<1=nm4i`:94?=ni00;66gnd;29?j44=3:17d==b;29?g1=83?1>7;tL2:1>4}O9ki0q)=;6;3`7>ofl3:17b<<5;29?lg?2900el750;9je5<722h<6=4::183!53>3on7E=70:k:>{e;1;1<7?50;2x 62128;o7E=70:m25f<722wxm54?:3y]e==:?33?7p}n9;296~Xf127<6464}r3eb?6=:r784<4>1b9>3?g73ty9<=4?:3y>3?44=2T9?85rs322>5<5sWko7095ae9~yg5>i3:1?7>50z&003<3n2B84=5f10d94?=n9;:1<75`21794?=zj:k<6=4<:183!53>38;56F<819j54`=831b=?>50;9l653=831vl650;0xZd><5>0j46s|a883>7}Yi016?l951328yvgc2909wSok;<1:e?7582wx>>;50;0xZ75234=1>>;4}r11f?6=;rT8>o5238c954`<5:k<63?<7E=70:k25c<722c:>=4?::k264<722c:>?4?::m140<722wi4;4?:483>5}#;=<1>=k4H2:3?l76n3:17d?=0;29?l7593:17d?=2;29?j47=3:17pl7<729q/?98513f8L6>73`;:i7>5;n031?6=3th??<4?:383>5}#;=<1=?j4H2:3?l76m3:17b4?:1y'710==91C?5>4i03e>5<5<53;294~"45<6=44}c134?6=;3:1::188yg5c83:1?7>50z&003<282B84=5f10d94?=n9;:1<75`21794?=zj=9;6=4<:183!53>3?;7E=70:k25c<722c:>=4?::m140<722wi:?4?:383>5}#;=<1=?j4H2:3?l76m3:17b7p}7}Y;m301>j6:316?xu4jm0;68uQ3cf892c=98l01>j?:03e?82483;:j6392;32a>{t?m0;64uQ7e9>6c7=;;h01?h<:20a?84a=399n6372;11f>;?<399n63:`:897`42h201?h::`:89=4=i116494n8:?0`<4n8:p<4<72:qU4<52838b=>;?>3;:j6s|8283>6}Y0:16494n9:?;2?7592wx>k>50;1xZ7`7348m=7o6;<0eg?76n2wx>k<50;1xZ7`5348m?7o6;<0ea?76n2wx>k:50;1xZ7`3348m97o6;<134?76n2wx?nm50;0xZ6ed349o=7?7ok;|q`f?6=:rThn63=f`825c=z{1:1<7=t=949653<5:no6{t>90;6?u2618170=:?l0:>=5rs3da>5<5s48mm7?=0:?1bf<58<1v?hk:18184ai3;9=63=fd8140=z{;lm6=4={<0ee?75:278<=4=049~w=4=838p15<522789=0=9;:0q~6;:1818>32;9>7069:001?xu4=:0;6?u23419663<5=9;6<k?5227897`d288;7p}=f283>7}::o91>>;4=3df>4473ty9j84?:3y>6c3=::?01>>?:003?xu3:o0;6?u2423954c<5=9;6?>:;|q1ac<72;q6>ko5217896bc288;7p}91;296~;183ko708=:326?xu4lj0;6>u23e;9e<=:;<91m4523ef96536}K;181=vF>bb9~H6>428q/89;56:'710=9l>0elj50;9l663=831bm54?::`4>5<4290;w)=;6;gg?M5?82F84?4>{%31g?23:2wb594?::kb`?6=3f8897>5;|qbv38:316?[44=2wx>=>50;0xZdb<5>0jh6srb3f7>5<42808wA=72;3xL4dd3tF84>4>{%671?05;hc;>5<0;6>4?:1y'710=mm1C?5>4L2:1>4}#9;i189<4}h;7>5<<2wx=kh50;0x92<5;<1U>>;4}r034?6=:rTjh638:`f8yxd5l<0;6>4>:2yO7=4=9rB:nn5rL2:0>4}#<=?1:6s+35495`15<7s-9?:7kk;I1;4>J40;0:w)?=c;676>{n1=0;66gnd;29?j44=3:17p}n8;296~Xf027<64:4}r3eb?6=:r7<6?=:;_001>{t:9:1<7:180>4<4sE93>7?tH0``?xJ40:0:w):;5;48y!53>3;n46gnd;29?j44=3:17do7:188f2<72:0;6=u+3549aa=O;1:0@>6=:0y'57e=<=80qd7;:188mdb=831d>>;50;9~wd>=838pRl64=68:0>{t9ol1<7uC39095~N6jj1v@>6<:0y'013=>2w/?9851d;8mdb=831d>>;50;9je=<722h<6=4<:183!53>3oo7E=70:N0<7<6s-;9o7:;2:j=1<722cjh7>5;n001?6=3tyj47>52z\b<>;020>0q~?if;296~;02;9>7S<<5:p656=838pRlj4=68b`>{zjjh1<7;52;7xH6>528qC=om4}M1;7?7|,=>>6;5r$265>4cf3`ko6=44o316>5<>of83:17o950;794?6|,:>=6hk4H2:3?I5?:3;p(<03:17do?:188m<2=831bmi4?::m170<722wi?5?50;394?6|,:>=6i69j0;66s|a983>7}Yi116;77;;|qb=?6=:rTj5638:8:8yv7an3:1>v3<80825f=:?3k;7p}=0183>7}:?38896P=349~w7662909wSok;<59ea=zuk8hh7>55;091~J40;0:wE?mc:O7=5=9r.?8849;|&003<6mk1bmi4?::m170<722cj47>5;hc:>5<2b8707=za021<75fa183>>o><3:17dok:188k7522900qo=71;295?6=8r.88;4>1e9K7=65<5sWk37095959~wd?=838pRl74=68:<>{t9ol1<747d34=1m=5rs323>5<5s4=1>>;4^316?xu5880;6?uQae9>3?gc3twi?n>50;195?5|D:296?9:188f2<72:0;6=u+3549=7=O;1:0e=;50;9~w`?=838pRh74=68g5>{t9ol1<7e:~f6cb29086<4<{M1;6?7|@8hh7pB<8282!23=3<0q)=;6;3`4>ob13:17d=:0;29?j56>3:17o950;194?6|,:>=64<4H2:3?l76m3:17dj>:188k7622900q~k6:181[c>34=1h<5rs0de>5<5s4=1>=;4^235?xu5890;6?uQ342892<69l1vqo:>8;297?7=;rF84?4>{I3ag>{K;191=v*;4485?x"4>o4=90;66a<1783>>d029086=4?{%172??53A93<6g>1d83>>oc93:17bvPj9:?4>a752z?4>7623W9::6s|21294?4|V:?;709510g8yxd3:=0;6>4>:2yO7=4=9rB:nn5rL2:0>4}#<=?1:6s+35495f6>i58<0;66s|e883>7}Ym016;7j>;|q2bc<72;q6;7;028;n7psmd183>2<420q/?985d19lgf<722c9h<4?::k1`1<722c9h84?::k1ga<722c:n<4?::k2f1<722hhh7>55;294~"4:6F<819j54`=831b=?>50;9j577=831b=?<50;9l653=831vnnk50;194?6|,:>=68>4H2:3?l76n3:17d?=0;29?j47=3:17pllf;297?6=8r.88;4;f:J0<5=n98l1<75f13294?=h:9?1<75rsba94?4|Vji01nh52178yv4c93:1>vP=d09>ga<6:91v?j;:181[4c<27hh7?>f:p6a3=838pR?j:;4463ty9oi4?:3y]6fb<5jo1=;dm3;9<6s|ce83>7}:km09<852cg825c=z{jo1<74}|`:g?6=:3:12e9K7=65<52;294~"4i5G3928m47b2900c?>::188yg5ek3:1>7>50z&003<6:m1C?5>4i03f>5<6=44}c000?6=:3:15<3290;w)=;6;77?M5?82c:=k4?::k265<722c:><4?::m140<722wi?oo50;194?6|,:>=6?>7;I1;4>o69o0;66g>2183>>i58<0;66sm42794?5=83:p(>:9:428L6>73`;:j7>5;h314?6=3f8;97>5;|`0f1<72;0;6=u+354957b<@:2;7d?>e;29?j47=3:17pl=d283>7<729q/?98513f8L6>73`;:i7>5;n031?6=3th:n>4?:383>5}#;=<1=?j4H2:3?l76m3:17b6?;h32a?6=3f8;97>5;|q:b?6=:rT2j63{t;mk1<7;4j?08ni523c097gb7p};2e83>7}Y<;n01>l=:50`?xu39?0;6>uQ404891242=;>70=m6;621>{t<8;1<7:=6s|41:94?4|V=:370:;3;63<>{t;jo1<7{t;m<1<770=m5;1g0>{t<9o1<7:t^52f?85fn3>;i6353z\0fc=:;k?1?n:4=2a3>6713ty8ol4?:2y]7fg<5:h>6>mn;<1`6?76m2wx?ho50;0xZ6cf34;i?7?>e:p051=838pR9>8;<677?26m2wx8?k50;0xZ14b349i97:=c:p041=838pR9?8;<622wx?kk50;0xZ6`b34>??7=ie:p7g1=838pR>l8;<1akl;<677?5bj278n;4<352z\0`6=:;k?1?i<4}r;f>56>jj;|q0aa<72;qU?hj4=2gf>6713ty?>>4?:3y]075<5=8?6>?9;|q0a4<72;qU?h?4=2`5>6c63ty8i>4?:3y]7`5<5:h>6>k<;|q776<72=qU8>=4=2ce>15534>??7:<2:?0f3<3;;1v>mk:181[5dl278n?4;4im08=95rs222>5<5s4>??7=?1:?0ea<4881v?mj:18184dl3k270{t9:i1<745d349i97?oi:050?85e>3;`?<5=;36h74=507>`?<5:i96?>:;|q2f4<72:q6=o?5227894d32hn01i>51c38yv7e<3:1>v3>b58170=:l90:n95rs00f>5<5s49i:7?=e:?0f0<6:l1v><=:18185fn399>63<9`825c=z{:8o6=4={<1bb?55l27??84>219~w7ec2909w0;c838hh6s|4683>7}:;k?18;523cc957636=4={<1a2?21349im7?>f:p7``=838p1>l::2ga?85bm39><6s|30094?4|5=>86>?=;<1b`?56:2wx;i4?:4y>7d`=?m1689=57e9>7g0=?m16?lj5969>7g4=?m1v>l<:18185e:38m8631g9~w7b3290?w0;5l<0jh63k0;0g0>;5ko0:>=5rs3f6>5<4s48o97<<5:?g4?4c=279ok4>209~w4122909w0=m6;341>;4j<0:;85rsba94?4|5jh1m452d18`g>{t;==1<762034>897?>f:p71e=838p1>ok:26`?85>i3;9<6s|42694?4|5=9>6?>:;<1a0?76m2wx>k950;0x91242;om70=m2;0e4>{t9<91<7434349i:7?:3:p5=4=838p1>l9:0:1?85e=3;i<6s|2g494?4|5:h=6?ki;<1a6?4a:2wx8<750;0x96d22=;>70:>8;164>{t;k31<747b349im7=838p1>l9:04;?85e=3;<>6s|22194?4|5:h>6?=<;<000?47=2wx>8>50;0x96ga2;?;70:;3;064>{t9k81<7db<58h86?>:;|q0g6<72;q6?o;53b7896e72:?;7p}<9883>7}:;k814<5238c965352z?0ec<6n?16=k952178yv4ci3:1>v3io4}r313?6=:r78mk4>269>7g4=9;=0q~:=5;296~;4j<0?>?5243697066=4={<1a2?>7349i>76<;|q`f?6=;r78n?4lb:?`f?44=279?94>1d9~w4g22909w0=m6;3b1>;4j<0:mk5rs3f1>5<5s48o=7ok;<0g7?47=2wx8h4?:3y>7g0={t:=?1<7870:;3;077>"4:?09895a33795>{t:=o1<76s|25f94?4|V;>o70:;3;07`>"4:?09895a33797>{t:=i1<7i70:;3;07f>"4:?09895a33791>{t:=k1<7270:;3;07=>"4:?09895a33793>{t:=21<7<70:;3;073>"4:?09895a3379=>{t:=<1<7970:;3;076>"4:?09895a3379f>{t?;0;6?uQ739>7d`=;m1/??85709m773=82wx;=4?:3y]35=:;hl1?o5+334934=i;;?1=6s|6g83>6}Y>o16?lh53`9>015=;h1/??85709m773=:2wx:h4?:2y]2`=:;hl1?55245197==#;;<1;<5a33797>{t>j0;6>uQ6b9>7d`=;?1689=5379'770=?81e??;54:p2g<72:qU:o523`d970=:<=91?85+334934=i;;?196s|6`83>6}Y>h16?lh5359>015=;=1/??85709m773=>2wx:44?:2y]2<=:;hl1?>52451976=#;;<1;<5a33793>{t>10;6>uQ699>7d`=;;1689=5339'770=?81e??;58:p22<72:qU::523`d974=:<=91?<5+334934=i;;?156s|6783>6}Y>?16?lh5319>015=;91/??85709m773=i2wx:84?:2y]20=:;hl1>k5245196c=#;;<1;<5a3379f>{t>=0;6>uQ659>7d`=:l1689=52d9'770=?81e??;5c:p26<72:qU:>523`d96a=:<=91>i5+334934=i;;?1h6s|7`83>6}Y?h16?lh5449>015=<<1/??85709m773=m2wx;44?:2y]3<=:;hl18952451901=#;;<1;<5a3379b>{t?10;6?uQ799>015=<:1/??85709m773=991v:950;0xZ21<5=>869<4$205>2765<5sW==70:;3;62?!55>3=:7c==5;31?xu0=3:1>vP85:?706<382.8>;481:l060<6;2wx;94?:3y]31=:<=91?k5+334934=i;;?1=95rs6194?4|V>9019:<:2g8 6412>;0b><::078yv0c2909wS8k;<677?503-99:79>;o111?713ty8>54?:3y]77><58l<684>;|q:e?6=;rT8>:5Q9`9>=f<69l1vqpsr@AAx50372kl<958<7|BCF~6zHIZpqMN \ No newline at end of file +$546==)&&IEX#x8;/,,GV(q02$%#K]M.w;8*+)NGKG%z45!..KLFV(q02$%#_OB.w`8*+)Pi{zXI#x>;1;84Zhh|9:;<<640^llp5678Vcf|R>Pnnv3456692;97<>=;031?446:2;96B[[PTV9VD:693:5n6?=:SC?54<76;1:?l5>4;F?56<76l1:87Jnt`C?56<768:0=94FNQWW>A:6;3:5=95>4;KMTPR=Lh~jM1?<:13;2=54=6<3E^X][[:P>27?699?1:87AZTQWW>Wct}e~7=>4?>`920?W;9:0;2k5>4;Pfwpjs4891<3?>;069[dbc89:;0<=50?c853>47>091e>462H69=7?111915?OIX\^1M1<>:0442@D[YY4N_GQA875=97;0?k5<:HLSQQ2=AGZ^X7J33;2=57=32@D[YY4KaucB86<76o1?6B[[PTV9U95=87;?794@UURVP?Tb{|f0>4?>997>T:4294o794]erwop95=87i087Zfmdp?7?69n2>1Sljk012386<7681>46;5D=694;e<=3NjxlO34;2==>3=MZ6?6=0j;48JJUSS2M6?6=0>2:79MKVR\3NjxlO34;2=b>3=G\^[YY4^<583:42<=3E^X][[:Sgpqir;<3:546;5Q=694;b<=3Xnxb{<583:c=22Vkoh=>?0=694;7<>81<=66>;878E9776<1J049B84?9<2K7=3;4A=03:2=F4;;1=3;4A=02:0=F4;85;6O3228;:0=F4;9586O32?68E959<2K783:4A=7=0>G;>7>0M1914:C?<;2\c:COA5Yj}q:;<=j4AMG3[hs89:;=h5NLD2\ip~789::=i5NLD2\ip~789:9==5NLD2\ip~789:Te`~;;@NF51=FFM8=7L@K2C35?DHC:K8?7L@K379BJA5E9?1JBI=M279BJA5E;=1JBI:9;@LG0G713HDO8O<9;@LG0G513HDO8O:9;@LG1G713HDO9O<9;@LG1G513HDO9O:9;@LG1G333HDO495NSsd`?DUunVddx=>?10g8EVtaWge<=>>_hos[DUunVddx=>?10;8EZ@TJ5;;245N_GQA847912KTJ^L313<:?DYA[K6:?374A^DPF973601JSK]M<07==>GXNZH7=;06;@]EWG:6?730MRH\B=3;:<=FWOYI0<718:C\BVD;9730MRH\B=03:<=FWOYI0??19:C\BVD;:;4i7LQISC>17?7912KTJ^L322<;?DYA[K69255N_GQA868?3HUM_O2;>99B[CUE4<437LQISC>5:==FWOYI0:07;@]EWG:?611JSK]M<8<7?Dekz8<0Mnb}_HLU[lkwWHig~RGAV048EjssGLo0Mb{{OD]nq}6789897LaztNG\ip~789:Te`~PAnwwK@Yj}q:;<=?;;CC@P`=EIJ^Te`~PB@AW50=EIJ^y86LJRSg8F@TUW`g{SOK]R048F@TUzo<0NH\]rsg8F@TUz{Uecy>?0001?GCUZ{xTbbz?013\mhvXJLXY~Qaou2344753KMj7OIPnnv34576i2HLSca{0122[lkwWKMTbbz?01320>DRNL20NX]PIODL5>E33J;=A;5L21OFJ3=D:5L8L08G@5B;87?0H1??>49G8479=2N7=?08;E>27?69=2N7=>0;;E>2:1=C4;4=7I2<:1<7?A:46?1O094?>59G81833M6>295K<7<7?A:06=1O050;;E>::0=C\HI@==5KT@AH[lkwWM^JOF?8;EVBGNta?2N_MNE}rg9GPDELz{Uecy>?0007?ARFKBxySca{0122[lkwWM^JOF|}_omw45669>1Omyo30?58@drf484>7Io{a@:8@drfI5:556Jnt`C?558>3MkmL2>1?;8@drfI5;92o5KaucB845=8730HlznA=30:==Ci}kJ0<07;EcweD:56h1OmyoN<283:==Ci}kJ0>0n;EcweD:329437Io{a@>7:==Ci}kJ0807;EcweD:1611OmyoN<6<;?AgsiH63255KaucB8<813Me~xBKj;EmvpJCXign;<=>=2:FlqqIBWhdo<=>?_hos[Air|FOTmcj?0122`>Bh}}ENSb|?01214>Bh}}ENSb|?012\mhvXLfCHQ`r123447CT484>7H]32?78AV:46>1N_1:50?78AV:36h1N_Road12344g?01]jiuYB[Vkeh=>?00c8AVYffm:;<=5JS^antZcv89::Sdc_DQ\ghvXmx:;<?0348AQGDCVif|Rk~0123[lkwWL^JOFQlmq]fu5678820IcomldVq6>Cu;2Oy>95ICMI1?CB33ONHI?5IF59EBa703OLo= Ga8:DE`4+Nf830JKj>-Hl25==ANm;&Ec<6;GDg5(Oi:820JKj>-Hl0=>@Al8'Bb>?6;GDg5(Oi;;30JKj>-Hl07<=ANm;&Ec:>8:DE`4+Nf-Hl\mhv33OLo>:5IFe0.Mk>9:DE`7+Nf8;37KHk2,Km6<=ANm8&Ec<>8:DE`7+Nf:30JKj=-Hl05==ANm8&Ec:6;GDg6(Oi<820JKj=-Hl6=>@Al;'Bb8?7;GDg6(Oi>k1MJi<"Io]jiu2@Al:'Bb??7;GDg7(Oi;01MJi="Io12<>@Al:'Bb974FGf0)Lh3911MJi="Io7a?C@c;$CeSdc3:DPF6=A[Di0J^CP0,rbv`hsk2LXAR?"p`pfjqe ~nrdlwg>@TEV9&|l|jnu;8BdusZFGNB<5H3:EM@4=N:2C;>6G>2:K16>O4:2C?>6G:2:K56>O0<2CJO^;4I@AP50=NIJY996GNCR1;?LGD[Vcf|95FNHV0?LHQ=2CDMNZk;HMBGQYffm:;<=?1e9JKDESWds<=>?e:KLEFRXe|r;<=>>f:KLEFRXe|r;<=>>1g9JKDESWds<=>?20g8MJGD\Vg~t=>?0232?LIFK]Ufyu>?01]jiue<>4IN@FVWYj}q:;<=<>f:KLF@TUWds<=>?3028MJDBZ[Ufyu>?0112b>OHJLXYS`{w01230460:KLF@TUWds<=>?70d8MJDBZ[Ufyu>?01:24>OHJLXYS`{w0123<4773@EII_\Pmtz3456>9880EBLJRS]nq}6789Uba}j4IN@FVWYhz9:;4IN@FVWYhz9:;>4:KLFH2?01]jiuYNGKYT^hoky^cm`56788;j7DAMS^PfeaXadzTEBL\_Sgb`|733@EH_85FOBQ21>OHKZ8>7DALS2d8MJETWjg{Sh?01210>OHKZUha}Qjq1234ZojxVCDO^Qlmq]fu56788l0EBM\_bos[`w789;986G@CR]`iuYby9:;=Rgbp^KLGVYdeyUn}=>?10d8MJETWjg{Sh?01010>OHKZUha}Qjq1236ZojxVCDO^Qlmq]fu567:8l0EBM\_bos[`w7899986G@CR]`iuYby9:;?Rgbp^KLGVYdeyUn}=>?30d8MJETWjg{Sh?01610>OHKZUha}Qjq1230ZojxVCDO^Qlmq]fu567<8l0EBM\_bos[`w789?986G@CR]`iuYby9:;9Rgbp^KLGVYdeyUn}=>?50d8MJETWjg{Sh?01410>OHKZUha}Qjq1232ZojxVCDO^Qlmq]fu567>820EBM\_hos0>OHD9i0EBB?_lw{4567l2CD@=Qbuy23457b3@EG;HMQATYj}q:;<=Qfmq48MJTBY{?0EB\X0e9JKWQ7Whdo<=>?219JKWQ7Whdo<=>?_hos[LIU_9Ujbi>?013g?LIU_9Ufyu>?01g8MJTP8Vg~t=>?00d8MJTP8Vg~t=>?003e?LIU_9Ufyu>?0102a>OHZ^:Taxv?012054=NG[];S`{w0123[lkwl2CD^Z>Pnnv3457582CD^Z>Pnnv3457XadzTEB\X0^llp56798i0EB\X0^mq45679l1BC_Y?_np3456XadzTEB\X0^mq45679j1BC_Y?_np34576m2CD^Z>Pos2344YneyUBC_Y?_np34576=2CD^Z?k;HMQS4Yffm:;<=?1b9JKWQ6Wfx;<=>>e:KLVR7Xg{:;<=Qfmq]JKWQ6Wfx;<=>>7:KLV`gcqo1BC_kndx]bja67898?7DA]e`fz[dhc89:;Sdc_HMQadb~Whdo<=>?1g9JKWcflpUecy>?0007?LIUmhnrSca{0122[lkwW@EYiljv_omw45669l1BC_kndx]lv5678;80EB\jae{\kw6789Uba}QFOSgb`|Yhz9:;<<=4INQf?LITWjg{Sh?012f?LITWjg{Sh?013f?LITWjg{Sh?010f?LITWjg{Sh?011f?LITWjg{Sh?016f?LITWjg{Sh?017f?LITWjg{Sh?0147?LIR8j1BCX>Pmtz3456c3@E^OH_L?0E]B=re9JTI4uWge<=>>219JTI4uWge<=>>_hos[LVK:{Uecy>?0030?Lh7;2Ce=>5Fn318Mk543@d??6Ga529Jj3ci5CPL]B@AYT]KU8n6B_M^@VWQTN9m1G\@QLOTLWAWY6l2F[ARM@UOVFVZ4c3EZFSNAZNUGQ[6>f3EZFSIHI_0;:?IVJWMLMS?o4LQO\@C@X:9k0@]CPDGD\64g>o4LQO\@C@X:=k0@]CPDGD\60g:o4LQO\@C@X:1k0@]CPDGD\6JWEVNMJR;6;MRN[A@AW?30@]CPDGD\3<=KXDUOJKQ79:NSIZBANV3j7A^B_YMQ6Z713E^bah|l;MVji`t;9:0;2l5CThofv9746l1GXdcjr^cm`5678;80@Ygbes]bja6789Uba}QCThofvZgil9:;<<;4Lncg5a=Kghn:Sca{012265=Kghn:Sca{0122[lkwWEejh7o0Aua}_Sgpqir;?7o0Aua}_Sgpqir;07o0Aua}_Sgpqir;1780B=<4N008J74O?<;NP01>IU;K;>7B\?6A]629LV25>e:Mmg`Yffm:;<=Qfmq]LjfcXign;<=>>b:Mmg`Yhz9:;<<:4Otv0a>Ir|:Uba}Q@uu120>Ir|=o0Cxz;_hos[Jss<8>0Cxz:e:Mvp0YneyUDyy;>4:Mvp3c>3^N7?UGU\h1[ECQMURKG\g=WAGUIY^@NMD68TJTTn2ZD^^Qlmq]fu5678o1[C_]Pclr\at6788l0\B\\_bos[`w789997]]k;QQ\ghvXmx:;<=j4PR]`iuYby9:;=i5_S^antZcv89:9h6^\_bos[`w7899o7]]Pclr\j`6789;0]95^<1<6?T:687?0]1?>>49R8449?2[7=>4?>49R8459<2[7=3:4Q=0=2>W;;3:586_33?48U92=87>0]1:14:S?1;29<2[753o4Q^3\kw6789;j7\Q>_np3456XadzT]R?Pos2345723[K7<384R@>24;>16:PB8479=2XJ0<0:;SC?6;3TF4<4>7_O36?78VD:06<1YM1615:PB8<843[KF96\NMBQ24>TFEJYTC{k}l`{Lbc413[KFO^Q@vdpoe|IanVcf|R\NMBQ\KscudhsDjk?i;SCNGVYH~lxgmtAa259QEHETWF|n~aovOo]jiuYUIDIXSBxjrmczKk7c3[KFO^Qnne2345473[KFO^Qnne2345YneyUYM@M\_`lg45679m1YM@M\_`lg4566:91YM@M\_`lg4566W`g{S_OBCR]bja6788;:<6\NMBQ\mhvXZHGH_<;4R@OFJa=UIDOES`{w0123a>TFELDTaxv?0122b>TFELDTaxv?01225c=UIDOES`{w012364c8;0^LCJN^ov|5678Vcf|n5]ALGM[jt789::i6\NMDL\kw6789Uba}Q]ALGM[jt789::46\NMSgb`|773[KF^hoky^cm`5678;<0^LC]e`fz[dhc89:;Sdc_SCNV`gcqVkeh=>?0033?WGJZlkouRoad123570?0038VDKUmhnrS`{w01235441:PBIWcflpUfyu>?01120>TFE[ojhtQbuy2345Yney30^LCPRdcg}4>5:PBW@Jc3[KXIAQbuy2345c_lw{456798o0^LQ>_lw{4567:8n0^LQ>_lw{4567;8:0^LQ>_lw{4567W`g{o6\N_3]nq}6789n0^LQ=_lw{45679l1YMRQbuy234576m2XJS>Qbuy234546l2XJS>Qbuy23455682XJS>Qbuy2345Yneyi0^LQ;_lw{4567l2XJS9Qbuy23457b3[KT8Rczx123447b3[KT8Rczx123477c3[KT8Rczx12346773[KT8Rczx1234Zojxj1YMR;Pmtz3456c3[KT9Rczx12344cS`{w012354cS`{w012364bS`{w0123746S`{w0123[lkwk2XJS;Qbuy2345bTFW>Ufyu>?0132a>TFW>Ufyu>?0102`>TFW>Ufyu>?01124>TFW>Ufyu>?01]jiue?00g8VDY?Wds<=>?10g8VDY?Wds<=>?20f8VDY?Wds<=>?3028VDY?Wds<=>?_hosg>TFW0Ufyu>?01f8VDY>Wds<=>?1d9QEZ?Xe|r;<=>>1d9QEZ?Xe|r;<=>=1e9QEZ?Xe|r;<=><119QEZ?Xe|r;<=>Pilr0?WCTk2XN_Rgbp^PFW43TB[{xTbbz?01314>TB[{xTbbz?013\mhvXZLYy~R``t123543=5]OLAP[dhc89:9Sdc_SMNGVYffm:;0:PLIFUXkdzTi|>?0105?WIJKZUha}Qjq1234ZojxVXDAN]Pclr\at6789;:<6\@MBQ\ghvXmx:;<<<9;SMNGVYdeyUn}=>?1^kntZTHEJYTo`~Pep23447682XDAN]Pilr\VJKD[880^^j4RR]`iuYby9:;Tbims;;6\jae{3v3=Umhnr=:5]e`fz5w0>e:PfeaXg{:;<=Qfmq]Qadb~Wfx;<=>>c:PfeaXg{:;<?0111?WcaN{ef^^>Aeyv\ekb789:Te`~PRddEvjkU[9DntyQnne234576>2XnjK|`mSQ3J`~sWhdo<=>>339Qac@ugdXX?03316>TbnOxda_]?Ndzw[lkwW[omJabRR2Ma}r69<1YikH}olPP4Kc|Vey<=>?319Qac@ugdXX?013g?WcaN{ef^^>_rd03?WcaN{ef^^>_rd]jiuYUmoLyc`\\0Qpf54g;=7_kiR@Q5?WcaZl{37_kiRdsJjd=UmoXn}^wacd9QacTbyVkeh=>?0308V``UmxUjbi>?01]jiuYUmoXn}Road12344763[om^hPclr\at6789837_kiRds\ghvXmx:;<=Qfmq]QacTbyVif|Rk~0123547?03325>Tbn[ozSnc_ds3455502Xnj_k~_bos[`w7899Te`~PRddQatYdeyUn}=>?3032?WcaZl{To`~Pep23414?3[om^hPclr\at678=Uba}Q]egPfuZejxVoz<=>;1038V``UmxUha}Qjq12317>d:PfbWcvWfx;<=>=0:PfbWcvWfx;<=>Pilr\V``UmxUd~=>?00;8V``Rznoexo5]egWqc`hsAg;:7_kiUsefjqYffm:;<=<7;SgeQwabf}Ujbi>?01]jiuYUmo_ykh`{_`lg456798:0^hhZrfgmpZiu89:;>;5]egWqc`hsWfx;<=>Pilr\V``RznoexRa}01235a=Umn_ykh`{Sxl`2>Tb{|f56\jstnw858f3[oxyaz31119:Pfwpjs4=427_k|umv?1;?89Qavsk|5=556\jstnw8=8>3[oxyaz39?05?Wct}e~TUD[@IXSdc_RAPMFU6j2YBKO[\_HLEKd=TANUIY^GKXc9PMBYE]ZDJAH;4SV>2:0=T_585;6]X<282:0=T_59586]lls68Wfusi2Yeh_OBCRUQ0>Utm}>0XT^J5:Vji`t?3]cfi2?>99Wmhcu48437Ygbes>1:d=Sadoy0>4?>99Wmhcu4:4<7YgbesV@57=SadoyXNQlmq]fu5678;k0XdcjrUA\ghvXmx:;<=Qfmq]Wmhcu\JUha}Qjq123441<\{cOmyo<;WA@=>PNM^U_U]K<;WRBg>PWIVcf|RX_A078RUGun<1]\L|}d:TSEwtXff~;<=?=0:TSEwtXff~;<=?Pilr\RUGuzVddx=>?10c8RlbUIDIX[_j4VhfQEHET_[?10:8RlbUIDIX[_8;k^antZcv89::?;5YiePBIFUPZ?>`Snc_ds3457XadzTZdj]ALAPSW03cVif|Rk~0122576<^`nYM@M\WS47oZojxV\bh_OBCRUQ21m69<1]ei\NMBQTVZejxVoz<=>?319UmaTFEJY\^Rmbp^gr4567W`g{S[gkR@O@WRTXkdzTi|>?01321>Pnl[KFO^Y]_bos[`w789;8<6XfdSCNGVQUWjg{Sh?013\mhvX^`nYM@M\WS]`iuYby9:;=?3228RlbUIDIX[_Qlmq]fu567;Vcf|RXfdSCNGVQUWjg{Sh?011250=QamXJAN]XR^antZcv89:??=5YiePBIFUPZVif|Rk~0127[lkwW_co^LCLSVP\ghvXmx:;<9?>5:Tj`WGJKZ]YSnc_ds3453482\bh_OBCRUQ[fkwWl{;<=;Pilr\RlbUIDIX[_Qlmq]fu567=8;>7[gkR@O@WRTXkdzTi|>?0713?SocZHGH_Z\Pclr\at678?Uba}QYiePBIFUPZVif|Rk~0125543<^`nYM@M\WS]`iuYby9:;;>>4VhfQEHET_[Uha}Qjq1233ZojxV\bh_OBCRUQ[fkwWl{;<=9>149UmaTFEJY\^Rmbp^gr456?;91]ei\NMBQTVZejxVoz<=>7_hos[SocZHGH_Z\Pclr\at6781;:96XfdSCNGVQUWjg{Sh?01;04>Pnl[KFO^Y]_bos[`w7893Te`~PVhfQEHET_[Uha}Qjq123=4723_co^LCLSVP\ghvXmx:;===?;WkgVDKD[^XTo`~Pep2355YneyU]ei\NMBQTVZejxVoz<=??1078RlbUIDIX[_Qlmq]fu5669::0Zdj]ALAPSWYdeyUn}=>>1^kntZPnl[KFO^Y]_bos[`w788;:=l5YiePBIFUPZVcf|RXfdSCNGVQU98;0Zdj]ALAPSWYhz9:;<<<4VhfQEHET_[Ud~=>?0031?SocZHGH_Z\Pos234546:2\bh_OBCRUQ[jt789:8=85YiePBIFUPZVey<=>?_hos2>QfzyYN=i5WIMKM\(^CJ):%=-][UC"3*4&F[JCB:6V\TMKA3>^T\VMEH3Qe7<3Qfmq18\vr>3QyK@akem`8[dbc89:;0=0l;^cg`56785;;2n5Paef3456;984h7Rokd12349756l1Tmij?012?56<76j1Tmij?012?568e3Vkoh=>?0=3=f>Yflm:;<=2=>e9\eab789:7?7>1b:]b`a6789682i5Paef3456;<3:5n6Qnde2345:36k1Tmij?012?1;d?<7Pilr\[dhc89:;=55Paof34576>2Ujbi>?00]jiuYXign;<=?>8:]bja678;;=7Road1236ZojxVUjbi>?033;?Zgil9:;?<84_`lg4564W`g{SRoad12374>;179\ekb789>Te`~P_`lg4563911Tmcj?01722>Yffm:;<8Qfmq]\ekb789?:46Qnne2343713Vkeh=>?6^kntZYffm:;<;?7;^cm`567?8<0Sl`k0124[lkwWVkeh=>?70:8[dhc89:3=;5Paof345>XadzTSl`k012;5==Xign;<=7>6:]bja6780Uba}QPaof345?602Ujbi>?1135?Zgil9::_hos[Zgil9::=<64_lw{4567?2Ud~=>?0068[jt789:Te`~P_np34566?2Ud~=>?1068[jt789;Te`~P_np34576=2kohh|j;`lg4567Wfx;<=>=2:cm`5678Vey<=>?_hos[dhc89:;Sb|?01227>ei|>1hcx`{es08gv53gKOcxzCE13;?kGCg|~GI=Qfmq]mEAir|EO;=<>4n@FlqqJB8Vey<=>?279mEAir|EO;Sb|?012\mhvXfHNdyyBJ0^mq4567901eMIaztMG25==iIMe~xAK>_hos[kGCg|~GIhF[VLXN:5aAR]JKG753gKXSDAM_oCP[LIEg|~:m6`NS^KLFZhF[VCDNb{{_omw4567;h1eM^QFOC]mEVYNGKe~xR``t1234ZojxVdJ_RG@B^lBWZOHJfSca{01235d=iIZUBCOazt49mEVoi>2dJ_b{{e:lBWjssWge<=>>239mEVir|Vddx=>?1^kntZhF[fSca{01225a=iIZe~xRa}012365=iIZe~xRa}0123[lkwWgKXcxzPos2345733gKX~:5aAnwwK@733gKdyyAJ_hos[kGh}}EN=85aBDPQ=>hEM[XTJ^L6;o@FVWYNGK90bOI8;o@D[LIE?2dI^RG@Bg9mFWYNGKUfyu>?0133?kDUW@EIS`{w01235474nCP\MJDXe|r;<=><129mFWYNGKUfyu>?01]jiuc6`MR^KLFZiu89::Sdc_o@Q[LIEWfx;<=?>4:l@EVe=5aDhlOAZiu89:;Sdc_oFjjICXg{:;<=?9;oFjjJC6:2dOecAJ_hos[kBnfFO:;6`KotvLAc=iLfCHQnne2345433gNdyyAJ_`lg4567W`g{ScJ`uuMF[dhc89:;=<:4nEmvpJCXadzTbIaztNG21>hNXE8?7cBKS99mHAUXNZH37cBKS^KLF42hKLZUd~=>?0^kntZhKLZUd~=>?00`8jIBTWfx;<=?>c:lO@VYhz9:;=Rgbp^lO@VYhz9:;=<:4nMUFg>hK_LUjbi>?013f?kJPMVkeh=>?0^kntZhK_LUjbi>?013f?kJPMVcf|R`CWD30?kIBj2dDIRoad12344ehHMVcf|R`@E0;8jJpbzekr<?03:8jJpbzekr0b_K\c:lQAVYig}:;<109mV@Uh}}Ufyu>?010255=iZLYdyyQbuy234556;2dYI^azt^ov|5678Vcf|;5aRNO@W44?0101?kTHE^OTmcj?012\mhvXf[EF[HQnne234576:2dYC@YJ_hos[kTHE^O:86`ZDR:8jPBTWOYI46`ZDR]JKG733g_O_RG@B^lV@VYNGKe~xo5aUEQ\MJDh}}i0bXJ\_`lg45679l1eYI]Paof3456XadzTbXJ\_`lg45679j1eYI]Pnnv34576m2d^H^Qaou2344YneyUeYI]Pnnv34576<2d^[Hm4nTUF[dhc89:;=h5aUVG\ekb789:Te`~PnTUF[dhc89:;=h5aUVG\mhvXf\]N=95aVLC;?kPJIVCDN<:4nWOB[LIEWg\FMRG@Bnwwf>hQEHUBCOaztb9mRHGXign;<=>>e:lUIDYffm:;<=Qfmq]mRHGXign;<=>>f:lUIDYdeyUn}=>?0368jSKFWjg{Sh?012\mhvXf_GJSnc_ds34566k2d]ALQaou23447b3g\FMR``t1235ZojxVd]ALQaou2344733g\[M55aVQC\BVD?3g\[MRG@Bb9mRUGXign;<=>>e:lUTDYffm:;<=Qfmq]mRUGXign;<=>>e:lUTDYneyUeZ]O>3:lTA2=i_LUM_O94pnn\gim>3yegSnbdeo:8tjjX{jfy46~`l^qpaq>tsdV~r|hh4snwqg`Yaaeoem`kk;rmvvfcXd`dnbo<4uq;8rdjrmVibb45yamwf[jve02rh58>?xmg8|f?2;?rg|n:;-4CDu7?i91KLu=7d;D96?7|[hh1?5m522;9562e8k;14l?8{o1;1?76l:31:>453j9h:65o>a:f03a<7280:w^om:2:`>75>289?n=l>:9c23>pA:lh1<7?51;2xWdd=;1i1>>75126a4g7=0h;<7)=:1;3g6>"5;h0?:95m36f94?2e28;1:4uC39395~"5;h0?:>5rL2:1>4}#<>i4n80;66g>i3<10;66g;3083>>i3:j0;66an4;29?j26i3:17d=kf;29?j2603:17b=jd;29?j5b:3:17dk9:188m4672900e>h=:188k6ea2900c>jm:188m6`d2900c9?6:188m12>2900c9==:188k1772900el;50;9je7<722e8o:4?::m0gg<722c8jh4?::k0a4<722e?>l4?::m0b6<722e8jk4?::m77`<722e8j;4?::m0b=<722c?8h4?::m0``<722e99h4?:%00g?42l2d9?o4?;:m11f<72-88o7<:d:l17g<632e9::4?:%00g?42l2d9?o4=;:m123<72-88o7<:d:l17g<432e9:84?:%00g?42l2d9?o4;;:m121<72-88o7<:d:l17g<232e9:>4?:%00g?42l2d9?o49;:m127<72-88o7<:d:l17g<032e9:<4?:%00g?42l2d9?o47;:m125<72-88o7<:d:l17g<>32e99k4?:%00g?42l2d9?o4n;:m11g<72-88o7<:d:l17g5$31`>=2o?:3:1(?=l:968j75e2;10e5?50;&17f1=o1<7*=3b8;0>h5;k0>76g8d;29 75d21>0b?=m:798m2e=83.9?n474:l17g<032c5$31`>=2o013:1(?=l:968j75e2h10e:650;&17ff=<1<7*=3b8;0>h5;k0o76g7d;29 75d21>0b?=m:d98m=e=83.9?n474:l17g5$31`>=24;h:b>5<#::i1495a22`954=h5;k0:>65f8983>!44k32?7c<o??3:1(?=l:968j75e28>07d69:18'66e=0=1e>>l51498m=6=83.9?n474:l17g<6>21b?9>50;&17f<4;o1e>>l50:9j76c=83.9?n4<3g9m66d=921b?>j50;&17f<4;o1e>>l52:9a7=6=83;1<7>t$266>4543A93383>>{e;j;1<7?l:3c97`}K;1;1=vF>d09~H6>52;qe88h53c9'00c=>2w/?9;5ab9Ye5<6s8i1qW=>o6;80;66a>6c83>>o3>i5lj0;66a>3e83>>o3n3:1(?=l:5g8j75e2910e9j50;&17f<3m2d9?o4>;:k7g?6=,;9h69k4n31a>7=h5;k0876g;8;29 75d2=o0b?=m:598m11=83.9?n4;e:l17g<232c?:7>5$31`>1co3<3:1(?=l:5g8j75e2110e9=50;&17f<3m2d9?o46;:k76?6=,;9h69k4n31a>d=h5;k0i76g;0;29 75d2=o0b?=m:b98m6`=83.9?n4;e:l17g;7>5$31`>1cd40:0;6<4?:1y'713=;<:0D>9i;n003}K;1;1=vF>d09~H6>528q/88k51:'713=9mh0eo;50;9l611=831bn=4?::ka5?6=3`2n6=44b683>0<729q/?9;51108L61a3E93=7?t$061>13e3tcj:7>5;hc;>5<>i5<>0;66sm8g83>7<729q/?9;51518L61a3`;887>5;n021?6=3tyi<7>52z\a4>;02h;0q~6j:181[>b342m6<=;;|q154<72;q6;7o7;<:e>7723tyi=7>52z\a5>;02h<0q~<>2;296~;02;><7S<;7:p645=838pRo;4=68a1>{zj=;<6=4::285I5?93;pD;|N0<7<6s->>i7?4}%171?7b>2ci97>5;n073?6=3`h;6=44ic394?=ni10;66l8:186>5<7s-9?97??2:J03c=K;1;1=v*>43871g=zah<1<75fa983>>of93:17dl::188k7202900qo=70;295?6=8r.8884>329K72`5<5290;w)=;5;377>N4?o1b=>:50;9l643=831vo>50;0xZg6<5>0j=6s|b083>7}Yj816n?4>359~w7762909w095a79>f7<59<1v??=:18185?83;8>638:`:8yv46;3:1>v38:364?[43?2wx><:50;0xZg3<5>0i96srb062>5<69391=>u+35795170;66g5;h67>5<>o3?3:17d:7:188m1g=831b8n4?::k7`?6=3`>m6=44b01f>5<>290;w)=;5;51?M50n2c:?84?::k273<722c:?:4?::k27=<722c:?44?::k27d<722c:?o4?::k27f<722e9=84?::a56`=8331<7>t$266>24<@:=m7d?<5;29?l74>3:17d?<7;29?l7403:17d?<9;29?l74i3:17d?5;29?xd6<90;6>4?:1y'713=>81C?:h4i016>5<5<52z\27a=:9=:1><;4}r75>5<5sW?=70?{t=>0;6?uQ569>56c=9:<0q~=i:181[5a34;8i7?<7:p05<72;qU8=5212g956>:6=4={_62?874m3;856s|4383>7}Y<;16=>k512c8yv242909wS:<;<30a?74j2wx894?:3y]01=:9:o1=>m4}r66>5<5sW>>70?{t56`=9:<0q~:8:181[2034;8j7?<7:p0=<72;qU855212d956>j6=4={_6b?874n3;856s|4b83>7}Yh512c8yv2c2909wS:k;<30b?74j2wx8k4?:3y]0c=:9:l1=>m4}r30a?6=:r7:?h4=149>516=9:?0q~?a}#;=?1=:j4o04a>5<5<5<5<5<5<850;9j561=831b=>650;9j56?=831b=>o50;9j56d=831b=>m50;9l643=831vn<8k:180>5<7s-9?97<>8:J03c=n9:?1<75f12494?=h:8?1<75rb04f>5<4290;w)=;5;02<>N4?o1b=>;50;9j560=831d><;50;9~w40e2909wS?9b:?22`<59<1v>=7:181[54027::n4>379~w7ba2909wS369~w4162909wS?81:?22f<6;11v<9<:181[70;27::n4>389~w4122909wS?85:?22f<6;k1v<98:181[70?27::n4>3`9~w41>2909wS?89:?22f<6;j1v<9m:181[70j27::i4>349~w63?2909wS=:8:?22a<6;?1v<8l:181871k38:963>6d8270=z{8379~yg50k3:1h7=5fz&000<4?j1d?:650;9j70`=831b?;?50;9j735=831b?;;50;9j731=831b?;750;9j73d=831b?;j50;9j73`=831b?:?50;9j725=831b?:;50;9a72?=8331<7>t$266>7463A93483>>o6;?0;66g>3683>>o6;10;66g>3883>>o6;h0;66g>3c83>>o6;j0;66a=1483>>{e;>k1<7;50;2x 6222;;o7E=8f:k270<722c:?;4?::k272<722c:?54?::m150<722wi?:l50;194?6|,:>>6??7;I14b>o6;<0;66g>3783>>i59<0;66s|36:94?4|V:=370=8b;021>{t;{t;?91<770=89;30=>{t;?=1<7{t;?h1<7{t;?l1<7{t;>91<770=8a;30<>{t;>31<77723499n:336?850j3;8:6srb334>5<2290;w)=;5;4a?M50n2c:?84?::k273<722c:?:4?::k27=<722e9=84?::a63d=8391<7>t$266>35<@:=m7d?<5;29?l74>3:17b<>5;29?xd5>m0;694?:1y'713=><1C?:h4i016>5<5<6=44}c046?6=;3:1l0e<=::188m4512900c??::188yg52m3:197>50z&000<112B8;k5f12794?=n9:<1<75f12594?=n9:21<75`20794?=zj:=<6=4<:183!53=3<:7E=8f:k270<722c:?;4?::m150<722wi>h=50;194?6|,:>>6??7;I14b>o6;<0;66g>3783>>i59<0;66sm32;94?3=83:p(>:::7c8L61a3`;897>5;h302?6=3`;8;7>5;h305;|`2l0e<=::188m4512900c??::188yg26>3:1?7>50z&000<192B8;k5f12794?=n9:<1<75`20794?=zj:?i6=4<:183!53=3<:7E=8f:k270<722c:?;4?::m150<722wi?8j50;794?6|,:>>6;64H25e?l74=3:17d?<6;29?l74?3:17d?<8;29?j46=3:17pl<6183>0<729q/?9;56c9K72`6=44i015>5<5<6=44}c156?6==3:1N4?o1b=>;50;9j560=831b=>950;9j56>=831d><;50;9~f603290>6=4?{%171?0e3A93483>>o6;?0;66g>3683>>o6;10;66a=1483>>{e;?<1<7;50;2x 6222?k0D>9i;h301?6=3`;8:7>5;h303?6=3`;847>5;n021?6=3th8:54?:483>5}#;=?1:l5G36d8m4522900e<=9:188m4502900e<=7:188k7722900qo=9a;291?6=8r.888499:J03c=n9:?1<75f12494?=n9:=1<75f12:94?=h:8?1<75rb24`>5<2290;w)=;5;4b?M50n2c:?84?::k273<722c:?:4?::k27=<722e9=84?::a73c=83?1<7>t$266>3?<@:=m7d?<5;29?l74>3:17d?<7;29?l7403:17b<>5;29?xd4?90;684?:1y'713=>h1C?:h4i016>5<5<5<7>55;294~"4<<0=56F<7g9j563=831b=>850;9j561=831b=>650;9l643=831vn>9;:186>5<7s-9?9786;I14b>o6;<0;66g>3783>>o6;>0;66g>3983>>i59<0;66sm36494?3=83:p(>:::7:8L61a3`;897>5;h302?6=3`;8;7>5;h305;|`1a5<72<0;6=u+35792g=O;>l0e<=::188m4512900e<=8:188m45?2900c??::188yg4b:3:197>50z&000<1k2B8;k5f12794?=n9:<1<75f12594?=n9:21<75`20794?=zj8=;6=4::183!53=3>6;o4H25e?l74=3:17d?<6;29?l74?3:17d?<8;29?j46=3:17pl>7583>0<729q/?9;5689K72`6=44i015>5<5<6=44}c342?6==3:1N4?o1b=>;50;9j560=831b=>950;9j56>=831d><;50;9~f41?290>6=4?{%171?0>3A93483>>o6;?0;66g>3683>>o6;10;66a=1483>>{e9>k1<7;50;2x 6222?30D>9i;h301?6=3`;8:7>5;h303?6=3`;847>5;n021?6=3th:;n4?:483>5}#;=?1:55G36d8m4522900e<=9:188m4502900e<=7:188k7722900qo<9f;297?6=8r.888491:J03c=n9:?1<75f12494?=h:8?1<75rb352>5<4290;w)=;5;41?M50n2c:?84?::k273<722e9=84?::a6ac=8391<7>t$266>34<@:=m7d?<5;29?l74>3:17b<>5;29?xuf?3:1?vPn7:?751650;1xZ65?34;4523ty?>=4?:3y]076<5=;?65k4}r35f?6=:rT::o5216f953d?h7>53z\70a=:;;4=0:b>4523ty9:44?:2y]63?<5;=96??:;<16a?74>2wx>im50;0xZ7bd348n?7<>5:p56b=838pR<=k;<375?74l2wx=;h50;0x941c28{t9>;1<741634;<>7<>5:p525=838p1<9k:050?870<38:96s|16794?4|58=o6<9:;<342?46=2wx=:950;0x941c28=<70?88;021>{t9>31<741>34;5:p52d=838p1<9k:05a?870k38:96s|2ef94?4|5;o86<=9;<0ga?46=2wx><850;1x97702;;>70;39?0:?;5rs537>5<5s4>:87<;7:?752<70<9f;302>;5?80:?8522eg956352z?23a<5lo16>h>52078yv4b93:1>v3=e38150=::mo1=>84}r16e?6=:r789h4>369>70d=:8?0q~=:c;296~;4=l0:?55234f964352z?137<6;<16>;h52078yv4083:1>v3=738273=::>;1><;4}r14721=9:<0q~:>5;296~;39=0i=63;178150=z{:?26=4={<16a?46=278;:4>349~w63a2909w0=8c;16b>;4>909=85rs34`>5<5s48=h7<>5:?134<6;?1v>8>:181850k39==63<638150=z{:<86=4={<14g?51;278:94=149~w6022909w0=8c;151>;4>?09=85rs244>5<5s4986:181850k39=563<6`8150=z{:=86=4={<14g?50;278;94=149~w63?2909w0?8d;16<>;4?>09=85rs256>5<5s498m:181850k39=n63<6b8150=z{:;4?909=85rs252>5<5s498`8273=::?l1=>;4}r6e>5m70?;1;6e?846?3;8;63=6c8273=::?n1=>;4=21:>451348n<7?<8:?1a7<6;116=:>512:89415289370?84;30<>;6??0:?55216:956><58=j6<=7;<34g?7402.8?n4;e:l07g<73ty?h7>5fz\7`>;6<80?h63=16827==::?h1=>;4=34g>451349857?<8:?1a5<6;>16>h<512589417289=70?82;302>;6?=0:?8521649561<58=36<=8;<34e?74?27:;n4>369'76e=l51:p0f<72oqU8n5215390f=::8=1=>84=34g>450349857?<5:?01g<6;?16>h>5124897c5289=70?80;301>;6?;0:?:521669561<58==6<=9;<34379>52e=9:<0(>=l:5g8j65e2;1v9o50;gxZ1g<58>:69o4=334>452349857?<7:?01g<6;<16>h>5127897c5289>70?80;303>;6?;0:?8521669560<58==6<=:;<3427:;l4>349>52e=9:?0(>=l:5g8j65e2:1v9650;1xZ1><58>:6964=27g>45?3-98o7:j;o10f?2<6=4<{_64?87393><70=:d;303>"4;j0?i6`<3c86?xu3>3:1?vP;6:?204<3>2789i4>379'76e=l56:p00<72:qU8852153900=:;;4$21`>1c01<:>:5689607289<70=92;302>;4>=0:?:523749560<5:<36<=9;<15e?74=278:n4>399>73c=9:201>9?:01;?850:3;8463<75827==:;><1=>64$21`>1c:5189607289=70=92;301>;4>=0:?552374956><5:<36<=7;<15e?740278:n4>379>73c=9:?01>9?:014?850:3;8;63<758272=:;><1=>94$21`>1c:5089607289370=92;30<>;4>=0:?;523749563<5:<36<=8;<15e?74?278:n4>369>73c=9:=01>9?:015?850:3;8963<758273=:;><1=>84$21`>1c:5389607289>70=92;303>;4>=0:?8523749561<5:<36<=:;<15e?74>278:n4>349>73c=9:<01>9?:016?850:3;8:63<758270=:;><1=>;4$21`>1c:528 65d2=o0b>=m:b9~w6`=838pR>h4=062>6`<,:9h69k4n21a>a=z{<=1<7=l:5g8j65e2l1v8850;0xZ00<58>:6884$21`>1c5<5s493?7<<8:?7516>:0yK5a7710<,=?n6;5r$266>70?3Sk;6?uj:0d9y_54i38pj7?j:|kb3?6=3f>857>5;h1``?6=3f>9o7>5;n62e?6=3f9nh7>5;h12b?6=3`386=44o3:`>5<5<5<5<5<5<#::i1>8j4n31a>5=5<#::i1>8j4n31a>7=54o346>5<#::i1>8j4n31a>1=5<#::i1>8j4n31a>3=5<#::i1>8j4n31a>==5<#::i1>8j4n31a>d=o3i3:1(?=l:5`8j75e2810e9650;&17f<3j2d9?o4=;:k73?6=,;9h69l4n31a>6=h5;k0?76g;5;29 75d2=h0b?=m:498m12=83.9?n4;b:l17g<132c??7>5$31`>1do393:1(?=l:5`8j75e2010e9>50;&17f<3j2d9?o4n;:k0b?6=,;9h69l4n31a>g=h5;k0h76g:6;29 75d2=h0b?=m:e98m03=83.9?n4;b:l17g87>5$31`>1d=n=;0;6)<>m54c9m66d=9:10e9750;&17f<3j2d9?o4>4:9a6`2=83;;6<4>0zN0<4<6sA;o=6sC39095~"3=l0>7p*<44826a=nj90;66a=2683>>i5:00;66a=2c83>>i5:m0;66a=2g83>>i5;80;66a=3283>>i5;<0;66a=3783>>o6kh0;66g>cc83>>o6kj0;66g>ce83>>o6kl0;66g>cg83>>d6:j0;6<>5d;37I5?93;pD;|&000<5m=1b=no50;9j5fd=831b=nm50;9j5fb=831b=nk50;9j5f`=831bn>4?::m162<722e9>44?::m16g<722e9>i4?::m16c<722e9?<4?::m176<722e9?84?::m173<722h:<94?:282>6}K;1;1=vF>d09~ 62228:87dl::188k7202900eo>50;9a3?6=;3:1l0@>6>:0y'514=<:188mg3=831d>9950;9~wg6=838pRo>4=68b5>{t:8;1<72;296~Xe=27<6o;4}|`254<72:0:6>uC39395~N6l81v(>:::020?ld22900c?:8:188mg6=831i;7>53;294~"4<<0:<<5G36d8H6>628q/=9<544`8ylg62900eo;50;9l611=831vo>50;0xZg6<5>0j=6s|20394?4|5>098:5Q2558yv46:3:1>vPm5:?4>g36}K;1;1=vF>d09~ 62228:87dl::188k7202900eo>50;9a3?6=;3:1l0@>6>:0y'514=<:188mg3=831d>9950;9~wg6=838pRo>4=68b5>{t:8;1<72;296~Xe=27<6o;4}|`255<7210<6hu+35795465<5<5<5<>6;<4H25e?l74=3:17d?<6;29?j46=3:17pl>0883>6<729q/?9;5609K72`6=44i015>5<6=44}c33f?6==3:1N4?o1b=>;50;9j560=831b=>950;9j56>=831d><;50;9~f46d29086=4?{%171?043A93483>>o6;?0;66a=1483>>{e99n1<7=50;2x 6222?;0D>9i;h301?6=3`;8:7>5;n021?6=3th:5}#;=?1>c`83>6}Y9jk01<>i:015?877j3;8;6s|1bg94?5|V8in70??f;303>;68k0:?55rs03e>5<4sW;:j63>0g8270=:99=1=>84}r330?6==rT:<95211d956><58:<6<=:;<33=?74>27:379~w4762908wS?>1:?24<<6;<16==l51278yv7dk3:1>vP>cb9>55d=9:<0q~?lf;296~X6ko16==m51278yv77=3:1>vP>049>55c=:8?0q~??6;296~;68o09=85211g956352z?242<59<16==k51248yv7703:1>v3>088150=:99o1=>94}r33e?6=:r7:55c=9:20q~??b;296~;68k09=85211f956352z?24f<59<16==j51248yxd69l0;6449:dy'713=98o0e<>;:188m4eb2900e3483>>o6;?0;66g>3683>>i59<0;66sm10694?0=83:p(>:::7d8L61a3`;897>5;h302?6=3`;8;7>5;h305;n021?6=3th:=;4?:283>5}#;=?1:?5G36d8m4522900e<=9:188k7722900qo?>8;297?6=8r.888492:J03c=n9:?1<75f12494?=h:8?1<75rb03b>5<3290;w)=;5;45?M50n2c:?84?::k273<722c:?:4?::m150<722wi=>6??j;I14b>o6;<0;66g>3783>>o6;>0;66g>3983>>o6;00;66a=1483>>{t99>1<7:t^027?876l3;8:63>158273=:9821=>;4}r3`a?6=;rT:oh5210f9561<58;j6<=8;|q2gf<72:qU=nm4=03g>45234;:87?<5:p5fg=839pR379~w4ee2909wS?lb:?251<6;>1v389~w47a2909wS?>f:?253<6;?1v:187[76927:=;4>349>54>=9:<017p}>1283>7}:98n1><;4=03`>4523ty:=94?:3y>542=:8?011883>7}:98k1><;4=03`>45>3twi=?o50;:91?e|,:>>6<5;h32b?6=3`;:=7>5;h330?6=3`;ho7>5;h3`b?6=3f;9<7>5;c31=?6=>3:1N4?o1b=>;50;9j560=831b=>950;9j56>=831b=>750;9l643=831vn<<=:185>5<7s-9?978j;I14b>o6;<0;66g>3783>>o6;>0;66g>3983>>o6;00;66a=1483>>{e9;>1<7=50;2x 6222?80D>9i;h301?6=3`;8:7>5;n021?6=3th:>;4?:783>5}#;=?1:h5G36d8m4522900e<=9:188m4502900e<=7:188m45>2900c??::188yg7503:197>50z&000<59m1C?:h4i016>5<5<5<54z\2gd=:9;31=>64=001>45?34;9:7?<8:p5fc=839pR;4>389~w47a290?wS?>f:?26<<6;?16=?<512489441289=7p}>1083>6}Y98;01<<6:016?875<3;8:6s|11694?3|V8:?70?=9;30=>;6:;0:?8521369563<588=6<=:;|q2gf<72:qU=nm4=001>45034;9:7?<7:p5f`=838pR50;0xZ44734;947<>5:p577=838p1<<6:336?87503;896s|13094?4|58896??:;<312wx=?=50;0x94432;;>70?=8;303>{t9;?1<777234;947?<8:~f74?290?6=4?{%171?013A93483>>o6;?0;66g>3683>>i59<0;66sm23c94?2=83:p(>:::778L61a3`;897>5;h302?6=3`;8;7>5;n021?6=3th9>n4?:583>5}#;=?1::5G36d8m4522900e<=9:188m4502900c??::188yg45m3:187>50z&000<1>2B8;k5f12794?=n9:<1<75f12594?=h:8?1<75rb313>5<3290;w)=;5;46?M50n2c:?84?::k273<722c:?:4?::m150<722wi>>:50;694?6|,:>>6;84H25e?l74=3:17d?<6;29?l74?3:17b<>5;29?xd5;>0;6>4?:1y'713=>;1C?:h4i016>5<5<5bz?241<5<>16=<>51168947b28:?70?=a;330>;5:10:?85223c9563<5;8h6<=8;<01a?74?279?=4>379>662=9:?01?=8:016?xu6980;6ou21039611<58;;6;<32a?76927:>l4>109>67>=9:=01?;4=317>4513488;7?<6:p54`=83kp11d825c=:9;k1=4513489m7?<7:?16f<6;<16>?k512789757289<70<<4;303>{t9jk1<7:t^0ab?87683;hm63>1d82gd=:9;k1=no4}r3`f?6=:rT:oo5210g95fd54z\2gf=:98:1=nm4=03f>4ed34;9m7?lc:p5fb=838pRcg9>546=9jl01<?950;0xZ740348947<>5:p67?=838pR?<6;<01e?46=2wx>?l50;0xZ74e3489o7<>5:p67b=838pR??h50;0xZ74a3488<7<>5:p665=838pR?=<;<000?46=2wx>>850;0xZ7513488;7<>5:p553=838p1<>;:c78947728:>7p}>1383>7}:98;1n85210g954452z?25cl4>219~yvd72909wSl?;<31g?d43ty9>:4?:3y]671<588h6?<8;|q16<<72;qU>?74=00`>74>3ty9>o4?:3y]67d<588h6??j4=00`>74c3ty9>k4?:3y]67`<588h6?>?4=00`>7563ty9?>4?:3y]665<588h6?=<;|q170<72;qU>>;4=00`>7523ty9?;4?:3y]660<588h6?=9;|q2gd<72;qU=no4=00`>4ef3ty:oo4?:3y]5fd<588h64ed3ty:oi4?:3y]5fb<588h64eb3ty:ok4?:3y]5f`<588h6uC39395~N6l81v@>6=:0y'00c==2w/?9;51g38mg3=831d>9950;9jf5<722h<6=4<:183!53=3;;=6F<7g9je4<722ci97>5;n073?6=3tyi<7>52z\a4>;02h;0q~<>1;296~;02;><7S<;7:p644=838pRo;4=68a1>{zj::o6=4<:080I5?93;pD;|N0<7<6s->>i7;4}%171?7a92ci97>5;n073?6=3`h;6=44b683>6<729q/?9;51138L61a3`k:6=44ic794?=h:==1<75rsc294?4|Vk:01:4n1:p647=838p1:4=469]6117>52z\a1>;02k?0qpl<2583>6<62:qG?5?51zJ2`4=zD:296{#;=?1=k?4ic794?=h:==1<75fb183>>d029086=4?{%171?7792B8;k5fa083>>oe=3:17b<;7;29?xue83:1>vPm0:?4>d752z?4>7203W8?;6s|20094?4|Vk?01:4m5:~f66e29086<4<{M1;5?7|@8n:7pB<8382!22m3?0q)=;5;3e5>oe=3:17b<;7;29?ld72900n:4?:283>5}#;=?1==?4H25e?lg62900eo;50;9l611=831vo>50;0xZg6<5>0j=6s|20394?4|5>098:5Q2558yv46:3:1>vPm5:?4>g36}K;1;1=vF>d09~H6>528q/88k55:'713=9o;0eo;50;9l611=831bn=4?::`4>5<4290;w)=;5;335>N4?o1bm<4?::ka1?6=3f8?;7>5;|qa4?6=:rTi<638:`38yv4693:1>v38:364?[43?2wx><<50;0xZg3<5>0i96srb3d4>5<22;0>wA=71;3xL4b63tF84?4n{%66a?3<,=?o6>m=;%661?d23->>;7<;7:&716;%660?d63g>><7?4$57:>6263g>>=7>4}%171?7a<2ci<7>5;h`2>5<{I3g5>{#;=?1=io4ic794?=h:==1<75fb183>>oe93:17do7:188f2<72<0;6=u+3579554<@:=m7do9:188md>=831bm<4?::ka1?6=3f8?;7>5;|`0<5<7280;6=u+3579565<@:=m7b?<2;29?xue83:1>vPm0:?4>d7:18185?83;8>638:`:8yv46:3:1>v38:364?[43?2wx><=50;0xZg3<5>0i96srb2`:>5<4290;w)=;5;02=>N4?o1b=>;50;9j560=831d><;50;9~wg6=838pRo>4=68a4>{tj80;6?uQb09>7g?=9:<0q~<;7;296~X5<>16;7<;7:p717=839pR>:>;<59f0=:;k31=>;4}r1a7723twi>:=50;195?5|D:2:67dl::188k7202900eo>50;9a3?6=;3:1l0@>6>:0y'514=<:188mg3=831d>9950;9~wg6=838pRo>4=68b5>{t:8;1<72;296~Xe=27<6o;4}|`1<4<72:0:6>uC39395~N6l81v@>6=:0y'00c==2w/?9;51g48mg3=831d>9950;9jf5<722h<6=4<:183!53=3;;=6F<7g9O7=7=9r.:8?4;5c9~md7=831bn84?::m102<722wxn=4?:3y]f5=:?3k:7p}=1083>7}:?38?;6P=469~w7752909wSl:;<59f0=zuk83i7>53;397~J4080:wE?k1:O7=4=9r.?9h4:;|&000<6n>1bn84?::m102<722ci<7>5;c594?5=83:p(>:::022?M50n2F84<4>{%376?22j2wbm<4?::ka1?6=3f8?;7>5;|qa4?6=:rTi<638:`38yv4693:1>v38:364?[43?2wx><<50;0xZg3<5>0i96srb22b>5<42808wA=71;3xL4b63tF84?4>{%66a?35;h`3>5<0;6>4?:1y'713=99;0D>9i;M1;5?7|,8>969;m;|kb5?6=3`h>6=44o364>5<:18181=:==0R?:8;|q157<72;qUn8527;`6?x{e;ln1<7=52;6xH6>628qC=i?4}M1;6?7|,=?n685r$266>4`>3`h>6=44o364>5<009K72`>i5<>0;66smb583>7<729q/?9;51518L61a3`;887>5;n021?6=3tyi<7>52z\a4>;e<3;886s|20394?4|5>0j=63m4;021>{t:881<73;296~Xe=27<6o;4}|`13`<7210=6iu+357962c5<91<75f2d:94?=n;921<75f26d94?=e:>n1<7=50;2x 6222?;0D>9i;h301?6=3`;8:7>5;n021?6=3th9;;4?:283>5}#;=?1><74H25e?l74=3:17d?<6;29?j46=3:17pl=7683>1<729q/?9;5649K72`6=44i015>5<5<54;294~"4<<0=:6F<7g9j563=831b=>850;9j561=831d><;50;9~f71e29086=4?{%171?053A93483>>o6;?0;66a=1483>>{e:>i1<7:50;2x 6222;;j7E=8f:k270<722c:?;4?::k272<722e9=84?::p622=838pR?9;;<04g?46=2wx>h950;0xZ7c0348<;7?<7:p6cg=838pR?hn;<043?74=2wx5>4?:5y]=6=::>n1=>;4=355>452348<57?<5:p625=839pR?9<;<04`?74>279;o4>379~w7c?2909wS1v>>7:181[570279;44>379~w71a2909wS<8f:?13g<6;<1v?9::181840l38:963=778273=z{;;:6=4={<042?46=279;:4>379~w7752909w0<87;021>;5?j0:?85rs35;>5<5s48<57<>5:?13f<6;?1v?9n:181840j38:963=7b8272=zuk83n7>58;19e~"4<<094o5`29494?=n:l=1<75f2g`94?=n;9?1<75f29094?=n:l21<75f2gg94?=n:1>1<75m29c94?3=83:p(>:::7`8L61a3`;897>5;h302?6=3`;8;7>5;h305;|`1<=<72=0;6=u+357923=O;>l0e<=::188m4512900e<=8:188k7722900qo<79;297?6=8r.8884=199K72`6=44i015>5<6=44}r0;2?6=:rT94;5229;964352z\1a2=::1k1=>64}r0ef?6=:rT9jo5229c956352z\040=::1k1=>84}r0;6?6=:rT94?5229c956152z\1a==::121=>94}r0ea?6=:rT9jh5229:956052z\1<1=::121=>;4}r0;3?6=:r794l4=149>6=?=9:?0q~<78;296~;50109=85229;9560`}#;=?1>494o3:e>5<5<6=44i3:1>5<5<5<5<3290;w)=;5;45?M50n2c:?84?::k273<722c:?:4?::m150<722wi>4?50;194?6|,:>>6??7;I14b>o6;<0;66g>3783>>i59<0;66sm28094?5=83:p(>:::738L61a3`;897>5;h302?6=3f8:97>5;|`1=1<72:0;6=u+357924=O;>l0e<=::188m4512900c??::188yg4>=3:1:7>50z&000<59l1C?:h4i016>5<5<5<6=44}r0;b?6=:rT94k52287964352z\1a2=::081=>;4}r0ef?6=;rT9jo522849563<5;3:6<=:;|q040<72;qU?=;4=3;5>4513ty94?4?:3y]6=4<5;3=6<=8;|q1a<<72;qU>h74=3;6>4513ty9i84?:3y]6`3<5;3>6<=8;|q1ad<72;qU>ho4=3;6>45?3ty9i54?:3y]6`><5;3?6<=:;|q1b`<72;qU>kk4=3;7>4513ty95=4?:3y>6<0=:8?01?7>:015?xu5980;6?u22839643<5;396<=9;|q157<72;q6>4<5207897?2289>7p}=9283>7}::0>1><;4=3;6>45>3twi>4j50;697?0|,:>>6?7k;n06f?6=3`83i7>5;h6:>5<:::738L61a3`;897>5;h302?6=3f8:97>5;|`1=d<72:0;6=u+357927=O;>l0e<=::188m4512900c??::188yg4>j3:1?7>50z&000<5911C?:h4i016>5<5<n7>52z\11g=::0h1><;4}r0;a?6=;rT94h5228a9563<5;3j6<=:;|q7=?6=:rT?563=9b8273=z{:l1<77}::0i1><;4=3;a>4523ty9544?:3y>6l<50;194?6|,:>>6;?4H25e?l74=3:17d?<6;29?j46=3:17pl=a183>6<729q/?9;5639K72`6=44i015>5<6=44}c0b5?6=;3:1l0e<=::188m4512900c??::188yv42n3:1>vP=5g9>6d7=:8?0q~<7e;297~X50l16>l<5127897g7289>7p}:0;296~X28279m?4>379~w16=838pR9>4=3c3>4513ty95h4?:3y>6d4=:8?01?o>:016?xu51o0;6?u22`29643<5;k:6<=9;|a6d?=83>1?78t$266>7g>3f8=<7>5;h0;a?6=3`?:6=44i5394?=e:h21<7=50;2x 6222?;0D>9i;h301?6=3`;8:7>5;n021?6=3th9m;4?:283>5}#;=?1:?5G36d8m4522900e<=9:188k7722900qo6=44i015>5<6=44}r054?6=:rT9:=522`5964353z\1<`=::h21=>;4=3c5>4523ty>=7>52z\65>;5i10:?;5rs5394?4|V=;01?o9:015?xu5i=0;6?u22`:9643<5;k<6<=:;|q1e0<72;q6>l85207897g0289=7psm2`d94?2=;3:::3ce?j4193:17d<7e;29?l352900e9<50;9a6dc=8391<7>t$266>37<@:=m7d?<5;29?l74>3:17b<>5;29?xd5ij0;6>4?:1y'713=>;1C?:h4i016>5<5<53;294~"4<<09=55G36d8m4522900e<=9:188k7722900q~<91;296~X5>816>lj52078yv4?m3:1?vP=8d9>6dc=9:?01?ol:016?xu2:3:1>vP:2:?1e`<6;?1v9<50;0xZ14<5;kh6<=9;|q1ed<72;q6>lk5207897gc289>7p}=ac83>7}::hi1><;4=3cg>4513twi>o;50;697?0|,:>>6?l:;n056?6=3`83i7>5;h70>5<:::738L61a3`;897>5;h302?6=3f8:97>5;|`1f7<72:0;6=u+357927=O;>l0e<=::188m4512900c??::188yg4e;3:1?7>50z&000<5911C?:h4i016>5<5<7>52z\127=::k91><;4}r0;a?6=;rT94h522c69563<5;h96<=:;|q67?6=:rT>?63=b58273=z{=91<77}::k>1><;4=3`0>4523ty9n<4?:3y>6g4=:8?01?l<:015?x{e:kh1<7:53;4x 6222;hi7b<93;29?l4?m3:17d;;:188m12=831i>oo50;194?6|,:>>6;?4H25e?l74=3:17d?<6;29?j46=3:17pl=b983>6<729q/?9;5639K72`6=44i015>5<6=44}c0a=?6=;3:1l0e<=::188m4512900c??::188yv41;3:1>vP=629>6g?=:8?0q~<7e;297~X50l16>oo5127897d?289>7p}:4;296~X2<279nl4>379~w12=838pR9:4=3`;>4513ty9n;4?:3y>6gg=:8?01?l6:016?xu5j>0;6?u22c:9643<5;h26<=9;|a6f7=83>1?78t$266>7e63f8=87>5;h0;a?6=3`?>6=44i5794?=e:j:1<7=50;2x 6222?;0D>9i;h301?6=3`;8:7>5;n021?6=3th9nh4?:283>5}#;=?1:?5G36d8m4522900e<=9:188k7722900qo6=44i015>5<6=44}r050?6=:rT9:9522cd964353z\1<`=::j:1=>;4=3`f>4523ty>97>52z\61>;5k90:?;5rs5794?4|V=?01?lj:015?xu5jj0;6?u22b29643<5;hm6<=:;|q1fa<72;q6>ok5207897da289=7psm2b594?2=;3:::3a4?j41=3:17d<7e;29?l312900e9850;9a6f0=8391<7>t$266>37<@:=m7d?<5;29?l74>3:17b<>5;29?xd5k=0;6>4?:1y'713=>;1C?:h4i016>5<5<53;294~"4<<09=55G36d8m4522900e<=9:188k7722900q~<95;296~X5><16>n;52078yv4?m3:1?vP=8d9>6f0=9:?01?m;:016?xu2>3:1>vP:6:?1g3<6;?1v9850;0xZ10<5;i?6<=9;|q1g7<72;q6>n85207897e2289>7p}=c283>7}::j>1><;4=3a6>4513twi>nh50;697?0|,:>>6?mi;n052?6=3`8h47>5;h74>5<:::738L61a3`;897>5;h302?6=3f8:97>5;|`1gf<72:0;6=u+357927=O;>l0e<=::188m4512900c??::188yg4dl3:1?7>50z&000<5911C?:h4i016>5<5<52z\123=::jn1><;4}r0`;63=cd8273=z{==1<77}::jo1><;4=3ag>4523ty9oo4?:3y>6fe=:8?01?mk:015?x{e:m?1<7:53;4x 6222;n>7b<97;29?l4?m3:17d:n:188m1>=831i>i:50;194?6|,:>>6;?4H25e?l74=3:17d?<6;29?j46=3:17pl=d383>6<729q/?9;5639K72`6=44i015>5<6=44}c0g7?6=;3:1l0e<=::188m4512900c??::188yv41?3:1>vP=669>6a5=:8?0q~<7e;297~X50l16>i:5127897b5289>7p};a;296~X3i279h94>379~w1>=838pR964=3f1>4513ty9h=4?:3y>6a2=:8?01?j<:016?xu5l80;6?u22e09643<5;n86<=9;|a6=b=8391<7>t$266>77>3A93483>>o6;?0;66a=1483>>{e<;l1<7<50;2x 62228>87E=8f:k271<722e9=84?::a06e=8381<7>t$266>4243A93583>>i59<0;66sm41d94?4=83:p(>:::060?M50n2c:?94?::m150<722wi8<=50;094?6|,:>>6<:<;I14b>o6;=0;66a=1483>>{e<8n1<7<50;2x 62228>87E=8f:k271<722e9=84?::a07d=8381<7>t$266>4243A93583>>i59<0;66sm31;94?5=83:p(>:::738L61a3`;897>5;h302?6=3f8:97>5;|`042<72:0;6=u+357964><@:=m7d?<5;29?l74>3:17b<>5;29?xd48?0;6;4?:1y'713=>m1C?:h4i016>5<5<5<6=44}c136?6=;3:1N4?o1b=>;50;9j560=831d><;50;9~f66a29086=4?{%171?053A93483>>o6;?0;66a=1483>>{e;;;1<7=50;2x 6222?80D>9i;h301?6=3`;8:7>5;n021?6=3th9j44?:283>5}#;=?1><64H25e?l74=3:17d?<6;29?j46=3:17pl=c883>6<729q/?9;5609K72`6=44i015>5<6=44}c61a?6=;3:1N4?o1b=>;50;9j560=831d><;50;9~f15e290>6=4?{%171?0e3A93483>>o6;?0;66g>3683>>o6;10;66a=1483>>{e<9o1<7;50;2x 6222?h0D>9i;h301?6=3`;8:7>5;h303?6=3`;847>5;n021?6=3th?=?4?:283>5}#;=?1:?5G36d8m4522900e<=9:188k7722900qo:>c;290?6=8r.888495:J03c=n9:?1<75f12494?=n9:=1<75`20794?=zj=;m6=4<:183!53=38:46F<7g9j563=831b=>850;9l643=831vn?hk:186>5<7s-9?978n;I14b>o6;<0;66g>3783>>o6;>0;66g>3983>>i59<0;66sm2gd94?5=83:p(>:::708L61a3`;897>5;h302?6=3f8:97>5;|`044<72=0;6=u+357920=O;>l0e<=::188m4512900e<=8:188k7722900qo=?4;290?6=8r.8884=1`9K72`6=44i015>5<5<53;294~"4<<09=55G36d8m4522900e<=9:188k7722900qo<73;290?6=8r.888494:J03c=n9:?1<75f12494?=n9:=1<75`20794?=zj;2>6=4<:183!53=3<:7E=8f:k270<722c:?;4?::m150<722wi?hh50;094?6|,:>>6<:<;I14b>o6;=0;66a=1483>>{ti>0;6iuQa69>6`2=j916584m0:?04a94m0:?04g4m0:?1<49i7?<5:?77g<6;<168=k512789175289>70:>c;301>{t<;i1<7d;021>{t;ln1<7;3:1mvP63:?1a1<6kh16584m5:?13`<>;278<;4>379>6cb=9:=01?hi:016?85793;8;63=828270=::1?1=>;4}r0;g?6=:rT94n5229f9643:<7>52z\755=:<891><;4}r1e`?6=:rT8ji5241g956152z\04f=:;9n1n85rs56g>5<2sW>?h63;2d8273=:<:h1=>84=52f>45134>:>7?<6:p066=838pR9=?;<60f?74?2wx>;750;;xZ70>348n87?lc:?1289=70:>c;302>;5nm0:?;523139563<5;286<=9;|q76d<72;qU8?o4=50a>7723ty9hn4?:3y]6ae<5=8i6<=;;|q74f<72;qU8=m4=52e>7723ty8=h4?:6y>6`2=9jo01>?j:364?85713;8:63<07827<=::ol1=>84=222>451348397?<6:p776=838p1>?j:c7896462;;>7p}=7g83>7}::>o1>:h4=3:3>7723ty297>53z?1a1<6kk16584=469>6cb=9:?0q~098:5231d9563<5:8:6<=:;|q04d<72;q6?=o52558917a289=7p};1083>7}:<891=>:4=531>7723ty??l4?:3y>06e=9:>019=m:336?xu5k10;6?u22bd96f><5;i26??:;|q040<72=q6>5l5317897?02::>70=?7;302>;48?09=85rs3g6>5<4s48n87<=7:?1=2<5m<16>k751278yv57j3:1?v3=e582ga=:;9h1>994=3dg>45?3ty9i;4?:3y>6`2=:;301?h6:015?xu5m>0;6:u22d6967d<5;=n6?k8;<0;f?4b?2795:4=e69>750=9:=01>>=:016?84?83;896s|29094?5|5;2i6?6=;<0:3?4?:2794>4=149~w7c?290=w0;5?l09i55229`96`><5;3<6?k7;<13=?74=2794=4>379~w7>32909w0<7b;0;0>;50<09=85rs3g:>5<5s48n87<=f:?1=2<5m01v?kn:18184b<388?63=9681ad=z{:h<6=4={<0f0?44>278i:017?827m38:96s|40`94?4|5=;h6??:;<62b?74=2wx?hk50;0x96cc2k?01>ki:336?xu50l0;6nu229g9611<5;3o6?6j;<0b7?4?m279m44=8d9>6d`=:1o01?l::3:f?84ej383i63=c081<`=::j=1>5k4=3f6>7>b348h57?<5:?0ac<6;=1v?6i:18184?m3h>70<67;0;b>{t;991<7451349;87<>5:p6cg=838p1?9j:3db?857?38:96s|31:94?4|5;=n6>>7;<13=?46=2wx>k650;0x97`02k;01?h6:336?xu5nk0;69u229`96cd<5;3<6?hm;<133?74=278;5?:098:5226g9625<5::=6<=:;<60f?74027?

399>04e=9:=01?6<:014?xu48l0;6?u231`9f0=:;9l1><;4}r62a?6=:r7?=i4>359>04`=:8?0q~==4;296~;4:=098:52333956053z?04a<5<>16>k953538966a289=7p}=fb83>7}::on1><;4=227>4523ty9jh4?:5y>6=d=:oo01?78:3df?84an38:963<058273=z{::;6=4={<135?46=278<94>369~w7>62909w0<71;073>;50m0:?;5rs3:5>5<5s483=7l:;<0;f?4?>2wx8?j50;0x914a289?70:=e;021>{t:>>1<7g3<5;=n6?9;;|q122<72;qU>;94=3f6>7003-98o7<:d:l07g<53ty9:;4?:3y]630<5;im6?89;%10g?42l2d8?o4<;|q120<72;qU>;;4=3a4>7023-98o7<:d:l07g<33ty9:94?:3y]632<5;i:6?8;;%10g?42l2d8?o4:;|q126<72;qU>;=4=3`a>7043-98o7<:d:l07g<13ty9:?4?:3y]634<5;h>6?8=;%10g?42l2d8?o48;|q124<72;qU>;?4=3ce>7063-98o7<:d:l07g8h4=3c0>73a3-98o7<:d:l07gl50:p0d<72;qU8l522e790d=#;:i18o5a32`95>{t<10;6>uQ499]60c<5;n>6964$21`>1d=m:29~w10=838pR984=3a4>10<,:9h69l4n21a>1=z{=?1<7=l:5`8j65e2<1v9:50;0xZ12<5;hi69:4$21`>1d=m:69~w14=838pR9<4=3ce>14<,:9h69l4n21a>==z{=;1<72=;0(>=l:5`8j65e201v9>50;0xZ16<5;k869>4$21`>1d=m:c9~w01=838pR894=3ae>01<,:9h69l4n21a>f=z{<<1<7=l:5`8j65e2m1v8;50;0xZ03<5;i:68;4$21`>1d01?lm:468 65d2=h0b>=m:g9~w05=838pR8=4=3`6>05<,:9h69l4n21a>462:p15<72;qU9=522`1915=#;:i18o5a32`956=z{=31<7=l:5`8j65e28>0qpl45=:>089vB<8082M7c92wG?5<52zl71c<212.?9h49;|&000<6>?1bm:4?::k215<722c:444?::k201<722c8oi4?::k:7?6=3f;3n7>5;h1e`?6=3`;=n7>5;n3:?h7>5;h604?6=3f;5;n353?6=3f9m:7>5;n3af?6=3f?36=44o7294?=h;mo1<75m25394?d=93hp@>6>:0yK5a77?t$57f>1=z,:>>6<5<5<5<5<5<5<;|&000<5<81b=no50;9j5fd=831b=nm50;9j5fb=831b=nk50;9jf6<722e9>:4?::m16<<722e9>o4?::m16a<722e9>k4?::`241<72:0:6>uC39395~N6l81v(>:::020?ld22900c?:8:188mg6=831i;7>53;294~"4<<0:<<5G36d8H6>628q/=9<544`8ylg62900eo;50;9l611=831vo>50;0xZg6<5>0j=6s|20394?4|5>098:5Q2558yv46:3:1>vPm5:?4>g36}K;1;1=vF>d09~ 62228:87dl::188k7202900eo>50;9a3?6=;3:1l0@>6>:0y'514=<:188mg3=831d>9950;9~wg6=838pRo>4=68b5>{t:8;1<72;296~Xe=27<6o;4}|`255<72=0968u+35795465<5<>6??7;I14b>o6;<0;66g>3783>>i59<0;66s|11694?4|V8:?70??8;301>{t9jo1<7{t99?1<73e:k2gd<722c:<94?::k254<722c:oh4?::k2gg<722c:on4?::k2ga<722e:=?4?::`25a<72:0;6=u+357927=O;>l0e<=::188m4512900c??::188yg76<3:1?7>50z&000<1:2B8;k5f12794?=n9:<1<75`20794?=zj8;=6=4<:183!53=3<97E=8f:k270<722c:?;4?::m150<722wi=<650;694?6|,:>>6;;4H25e?l74=3:17d?<6;29?l74?3:17b<>5;29?xd69h0;684?:1y'713=>h1C?:h4i016>5<5<5<56;294~"4<<09=h5G36d8m4522900e<=9:188m4502900e<=7:188m45>2900c??::188yv7di3:1>vP>c`9>54b=9:<0q~??4;291~X68=16=70?>8;302>;69h0:?;5rs032>5<3sW;:=63>158273=:98<1=>84=03;>4523ty:oh4?:2y]5fc<58;=6<=:;<3270?>c;301>{t98>1<777234;:o7?<6:p543=838p170?>c;30=>{zj;836=4<:183!53=3<97E=8f:k270<722c:?;4?::m150<722wi>?o50;194?6|,:>>6;?4H25e?l74=3:17d?<6;29?j46=3:17pl=2b83>6<729q/?9;5639K72`6=44i015>5<6=44}c01a?6=;3:1N4?o1b=>;50;9j560=831d><;50;9~f75729086=4?{%171?4602B8;k5f12794?=n9:<1<75`20794?=z{8:?6=47{<330?43?27:==4>059>54c=99>01?<7:016?845i3;8:63=2b8273=::;o1=>84=313>4513ty:=<4?:9y>547=:==01;4=30`>4523489i7?<5:?175<6;<1vc`9~w4ee2909wS?lb:?25`<6kk1vcb9~w4ec2909wS?ld:?25`<6km1vcd9>54c=9jo0q~l<:180[d434;;87l?;<325?d73ty9>:4?:3y]671<5;836??:;|q16<<72;qU>?74=30b>7723ty9>o4?:3y]67d<5;8h6??:;|q16a<72;qU>?j4=30f>7723ty9>k4?:3y]67`<5;9;6??:;|q240<72;q6==:5b49>546=99?0q~?>2;296~;6980i963>1d8257=zuzh;6=4={_`3?875k3h87p}=2683>7}Y:;=01<7}Y:;h01<7}Y:;l01<cc83>7}Y9jh01<ce83>7}Y9jn01<6>:0yK5a77?t$57f>1=z,:>>65<<6=44ic294?=e?3:1?7>50z&000<6881C?:h4L2:2>4}#9=8188l4}hc2>5<1U>994}r026?6=:rTi9638:c78yxd6i>0;684=:4yO7=7=9rB:h<5rL2:1>4}#<5}#;=?1==<4H25e?lg12900el650;9je4<722ci97>5;n073?6=3th84=4?:083>5}#;=?1=>=4H25e?j74:3:17p}m0;296~Xe827<6l?4}r`2>5<5sWh:7095a79~w7762909w0=70;306>;02h20q~<>2;296~;02;><7S<;7:p645=838pRo;4=68a1>{zj;:;6=4;:387I5?93;pD;|N0<7>i7:4$57g>6e53->>97l:;%663?43?2.?9>4m0:l70c<63->>57=;1:l714<63t.8884>dd9jf5<722ci97>5;n073?6=3`9?=7>5;c594?5=939p@>6>:0yK5a75;h`3>5<0;6>4?:1y'713=99;0D>9i;hc2>5<1U>994}r026?6=:rTi9638:c78yxd4i90;6?4?:1y'713=9=90D>9i;h300?6=3f8:97>5;|qa4?6=:rTi<638:c28yv43?3:1>vP=469>3?43?2wx?9?50;0xZ626349j<7?<4:p7<`=838p1:4m5:?0e5<59<1vqook:187>4<4sE93=7?tH0f2?xJ40;03w)::e;68 13c2:i97)::5;`6?!22?38?;6*;528a4>h3h3=80;7p*<4482`c=nj90;66gm5;29?j43?3:17d=;1;29?g1=8391=7=tL2:2>4}O9m;0q)=;5;3ge>oe=3:17b<;7;29?ld72900n:4?:283>5}#;=?1==?4H25e?lg62900eo;50;9l611=831vo>50;0xZg6<5>0j=6s|20394?4|5>098:5Q2558yv46:3:1>vPm5:?4>g352z\a4>;02k:0q~<;7;296~X5<>16;7<;7:p717=838pR>:>;<59f0=zuk<;6=4::386I5?93;pD;|N0<7>i7:4$57g>6e53->>97l:;%663?43?2.?9>4m0:l70c<63->>87l>;o664?7<,=?j6>:;;o666?75}#;=?1==<4H25e?lg12900el650;9je4<722ci97>5;n073?6=3th84=4?:083>5}#;=?1=>=4H25e?j74:3:17p}m0;296~Xe827<6l?4}r`2>5<5sWh:7095a79~w7762909w0=70;306>;02h20q~<>2;296~;02;><7S<;7:p645=838pRo;4=68a1>{zj:hi6=4<:183!53=38:46F<7g9j563=831b=>850;9l643=831vo>50;0xZg6<5>0i<6s|b083>7}Yj816?ol51248yv43?3:1>vP=469>3?43?2wx?9:50;1xZ62334=1n8523c`956352z?4>g7<5:hi6??:;|a5=3=83?1>7;tL2:2>4}O9m;0qA=72;3x 13b2=1v(>:::0fg?ld22900c?:8:188mg6=831bn<4?::kb>{e;1:1<7?50;2x 62228987E=8f:m277<722wxn=4?:3y]f5=:?3k:7p}m1;296~Xe927<6l84}r025?6=:r784=4>339>3?g?3ty9=?4?:3y>3?43?2T98:5rs330>5<5sWh>7095b49~yg7d:3:197<55zN0<4<6sA;o=6sC39095~"3=l0?7p*<4482`a=nj<0;66a=4683>>oe83:17dl>:188md>=831i;7>55;294~"4<<0:6=44o364>5<51;294~"4<<0:?>5G36d8k4552900q~l?:181[d734=1m<5rsc394?4|Vk;01:4n6:p647=838p1>6?:011?81=i11v??=:18181=:==0R?:8;|q156<72;qUn8527;`6?x{e91h1<7=51;1xH6>628qC=i?4}M1;6?7|,=?n695r$266>4`63`h>6=44o364>5<009K72`>i5<>0;66s|b183>7}Yj916;7o>;|q154<72;q6;7<;7:\102=z{;;96=4={_`6?81=j<1vqo?68;291?4==rF84<4>{I3g5>{K;181=v*;5d87?x"4<<0:hi5fb483>>i5<>0;66gm0;29?ld62900el650;9a3?6==3:1l0el850;9je=<722cj=7>5;h`6>5<<6=44}c1;4?6=93:1l0c<==:188yvd72909wSl?;<59e4=z{k;1<72wx>728997095a99~w7752909w0952558Z7203ty9=>4?:3y]f0=:?3h>7psm5983>6<62:qG?5?51zJ2`4=zD:296{#;=?1=k?4ic794?=h:==1<75fb183>>d029086=4?{%171?7792B8;k5fa083>>oe=3:17b<;7;29?xue83:1>vPm0:?4>d752z?4>7203W8?;6s|20094?4|Vk?01:4m5:~f41b290>6?4:{M1;5?7|@8n:7pB<8382!22m3>0q)=;5;3g`>oe=3:17b<;7;29?ld72900eo?50;9je=<722h<6=4::183!53=3;;>6F<7g9je3<722cj47>5;hc2>5<:183!53=3;8?6F<7g9l564=831vo>50;0xZg6<5>0j=6s|b083>7}Yj816;7o9;|q154<72;q6?5>5120892<<50;0x92<5<>1U>994}r027?6=:rTi9638:c78yxd6jk0;684=:4yO7=7=9rB:h<5rL2:1>4}#<5}#;=?1==<4H25e?lg12900el650;9je4<722ci97>5;n073?6=3th84=4?:083>5}#;=?1=>=4H25e?j74:3:17p}m0;296~Xe827<6l?4}r`2>5<5sWh:7095a79~w7762909w0=70;306>;02h20q~<>2;296~;02;><7S<;7:p645=838pRo;4=68a1>{zj;896=4::386I5?93;pD;|N0<7>i7:4$57g>6e53->>97l:;%663?43?2.?9>4m0:l70c<63->>87l>;o664?7<,=?26>:>;o665?65}#;=?1==<4H25e?I5?93;p(<:=:57a?xof>3:17do7:188md7=831bn84?::m102<722wi?5>50;394?6|,:>>6<=<;I14b>i6;;0;66s|b183>7}Yj916;7o>;|qa5?6=:rTi=638:`48yv4693:1>v3<818277=:?3k37p}=1383>7}:?38?;6P=469~w7742909wSl:;<59f0=zuk9i57>53;294~"4<<09=45G36d8m4522900e<=9:188k7722900q~l?:181[d734=1n=5rsc394?4|Vk;01>l6:015?xu5<>0;6?uQ255892<5<>1v>:>:180[53927<6o;4=2`:>4523ty8n54?:3y>3?d6349i57<>5:~f4gd290>6>48{M1;5?7|@8n:7pB<838b!22m3>0(9;k:2a1?!22=3h>7)::7;073>"3=:0i<6`;4g82?!22<3h:7c::0;38 13>2:>:7c::1;28y!53=3;m86gm0;29?ld62900eo;50;9l611=831b?9?50;9a3?6==3819vB<8082M7c92w/?9;51ec8mg3=831d>9950;9jf5<722ci=7>5;hc;>5<0;684?:1y'713=9980D>9i;hc5>5<>oe=3:17b<;7;29?xd4090;6<4?:1y'713=9:90D>9i;n306?6=3tyi<7>52z\a4>;02h;0q~l>:181[d634=1m;5rs332>5<5s493<7?<2:?4>d>7>52z?4>7203W8?;6s|20194?4|Vk?01:4m5:~f6g729086=4?{%171?063A93483>>o6;?0;66a=1483>>{e;k31<7=50;2x 6222;;27E=8f:k270<722c:?;4?::m150<722wxn=4?:3y]f5=:?3h;7p}m1;296~Xe9278n44>379~wg3=838pRo;4=2c3>4513ty98:4?:3y]611<5>098:5rs262>5<4sW9?=63;4}r1:b?6=:r7<6o;4=2c3>7723ty8n54?:3y>3?d6349i57<>5:~f6bb290>6>48{M1;5?7|@8n:7pB<838b!22m3>0(9;k:2a1?!22=3h>7)::7;073>"3=:0i<6`;4g82?!22<3h:7c::0;38 13f2:>?7c::2;28y!53=3;n>6gm0;29?ld62900eo;50;9l611=831b?9:50;9a3?6==3819vB<8082M7c92w/?9;51ec8mg3=831d>9950;9jf5<722ci=7>5;hc;>5<0;684?:1y'713=9980D>9i;hc5>5<>oe=3:17b<;7;29?xd4090;6<4?:1y'713=9:90D>9i;n306?6=3tyi<7>52z\a4>;02h;0q~l>:181[d634=1m;5rs332>5<5s493<7?<2:?4>d>7>52z?4>7203W8?;6s|20194?4|Vk?01:4m5:~f6d>29086=4?{%171?4612B8;k5f12794?=n9:<1<75`20794?=zj:hi6=4<:183!53=38:56F<7g9j563=831b=>850;9l643=831vo>50;0xZg6<5>0i<6s|b083>7}Yj816?ol51248yvd22909wSl:;<1a=?74>2wx>9950;0xZ72034=1>994}r170?6=;rT889523c;9563<5:hi6<=:;|q0fd<72;q6;7l>;<1af?46=2wx?o650;0x92>3:1;7;5bz&000<61?1d=5k50;9j613=831b:=4?::k2l0e<=::188m4512900c??::188yg7>83:1?7>50z&000<5911C?:h4i016>5<5<53;294~"4<<0==6F<7g9j563=831b=>850;9l643=831vn<7<:180>5<7s-9?978=;I14b>o6;<0;66g>3783>>i59<0;66sm18694?2=83:p(>:::33b?M50n2c:?84?::k273<722c:?:4?::m150<722wx=5k50;0xZ4>b34;287<>5:p613=838pR?::;<3:5?74=2wx:=4?:2y]25=:90?1=>;4=0;3>4523ty:4n4?:3y]5=e<583>6<=9;|q107<72;qU>9<4=0;7>4513ty98>4?:3y]615<58386<=9;|q20c<72;qU=9h4=0;0>4523ty:4k4?:3y>5<3=:8?01<7?:015?xu5980;6?u21829643<583:6<=9;|q157<72;q6=4?5207894?3289>7p}>9383>7}:9091><;4=0;7>4503twi=l>50;697?0|,:>>65<o6=44b0;e>5<4290;w)=;5;42?M50n2c:?84?::k273<722e9=84?::a5t$266>34<@:=m7d?<5;29?l74>3:17b<>5;29?xd61l0;6>4?:1y'713=:820D>9i;h301?6=3`;8:7>5;n021?6=3ty:5o4?:3y]59g8270=:90n1=>;4}r3b3?6=:rT:m:5218d9560?h7>52z\70a=:90n1=>84}r3:g?6=:r7:5k4=149>53}#;=?1=5:4o05e>5<:::738L61a3`;897>5;h302?6=3f8:97>5;|`2<4<72:0;6=u+357926=O;>l0e<=::188m4512900c??::188yg7?:3:1?7>50z&000<5911C?:h4i016>5<5<52z\23c=:9181><;4}r43>5<4sW<;70?73;301>;6080:?85rs0:6>5<5sW;3963>828273=z{:lo6=4={_1e`>;6080:?;5rs0:3>5<5s4;3?7<>5:?2<7<6;<1v<6>:18187?938:963>838273=zuk;h=7>54;192~"4<<0:o<5`1ca94?=n>90;66g>c383>>o3;90;66l>c183>6<729q/?9;5609K72`6=44i015>5<6=44}c3aa?6=;3:1N4?o1b=>;50;9j560=831d><;50;9~f4da29086=4?{%171?4602B8;k5f12794?=n9:<1<75`20794?=z{8hh6=4={_3ag>;6jo09=85rs7294?5|V?:01{t<::1<770?mf;302>{zj8850;9j561=831d><;50;9~f6`0290?6=4?{%171?023A93483>>o6;?0;66g>3683>>i59<0;66sm1`;94?0=83:p(>:::7g8L61a3`;897>5;h302?6=3`;8;7>5;h305;n021?6=3thjj7>53;294~"4<<0==6F<7g9j563=831b=>850;9l643=831vn?<;:180>5<7s-9?978>;I14b>o6;<0;66g>3783>>i59<0;66sm1`g94?3=83:p(>:::7c8L61a3`;897>5;h302?6=3`;8;7>5;h305;|`22<<72:0;6=u+357927=O;>l0e<=::188m4512900c??::188yg7fj3:187>50z&000<59h1C?:h4i016>5<5<6=44}c3;`?6=<3:1N4?o1b=>;50;9j560=831b=>950;9l643=831vn5<7s-9?97<>c:J03c=n9:?1<75f12494?=n9:=1<75`20794?=zj83j6=4<:183!53=3<97E=8f:k270<722c:?;4?::m150<722wi=l850;194?6|,:>>6??7;I14b>o6;<0;66g>3783>>i59<0;66sm23494?5=83:p(>:::33;?M50n2c:?84?::k273<722e9=84?::a5g4=8391<7>t$266>77?3A93483>>o6;?0;66a=1483>>{e:981<7<50;2x 62228>87E=8f:k271<722e9=84?::a5=1=8381<7>t$266>4243A93583>>i59<0;66sm1b694?4=83:p(>:::060?M50n2c:?94?::m150<722wi=l<50;094?6|,:>>6<:<;I14b>o6;=0;66a=1483>>{e9k:1<7<50;2x 62228>87E=8f:k271<722e9=84?::pe2<728;pRl94=362>g6<58>m6o>4=0c4>g6<5;:;6o>4=`f9f5=:>90i<63>848a4>;6k;0i<63>8c8a4>;6110i<63:8;`3?870m3h;70?mb;`3?845:3h;70?nc;`3?85cm3h;7p}>5183>7}Y9<:01289=7p}>4583>7}Y9=>01<:i:c78yv5dl3:1>vP7c1=9:?0q~7<:18;[?4348?=7?lb:?167<4<816=lm5353896bb2:>?70?n9;303>;60m0:?:521`6956352z\2994}r1e`?6=;rT8ji5219697cb<582<6<=;;|q22g<721qU=;l4=362>4ed34;=m7?<5:?0b2<6;?16=l7512;89743289>70?7d;302>;6i=0:?;5rs0;;>5<5sW;2463>998102=z{=>o6=4;{_67`>;6i>0i963>a1870a=:;o=1=>94}r604?6=;rT??=521b39066<58i?6<=;;|q23`<72;qU=:k4=05f>7203ty:::4?:3y]531<587723ty:no4?:3y]5gd<58hi6?:8;|q6463:8;073>{t>90;6nuQ619>617=9jk01;>5255894?12?:0132?:01:72894g>289>70oi:015?87fm3;8:63>688270=:90k1=>;4}r1ga?6=:rT8hh523eg961153z?2ef70?l1;3ag>{t9h21<7=t=0c4>g7<58k26??:;<036?74<2wx=4750;0x94?f2;;>70?n6;301>{t9=l1<7:t=362>4eb34;?j7<;7:?2=3<6v3>9782<;4}rcf>5<5s4ko6>:>;7723ty9>?4?:7y>617=9jn01?<=:364?87f13;8:63>ad8272=:91n1=>;4=0c7>4503tyjh7>52z?b`?43?27=<7l>;|q22=<72:q6=;o51258940>2;;>70<=6;302>{t:9;1<7626348;>7<>5:p673=838p1?<;:015?845>38:96s|25494?4|5;>:6?2wx=lj50;1x94gd2k;01>jj:c3894gb2;;>7p}>8783>7}:91?1n852195964352z?2e`<6;116=o<52078yv7f93:1>v3>998a5>;6i;09=85rs361>5<0s48?=7<=7:?6;<3af?d634;2:7<;2:?bb?74=27:mo4>349~w4gf2909w0?n9;30<>;6ik09=85rs360>5<4s48?=7<=9:?2=3<5<:16=ll51248yv43<3:1?v3=40816g=:9hh1=>94=0`1>4513ty9884?:5y>617=:;n01<79:366?845>3;8963>b38270=z{8k<6=4={<3b3?43?27:m=4>a69~w7442909w0<=2;`2?845<38:96s|21294?2|5;:;6?:8;<43>62334;397l>;<3`6?d63ty:484?:3y>5=3=:==01<6;:0:6?xu6?o0;6?u216g9f0=:91>1=:h4}r3:f?6=:r7:554m5:?2e5<61k1v<6j:18187?j3h>70?66;3;a>{t9h91<777234;2m7?<6:p5d3=838p17723ty:o?4?:3y>5f4=:==01:0a1?xu6ij0;6?u21`a9611<58559yO7=7=9rB:h<5rL2:1>7}i<{#;=?1=;;4Z21b>4}4;3wd=8>50;9j011=831d?i;50;9j7c6=831b=n850;9l512=831b?h>50;9ja3<722c?>=4?::k25;hc1>5<5<1<75f1b794?=n;mn1<75`3g194?=h;o21<75`5983>>i3;m0;66l>b283>46=93;;wA=71;3xL4b63tF84?4>{%66a?55;n01=?6=3f89n7>5;n01`?6=3f89j7>5;n005?6=3f88?7>5;n001?6=3`;hm7>5;h3`f?6=3`;ho7>5;h3``?6=3`;hi7>5;h3`b?6=3`;o<7>5;c31g?6=990o6<;tL2:2>4}O9m;0q)=;5;3a7>o6kh0;66g>cc83>>o6kj0;66g>ce83>>o6kl0;66g>cg83>>o6l90;66gm3;29?j45?3:17b<=9;29?j45j3:17b<=d;29?j45n3:17b<<1;29?j44;3:17b<<5;29?g77<3:1?7?53zN0<4<6sA;o=6s+35795555<7s-9?97??1:J03c=K;1;1=v*>43871g=zah;1<75fb483>>i5<>0;66s|b183>7}Yj916;7o>;|q154<72;q6;7<;7:\102=z{;;96=4={_`6?81=j<1vqo?>1;297?7=;rF84<4>{I3g5>{#;=?1===4ic794?=h:==1<75fb183>>d029086=4?{%171?7792B8;k5C39395~"6<;0?9o5ri`394?=nj<0;66a=4683>>{tj90;6?uQb19>3?g63ty9=<4?:3y>3?43?2T98:5rs331>5<5sWh>7095b49~yg76n3:1?7?53zN0<4<6sA;o=6s+35795555<7s-9?97??1:J03c=K;1;1=v*>43871g=zah;1<75fb483>>i5<>0;66s|b183>7}Yj916;7o>;|q154<72;q6;7<;7:\102=z{;;96=4={_`6?81=j<1vqo?>0;290?5=>r.8884>119j54`=831b=t$266>34<@:=m7d?<5;29?l74>3:17b<>5;29?xd68>0;6>4?:1y'713=>81C?:h4i016>5<5<53;294~"4<<09=55G36d8m4522900e<=9:188k7722900q~?>f;297~X69o16==o512789460289>7p}>1083>7}Y98;01<>n:015?xu68=0;6?uQ11689460289=7p}>0483>7}Y99?01<>6:336?xu68?0;6?u211c9643<58:26<=:;|q242<72;q6==952078946>289=7psm10g94??==3np(>:::03f?l7693:17d?la;29?l76n3:17d??4;29?l7dl3:17d?le;29?l7dn3:17d?k0;29?j76:3:17o?>b;297?6=8r.888492:J03c=n9:?1<75f12494?=h:8?1<75rb037>5<4290;w)=;5;41?M50n2c:?84?::k273<722e9=84?::a540=8391<7>t$266>34<@:=m7d?<5;29?l74>3:17b<>5;29?xd6910;6;4?:1y'713=?91C?:h4i016>5<5<5<6=44}c32e?6==3:1l0e<=::188m4512900e<=8:188m45?2900c??::188yv7693:18vP>109>54d=9:<01b;301>{t98l1<7=t^03e?876<3;8:63>178270=z{8:?6=4={_330>;69=0:?85rs0ag>5<5sW;hh63>198270=z{8in6=4={_3`a>;6910:?;5rs0ae>5<5sW;hj63>198272=z{8n;6=4={_3g4>;6910:?55rs031>5<5sW;:>63>1`8150=z{8;86=4={<32f?46=27:=l4>349~w4732909w0?>4;021>;69h0:?;5rs036>5<5s4;::7<>5:?25d<6;>1v1`827==zuk;9m7>57;69e~"4<<0:>l5f10394?=n99>1<75f10d94?=n9jk1<75f1b`94?=n9ji1<75`13294?=e9;=1<7=50;2x 6222?;0D>9i;h301?6=3`;8:7>5;n021?6=3th:>?4?:583>5}#;=?1:;5G36d8m4522900e<=9:188m4502900c??::188yg75<3:1:7>50z&000<1n2B8;k5f12794?=n9:<1<75f12594?=n9:21<75f12;94?=h:8?1<75rb005>5<3290;w)=;5;02e>N4?o1b=>;50;9j560=831b=>950;9l643=831v:187[76927:>:4>349>574=9:<01<<;:016?xu68=0;69uQ11689440289=70?=2;301>;6:=0:?:5rs03e>5<5sW;:j63>238272=z{8ij6=4={_3`e>;6:=0:?;5rs0aa>5<5sW;hn63>25827==z{8ih6=4={_3`g>;6:=0:?45rs003>5<5sW;9<63>278150=z{88:6=4={<313?46=27:>;4>349~w4452909w0?=2;021>;6:?0:?;5rs000>5<5s4;987<>5:?263<6;>1vqo<=8;290?6=8r.888497:J03c=n9:?1<75f12494?=n9:=1<75`20794?=zj;8j6=4;:183!53=3<=7E=8f:k270<722c:?;4?::k272<722e9=84?::a67e=83>1<7>t$266>33<@:=m7d?<5;29?l74>3:17d?<7;29?j46=3:17pl=2d83>1<729q/?9;5679K72`6=44i015>5<5<54;294~"4<<0=96F<7g9j563=831b=>850;9j561=831d><;50;9~f755290?6=4?{%171?033A93483>>o6;?0;66g>3683>>i59<0;66sm22694?2=83:p(>:::778L61a3`;897>5;h302?6=3`;8;7>5;n021?6=3ty:<94?:cy>552=:==012`8241=::;21=>94=30b>4523489o7?<5:?16`<6;<16>>>512589755289<70<<4;302>{t98;1<7lt=032>72034;:<7?>1:?25`<69816=?o51038974?289=70<=a;302>;5:j0:?;5223g9561<5;9;6<=9;<006?74>279?94>349~w47a290iw0?>f;073>;6990:=k5210g954`<588j6l4>369>67e=9:=01?1=>94}r3`e?6=;rT:ol5210g95fg<588j64ee3ty:on4?:3y]5fe<588j64ec3ty:oh4?:3y]5fc<58;n64ea3ty:h=4?:3y]5a6<58;n6058a4>;6980i<63>1g8a4>{t:;=1<7{t:;h1<7{t:;l1<7{t::91<74623ty:=?4?:3y>547=j<16=v3>1g8a1>;6:h0:>=5r}r`3>5<5sWh;70?=c;`0?xu5:>0;6?uQ2358944d2;8<7p}=2883>7}Y:;301<7}Y:;n01<7}Y::;01<7}Y::?01<cc83>7}Y9jh01<ce83>7}Y9jn01<cg83>7}Y9jl01<6>:0yK5a77?t$57f>6=z,:>>6;h`6>5<<6=44ic294?=e?3:1?7>50z&000<6881C?:h4i`394?=nj<0;66a=4683>>{tj90;6?uQb19>3?g63ty9=<4?:3y>3?43?2T98:5rs331>5<5sWh>7095b49~yg?d290>6?4:{M1;5?7|@8n:7pB<8382!22m390q)=;5;3f7>oe=3:17b<;7;29?ld72900eo?50;9je=<722h<6=4::183!53=3;;>6F<7g9O7=7=9r.:8?4;5c9~md0=831bm54?::kb5?6=3`h>6=44o364>5<51;294~"4<<0:?>5G36d8k4552900q~l?:181[d734=1m<5rsc394?4|Vk;01:4n6:p647=838p1>6?:011?81=i11v??=:18181=:==0R?:8;|q156<72;qUn8527;`6?x{e90=1<7=52;6xH6>628qC=i?4}M1;6?7|,=?n6>5r$266>4c33`h>6=44o364>5<009K72`:::060?M50n2c:?94?::m150<722wxn=4?:3y]f5=:j=0:?95rs332>5<5s4=1m<52b58150=z{;;96=4={<59611<7p}=1283>7}Yj<16;7l:;|agd<72:0:6>uC39395~N6l81v@>6=:0y'00c=;2w/?9;51g38mg3=831d>9950;9jf5<722h<6=4<:183!53=3;;=6F<7g9je4<722ci97>5;n073?6=3tyi<7>52z\a4>;02h;0q~<>1;296~;02;><7S<;7:p644=838pRo;4=68a1>{zj:?>6=4<:080I5?93;pD;|N0<7<6s->>i7=4}%171?7a92ci97>5;n073?6=3`h;6=44b683>6<729q/?9;51138L61a3`k:6=44ic794?=h:==1<75rsc294?4|Vk:01:4n1:p647=838p1:4=469]6117>52z\a1>;02k?0qpl69;297?7=;rF84<4>{I3g5>{K;181=v*;5d80?x"4<<0:j<5fb483>>i5<>0;66gm0;29?g1=8391<7>t$266>4663A9<7p}=1383>7}Yj<16;7l:;|a6a?=8391=7=tL2:2>4}O9m;0qA=72;3x 13b2:1v(>:::0d2?ld22900c?:8:188mg6=831i;7>53;294~"4<<0:<<5G36d8md7=831bn84?::m102<722wxn=4?:3y]f5=:?3k:7p}=1083>7}:?38?;6P=469~w7752909wSl:;<59f0=zuki26=4<:387I5?93;pD;|N0<7<6s->>i7=4}%171?7a12ci97>5;n073?6=3`h;6=44b683>6<729q/?9;51138L61a3`k:6=44ic794?=h:==1<75rbc694?4=83:p(>:::060?M50n2c:?94?::m150<722wxn=4?:3y]f5=:j=0:?95rs332>5<5s4=1m<52b58150=z{;;96=4={<59611<7p}=1283>7}Yj<16;7l:;|a702=8391>7:tL2:2>4}O9m;0qA=72;3x 13b2:1v(>:::0d:?ld22900c?:8:188mg6=831i;7>53;294~"4<<0:<<5G36d8md7=831bn84?::m102<722win94?:383>5}#;=?1=9=4H25e?l74<3:17b<>5;29?xue83:1>vPm0:?a0?74<2wx>5:p644=838p1:4=469]61152z\a1>;02k?0qpl68;297?4={I3g5>{K;181=v*;5d80?x"4<<0:j45fb483>>i5<>0;66gm0;29?g1=8391<7>t$266>4663A9v38:`389g2=:8?0q~<>2;296~;02;><7S<;7:p645=838pRo;4=68a1>{zj;n36=4<:387I5?93;pD;|N0<7<6s->>i7=4}%171?7a12ci97>5;n073?6=3`h;6=44b683>6<729q/?9;51138L61a3`k:6=44ic794?=h:==1<75rbc694?4=83:p(>:::060?M50n2c:?94?::m150<722wxn=4?:3y]f5=:j=0:?95rs332>5<5s4=1m<52b58150=z{;;96=4={<59611<7p}=1283>7}Yj<16;7l:;|ab`<72:0969uC39395~N6l81v@>6=:0y'00c=;2w/?9;51g;8mg3=831d>9950;9jf5<722h<6=4<:183!53=3;;=6F<7g9je4<722ci97>5;n073?6=3thi87>52;294~"4<<0:8>5G36d8m4532900c??::188yvd72909wSl?;<`7>4533ty9=<4?:3y>3?g634h?6??:;|q157<72;q6;7<;7:\102=z{;;86=4={_`6?81=j<1vqohi:180>4<4sE93=7?tH0f2?xJ40;0:w)::e;18y!53=3;m=6gm5;29?j43?3:17dl?:188f2<72:0;6=u+3579557<@:=m7do>:188mg3=831d>9950;9~wg6=838pRo>4=68b5>{t:8;1<72;296~Xe=27<6o;4}|`f3?6=0381hvB<8082M7c92wG?5<58z&71`<43->>h7=l0:&0g6<3>91/88952558 1342k:0b9:i:09'00?=;=;0b9;>:09~ 62228n<7Wo?:0y02?{];:k1>v=7:2c9yl53:3:17dl?:188m6262900c?;<:18'66e=:<80b?=m:198k736290/>>m52408j75e2810c?:i:18'66e=:<80b?=m:398k72b290/>>m52408j75e2:10c?:7:18'66e=:<80b?=m:598f2<72m0=6huC39395~N6l81v@>6=:6y'00b=;j80(9;::c78 1302;><7)::3;`3?k23n3;0(9;6:262?k2293;0q)=;5;3f1>\f838p?;4<4;Y76g=:r936>?5}h176?6=3`h;6=44i262>5<5<#::i1>8<4n31a>4=m6=4+22a96045<#::i1>8<4n31a>6=36=4+22a9604oen3:1(?=l:b28j75e2810eoj50;&17f4n31a>6=h5;k0?76l8:186>6<0sE93=7?tH0f2?xJ40;02w)::d;1`6>"3=<0i96*;568102=#<<91n=5a45d95>"3=0088<5a44395>"3=h08895a44095>{#;=?1=h94ic294?=nj<0;66a=4683>>o4<=0;66g<4083>>d029086<4<{M1;5?7|@8n:7p*<4482`d=nj<0;66a=4683>>oe83:17o950;194?6|,:>>6<>>;I14b>of93:17dl::188k7202900q~l?:181[d734=1m<5rs332>5<5s4=1>994^364?xu59;0;6?uQb49>3?d23twi?l>50;194?6|,:>>6;<4H25e?l74=3:17d?<6;29?j46=3:17pl6<729q/?9;520:8L61a3`;897>5;h302?6=3f8:97>5;|qa4?6=:rTi<638:c28yvd22909wSl:;<1aa?74>2wx>9950;0xZ72034=1>994}r170?6=:rT889523cg956352z\004=:;h:1=>;4}r1a`?6=:r78m=4>379>7gc=:8?0q~=6f;296~;02k?01>o?:336?x{ei3:187<55zN0<4<6sA;o=6sC39093~"3=m08o?5+4479f0=#<<=1>994$570>g6m6<5+44;97174bb3`h;6=44ic794?=h:==1<75f35394?=e?3:1?7?53zN0<4<6sA;o=6s+35795ag5<7s-9?97??1:J03c=ni80;66gm5;29?j43?3:17p}m0;296~Xe827<6l?4}r025?6=:r7<6?:8;_073>{t:881<7o?:180>5<7s-9?978=;I14b>o6;<0;66g>3783>>i59<0;66s|b183>7}Yj916;7l?;|qa1?6=:rTi963<6=4={_073>;02;><7p}<4083>7}Y;=;01>o?:016?xu41o0;6?u27;`6?85f838:96srb0f94?2=:3?p@>6>:0yK5a779t$57g>6e53->>97l:;%663?43?2.?9>4m0:l70c<63->>57=;1:l714<63t.8884>dd9jf5<722ci97>5;n073?6=3`9?=7>5;c594?5=939p@>6>:0yK5a75;h`3>5<0;6>4?:1y'713=99;0D>9i;hc2>5<1U>994}r026?6=:rTi9638:c78yxd4i90;6>4?:1y'713=>;1C?:h4i016>5<5<994=68102=z{:>:6=4={_175>;4i90:?85rs2;e>5<5s4=1n8523`296437>54;091~J4080:wE?k1:O7=4=?r.?9i4:09~ 62228nn7dl?:188mg3=831d>9950;9j717=831i;7>53;397~J4080:wE?k1:'713=9mk0eo;50;9l611=831bn=4?::`4>5<4290;w)=;5;335>N4?o1bm<4?::ka1?6=3f8?;7>5;|qa4?6=:rTi<638:`38yv4693:1>v38:364?[43?2wx><<50;0xZg3<5>0i96srb2c3>5<4290;w)=;5;41?M50n2c:?84?::k273<722e9=84?::pf5<72;qUn=527;`3?xue=3:1>vPm5:?0e5<6;?1v?:8:181[43?27<6?:8;|q004<72;qU?9?4=2c3>4523ty85k4?:3y>3?d2349j<7<>5:~f73=83>1>7;tL2:2>4}O9m;0qA=72;5x 13c2:i97)::5;`6?!22?38?;6*;528a4>h3h3=80:7p*<4482``=nj90;66gm5;29?j43?3:17d=;1;29?g1=8391=7=tL2:2>4}O9m;0q)=;5;3ge>oe=3:17b<;7;29?ld72900n:4?:283>5}#;=?1==?4H25e?lg62900eo;50;9l611=831vo>50;0xZg6<5>0j=6s|20394?4|5>098:5Q2558yv46:3:1>vPm5:?4>g35}#;=?1:?5G36d8m4522900e<=9:188k7722900q~l?:181[d734=1n=5rsc794?4|Vk?01>o?:015?xu5<>0;6?uQ255892<5<>1v>:>:181[539278m=4>349~w6?a2909w095b49>7d6=:8?0qpl=5`83>6<729q/?9;520:8L61a3`;897>5;h302?6=3f8:97>5;|q007<72:qU?9<4=68001=::84}r`3>5<1sWh;7095b19>e?d734;o6o>4=309f5=::<0i<6s|35394?5|V:>:70953538973f289>7p}=5883>0}:i39?=63>d;175>;5:39?=63=5;175>;5=h09=85rs370>5<5sW8>?63=5;073>"4;j099?5a32`94>{t:<;1<7<7)=h4;k0:7p}=4g83>7}Y:=l01vP=4d9>e?43?2.8?n4=539m76d=;2wx>9650;0xZ72?34=1>994$21`>7353g98n7:4}ra2>5<5sWi:70<::c78 65d2j:0b>=m:19~wg`=838pRoh4=309f0=#;:i1o=5a32`95>{tjm0;6?uQbe9>5a52z\ag>;f2k?0(>=l:b28j65e2:1vo850;0xZg0<5>0i96*<3b8`4>h4;k0?7psm21194?g=03np@>6>:0yK5a77;t$57g>6dd3->>:7mi;%656?g<,=?36><:;%655?10897sU32c96~5>2:81qb=<1;29 75d2:9;7c<>m5d`9m66d=821bh44?:%00g?bf3g88n7?4;hf4>5<#::i1hl5a22`96>=nl?0;6)<>l54:9a65>=8391=7=tL2:2>4}O9m;0q)=;5;3ee>odl3:17dmj:188k6422900n>6m:180>6<2s-9?97=7b:k``?6=3`in6=44o206>5<>6;<4H25e?l74=3:17d?<6;29?j46=3:17pl<8`83>6<729q/?9;520:8L61a3`;897>5;h302?6=3f8:97>5;|q``?6=;rThh63<898273=:;131=>;4}raf>5<4sWin70=78;301>;4000:?;5rs206>5<5sW99963<8`8150=z{:2<6=4={<1;349~w6>?2909w0=79;021>;40h0:?;5r}rag>5<5sWio70=7b;ag?xudm3:1>vPle:?029086<4<{M1;5?7|@8n:7p*<4482bd=nkm0;66gle;29?j55=3:17o=7b;297?5==r.8884<8c9jga<722chi7>5;n111?6=3k9347>53;294~"4<<0=>6F<7g9j563=831b=>850;9l643=831vn>66:180>5<7s-9?978=;I14b>o6;<0;66g>3783>>i59<0;66sm39c94?5=83:p(>:::33;?M50n2c:?84?::k273<722e9=84?::pga<72:qUoi5239:9560<5:226<=:;|q`a?6=;rThi63<898270=:;131=>84}r111?6=:rT8>85239c964352z?0<=<59<16?5o51278yv5?03:1>v3<888150=:;1k1=>84}|q``?6=:rThh63<8c8``>{tkl0;6?uQcd9>7=d=kl1v><::181[55=2784o4<249~yg47i3:1?7?53zN0<4<6sA;o=6s+35795cg>i4:<0;66l<8c83>6<425}#;=?1:?5G36d8m4522900e<=9:188k7722900qo=79;297?6=8r.888492:J03c=n9:?1<75f12494?=h:8?1<75rb2:b>5<4290;w)=;5;02<>N4?o1b=>;50;9j560=831d><;50;9~wfb=839pRnj4=2:;>451349357?<5:pg`<72:qUoh5239:9563<5:226<=9;|q060<72;qU??;4=2:b>7723ty84:4?:3y>7=>=:8?01>6n:016?xu4010;6?u239;9643<5:2j6<=9;|pga<72;qUoi5239`9ga=z{jo1<7e2jo0q~==5;296~X4:<16?5l53378yxd58k0;6>4>:2yO7=7=9rB:h<5r$266>4`f3`io6=44ibg94?=h;;?1<75m39`94?5=;3?p(>:::2:a?lec2900enk50;9l773=831i?5650;194?6|,:>>6;<4H25e?l74=3:17d?<6;29?j46=3:17pl<8883>6<729q/?9;5639K72`6=44i015>5<6=44}c1;e?6=;3:1l0e<=::188m4512900c??::188yvec2908wSmk;<1;278444>349~wfc=839pRnk4=2:;>452349357?<6:p773=838pR><:;<1;e?46=2wx?5950;0x96>?2;;>70=7a;301>{t;121<77723493m7?<6:~wfb=838pRnj4=2:a>fb7}Y;;?01>6m:206?x{e;h:1<7=50;2x 6222?;0D>9i;h301?6=3`;8:7>5;n021?6=3th8m?4?:283>5}#;=?1:<5G36d8m4522900e<=9:188k7722900qo=n4;297?6=8r.888491:J03c=n9:?1<75f12494?=h:8?1<75rb214>5<5290;w)=;5;377>N4?o1b=>:50;9l643=831v>7i:18084713io70=n0;021>;4i;0:?;5rs2c2>5<4s48;m7mk;<1b6?46=278m94>379~w6g42909w0"4;j08?=5a32`94>{t;;l1<7"4;j08?=5a32`96>{t;;i1<7"4;j08?=5a32`90>{tlk0;6?uQdc9>65d=kl1/?>m5d`9m76d=82wxh44?:2y]`<=::9k1oh523`69563<,:9h6io4n21a>4=z{m=1<7=t^e58976>2jo01>o=:016?!54k3nj7c=;4i90:?;523259562<,:9h6io4n21a>1=zuz9?>7>52z\007=:?39?>6s|b183>7}Yj916;7l?;|q004<72;qU?9?4=68004=z{;?86=4<{_067>;02;?870>6`<3c83?xu5=80;6>uQ243892<5=816>==5d89'76e=:<80b>=m:09~w72a2908wS<;f:?4>72a348;?7j8;%10g?42:2d8?o4=;|q10`<72:qU>9k4=6810`=::991h;5+32a96045rs36;>5<4sW8?4638:36;?847;3n;7)=h4;k0?7p}<9883>7}:?3i:70"4;j08555a32`94>{t;0=1<7;58:08>k5+32a97<>5<5s4=1ni52211977b<,:9h6>77;o10f?452z?4>ge<5;:86>02d8?o4<;|q0<`<72;q6;7l9;<037?55>2.8?n4<999m76d=<2wvnk650;195?5|D:2:650;9a3?6=;3:1l0@>6>:0y'514=<:188mg3=831d>9950;9~wg6=838pRo>4=68b5>{t:8;1<72;296~Xe=27<6o;4}|`77a<72<086;uC39395~N6l81v@>6=:`y'00c=;2.?9i450:&71<<4<81e88?51:'713=9l30eo>50;9jf4<722ci97>5;n073?6=3`9?=7>5;c594?3=:3?p@>6>:0yK5a75;h`3>5<>d0290>6=4?{%171?77:2B8;k5fa783>>of03:17do>:188mg3=831d>9950;9~f6>7290:6=4?{%171?74;2B8;k5`12094?=z{k:1<77}:;1:1=><4=68b<>{t:881<73;296~Xe=27<6o;4}|`0e5<72;0;6=u+3579515<@:=m7d?<4;29?j46=3:17pl6<729q/?9;520;8L61a3`;897>5;h302?6=3f8:97>5;|qa4?6=:rTi<638:c28yvd62909wSl>;<1a=?74=2wx>9950;0xZ72034=1>994}r175?6=;rT88<523`29562<5:h26<=9;|q0=c<72;q6;7l:;<1b4?46=2wx?o650;0x92>oe83:17o950;194?6|,:>>6<>>;I14b>of93:17dl::188k7202900q~l?:181[d734=1m<5rs332>5<5s4=1>994^364?xu59;0;6?uQb49>3?d23twi954?:282>6}K;1;1=vF>d09~H6>528q/88k53:'713=9o;0eo;50;9l611=831bn=4?::`4>5<4290;w)=;5;335>N4?o1bm<4?::ka1?6=3f8?;7>5;|qa4?6=:rTi<638:`38yv4693:1>v38:364?[43?2wx><<50;0xZg3<5>0i96srb073>5<42808wA=71;3xL4b63tF84?4>{%66a?55;h`3>5<0;6>4?:1y'713=99;0D>9i;hc2>5<1U>994}r026?6=:rTi9638:c78yxd4n:0;6>4=:5yO7=7=9rB:h<5rL2:1>4}#<5<7s-9?97??1:J03c=ni80;66gm5;29?j43?3:17plm4;296?6=8r.8884>429K72`5<7}:?3k:70l;:336?xu59;0;6?u27;073>X5<>1v??<:181[d234=1n85r}cg2>5<42808wA=71;3xL4b63tF84?4>{%66a?55;h`3>5<0;6>4?:1y'713=99;0D>9i;M1;5?7|,8>969;m;|kb5?6=3`h>6=44o364>5<:18181=:==0R?:8;|q157<72;qUn8527;`6?x{e;m?1<7=52;6xH6>628qC=i?4}M1;6?7|,=?n6>5r$266>4`>3`h>6=44o364>5<009K72`>i5<>0;66smb583>7<729q/?9;51518L61a3`;887>5;n021?6=3tyi<7>52z\a4>;e<3;886s|20394?4|5>0j=63m4;021>{t:881<73;296~Xe=27<6o;4}|`0ba<72:0969uC39395~N6l81v@>6=:0y'00c=;2w/?9;51g;8mg3=831d>9950;9jf5<722h<6=4<:183!53=3;;=6F<7g9je4<722ci97>5;n073?6=3thi87>52;294~"4<<0:8>5G36d8m4532900c??::188yvd72909wSl?;<`7>4533ty9=<4?:3y>3?g634h?6??:;|q157<72;q6;7<;7:\102=z{;;86=4={_`6?81=j<1vqo:<0;297?4={I3g5>{K;181=v*;5d80?x"4<<0:j45fb483>>i5<>0;66gm0;29?g1=8391<7>t$266>4663A9v38:`389g2=:8?0q~<>2;296~;02;><7S<;7:p645=838pRo;4=68a1>{zj8>h6=46:28a!53=3;?o6a>4683>>o6j=0;66g>9683>>o6j<0;66g>b783>>o6j>0;66g>b983>>o6j00;66g>4483>>d64?:1y'713=>81C?:h4i016>5<5<53;294~"4<<0=>6F<7g9j563=831b=>850;9l643=831vn<:n:184>5<7s-9?97<>f:J03c=n9:?1<75f12494?=n9:=1<75f12:94?=n9:31<75f12c94?=h:8?1<75rs064>5<5sW;?;63>4`8150=z{8h?6=4={_3a0>;65<5sW;2;63>4c8273=z{8h>6=4={_3a1>;65<5sW;i:63>4`8272=z{8h<6=4={_3a3>;65<5sW;i463>4`827<=z{8h26=4={_3a=>;6<00:?;5rs066>5<5sW;?963>488270=z{8>36=4={<37f?46=27:8l4>349~w42>2909w0?;9;021>;65t$266>37<@:=m7d?<5;29?l74>3:17b<>5;29?xd2l3:1;7>50z&000<59o1C?:h4i016>5<5<5<5<7p}>b583>7}Y9k>018k51278yv7>?3:1>vP>969>1`<6;?1vh7?<6:p5g0=838pR4503ty:n:4?:3y]5g1<564}r3a;2l3;8m6s|5b83>7}:=l09=8525e8270=zuk;=>7>5a;c956}#;=?1=;<4o072>5<5<5<5<>6=44i2fg>5<5<4290;w)=;5;42?M50n2c:?84?::k273<722e9=84?::a502=8391<7>t$266>37<@:=m7d?<5;29?l74>3:17b<>5;29?xd6=?0;6>4?:1y'713=>81C?:h4i016>5<5<47>53;294~"4<<0==6F<7g9j563=831b=>850;9l643=831vn<;n:180>5<7s-9?978=;I14b>o6;<0;66g>3783>>i59<0;66sm14a94?5=83:p(>:::708L61a3`;897>5;h302?6=3f8:97>5;|`21a<72:0;6=u+357964><@:=m7d?<5;29?l74>3:17b<>5;29?xd6=l0;6>4?:1y'713=>81C?:h4i016>5<5<53;294~"4<<0=>6F<7g9j563=831b=>850;9l643=831vn<8>:184>5<7s-9?97<>f:J03c=n9:?1<75f12494?=n9:=1<75f12:94?=n9:31<75f12c94?=h:8?1<75rs072>5<5sW;>=63>608150=z{8h?6=4={_3a0>;6=:0:?85rs073>5<0sW;><63>528273=:9<>1=>84=075>45134;>47?<6:?21f<6;?16=;>51248yv7e>3:1>vP>b79>502=9:?0q~?m7;296~X6j>16=8851278yv7e03:1>vP>b99>50>=9:?0q~?m9;296~X6j016=8k51278yv73=3:1?vP>449>50g=9:<01<;l:016?xu4lm0;6?uQ3ef8943f289>7p}>6283>7}Y9?901<8?:016?xu6=;0;6?u21419643<58<:6<=:;|q211<72;q6=8:520789406289=7p}>5483>7}:9<<1><;4=042>4503ty:9:4?:3y>50>=:8?01<8>:01;?xu6=00;6?u214c9643<58?o6<=:;|q21g<72;q6=8m52078943c289=7p}=1083>7}:9<;4=07f>4513ty9=?4?:3y>50c=:8?01<8>:01:?xu6=o0;6?u21729643<58<:6<=n;|a7cd=8381<7>t$266>4243A93583>>i59<0;66sm2e594?5=83:p(>:::738L61a3`;897>5;h302?6=3f8:97>5;|`016<72:0;6=u+357924=O;>l0e<=::188m4512900c??::188yg?029086=4?{%171?063A93483>>o6;?0;66a=1483>>{ek10;6>4?:1y'713=>81C?:h4i016>5<5<5}#;=?1:?5G36d8m4522900e<=9:188k7722900qohn:180>5<7s-9?978=;I14b>o6;<0;66g>3783>>i59<0;66sm45094?2=83:p(>:::768L61a3`;897>5;h302?6=3`;8;7>5;n021?6=3th8j84?:283>5}#;=?1><64H25e?l74=3:17d?<6;29?j46=3:17plj3;297?6=8r.888491:J03c=n9:?1<75f12494?=h:8?1<75rbg194?0=83:p(>:::638L61a3`;897>5;h302?6=3`;8;7>5;h305;n021?6=3thm97>56;294~"4<<0=i6F<7g9j563=831b=>850;9j561=831b=>650;9j56?=831d><;50;9~fce=83<1<7>t$266>26<@:=m7d?<5;29?l74>3:17d?<7;29?l7403:17d?<9;29?j46=3:17pl;4583>3<729q/?9;56d9K72`6=44i015>5<5<5<53;294~"4<<0==6F<7g9j563=831b=>850;9l643=831vnk950;194?6|,:>>6??7;I14b>o6;<0;66g>3783>>i59<0;66sm15g94?3=83:p(>:::33g?M50n2c:?84?::k273<722c:?:4?::k27=<722e9=84?::a510=8391<7>t$266>37<@:=m7d?<5;29?l74>3:17b<>5;29?xd6>=0;6:4?:1y'713=:8l0D>9i;h301?6=3`;8:7>5;h303?6=3`;847>5;h30=?6=3`;8m7>5;n021?6=3thn97>57;294~"4<<09=k5G36d8m4522900e<=9:188m4502900e<=7:188m45>2900e<=n:188k7722900qo=k9;292?6=8r.8884=1d9K72`6=44i015>5<5<5<;57>53;294~"4<<09=55G36d8m4522900e<=9:188k7722900qo:?3;297?6=8r.888492:J03c=n9:?1<75f12494?=h:8?1<75rb52a>5<2290;w)=;5;02`>N4?o1b=>;50;9j560=831b=>950;9j56>=831d><;50;9~f16229086=4?{%171?063A93483>>o6;?0;66a=1483>>{e<:<1<7=50;2x 6222?;0D>9i;h301?6=3`;8:7>5;n021?6=3th2i7>52;294~"4<<0:8>5G36d8m4532900c??::188yged29096=4?{%171?73;2B8;k5f12694?=h:8?1<75rb274>5<5290;w)=;5;377>N4?o1b=>:50;9l643=831vn4l50;094?6|,:>>6<:<;I14b>o6;=0;66a=1483>>{e:mh1<7<50;2x 62228>87E=8f:k271<722e9=84?::a010=8381<7>t$266>4243A93583>>i59<0;66sm3e594?4=83:p(>:::060?M50n2c:?94?::m150<722wi8=950;094?6|,:>>6<:<;I14b>o6;=0;66a=1483>>{e<:21<7<50;2x 62228>87E=8f:k271<722e9=84?::p506=839pR<;?;<364?43?27::?4>519~w1202909wS:;7:?012<6;=1v>j::181[5c=278h84=469~w6`72909wS=i0:?`g?74<2wx=n850;1xZ4e134o86<=:;<637?74=2wx=9:50;1xZ42334;?87<;7:?707<6;?1v>k?:181[5b8272i7?<4:pa3<72;qUi;52fd8a1>{t<;:1<74=0;4>g6<5jk1n=523479f5=:100i<63=d88a4>;d13h;70=:4;`3?8??2k:01?j7:c289c`=j916i:4m0:?e8h7l?;<370?d734?36o>4=073>g6<5:l86o>4=d39f5=:;m?1n=523gf9f5=:<::1n=5rs2dg>5<5sW9mh63;38<0:?85rs8294?4|V0:01>hn:016?xu3;90;6?uQ422891572;><7p}7}Y;m>01>jn:c78yv7d=3:1>vP>c49>060=9:?0q~=kd;297~X4lm16=;<53ef890q~=i3;296~X4n:16?k=52558yv5a03:1>vP7cd=:8?0q~;7:181[3?34?36?:8;|q77a<72:qU8>j4=51g>72034lj6<=:;|qef?6=:r7mm7?<6:?eg?46=2wx5n4?:3y>5g5=9ji014m52558yvc32909w0k<:015?8c22;;>7p}l7;297~;6j:0:oi52c98150=:9=o1=>;4}rg2>5<5s4o:6?:8;<1ee?74>2wx8>;50;0x91512;;>70:<8;300>{tnl0;6>u2fd8102=:no0i963i1;301>{t;<>1<7720349>?7?<6:p51b=838p1<:j:336?873>3;8:6s|9783>6}:9k91=nh4=859643<58>n6<=8;|qe=?6=:r7m47l:;7723ty8984?:3y>703=:==01>;<:016?xudj3:1?v3la;`6?8e>2k?01nm52078yvcb2909w0k8:262?8ca2;;>7p}i0;297~;b?39?>63jf;301>;a938:96s|f783>7}:mo0:?;52f68150=z{:n36=4={<1g=?46=278h:4>359~w<>=838p146525589<1=9:<0q~:;1;296~;3;m088<52450964372034l:6<=9;|q747<72;q68==52078916e289>7p};0583>7}:<9?1><;4=524>4533tyh57>52z?`=?43?27h47?<6:p=<<72;q6544=469>=2<6;<1v>h;:18185a;3h>70=i5;021>{tn10;6>u21c195fc<5o21>994=06f>4513ty:5:4?:5y>5g5=9jh01<78:364?873k3;2;63:f;3:3>{tm;0;6?u2e08a1>;b;38:96s|c`83>7}:kh098:52c98270=z{8?:6=4={<364?d234;=>7?:1:p6a>=838p1?j7:364?84c?3;8:6s|3g;94?4|5:li6<=;;<1ee?46=2wx?io50;0x96bf2;><707l:c38yv52>3:1?v3<548a1>;4==0i963<568150=z{8><6=4={<370?d234;?o7?;7:p6a?=838p1?j6:364?84c?3;896s|34094?4|5:?86??:;<676?74?2wx9o4?:3y>1=j7;m;|qe6?6=;r7m?7<>5:?e3?74=27?8;4>359~wc2=838p1k;520789c1=9:<0q~?m4;291~;6j:09>:5215a95g2<54d334;=87?<5:p5g3=83=p1;6>=0:?;52e48270=:;m31=>;4=52:>4523ty:n;4?:9y>5g5=:;h01<:l:0`5?83a28h=70?92;3a2>;6>=0:?:52e48273=:;m31=>84=52:>4513ty:n:4?:9y>5g5=:;n01<:l:0`4?83a28h<70?92;3a3>;6>=0:?552e48272=:;m31=>94=52a>4513ty:n54?:8y>5g5=:;l01<:l:0`;?83a28h370?92;3a<>;4n<0:?852176956?<5l?1=>64=2f:>45?34>;n7?<7:p5g?=833p1;6>;0:n4523g79560<5845>349o57?<9:?74g<6;11v{t;m<1<7g3<5:n<6??:;|q772<72;q68>>5b49>06>=:8?0q~7k:1818?d2k?014k52078yv4ci3:1?v3=d88a1>;5l10i963=dc8150=z{0k1<7=t=8;9f0=:110i9636b;021>{t<=?1<7g7<5=>=6??:;|q74=<72;q68=7520789164289=7p};0`83>6}:<9h1><;4=526>45134>8:7?<6:p050=838p1>hk:c7891602;;>7p}=d783>6}:9k91=i>4=3f4>77234;?i7?<8:p513=839p1<:l:066?871:3;?963>478150=z{8<86=4={<356?71;27::94=149~w1242909w0:;2;301>;3<=09=85rsdf94?3|5l=1>8=4=g1956?<5o?1=>74=ga956?<5=>?6<=:;%10g?cd3g98n7>4}rga>5<2s4o<6?;>;45?34l>6<=:;45?34>?87?<6:&07f;|qfe?6==r7n;7<;f:?e7?74?27m97?<6:?eg?74?27?894>389'76e=mj1e?>l52:pa<<72b6<6;?16j84>369>bf<6;?1689:51258 65d2li0b>=m:29~w`>=83?p1h9525:89c5=9:?01k;512:89ce=9:?019:;:01;?!54k3oh7c=c;07I5?93;pD;|N0<7<5sg>>j79m;%66a?04}5;3wQ?>o52z36>7c=ufk86=44o23f>5<1<75`31`94?=h;l81<75fe783>>i4lk0;66g>of=3:17b?<1;29?j25;3:17b7?:188m627290/>>m532d8j75e2910e>=j:18'66e=;:l0b?=m:098m65c290/>>m532d8j75e2;10n>6<:182>5<7s-9?97=:0:J03c=h::21<75rb31g>5<0280{%66a?65;n01=?6=3f89n7>5;n01`?6=3`;hm7>5;h3`f?6=3k;9o7>57;59e~J4080:wE?k1:'713=::n0e?750;9l67d=831d>?j50;9a552=8391>7:tL2:2>4}O9m;0q)=;5;337>oe=3:17b<;7;29?ld72900n:4?:283>5}#;=?1==?4H25e?I5?93;p(<:=:57a?xof93:17dl::188k7202900qol;:181>5<7s-9?97?;3:J03c=n9:>1<75`20794?=z{k:1<70q~<>1;296~;02h;01o:52078yv46:3:1>v38:364?[43?2wx><=50;0xZg3<5>0i96srb032>5<42;0?wA=71;3xL4b63t.8884>029jf0<722e98:4?::ka4?6=3k=1<7=50;2x 62228::7E=8f:N0<4<6s-;?>7::b:je4<722ci97>5;n073?6=3thi87>52;294~"4<<0:8>5G36d8m4532900c??::188yvd72909wSl?;<`7>4533ty9=<4?:3y>3?g634h?6??:;|q157<72;q6;7<;7:\102=z{;;86=4={_`6?81=j<1vqo?>0;291?4=>r.8884>119j552=831b=no50;9j5fd=831b=>6;;4H25e?l74=3:17d?<6;29?l74?3:17b<>5;29?xd68>0;6>4?:1y'713=:820D>9i;h301?6=3`;8:7>5;n021?6=3ty:<94?:3y]552<58:<6<=:;|q2gd<72;qU=no4=02;>4503ty:oo4?:3y]5fd<58:36<=:;|q254<72;qU=4513ty:<84?:3y]553<58:<6??:;|q243<72;q6==6520789460289=7psm10g94?2=;3:::03f?l7di3:17d?>1;29?l77<3:17b?>2;29?g76?3:1?7>50z&000<1:2B8;k5f12794?=n9:<1<75`20794?=zj8;?6=4<:183!53=3<97E=8f:k270<722c:?;4?::m150<722wi=<850;194?6|,:>>6??7;I14b>o6;<0;66g>3783>>i59<0;66s|1bc94?5|V8ij70?>7;301>;69=0:?;5rs032>5<5sW;:=63>168273=z{8:?6=4={_330>;69=0:?85rs031>5<5sW;:>63>178150=z{8;86=4={<323?46=27:=;4>349~w4732909w0?>4;021>;69?0:?;5r}c01N4?o1b=>;50;9j560=831d><;50;9~f74f29086=4?{%171?053A93483>>o6;?0;66a=1483>>{e:;o1<7=50;2x 6222?80D>9i;h301?6=3`;8:7>5;n021?6=3ty:<94?:7y>552=:==01;4=30f>4513ty:=<4?:7y>547=:==0184=30f>4523ty:ol4?:2y]5fg<58;;6521169f5=:98;1n=5rs304>5<5sW89;63=298150=z{;826=4={_01=>;5:h09=85rs30g>5<5sW89h63=2d8150=z{8:>6=4={<330?d234;:<7??5:p544=838p1:c78947b28;97ps|b183>7}Yj916=?m5b29~w7402909wS<=7:?26f<5:>1v?<6:181[45127:>n4=289~w74e2909wS<=b:?26f<5:k1v?n4=2e9~w4ef2909wS?la:?26f<6kh1vn4>cc9~yg53k3:1?7?53zN0<4<6sA;o=6sC39095~"3=l0;7p*<4482b4=nj<0;66a=4683>>oe83:17o950;194?6|,:>>6<>>;I14b>of93:17dl::188k7202900q~l?:181[d734=1m<5rs332>5<5s4=1>994^364?xu59;0;6?uQb49>3?d23twi?9850;496?g|D:2:6m<:543?!22?38?;6*;528a4>h3h3=80:7p*<4482`==]i90:w?o5}[10e?4|:j09j7sfb183>>o4<80;66a=5083>!44k38><6`=3c83?>i5h5;k0:76a=4d83>!44k38><6`=3c81?>i5<10;6)<h5;k0876l8:18b>1"3=<0i96*;568102=#<<91n=5a45d95>"3=0088<5a44395>{#;=?1=hl4Z`296~4f2;21qW=3wbn=4?::k004<722e99<4?:%00g?4282d9?o4?;:m10c<72-88o7<:0:l17g<632e98h4?:%00g?4282d9?o4=;:m10=<72-88o7<:0:l17g<432cij7>5$31`>gcoek3:1(?=l:cg8j75e2;10eo850;&17f5<32;0>wA=71;3xL4b63tF84?48{%66`?5d:2.?984m5:&712<5<>1/88=5b19m01`=92.?944<409m007=92w/?9;51da8mg6=831bn84?::m102<722c88<4?::`4>5<42808wA=71;3xL4b63t.8884>d`9jf0<722e98:4?::ka4?6=3k=1<7=50;2x 62228::7E=8f:N0<4<6s-;?>7::b:je4<722ci97>5;n073?6=3tyi<7>52z\a4>;02h;0q~<>1;296~;02;><7S<;7:p644=838pRo;4=68a1>{zj:k;6=4<:183!53=3<97E=8f:k270<722c:?;4?::m150<722wxn=4?:3y]f5=:?3h;7p}m5;296~Xe=278m=4>379~w7202909wS<;7:?4>7203ty88<4?:3y]717<5:k;6<=:;|q0=c<72;q6;7l:;<1b4?46=2wvnl4?:581>0}K;1;1=vF>d09~H6>52>q/88j53b08 1322k?0(9;8:364?!22;3h;7c:;f;38 13>2:>:7c::1;38y!53=3;nh6gm0;29?ld22900c?:8:188m6262900n:4?:282>6}K;1;1=vF>d09~ 62228nj7dl::188k7202900eo>50;9a3?6=;3:1l0@>6>:0y'514=<:188mg3=831d>9950;9~wg6=838pRo>4=68b5>{t:8;1<72;296~Xe=27<6o;4}|`0e5<72:0;6=u+357927=O;>l0e<=::188m4512900c??::188yvd72909wSl?;<59f5=z{k?1<77}Y:==01:4=469~w6262909wS=;1:?0e5<6;<1v>7i:18181=j<16?l>52078yxd6l3:187<55zN0<4<6sA;o=6sC39093~"3=m08o?5+4479f0=#<<=1>994$570>g6m6<5+44;97174cb3`h;6=44ic794?=h:==1<75f35394?=e?3:1?7?53zN0<4<6sA;o=6s+35795ag5<7s-9?97??1:J03c=K;1;1=v*>43871g=zah;1<75fb483>>i5<>0;66s|b183>7}Yj916;7o>;|q154<72;q6;7<;7:\102=z{;;96=4={_`6?81=j<1vqo=n0;297?6=8r.888492:J03c=n9:?1<75f12494?=h:8?1<75rsc294?4|Vk:01:4m0:pf0<72;qUn8523`2956052z\102=:?38?;6s|35394?4|V:>:70=n0;301>{t;0l1<7;4i909=85r}c01>5<32;0>wA=71;3xL4b63tF84?48{%66`?5d:2.?984m5:&712<5<>1/88=5b19m01`=92.?944<409m007=92w/?9;51dd8mg6=831bn84?::m102<722c88<4?::`4>5<42808wA=71;3xL4b63t.8884>d`9jf0<722e98:4?::ka4?6=3k=1<7=50;2x 62228::7E=8f:N0<4<6s-;?>7::b:je4<722ci97>5;n073?6=3tyi<7>52z\a4>;02h;0q~<>1;296~;02;><7S<;7:p644=838pRo;4=68a1>{zj:k;6=4<:183!53=3<97E=8f:k270<722c:?;4?::m150<722wxn=4?:3y]f5=:?3h;7p}m5;296~Xe=278m=4>379~w7202909wS<;7:?4>7203ty88<4?:3y]717<5:k;6<=:;|q0=c<72;q6;7l:;<1b4?46=2wvo>50;7xZg6<5>0i<63n:c2894b=j916>?4m0:p717=83?pR>:>;<59717<5h088<521e8004=::;088<5rs372>5<5sW8>=63=2;073>"4;j099=5a32`94>{t:=l1<7<7)=h4;k0:7p}=4d83>7}Y:=o01l4=469'76e=:<:0b>=m:39~w72?2909wS<;8:?4>7203-98o7<:0:l07g<43tyij7>52z\ab>;5:3h>7)=gc=m:29~yg47;3:14785azN0<4<6sA;o=6sC39091~"3=m08nn5+4449gc=#vi4=7;l77`=83.9?n4<2d9m66d=821d??j50;&17f<4:l1e>>l51:9l77e=83.9?n4<2d9m66d=:21d??850;&17f<4:l1e>>l53:9j`<<72-88o7j7;o00f?6<3`n<6=4+22a9`==i::h1=65fd783>!44k3n37c<>m5d99m66d=;21i>=650;195?5|D:2:6<::188f6>e29086>4:{%171?5?j2chh7>5;haf>5<6=44b2:;>5<4290;w)=;5;41?M50n2c:?84?::k273<722e9=84?::a7=?=8391<7>t$266>34<@:=m7d?<5;29?l74>3:17b<>5;29?xd40h0;6>4?:1y'713=:820D>9i;h301?6=3`;8:7>5;n021?6=3tyhh7>53z\``>;4010:?;5239;95636=4={_111>;40h09=85rs2:4>5<5s49347<>5:?067:18185?138:963<8`8273=zuzio6=4={_ag?85?j3io7p}le;296~Xdm2784o4le:p773=838pR><:;<1;f?55=2wvn?>6:180>4<4sE93=7?tH0f2?x"4<<0:jl5fce83>>odm3:17b==5;29?g5?j3:1?7=55z&000<40k1boi4?::k`a?6=3f9997>5;c1;N4?o1b=>;50;9j560=831d><;50;9~f6>>29086=4?{%171?053A93483>>o6;?0;66a=1483>>{e;1k1<7=50;2x 6222;;37E=8f:k270<722c:?;4?::m150<722wxoi4?:2y]ga=:;121=>84=2::>4523tyhi7>53z\`a>;4010:?85239;956052z\060=:;1k1><;4}r1;3?6=:r78454=149>7=g=9:?0q~=78;296~;40009=85239c956052z\``>;40k0hh6s|cd83>7}Ykl16?5l5cd9~w6422909wS==5:?0{I3g5>{#;=?1=ko4ibf94?=nkl0;66a<2483>>d40k0;6>4<:4y'713=;1h0enj50;9jg`<722e8>84?::`0<=<72:0;6=u+357927=O;>l0e<=::188m4512900c??::188yg5?13:1?7>50z&000<1:2B8;k5f12794?=n9:<1<75`20794?=zj:2j6=4<:183!53=38:46F<7g9j563=831b=>850;9l643=831vnj50;1xZfb<5:236<=9;<1;=?74=2wxoh4?:2y]g`=:;121=>;4=2::>4513ty8>84?:3y]773<5:2j6??:;|q0<2<72;q6?565207896>f289>7p}<8983>7}:;131><;4=2:b>4513twxoi4?:3y]ga=:;1h1oi5rsbg94?4|Vjo01>6m:bg8yv55=3:1>vP<249>7=d=;;?0qpl6<729q/?9;5609K72`6=44i015>5<6=44}c1b6?6=;3:1N4?o1b=>;50;9j560=831d><;50;9~f65029096=4?{%171?73;2B8;k5f12694?=h:8?1<75rs2;e>5<4s48;57mk;<1b4?46=278m?4>379~w6g62909w0"4;j08>h5a32`94>{t;;n1<7"4;j08>h5a32`96>{t;;<1<77}Yl016>=o5cd9'76e=l11e?>l50:p`2<72:qUh:5221;9g`=:;h81=>;4$21`>a>7:bg896g7289>7)=278?:4>359'76e=l11e?>l53:~wg6=838pRo>4=68a4>{t;=;1<79h50;1xZ72a34=1>9h4=320>a1<,:9h6?;?;o10f?753z\10`=:?38?i63=028g2>"4;j099=5a32`96>{t:=21<7=t^36;?81=:=201?><:e28 65d2;?;7c=?3:1>v38:cd897642:8m7)=h4;k0;7p}<9483>7}:?3ho70"4;j085;5a32`95>{t;0>1<7;58:08>n5+32a97<05<5s4=1n;522119770<,:9h6>79;o10f?56}K;1;1=vF>d09~H6>528q/88k50:'713=9o;0eo;50;9l611=831bn=4?::`4>5<4290;w)=;5;335>N4?o1bm<4?::ka1?6=3f8?;7>5;|qa4?6=:rTi<638:`38yv4693:1>v38:364?[43?2wx><<50;0xZg3<5>0i96srb23f>5<42808wA=71;3xL4b63tF84?4>{%66a?65;h`3>5<0;6>4?:1y'713=99;0D>9i;hc2>5<1U>994}r026?6=:rTi9638:c78yxd6nk0;6;|N0<7>i7>4$57g>6e73-9h?7:90:&712<5<>1/88=5b19m01`=82.?994m1:l715<63->>57=;1:l714<63t.8884>d89Ye5<6s8<1qW=5;n07`?6=,;9h6?:l;o00f?6<3f8?n7>5$31`>72d3g88n7?4;n07e?6=,;9h6?:l;o00f?4<3f8?57>5$31`>72d3g88n7=4;n06;7>5$31`>72d3g88n7;4;n062?6=,;9h6?:l;o00f?0<3f8>97>5$31`>72d3g88n794;n060?6=,;9h6?:l;o00f?><3f8>?7>5$31`>72d3g88n774;n065?6=,;9h6?:l;o00f?g<3f8?j7>5$31`>72d3g88n7l4;n07a?6=,;9h6?:l;o00f?e<3f8?47>5$31`>72d3g88n7j4;c594?7a2l0:jvB<8082M7c92wG?5<59z&71a<4k;1/88;5b49'001=:==0(9;<:c28j12a291/88:5b09m006=92.?944<409m007=92w/?9;51g28^d6=:r;=6<=5}[10e?4|910:=7sfb183>>oe93:17d=;1;29?j43l3:1(?=l:36`?k44j3:07b<;b;29 75d2;>h7c<h7c<07b<:7;29 75d2;>h7c<3:1(?=l:36`?k44j3<07b<:5;29 75d2;>h7c<h7c<h7c<h7c<>m5b`9m66d=821bn44?:%00g?df3g88n7?4;h`;>5<#::i1nl5a22`96>=nj>0;6)<>l54:9jg0<72-88o7ln;o00f?3<3`i?6=4+22a9fd=i::h1:65fc283>!44k3hj7c<>m5b`9m66d=021bo<4?:%00g?df3g88n774;h`e>5<#::i1nl5a22`9e>=njm0;6)<>l5c:9jf3<72-88o7ln;o00f?b<3k=1<7;54;:xH6>628qC=i?4}M1;6??|,=?o6>m=;%661?d23->>;7<;7:&716><7?4$57:>6263g>>=7?4}%171?7a:2ci<7>5;h`2>5<{I3g5>{#;=?1=io4ic794?=h:==1<75fb183>>oe93:17do7:188f2<72<0;6=u+3579554<@:=m7do9:188md>=831bm<4?::ka1?6=3f8?;7>5;|`0<5<7280;6=u+3579565<@:=m7b?<2;29?xue83:1>vPm0:?4>d7:18185?83;8>638:`:8yv46:3:1>v38:364?[43?2wx><=50;0xZg3<5>0i96srb2c3>5<4290;w)=;5;41?M50n2c:?84?::k273<722e9=84?::a7g?=8391<7>t$266>77?3A93483>>o6;?0;66a=1483>>{e13:1>7>50z&000<6<:1C?:h4i017>5<6=44}r`3>5<5sWh;70751268yvd62909wSl>;<1a=?74>2wxn84?:3y]f0=:;h:1=>84}r073?6=:rT98:527;073>{t;=;1<7=t^262?85f83;8963o?:336?xu4j10;6?u27;`2?85e138:96srb`83>0<321qG?5?51zJ2`4=zD:2964u+44f97f4<,=?>6o;4$574>7203->>?7l?;o67b?6<,=??6o?4n573>4=#<<31?9?4n572>4=z,:>>65<>i5<>0;66g<4083>>d0290>6?4:{M1;5?7|@8n:7p*<4482`d=nj<0;66a=4683>>oe83:17dl>:188md>=831i;7>55;294~"4<<0:6=44o364>5<51;294~"4<<0:?>5G36d8k4552900q~l?:181[d734=1m<5rsc394?4|Vk;01:4n6:p647=838p1>6?:011?81=i11v??=:18181=:==0R?:8;|q156<72;qUn8527;`6?x{e;h:1<7=50;2x 6222?80D>9i;h301?6=3`;8:7>5;n021?6=3th8n44?:283>5}#;=?1><64H25e?l74=3:17d?<6;29?j46=3:17pl6:181>5<7s-9?97?;3:J03c=n9:>1<75`20794?=z{k:1<7<6=4={_073>;02;><7p}<4083>6}Y;=;01>o?:016?85e13;896s|8;296~;02k:0144=149~w6?a2909w095b49>7d6=:8?0q~=m8;296~;02k;01>l6:336?x{e9m0;684;:9yO7=7=9rB:h<5rL2:1><}#<g3<,=?<6?:8;%667?d73g>?j7>4$577>g74`53`h;6=44ic394?=nj<0;66a=4683>>o4<80;66l8:186>7<2sE93=7?tH0f2?x"4<<0:hl5fb483>>i5<>0;66gm0;29?ld62900el650;9a3?6==3:1l0el850;9je=<722cj=7>5;h`6>5<<6=44}c1;4?6=93:1l0c<==:188yvd72909wSl?;<59e4=z{k;1<72wx>728997095a99~w7752909w0952558Z7203ty9=>4?:3y]f0=:?3h>7psm3`294?5=83:p(>:::708L61a3`;897>5;h302?6=3f8:97>5;|`0f<<72:0;6=u+357964><@:=m7d?<5;29?l74>3:17b<>5;29?xd>29096=4?{%171?73;2B8;k5f12694?=h:8?1<75rsc294?4|Vk:0144>359~wg7=838pRo?4=2`:>4513tyi97>52z\a1>;4i90:?;5rs364>5<5sW8?;638:364?xu4<80;6>uQ353896g7289>70=m9;301>{t03:1>v38:c289<<59<1v>7i:18181=j<16?l>52078yv5e03:1>v38:c3896d>2;;>7psm2383>0<321qG?5?51zJ2`4=zD:2964u+44f97f4<,=?>6o;4$574>7203->>?7l?;o67b?6<,=??6o?4n573>4=#<<31?9?4n572>4=z,:>>65<>i5<>0;66g<4083>>d0290>6?4:{M1;5?7|@8n:7p*<4482`d=nj<0;66a=4683>>oe83:17dl>:188md>=831i;7>55;294~"4<<0:6=44o364>5<51;294~"4<<0:?>5G36d8k4552900q~l?:181[d734=1m<5rsc394?4|Vk;01:4n6:p647=838p1>6?:011?81=i11v??=:18181=:==0R?:8;|q156<72;qUn8527;`6?x{e;h:1<7=50;2x 6222?80D>9i;h301?6=3`;8:7>5;n021?6=3th8n44?:283>5}#;=?1><64H25e?l74=3:17d?<6;29?j46=3:17pl6:181>5<7s-9?97?;3:J03c=n9:>1<75`20794?=z{k:1<7<6=4={_073>;02;><7p}<4083>6}Y;=;01>o?:016?85e13;896s|8;296~;02k:0144=149~w6?a2909w095b49>7d6=:8?0q~=m8;296~;02k;01>l6:336?x{e:<0;684;:9yO7=7=9rB:h<5rL2:1><}#<g3<,=?<6?:8;%667?d73g>?j7>4$577>g74`53`h;6=44ic394?=nj<0;66a=4683>>o4<80;66l8:186>7<2sE93=7?tH0f2?x"4<<0:hl5fb483>>i5<>0;66gm0;29?ld62900el650;9a3?6==3:1l0el850;9je=<722cj=7>5;h`6>5<<6=44}c1;4?6=93:1l0c<==:188yvd72909wSl?;<59e4=z{k;1<72wx>728997095a99~w7752909w0952558Z7203ty9=>4?:3y]f0=:?3h>7psm3`294?5=83:p(>:::708L61a3`;897>5;h302?6=3f8:97>5;|`0f<<72:0;6=u+357964><@:=m7d?<5;29?l74>3:17b<>5;29?xd>29096=4?{%171?73;2B8;k5f12694?=h:8?1<75rsc294?4|Vk:0144>359~wg7=838pRo?4=2`:>4513tyi97>52z\a1>;4i90:?;5rs364>5<5sW8?;638:364?xu4<80;6>uQ353896g7289>70=m9;301>{t03:1>v38:c289<<59<1v>7i:18181=j<16?l>52078yv5e03:1>v38:c3896d>2;;>7psm3183>0<321qG?5?51zJ2`4=zD:2964u+44f97f4<,=?>6o;4$574>7203->>?7l?;o67b?6<,=??6o?4n573>4=#<<31?9?4n572>4=z,:>>65<>i5<>0;66g<4083>>d0290>6?4:{M1;5?7|@8n:7p*<4482`d=nj<0;66a=4683>>oe83:17dl>:188md>=831i;7>55;294~"4<<0:6=44o364>5<51;294~"4<<0:?>5G36d8k4552900q~l?:181[d734=1m<5rsc394?4|Vk;01:4n6:p647=838p1>6?:011?81=i11v??=:18181=:==0R?:8;|q156<72;qUn8527;`6?x{e;h:1<7=50;2x 6222?80D>9i;h301?6=3`;8:7>5;n021?6=3th8n44?:283>5}#;=?1><64H25e?l74=3:17d?<6;29?j46=3:17pl6:181>5<7s-9?97?;3:J03c=n9:>1<75`20794?=z{k:1<7<6=4={_073>;02;><7p}<4083>6}Y;=;01>o?:016?85e13;896s|8;296~;02k:0144=149~w6?a2909w095b49>7d6=:8?0q~=m8;296~;02k;01>l6:336?x{e;k0;684;:9yO7=7=9rB:h<5rL2:1><}#<g3<,=?<6?:8;%667?d73g>?j7>4$577>g74`53`h;6=44ic394?=nj<0;66a=4683>>o4<80;66l8:186>7<2sE93=7?tH0f2?x"4<<0:hl5fb483>>i5<>0;66gm0;29?ld62900el650;9a3?6==3:1l0el850;9je=<722cj=7>5;h`6>5<<6=44}c1;4?6=93:1l0c<==:188yvd72909wSl?;<59e4=z{k;1<72wx>728997095a99~w7752909w0952558Z7203ty9=>4?:3y]f0=:?3h>7psm3`294?5=83:p(>:::708L61a3`;897>5;h302?6=3f8:97>5;|`0f<<72:0;6=u+357964><@:=m7d?<5;29?l74>3:17b<>5;29?xd>29096=4?{%171?73;2B8;k5f12694?=h:8?1<75rsc294?4|Vk:0144>359~wg7=838pRo?4=2`:>4513tyi97>52z\a1>;4i90:?;5rs364>5<5sW8?;638:364?xu4<80;6>uQ353896g7289>70=m9;301>{t03:1>v38:c289<<59<1v>7i:18181=j<16?l>52078yv5e03:1>v38:c3896d>2;;>7psm3b83>0<321qG?5?51zJ2`4=zD:2964u+44f97f4<,=?>6o;4$574>7203->>?7l?;o67b?6<,=??6o?4n573>4=#<<31?9?4n572>4=z,:>>65<>i5<>0;66g<4083>>d0290>6?4:{M1;5?7|@8n:7p*<4482`d=nj<0;66a=4683>>oe83:17dl>:188md>=831i;7>55;294~"4<<0:6=44o364>5<51;294~"4<<0:?>5G36d8k4552900q~l?:181[d734=1m<5rsc394?4|Vk;01:4n6:p647=838p1>6?:011?81=i11v??=:18181=:==0R?:8;|q156<72;qUn8527;`6?x{e;h:1<7=50;2x 6222?80D>9i;h301?6=3`;8:7>5;n021?6=3th8n44?:283>5}#;=?1><64H25e?l74=3:17d?<6;29?j46=3:17pl6:181>5<7s-9?97?;3:J03c=n9:>1<75`20794?=z{k:1<7<6=4={_073>;02;><7p}<4083>6}Y;=;01>o?:016?85e13;896s|8;296~;02k:0144=149~w6?a2909w095b49>7d6=:8?0q~=m8;296~;02k;01>l6:336?x{e;m0;684;:9yO7=7=9rB:h<5rL2:1><}#<g3<,=?<6?:8;%667?d73g>?j7>4$577>g74`53`h;6=44ic394?=nj<0;66a=4683>>o4<80;66l8:186>7<2sE93=7?tH0f2?x"4<<0:hl5fb483>>i5<>0;66gm0;29?ld62900el650;9a3?6==3:1l0el850;9je=<722cj=7>5;h`6>5<<6=44}c1;4?6=93:1l0c<==:188yvd72909wSl?;<59e4=z{k;1<72wx>728997095a99~w7752909w0952558Z7203ty9=>4?:3y]f0=:?3h>7psm3`294?5=83:p(>:::708L61a3`;897>5;h302?6=3f8:97>5;|`0f<<72:0;6=u+357964><@:=m7d?<5;29?l74>3:17b<>5;29?xd>29096=4?{%171?73;2B8;k5f12694?=h:8?1<75rsc294?4|Vk:0144>359~wg7=838pRo?4=2`:>4513tyi97>52z\a1>;4i90:?;5rs364>5<5sW8?;638:364?xu4<80;6>uQ353896g7289>70=m9;301>{t03:1>v38:c289<<59<1v>7i:18181=j<16?l>52078yv5e03:1>v38:c3896d>2;;>7psm3d83>0<321qG?5?51zJ2`4=zD:2964u+44f97f4<,=?>6o;4$574>7203->>?7l?;o67b?6<,=??6o?4n573>4=#<<31?9?4n572>4=z,:>>65<>i5<>0;66g<4083>>d0290>6?4:{M1;5?7|@8n:7p*<4482`d=nj<0;66a=4683>>oe83:17dl>:188md>=831i;7>55;294~"4<<0:6=44o364>5<51;294~"4<<0:?>5G36d8k4552900q~l?:181[d734=1m<5rsc394?4|Vk;01:4n6:p647=838p1>6?:011?81=i11v??=:18181=:==0R?:8;|q156<72;qUn8527;`6?x{e;h:1<7=50;2x 6222?80D>9i;h301?6=3`;8:7>5;n021?6=3th8n44?:283>5}#;=?1><64H25e?l74=3:17d?<6;29?j46=3:17pl6:181>5<7s-9?97?;3:J03c=n9:>1<75`20794?=z{k:1<7<6=4={_073>;02;><7p}<4083>6}Y;=;01>o?:016?85e13;896s|8;296~;02k:0144=149~w6?a2909w095b49>7d6=:8?0q~=m8;296~;02k;01>l6:336?x{ej3:197:58zN0<4<6sA;o=6sC3909=~"3=m08o?5+4479f0=#<<=1>994$570>g6m6=5+4469f4=i<<:1=6*;588004=i<<;1=6s+35795c4>oe=3:17b<;7;29?l5393:17o950;796?3|D:2:65<2290;w)=;5;336>N4?o1bm;4?::kb5<6290;w)=;5;307>N4?o1d=><50;9~wg6=838pRo>4=68b5>{tj80;6?uQb09>3?g13ty9=<4?:3y>7=6=9:801:4n8:p644=838p1:4=469]61152z\a1>;02k?0qpl6<729q/?9;5639K72`6=44i015>5<6=44}c1a=?6=;3:1l0e<=::188m4512900c??::188yg?=8381<7>t$266>4243A93583>>i59<0;66s|b183>7}Yj91657?<4:pf4<72;qUn<523c;95606=4={_`6?85f83;8:6s|25594?4|V;><70952558yv5393:1?vP<409>7d6=9:?01>l6:016?xu?2909w095b19>=?46=2wx?4h50;0x927g?=:8?0qpll:186>1"3=<0i96*;568102=#<<91n=5a45d94>"3==0i=6`;5182?!22139?=6`;5082?x"4<<0:j?5fb183>>oe93:17dl::188k7202900e>:>:188f2<72<0968uC39395~N6l81v(>:::0fb?ld22900c?:8:188mg6=831bn<4?::kb>{e;1:1<7?50;2x 62228987E=8f:m277<722wxn=4?:3y]f5=:?3k:7p}m1;296~Xe927<6l84}r025?6=:r784=4>339>3?g?3ty9=?4?:3y>3?43?2T98:5rs330>5<5sWh>7095b49~yg5f83:1?7>50z&000<1:2B8;k5f12794?=n9:<1<75`20794?=zj:h26=4<:183!53=38:46F<7g9j563=831b=>850;9l643=831vn44?:383>5}#;=?1=9=4H25e?l74<3:17b<>5;29?xue83:1>vPm0:?:>4533tyi=7>52z\a5>;4j00:?;5rsc794?4|Vk?01>o?:015?xu5<>0;6?uQ255892<5<>1v>:>:180[539278m=4>349>7g?=9:?0q~650;0x924}O9m;0qA=72;;x 13c2:i97)::5;`6?!22?38?;6*;528a4>h3oe83:17dl>:188mg3=831d>9950;9j717=831i;7>55;091~J4080:wE?k1:'713=9mk0eo;50;9l611=831bn=4?::ka5?6=3`k36=44b683>0<729q/?9;51108L61a3`k=6=44i`:94?=ni80;66gm5;29?j43?3:17pl<8183>4<729q/?9;51218L61a3f;8>7>5;|qa4?6=:rTi<638:`38yvd62909wSl>;<59e3=z{;;:6=4={<1;4?74:27<6l64}r026?6=:r7<6?:8;_073>{t:891<7o?:180>5<7s-9?978=;I14b>o6;<0;66g>3783>>i59<0;66sm3c;94?5=83:p(>:::33;?M50n2c:?84?::k273<722e9=84?::a=?6=:3:1l0e<=;:188k7722900q~l?:181[d73431=>:4}r`2>5<5sWh:70=m9;302>{tj<0;6?uQb49>7d6=9:<0q~<;7;296~X5<>16;7<;7:p717=839pR>:>;<1b4?74=278n44>349~w=<72;q6;7l?;<;964352z?4>g3<5:k;6??:;|q0f=<72;q6;7l>;<1a=?46=2wvn<>50;790?>|D:2:67)::7;073>"3=:0i<6`;4g83?!22<3h:7c::0;38 13>2:>:7c::1;38y!53=3;m>6gm0;29?ld62900eo;50;9l611=831b?9?50;9a3?6==3819vB<8082M7c92w/?9;51ec8mg3=831d>9950;9jf5<722ci=7>5;hc;>5<0;684?:1y'713=9980D>9i;hc5>5<>oe=3:17b<;7;29?xd4090;6<4?:1y'713=9:90D>9i;n306?6=3tyi<7>52z\a4>;02h;0q~l>:181[d634=1m;5rs332>5<5s493<7?<2:?4>d>7>52z?4>7203W8?;6s|20194?4|Vk?01:4m5:~f6g729086=4?{%171?053A93483>>o6;?0;66a=1483>>{e;k31<7=50;2x 6222;;37E=8f:k270<722c:?;4?::m150<722wi57>52;294~"4<<0:8>5G36d8m4532900c??::188yvd72909wSl?;<;95627}Yj<16?l>51248yv43?3:1>vP=469>3?43?2wx?9?50;1xZ626349j<7?<5:?0f<<6;<1v54?:3y>3?d73431><;4}r1:b?6=:r7<6o;4=2c3>7723ty8n54?:3y>3?d6349i57<>5:~wg6=83lpRo>4=68a4>;f2k:0167g6<5:h1n=523b8a4>;4l3h;70=j:c289g4=e8a4>;683h;7p}m1;29b~Xe927<6o?4=`8a5>;6l3h:70<=:c38973=j816?=4m1:?0f?d6349h6o?4=2f9f4=:;l0i=63m:c389f:6=4i{_175>;02:>:70o5353894b=;=;01?<53538973=;=;01>>5353896d=;=;01>m5353896b=;=;01>k535389g<4<816o7=;1:?g>62634;;6>:>;|q10a<72;qU>9j4=029611<,:9h6?:l;o10f?652z\10g=:l38?;6*<3b810f=i;:h1=6s|25c94?4|V;>j70m52558 65d2;>h7c=vP=489>f?43?2.8?n4=4b9m76d=;2wx>8650;0xZ73?349n6?:8;%10g?43k2d8?o4;;|q112<72;qU>894=2f9611<,:9h6?:l;o10f?3:7>52z\113=:;j098:5+32a961e5<5sW8>963"4;j098n5a32`93>{t:<>1<7<7)=h4;k037p}=5283>7}Y:<901?;52558 65d2;>h7c=vP=509>67<5<>1/?>m525a8j65e2h1v?:i:181[43n27:h7<;7:&07f<5l5b:p61c=838pR?:j;52z\10==:?38?;6*<3b810f=i;:h1h6s|bc83>7}Yjk16==4m5:&07f=m:09~wg>=838pRo64=b8a1>"4;j0im6`<3c81?xue?3:1>vPm7:?a>g3<,:9h6oo4n21a>6=z{j<1<7m5b`9m76d=<2wxo84?:3y]g0=:;m0i96*<3b8ae>h4;k0>7p}l4;296~Xd<278o7l:;%10g?df3g98n784}ra0>5<5sWi870=m:c78 65d2kk0b>=m:69~wf4=838pRn<4=229f0=#;:i1nl5a32`9<>{tk80;6?uQc09>603tyij7>52z\ab>;5:3h>7)=gg=m:e9~yg47;3:1=n4>a;0;I5?93;pD;|N0<7<2s->>h7=mc:&7139;31>xi4:k0;6)<h5;k0;76a<2883>!44k399m6`=3c82?>i4:10;6)<h5;k0976a<2683>!44k399m6`=3c80?>i4;?0;6)<h5;k0?76a<3483>!44k399m6`=3c86?>i4;=0;6)<h5;k0=76a<3283>!44k399m6`=3c84?>i4;;0;6)<h5;k0376a<3083>!44k399m6`=3c8:?>i4:o0;6)<h5;k0j76a<2e83>!44k399m6`=3c8a?>i4:j0;6)<h5;k0h76a<2783>!44k399m6`=3c8g?>oc=3:1(?=l:e68j75e2910ei=50;&17f;:kg6?6=,;9h6i:4n31a>7=h5;k0876gj0;29 75d2m>0b?=m:598ma`=83.9?n4k4:l17g<232coi7>5$31`>a2ock3:1(?=l:e68j75e2110eil50;&17fd=h5;k0i76gk6;29 75d2m>0b?=m:b98ma6=83.9?n4k4:l17g6}K;1;1=vF>d09~ 62228lj7dmk:188mfc=831d??;50;9a7=d=8391?7;t$266>6>e3`io6=44ibg94?=h;;?1<75m39:94?5=83:p(>:::708L61a3`;897>5;h302?6=3f8:97>5;|`0<<<72:0;6=u+357927=O;>l0e<=::188m4512900c??::188yg5?i3:1?7>50z&000<5911C?:h4i016>5<5<?289>70=79;302>{t;;?1<7>2;;>70=7a;302>{z{jn1<7e2jn0q~mj:181[eb3493n7mj;|q060<72;qU??;4=2:a>6423twi>=750;195?5|D:2:6<::188f6>e29086>4:{%171?5?j2chh7>5;haf>5<6=44b2:;>5<4290;w)=;5;41?M50n2c:?84?::k273<722e9=84?::a7=?=8391<7>t$266>34<@:=m7d?<5;29?l74>3:17b<>5;29?xd40h0;6>4?:1y'713=:820D>9i;h301?6=3`;8:7>5;n021?6=3tyhh7>53z\``>;4010:?;5239;95636=4={_111>;40h09=85rs2:4>5<5s49347<>5:?067:18185?138:963<8`8273=zuzio6=4={_ag?85?j3io7p}le;296~Xdm2784o4le:p773=838pR><:;<1;f?55=2wvn?>n:180>4<4sE93=7?tH0f2?x"4<<0:jl5fce83>>odm3:17b==5;29?g5?j3:1?7=55z&000<40k1boi4?::k`a?6=3f9997>5;c1;N4?o1b=>;50;9j560=831d><;50;9~f6>>29086=4?{%171?053A93483>>o6;?0;66a=1483>>{e;1k1<7=50;2x 6222;;37E=8f:k270<722c:?;4?::m150<722wxoi4?:2y]ga=:;121=>84=2::>4523tyhi7>53z\`a>;4010:?85239;956052z\060=:;1k1><;4}r1;3?6=:r78454=149>7=g=9:?0q~=78;296~;40009=85239c956052z\``>;40k0hh6s|cd83>7}Ykl16?5l5cd9~w6422909wS==5:?0{I3g5>{#;=?1=ko4ibf94?=nkl0;66a<2483>>d40k0;6>4<:4y'713=;1h0enj50;9jg`<722e8>84?::`0<=<72:0;6=u+357927=O;>l0e<=::188m4512900c??::188yg5?13:1?7>50z&000<1:2B8;k5f12794?=n9:<1<75`20794?=zj:2j6=4<:183!53=38:46F<7g9j563=831b=>850;9l643=831vnj50;1xZfb<5:236<=9;<1;=?74=2wxoh4?:2y]g`=:;121=>;4=2::>4513ty8>84?:3y]773<5:2j6??:;|q0<2<72;q6?565207896>f289>7p}<8983>7}:;131><;4=2:b>4513twxoi4?:3y]ga=:;1h1oi5rsbg94?4|Vjo01>6m:bg8yv55=3:1>vP<249>7=d=;;?0qpl=0b83>6<62:qG?5?51zJ2`4=z,:>>65<=8391<7>t$266>34<@:=m7d?<5;29?l74>3:17b<>5;29?xd4000;6>4?:1y'713=>;1C?:h4i016>5<5<53;294~"4<<09=55G36d8m4522900e<=9:188k7722900q~mk:180[ec349347?<6:?0<<<6;<1vnk50;1xZfc<5:236<=:;<1;=?74>2wx??;50;0xZ6423493m7<>5:p7=1=838p1>67:336?85?i3;896s|39:94?4|5:226??:;<1;e?74>2wvnj50;0xZfb<5:2i6nj4}raf>5<5sWin70=7b;af?xu4:<0;6?uQ337896>e2:8>7psm21f94?5=939p@>6>:0yK5a75<<::188f6>?29086=4?{%171?053A93483>>o6;?0;66a=1483>>{e;131<7=50;2x 6222?80D>9i;h301?6=3`;8:7>5;n021?6=3th84l4?:283>5}#;=?1><64H25e?l74=3:17d?<6;29?j46=3:17p}ld;297~Xdl278454>379>7=?=9:?0q~mj:180[eb349347?<5:?0<<<6;?1v><::181[55=2784l4=149~w6>02909w0=78;021>;40h0:?85rs2:;>5<5s49357<>5:?0{t;;?1<75<42808wA=71;3xL4b63t.8884>f`9jga<722chi7>5;n111?6=3k93n7>53;191~"4<<084o5fce83>>odm3:17b==5;29?g5?03:1?7>50z&000<1:2B8;k5f12794?=n9:<1<75`20794?=zj:226=4<:183!53=3<97E=8f:k270<722c:?;4?::m150<722wi?5o50;194?6|,:>>6??7;I14b>o6;<0;66g>3783>>i59<0;66s|ce83>6}Ykm16?565124896>>289>7p}le;297~Xdm278454>349>7=?=9:<0q~==5;296~X4:<16?5o52078yv5??3:1>v3<898150=:;1k1=>;4}r1;7=g=9:<0qp}ld;296~Xdl2784o4ld:pg`<72;qUoh5239`9g`=z{:8>6=4={_111>;40k08>85r}c03b?6=;3;1?vB<8082M7c92w/?9;51gc8mfb=831boh4?::m060<722h84o4?:280>0}#;=?1?5l4ibf94?=nkl0;66a<2483>>d4010;6>4?:1y'713=>;1C?:h4i016>5<5<53;294~"4<<0=>6F<7g9j563=831b=>850;9l643=831vn>6n:180>5<7s-9?97<>8:J03c=n9:?1<75f12494?=h:8?1<75rsbf94?5|Vjn01>67:015?85?13;896s|cd83>6}Ykl16?565127896>>289=7p}<2483>7}Y;;?01>6n:336?xu40>0;6?u239:9643<5:2j6<=:;|q0<=<72;q6?575207896>f289=7ps|ce83>7}Ykm16?5l5ce9~wfc=838pRnk4=2:a>fc52z\060=:;1h1??;4}|`155<72:0:6>uC39395~N6l81v(>:::0db?lec2900enk50;9l773=831i?5l50;197?3|,:>>6>6m;hag>5<9i;h301?6=3`;8:7>5;n021?6=3th8444?:283>5}#;=?1:?5G36d8m4522900e<=9:188k7722900qo=7a;297?6=8r.8884=199K72`6=44i015>5<6=44}rag>5<4sWio70=78;302>;4000:?85rsbg94?5|Vjo01>67:016?85?13;8:6s|33794?4|V:8>70=7a;021>{t;1=1<77723493m7?<5:p7=>=838p1>66:336?85?i3;8:6srsbf94?4|Vjn01>6m:bf8yveb2909wSmj;<1;f?eb3ty8>84?:3y]773<5:2i6><:;|a652=8391=7=tL2:2>4}O9m;0q)=;5;3ee>odl3:17dmj:188k6422900n>6m:180>6<2s-9?97=7b:k``?6=3`in6=44o206>5<>6;<4H25e?l74=3:17d?<6;29?j46=3:17pl<8`83>6<729q/?9;520:8L61a3`;897>5;h302?6=3f8:97>5;|q``?6=;rThh63<898273=:;131=>;4}raf>5<4sWin70=78;301>;4000:?;5rs206>5<5sW99963<8`8150=z{:2<6=4={<1;349~w6>?2909w0=79;021>;40h0:?;5r}rag>5<5sWio70=7b;ag?xudm3:1>vPle:?05;n111?6=3k9347>53;294~"4<<0=>6F<7g9j563=831b=>850;9l643=831vn>66:180>5<7s-9?978=;I14b>o6;<0;66g>3783>>i59<0;66sm39c94?5=83:p(>:::33;?M50n2c:?84?::k273<722e9=84?::pga<72:qUoi5239:9560<5:226<=:;|q`a?6=;rThi63<898270=:;131=>84}r111?6=:rT8>85239c964352z?0<=<59<16?5o51278yv5?03:1>v3<888150=:;1k1=>84}|q``?6=:rThh63<8c8``>{tkl0;6?uQcd9>7=d=kl1v><::181[55=2784o4<249~yg47>3:1?7?53zN0<4<6sA;o=6s+35795cg>i4:<0;66l<8c83>6<425}#;=?1:?5G36d8m4522900e<=9:188k7722900qo=79;297?6=8r.888492:J03c=n9:?1<75f12494?=h:8?1<75rb2:b>5<4290;w)=;5;02<>N4?o1b=>;50;9j560=831d><;50;9~wfb=839pRnj4=2:;>451349357?<5:pg`<72:qUoh5239:9563<5:226<=9;|q060<72;qU??;4=2:b>7723ty84:4?:3y>7=>=:8?01>6n:016?xu4010;6?u239;9643<5:2j6<=9;|pga<72;qUoi5239`9ga=z{jo1<7e2jo0q~==5;296~X4:<16?5l53378yxd58>0;6>4>:2yO7=7=9rB:h<5r$266>4`f3`io6=44ibg94?=h;;?1<75m39`94?5=;3?p(>:::2:a?lec2900enk50;9l773=831i?5650;194?6|,:>>6;<4H25e?l74=3:17d?<6;29?j46=3:17pl<8883>6<729q/?9;5639K72`6=44i015>5<6=44}c1;e?6=;3:1l0e<=::188m4512900c??::188yvec2908wSmk;<1;278444>349~wfc=839pRnk4=2:;>452349357?<6:p773=838pR><:;<1;e?46=2wx?5950;0x96>?2;;>70=7a;301>{t;121<77723493m7?<6:~wfb=838pRnj4=2:a>fb7}Y;;?01>6m:206?x{e;h:1<7=50;2x 6222?;0D>9i;h301?6=3`;8:7>5;n021?6=3th8m?4?:283>5}#;=?1:<5G36d8m4522900e<=9:188k7722900qo=n4;297?6=8r.888491:J03c=n9:?1<75f12494?=h:8?1<75rb2c5>5<4290;w)=;5;42?M50n2c:?84?::k273<722e9=84?::a7d>=8391<7>t$266>37<@:=m7d?<5;29?l74>3:17b<>5;29?xd4ih0;6>4?:1y'713=>81C?:h4i016>5<5<53;294~"4<<0==6F<7g9j563=831b=>850;9l643=831vn>oj:180>5<7s-9?978>;I14b>o6;<0;66g>3783>>i59<0;66sm3c294?5=83:p(>:::738L61a3`;897>5;h302?6=3f8:97>5;|`0f7<72:0;6=u+357924=O;>l0e<=::188m4512900c??::188yg5e<3:1?7>50z&000<192B8;k5f12794?=n9:<1<75`20794?=zj:h=6=4<:183!53=3<:7E=8f:k270<722c:?;4?::m150<722wi?>950;094?6|,:>>6<:<;I14b>o6;=0;66a=1483>>{t;0l1<7=t=32:>fb<5:k;6??:;<1b6?74>2wx?l?50;1x976f2jn01>o=:336?85f<3;8:6s|3`194?5|5;:i6nj4=2c7>772349j:7?<6:p7d3=839p1?>l:bf896g12;;>70=n8;302>{t;h=1<7=t=32g>fb<5:k36??:;<1be?74>2wx?l750;1x976b2jn01>on:336?85fk3;8:6s|3c194?5|5;:=6nj4=2`7>772349i:7?<6:p7dd=839p1?>i:bf896gd2;;>70=ne;302>{t;k?1<7fb<5:h=6??:;|q0ea<72:q6><>5ce9>7dc=:8?01>l?:015?xu4io0;6>u22169ga=:;k:1><;4=2`1>4513ty8n<4?:2y>653=km16?o<5207896d3289=7p}<2c83>7}Y;;h01?>8:206?!54k399m6`<3c83?xu4:00;6?uQ33;897612:8>7)=h4;k0:7p}<2983>7}Y;;201?>::206?!54k399m6`<3c81?xu4:>0;6?uQ335897632:8>7)=h4;k087p}<3783>7}Y;:<01???:206?!54k399m6`<3c87?xu4;<0;6?uQ3278976a2:8>7)=h4;k0>7p}<3583>7}Y;:>01?>j:206?!54k399m6`<3c85?xu4;:0;6?uQ3218976c2:8>7)=h4;k0<7p}<3383>7}Y;:801?>l:206?!54k399m6`<3c8;?xu4;80;6?uQ3238976e2:8>7)=h4;k027p}<2g83>7}Y;;l01?>n:206?!54k399m6`<3c8b?xu4:m0;6?uQ33f8976>2:8>7)=h4;k0i7p}<2b83>7}Y;;i01?>7:206?!54k399m6`<3c8`?xu4:?0;6?uQ334896502;;>7)=h4;k0o7p}k5;296~Xc=279<:4le:&07f;4j?0:?85+32a9`1=i;:h1=6s|d383>6}Yl;16>=;5cd9>7g2=9:?0(>=l:e68j65e2;1vi?50;1xZa7<5;:?6nk4=2`1>4523-98o7j;;o10f?5"4;j0o86`<3c87?xucn3:1?vPkf:?14c349'76e=l=1e?>l55:p``<72:qUhh5221g9g`=:;hi1=>;4$21`>a2k:bg896gf289>7)=53z\gf>;58k0hi63{tl00;6>uQd89>65g=kl16?l:51278 65d2m>0b>=m:`9~wa1=839pRi94=32:>fc<5:k96<=:;%10g?b33g98n7l4}rf5>5<4sWn=70h4;k0h7p}k0;290~Xc8279<54ld:?0e5<6;?16?>951268 65d2m>0b>=m:e9~yvd72909wSl?;<59f5=z{k;1<7;58:0o96*<3b810f=i;:h1<6s|25`94?5|V;>i709525`897642m90(>=l:36`?k54j3;0q~<;a;297~X59750;1xZ72>34=1>974=320>a7<,:9h6?:l;o10f?547>53z\11==:?38>463=028f4>"4;j098n5a32`90>{t:<=1<7=t^374?81=:<=01?><:ed8 65d2;>h7c=3:1?vP=579>3?42>279<>4ke:&07f<5l56:p603=839pR?;:;<59603<5;:86ij4$21`>72d3g98n794}r060?6=;rT999527;060>;58:0oo6*<3b810f=i;:h146s|24194?5|V;?87095241897642mh0(>=l:36`?k54j330q~<:1;297~X5=816;7<:1:?1469h50;1xZ72a34=1>9h4=320>a1<,:9h6?:l;o10f?d53z\10`=:?38?i63=028g2>"4;j098n5a32`9g>{t:=21<7=t^36;?81=:=201?><:e28 65d2;>h7c=;3:1>v38:c`897642:8i7)=h4;k0;7p}<9083>7}:?3h270"4;j085?5a32`95>{t;0:1<7;58:08>55+32a97<45<5s4=1n:522119771<,:9h6>7=;o10f?552z?4>f0<5;:86>=9;%10g?5>:2d8?o4;;|q0=a<72;q6;7m:;<037?54=2.8?n4<939m76d==2wx?4m50;0x924<359'76e=;080b>=m:79~w6?e2909w095c29>655=;:90(>=l:2;1?k54j3=0q~=6a;296~;02j801?><:211?!54k392>6`<3c8;?xu4100;6?u27;a2?847;398=6*<3b80=7=i;:h156s|38594?4|5>0ij63=02806c=#;:i1?4<4n21a>d=z{:3>6=4={<59fa=::991??j4$21`>6?53g98n7l4}r1:0?6=:r7<6om4=320>64d3-98o7=62:l07g3?d1348;?7==6:&07f<41;1e?>l5d:~f4e029086?4;{M1;5?7|@8n:7pB<8382!22m3:0q)=;5;3e=>oe=3:17b<;7;29?ld72900n:4?:283>5}#;=?1==?4H25e?lg62900eo;50;9l611=831vno:50;094?6|,:>>6<:<;I14b>o6;=0;66a=1483>>{tj90;6?uQb19>f1<6;=1v??>:18181=i816n94=149~w7752909w0952558Z7203ty9=>4?:3y]f0=:?3h>7psm9183>6<52=qG?5?51zJ2`4=zD:296{#;=?1=k74ic794?=h:==1<75fb183>>d029086=4?{%171?7792B8;k5fa083>>oe=3:17b<;7;29?xde<3:1>7>50z&000<6<:1C?:h4i017>5<6=44}r`3>5<5sWh;70l;:017?xu5980;6?u27;c2?8d32;;>7p}=1383>7}:?38?;6P=469~w7742909wSl:;<59f0=zuk>9?7>53;090~J4080:wE?k1:O7=4=9r.?9h4?;|&000<6n01bn84?::m102<722ci<7>5;c594?5=83:p(>:::022?M50n2cj=7>5;h`6>5<<6=44}c`7>5<5290;w)=;5;377>N4?o1b=>:50;9l643=831vo>50;0xZg6<5k>1=>:4}r025?6=:r7<6l?4=c696437>52z?4>7203W8?;6s|20194?4|Vk?01:4m5:~f6c529086?4;{M1;5?7|@8n:7pB<8382!22m3:0q)=;5;3e=>oe=3:17b<;7;29?ld72900n:4?:283>5}#;=?1==?4H25e?lg62900eo;50;9l611=831vno:50;094?6|,:>>6<:<;I14b>o6;=0;66a=1483>>{tj90;6?uQb19>f1<6;=1v??>:18181=i816n94=149~w7752909w0952558Z7203ty9=>4?:3y]f0=:?3h>7psm43;94?2=;3:::50:?j25<3:17d<;0;29?l7al3:17d:=3;29?g2503:1?7>50z&000<1:2B8;k5f12794?=n9:<1<75`20794?=zj=8=6=4<:183!53=38:46F<7g9j563=831b=>850;9l643=831vn9<8:180>5<7s-9?978>;I14b>o6;<0;66g>3783>>i59<0;66s|43694?4|V=8?70:=7;021>{t:=:1<7;3:?0:?85rs500>5<5sW>9?63;298273=z{=8>6=4={<61;4>379~w7762909w0:=6;021>;3:>0:?;5r}c1f:::738L61a3`;897>5;h302?6=3f8:97>5;|`0a0<72:0;6=u+357927=O;>l0e<=::188m4512900c??::188yg5b>3:1?7>50z&000<5911C?:h4i016>5<5<52z\0a6=:;l<1><;4}r00b?6=:rT9?k523d5956352z\0a<=:;l=1=>84}r1ff?6=:rT8io523d795637>52z\0a7=:;l?1=>84}r1f0?6=:r78i:4=149>7`0=9:?0q~=j5;296~;4m<09=8523d495605}#;=?1=9=4H25e?l74<3:17b<>5;29?xd4k1C?:h4i016>5<5<5<58;294~"4<<09>=5G36d8m4522900e<=9:188m4502900e<=7:188m45>2900e<=n:188m45e2900c??::188yg55;3:187>50z&000<59h1C?:h4i016>5<5<6=44}c3eb?6=;3:1N4?o1b=>;50;9j560=831d><;50;9~f<4=8391<7>t$266>34<@:=m7d?<5;29?l74>3:17b<>5;29?xd4980;684?:1y'713=>k1C?:h4i016>5<5<5<55;294~"4<<0=m6F<7g9j563=831b=>850;9j561=831b=>650;9l643=831vn>?::186>5<7s-9?978m;I14b>o6;<0;66g>3783>>o6;>0;66g>3983>>i59<0;66sm30594?3=83:p(>:::7c8L61a3`;897>5;h302?6=3`;8;7>5;h305;|`05<<72<0;6=u+35792d=O;>l0e<=::188m4512900e<=8:188m45?2900c??::188yg56j3:197>50z&000<112B8;k5f12794?=n9:<1<75f12594?=n9:21<75`20794?=zj:oj6=4<:183!53=38:46F<7g9j563=831b=>850;9l643=831vn>kl:180>5<7s-9?97<>8:J03c=n9:?1<75f12494?=h:8?1<75rb0a:>5<5290;w)=;5;377>N4?o1b=>:50;9l643=831vl=50;6xZd50R<=>;<1;7?4402wx?>m;<13f?43?2wx?h<50;7xZ6c5349n>7<;7:?0a=<4m;165?4>349>7`g=9:?0q~k9:186[c1349?o7l?;<172?d7349;n7l?;<12a?d73ty8ho4?:3y]7ad<5:nh6??:;|q0bf<72;qU?km4=0a:>4533tyj97>57z\b1>;5;m0i<63>fc8a4>;6k>0i<6360;`3?825;3h;70=j2;`3?xu3::0;6>uQ431891442;><70:=9;617>{t190;6>uQ919>=5<5<>16?im51268yv7d03:1>v3>c68a1>;6k009=85rs233>5<5s49:h7?<5:?054<59<1v>?=:181856l3;8:63<128150=z{:;?6=4={<12`?74?278=84=149~w6712909w0=>d;30<>;49>09=85rs23;>5<4s49:h7?<9:?066<6;<16?<752078yv56i3:1?v3<1e827d=:;;91=>84=23a>7723ty8>?4?:3y>74c=j<16??=52078yv7am3:1>v3>fc8004=:9ol1><;4}r1f=?6=:r78i547`g=:8?0q~<:523da956352z?0a=<4mk16?hm52078yv44n3:1?v3=3e816<=:;l21>>h4=2g`>4513ty98=4?:2y>66b=:;n019<6:363?8?5289=7p}7}:;l81n8523d:97`5g3<5081><;4}r17g?6=710=;=;017}:<;91n85243;907253z?17a<6kk16=n95255896cf289=7p}<4e83>1}:;=i1n85235g9643<5:;o6<=m;<117?74?2wx??k:336?xu6nm0;69u222f95fg<58li6?:k;<61=?7al27:jk4>349'76e=9oi0b>=m:19~w62e2903w0=;6;065>;4379>74?=9:<01>?m:016?!54k39?m6`<3c83?xu4<00;65u2354961`<5:>n6<=8;<125?74>278=>4>349>743=9:201>?8:01;?85613;8463<1c827==#;:i1?9o4n21a>4=z{:>36=47{<172?43m2788h4>379>747=9:201>?<:01;?856=3;8:63<168270=:;831=>94=23a>4503-98o7=;a:l07g<53ty88:4?:9y>710=:=201>:j:016?85693;8963<128272=:;8?1=>;4=234>450349:57?<5:?05g<6;?1/?>m535c8j65e2:1vqo=l4;29=?e=98qG?5?51zJ2`4=zD:296?ua44d9<2=#<<6=44o2d3>5<6<52=qG?5?51zJ2`4=zD:296{#;=?1=k=4ic794?=h:==1<75fb183>>d029086=4?{%171?7792B8;k5C39395~"6<;0?9o5ri`394?=nj<0;66a=4683>>{ej=0;6?4?:1y'713=9=90D>9i;h300?6=3f8:97>5;|qa4?6=:rTi<63m4;300>{t:8;1<7;e<38:96s|20094?4|5>098:5Q2558yv46;3:1>vPm5:?4>g30}K;1;1=vF>d09~H6>52hq/88k52:&71a<4k;1/88;5b49'001=:==0(9;<:c28j12a281/88:5b09m006=92.?944<409m007=82w/?9;51g68mg6=831bn<4?::ka1?6=3f8?;7>5;h175?6=3k=1<7;52;7xH6>628qC=i?4}%171?7ci2ci97>5;n073?6=3`h;6=44ic394?=ni10;66l8:186>5<7s-9?97??2:J03c=ni?0;66gn8;29?lg62900eo;50;9l611=831vn>6?:182>5<7s-9?97?<3:J03c=h9:81<75rsc294?4|Vk:01:4n1:pf4<72;qUn<527;c5?xu5980;6?u23929564<5>0j46s|20094?4|5>098:5Q2558yv46;3:1>vPm5:?4>g35}#;=?1><74H25e?l74=3:17d?<6;29?j46=3:17p}m0;296~Xe827<6o>4}r`2>5<5sWh:70=m9;302>{t:==1<7"3=<0i96*;568102=#<<91n=5a45d95>"3==0i=6`;5182?!22139?=6`;5083?x"4<<0:j95fb183>>oe93:17dl::188k7202900e>:>:188f2<72<0968uC39395~N6l81v(>:::0fb?ld22900c?:8:188mg6=831bn<4?::kb>{e;1:1<7?50;2x 62228987E=8f:m277<722wxn=4?:3y]f5=:?3k:7p}m1;296~Xe927<6l84}r025?6=:r784=4>339>3?g?3ty9=?4?:3y>3?43?2T98:5rs330>5<5sWh>7095b49~yg5e13:1?7>50z&000<5901C?:h4i016>5<5<994=68102=z{:>:6=4<{_175>;02k?01>l6:016?xu4j10;6?u27;`2?85e138:96srb2d3>5<22:0m=;%661?d23->>;7<;7:&716;%660?d63g>><7?4$57b>6233g>>>7>4}%171?7b:2ci<7>5;h`2>5<1<75m7;291?4==rF84<4>{I3g5>{#;=?1=io4ic794?=h:==1<75fb183>>oe93:17do7:188f2<72<0;6=u+3579554<@:=m7do9:188md>=831bm<4?::ka1?6=3f8?;7>5;|`0<5<7280;6=u+3579565<@:=m7b?<2;29?xue83:1>vPm0:?4>d7:18185?83;8>638:`:8yv46:3:1>v38:364?[43?2wx><=50;0xZg3<5>0i96srb2`:>5<4290;w)=;5;02=>N4?o1b=>;50;9j560=831d><;50;9~f6de29086=4?{%171?4612B8;k5f12794?=n9:<1<75`20794?=z{k:1<784}r`6>5<5sWh>70=m9;302>{t:==1<77p}7}:?3h:70=mb;021>{t;k21<7;4j009=85r}c166?6==391;vB<8082M7c92wG?5<5az&71`<53->>h7=l2:&710;%66=?5392d?9<4?;|&000<6n=1bn=4?::ka5?6=3`h>6=44o364>5<:6=44b683>0<52>65<<6=44ic294?=nj80;66gn8;29?g1=83?1<7>t$266>4653A9t$266>4543A93383>>{tj90;6?uQb19>3?g63tyi=7>52z\a5>;02h<0q~<>1;296~;4090:??527;c;?xu59;0;6?u27;073>X5<>1v??<:181[d234=1n85r}c1b4?6=;3:1N4?o1b=>;50;9j560=831d><;50;9~f6d>29086=4?{%171?4612B8;k5f12794?=n9:<1<75`20794?=z{k:1<784}r`6>5<5sWh>70=n0;302>{t:==1<75127896d>289>7p}<9g83>7}:?3h>70=n0;021>{t;k21<7;4j009=85r}c;7>5<4290;w)=;5;02=>N4?o1b=>;50;9j560=831d><;50;9~f7`529086=4?{%171?063A93483>>o6;?0;66a=1483>>{e<=i1<7<50;2x 62228>87E=8f:k271<722e9=84?::a6c2=8391<7>t$266>77?3A93483>>o6;?0;66a=1483>>{e:o<1<7=50;2x 6222;;37E=8f:k270<722c:?;4?::m150<722wi89l50;194?6|,:>>6;<4H25e?l74=3:17d?<6;29?j46=3:17pl85;296?6=8r.8884>429K72`5<?;7>52z\702=:<=i1><;4}r1e4?6=:rT8j=523g2961154z\0ga=:1=0:?85245`9563<5>?1=>:4}r;0>5<1sW3870;5n9088<523g29712<5:?96>:>;<;7>7723ty:<=4?:7y]556<5>91n=522dg9f5=::o:1n=523g29f5=:;<81n=5rs3gg>5<4sW8nh63=ed8a5>;5n=0:?85rs3ge>5<4sW8nj63=f18a5>;5n?0:?85rs01g>5<4sW;8h63;4=;0i96s|7283>7}:?:098:52958273=z{;l86=4={<0e6?74=279j94=149~w7`22909w0;5n?09=85rs271>5<5s49>>7<;7:?70g<6;?1v?kj:18184bm38?;63=f58273=z{;l;6=4={<0e4?43?279j;4>379~w12f2909w0:;c;300>;35<4s49m<7l>;<166?d6348m>7<>5:p31<72;q6;>4m5:?41?46=2wvn94<4sE93=7?tH0f2?xJ40;0:w)::e;48y!53=3;o:6gid;29?l53n3:17b==5;29?g1=8391<7>t$266><`<@:=m7d?<4;29?lc12900c??::188yv`c2909wShk;<59a3=z{;;:6=4={<596437p}=1383>7}Y;=l01:4>359~yg5c83:1?7?53zN0<4<6sA;o=6sC39095~"3=l0=7p*<4482`3=nnm0;66g<4g83>>i4:<0;66l8:180>5<7s-9?977i;I14b>o6;=0;66gj6;29?j46=3:17p}id;296~Xal27<6h84}r025?6=:r7<6??:;_111>{t:881<70qpl;0183>6<62:qG?5?51zJ2`4=zD:296{#;=?1=i84igf94?=n;=l1<75`33794?=e?3:1?7>50z&000<>n2B8;k5f12694?=nm?0;66a=1483>>{tnm0;6?uQfe9>3?c13ty9=<4?:3y>3?46=2T8>85rs331>5<5sW9?j638:017?x{e<:91<7=51;1xH6>628qC=i?4}M1;6?7|,=?n6;5r$266>4b13`lo6=44i26e>5<6=44b683>6<729q/?9;59g9K72`7>52z\00c=:?3;886srb51e>5<42808wA=71;3xL4b63tF84?4>{%66a?05;n111?6=3k=1<7=50;2x 62220l0D>9i;h300?6=3`o=6=44o336>5<:18181=:8?0R><:;|q157<72;qU?9h4=68271=zuk9h47>52;294~"4<<0:8>5G36d8m4532900c??::188yg5dk3:1>7>50z&000<6<:1C?:h4i017>5<6=44}c1`e?6=;3:1l0e<=::188m4512900c??::188yg25:3:1>7>50z&000<6<:1C?:h4i017>5<6=44}c1g6?6=:3:1l0e<=;:188k7722900q~:=0;290~X3:916?n?5432896e22=8;70:=0;111>{ti:0;6?uQa29>7g`=i=1v>h>:181[5a9278o947}Y<=201>m;:564?xu3;80;6>uQ4238913d2=9;70=l6;604>{t<;i1<79o6s|a583>7}Yi=16?oh51238yv26i3:1>vP;1`9>00e=<8k0q~=kf;296~X4lo16?n;53ef8yv2603:1>vP;199>00e=<9i0q~=jd;296~X4mm1688m53df8yv5b:3:1>vP7g`=;l80q~k9:180[c1349h97k9;<1ab?c13ty:<=4?:4y]556<5:i:6l94=57`>d1<5:i=6l94=2a7>4673ty8j?4?:3y]7c4<5:i>6>h?;|q0gc<72:qU?nh4=2a6>6b3349o<7==5:p7ad=838pR>jm;<1ab??73ty8jn4?:3y]7ce<5:hm6>hl;|q75<<72;qU8<74=57`>15>3ty?844?:3y]01?<5:i>69:8;|q777<72;qU8><4=510>6423ty?==4?:3y]046<5=?h69??;|qb1?6=;rTj963;4jo0j96s|a383>7}Yi;16?n;5a39~w6e02909wS=l7:?0g=<59<1v>mm:181[5dj278on4=149~w6`b2908wS=ie:?71f<4nm16?n853gf8yv5b93:1>vP7f3=;l:0q~:=a;296~X3:h1688m543c8yv5a;3:1>vP7f3=;o90q~=if;296~X4no168=>53378yv24m3:1>vP;3d9>06`=;;?0q~=i6;296~X4n?16?n853g48yv5a03:1>vP7f3=;o20q~:;e;290~X3o70=l6;67`>{t;mo1<739oi6s|30g94?4|5=?h6>?i;<1ab?56m2wx=8>50;0x96e128?;70=l5;364>{t9131<74>>349h:7?79:p7a7=83?p1>j?:gf891672on019=<:gf8915a2on01>j=:336?xu6<=0;6?u23b49512<5:i>6<:;;|q764<72;q68?>5fe9>074=:8?0q~;6:18185d=3?370=la;302>{t=h0;6?u23b491==:;jk1=>;4}r635?6=:r78o84056=;=l0q~=?b;296~;3=j08>o77<;<1`2??4349h877<;|q27}:<5m4=2a7>7cc3ty:?<4?:3y>7f7=9:;01>li:`18yv25;3:1>v3:4}r;3>5<4s49h977?;<1ab?5cj278h?4>359~w40e2909w0=l1;35f>;4k?0::o5rs0;;>5<5s49h:7?68:?0g0<6k?1v9=;:18185d=3>8<63;32800c=z{:i26=4={<1`;4k<0:455rs34:>5<5s49h=7<99:?71f<5>01v>j<:18185d=39o963;4k=09ik5rs01g>5<5s49h=7?8h63;3g800c=z{8hi6=4={<1`2?7ej278o84>c49~w36=838p1>m9:72896ed289?7p}=1083>7}:;1:1=><4=503>62a3ty99h4?:3y]60c<5=?h6?;j;%10g?42l2d8?o4?;|q11f<72;qU>8m4=57`>73d3-98o7<:d:l07g<63ty9::4?:3y]631<5=?h6?88;%10g?42l2d8?o4=;|q123<72;qU>;84=57`>7013-98o7<:d:l07g<43ty9:84?:3y]633<5=?h6?8:;%10g?42l2d8?o4;;|q121<72;qU>;:4=57`>7033-98o7<:d:l07g<23ty9:>4?:3y]635<5=?h6?8<;%10g?42l2d8?o49;|q127<72;qU>;<4=57`>7053-98o7<:d:l07g<03ty9:<4?:3y]637<5=?h6?8>;%10g?42l2d8?o47;|q125<72;qU>;>4=57`>7073-98o7<:d:l07g<>3ty99k4?:3y]60`<5=?h6?;i;%10g?42l2d8?o4n;|q11g<72;qU>8l4=57`>73e3-98o7<:d:l07g52z\;1>;4k80?j6*<3b8;0>h4;k0;7p}73;296~X?;278o<4;d:&07f;|q;6?6=;rT3>63;3=j0?o6*<3b8;0>h4;k097p}71;297~X?9278o<4;a:?71f<3i2.8?n474:l07g<43ty53z\4b>;4k80?463;5b87<>"4;j0386`<3c87?xu0m3:1?vP8e:?0g4<3?27?9n4;7:&07f;3=j0?:6*<3b8;0>h4;k0=7p}8c;297~X0k278o<4;5:?71f<3=2.8?n474:l07g<03ty53z\4f>;4k80?863;5b870>"4;j0386`<3c8;?xu0i3:1?vP8a:?0g4<3;27?9n4;3:&07f;3=j0?>6*<3b8;0>h4;k0j7p}88;297~X00278o<4;1:?71f<392.8?n474:l07g53z\43>;4k80?<63;5b874>"4;j0386`<3c8`?xu0>3:1?vP86:?0g4<4n27?9n4;3=j0>;6*<3b8;0>h4;k0n7p}7c;297~X?k278o<4:6:?71f<2>2.8?n474:l07g52z\;f>;3=j0>96*<3b8;0>h4;k0:<6s|8`83>7}Y0h1688m5559'76e=0=1e?>l5109~w=?=838pR574=57`>05<,:9h65:4n21a>444:p<3<72;qU4;5244a915=#;:i1495a32`950=z{1:1<7=l:968j65e28<0q~=;0;296~X4<916?oh53528 65d2:9m7c=vP<3d9>7g`=;:o0(>=l:21e?k54j3;0q~=wKLOu?}ABSxFG \ No newline at end of file diff --git a/cpld/XC95144XL/WarpSE.pad b/cpld/XC95144XL/WarpSE.pad index a901585..eb9340d 100644 --- a/cpld/XC95144XL/WarpSE.pad +++ b/cpld/XC95144XL/WarpSE.pad @@ -1,7 +1,7 @@ Release 8.1i - Fit P.20131013 Copyright(c) 1995-2003 Xilinx Inc. All rights reserved - 3-28-2022 9:46AM + 3-20-2023 11:54PM NOTE: This file is designed to be imported into a spreadsheet program such as Microsoft Excel for viewing, printing and sorting. The pipe '|' @@ -39,12 +39,12 @@ P18|A_FSB<20>|I|I/O|INPUT||||||||| P19|A_FSB<21>|I|I/O|INPUT||||||||| P20|A_FSB<22>|I|I/O|INPUT||||||||| P21|GND||GND|||||||||| -P22|CLK2X_IOB|GCK|I/O/GCK1|||||||||| -P23|CLK_IOB|GCK/I|I/O/GCK2|||||||||| +P22|C16M|GCK|I/O/GCK1|||||||||| +P23|C8M|GCK/I|I/O/GCK2|||||||||| P24|A_FSB<23>|I|I/O|INPUT||||||||| -P25|E_IOB|I|I/O|INPUT||||||||| +P25|E|I|I/O|INPUT||||||||| P26|VCC||VCCIO|||||||||| -P27|CLK_FSB|GCK|I/O/GCK3|||||||||| +P27|FCLK|GCK|I/O/GCK3|||||||||| P28|nDTACK_FSB|O|I/O|OUTPUT||||||||| P29|nWE_FSB|I|I/O|INPUT||||||||| P30|nLDS_FSB|I|I/O|INPUT||||||||| @@ -75,10 +75,10 @@ P54|RA<8>|O|I/O|OUTPUT||||||||| P55|RA<10>|O|I/O|OUTPUT||||||||| P56|RA<9>|O|I/O|OUTPUT||||||||| P57|VCC||VCCINT|||||||||| -P58|CLK25EN|O|I/O|OUTPUT||||||||| -P59|CLK20EN|O|I/O|OUTPUT||||||||| -P60|SW<1>|I|I/O|INPUT||||||||| -P61|SW<0>|I|I/O|INPUT||||||||| +P58|C25MEN|O|I/O|OUTPUT||||||||| +P59|C20MEN|O|I/O|OUTPUT||||||||| +P60|TIE||I/O|||||||||| +P61|TIE||I/O|||||||||| P62|GND||GND|||||||||| P63|RA<11>|O|I/O|OUTPUT||||||||| P64|nRAS|O|I/O|OUTPUT||||||||| @@ -108,7 +108,7 @@ P87|nAoutOE|O|I/O|OUTPUT||||||||| P88|VCC||VCCIO|||||||||| P89|nDoutOE|O|I/O|OUTPUT||||||||| P90|nDinOE|O|I/O|OUTPUT||||||||| -P91|nRES|I|I/O|INPUT||||||||| +P91|nRES|I/O|I/O|BIDIR||||||||| P92|nIPL2|I|I/O|INPUT||||||||| P93|nVPA_FSB|O|I/O|OUTPUT||||||||| P94|A_FSB<1>|I|I/O|INPUT||||||||| diff --git a/cpld/XC95144XL/WarpSE.pnx b/cpld/XC95144XL/WarpSE.pnx index a3ae130..338ba7f 100644 --- a/cpld/XC95144XL/WarpSE.pnx +++ b/cpld/XC95144XL/WarpSE.pnx @@ -15,4 +15,4 @@ sr (SLOW|FAST|slow|fast) "SLOW" dir (BIDIR|bidir|INPUT|input|OUTPUT|output) "BIDIR"> ]> - + diff --git a/cpld/XC95144XL/WarpSE.rpt b/cpld/XC95144XL/WarpSE.rpt index cf5d9fd..a2cb901 100644 --- a/cpld/XC95144XL/WarpSE.rpt +++ b/cpld/XC95144XL/WarpSE.rpt @@ -1,7 +1,7 @@ cpldfit: version P.20131013 Xilinx Inc. Fitter Report -Design Name: WarpSE Date: 3-28-2022, 9:46AM +Design Name: WarpSE Date: 3-20-2023, 11:54PM Device Used: XC95144XL-10-TQ100 Fitting Status: Successful @@ -9,30 +9,30 @@ Fitting Status: Successful Macrocells Product Terms Function Block Registers Pins Used/Tot Used/Tot Inps Used/Tot Used/Tot Used/Tot -114/144 ( 79%) 463 /720 ( 64%) 253/432 ( 59%) 89 /144 ( 62%) 74 /81 ( 91%) +121/144 ( 84%) 440 /720 ( 61%) 227/432 ( 53%) 96 /144 ( 67%) 72 /81 ( 89%) ** Function Block Resources ** Function Mcells FB Inps Pterms IO Block Used/Tot Used/Tot Used/Tot Used/Tot -FB1 18/18* 20/54 23/90 11/11* -FB2 12/18 9/54 11/90 8/10 -FB3 8/18 38/54 82/90 10/10* -FB4 18/18* 41/54 39/90 10/10* -FB5 14/18 39/54 82/90 8/10 -FB6 18/18* 34/54 64/90 10/10* -FB7 14/18 34/54 81/90 10/10* -FB8 12/18 38/54 81/90 7/10 +FB1 18/18* 25/54 26/90 11/11* +FB2 12/18 16/54 15/90 8/10 +FB3 9/18 34/54 81/90 10/10* +FB4 18/18* 24/54 44/90 10/10* +FB5 16/18 30/54 77/90 8/10 +FB6 18/18* 34/54 61/90 10/10* +FB7 18/18* 30/54 55/90 8/10 +FB8 12/18 34/54 81/90 7/10 ----- ----- ----- ----- - 114/144 253/432 463/720 74/81 + 121/144 227/432 440/720 72/81 * - Resource is exhausted ** Global Control Resources ** -Signal 'CLK2X_IOB' mapped onto global clock net GCK1. -Signal 'CLK_IOB' mapped onto global clock net GCK2. -Signal 'CLK_FSB' mapped onto global clock net GCK3. +Signal 'C16M' mapped onto global clock net GCK1. +Signal 'C8M' mapped onto global clock net GCK2. +Signal 'FCLK' mapped onto global clock net GCK3. Global output enable net(s) unused. Global set/reset net(s) unused. @@ -40,54 +40,59 @@ Global set/reset net(s) unused. Signal Type Required Mapped | Pin Type Used Total ------------------------------------|------------------------------------ -Input : 36 36 | I/O : 68 73 +Input : 33 33 | I/O : 66 73 Output : 35 35 | GCK/IO : 3 3 -Bidirectional : 0 0 | GTS/IO : 3 4 +Bidirectional : 1 1 | GTS/IO : 3 4 GCK : 3 3 | GSR/IO : 0 1 GTS : 0 0 | GSR : 0 0 | ---- ---- - Total 74 74 + Total 72 72 ** Power Data ** -There are 114 macrocells in high performance mode (MCHP). +There are 121 macrocells in high performance mode (MCHP). There are 0 macrocells in low power mode (MCLP). End of Mapped Resource Summary ************************** Errors and Warnings *************************** WARNING:Cpld - Unable to retrieve the path to the iSE Project Repository. Will use the default filename of 'WarpSE.ise'. -INFO:Cpld - Inferring BUFG constraint for signal 'CLK2X_IOB' based upon the LOC +INFO:Cpld - Inferring BUFG constraint for signal 'C16M' based upon the LOC constraint 'P22'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored. -INFO:Cpld - Inferring BUFG constraint for signal 'CLK_FSB' based upon the LOC - constraint 'P27'. It is recommended that you declare this BUFG explicitedly - in your design. Note that for certain device families the output of a BUFG - constraint can not drive a gated clock, and the BUFG constraint will be - ignored. -INFO:Cpld - Inferring BUFG constraint for signal 'CLK_IOB' based upon the LOC +INFO:Cpld - Inferring BUFG constraint for signal 'C8M' based upon the LOC constraint 'P23'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored. +INFO:Cpld - Inferring BUFG constraint for signal 'FCLK' based upon the LOC + constraint 'P27'. It is recommended that you declare this BUFG explicitedly + in your design. Note that for certain device families the output of a BUFG + constraint can not drive a gated clock, and the BUFG constraint will be + ignored. +WARNING:Cpld:1007 - Removing unused input(s) 'SW<1>'. The input(s) are unused + after optimization. Please verify functionality via simulation. WARNING:Cpld:1007 - Removing unused input(s) 'SW<2>'. The input(s) are unused after optimization. Please verify functionality via simulation. +WARNING:Cpld:1007 - Removing unused input(s) 'SW<3>'. The input(s) are unused + after optimization. Please verify functionality via simulation. ************************* Summary of Mapped Logic ************************ -** 35 Outputs ** +** 36 Outputs ** Signal Total Total Loc Pin Pin Pin Pwr Slew Reg Init Name Pts Inps No. Type Use Mode Rate State -nDTACK_FSB 28 34 FB3_9 28 I/O O STD FAST RESET +nDTACK_FSB 11 26 FB3_9 28 I/O O STD FAST RESET nROMWE 1 2 FB3_17 34 I/O O STD FAST -nAoutOE 3 4 FB4_2 87 I/O O STD FAST SET -nDoutOE 2 3 FB4_5 89 I/O O STD FAST RESET -nDinOE 3 7 FB4_6 90 I/O O STD FAST +nAoutOE 1 3 FB4_2 87 I/O O STD FAST RESET +nDoutOE 1 2 FB4_5 89 I/O O STD FAST +nDinOE 2 6 FB4_6 90 I/O O STD FAST +nRES 1 1 FB4_8 91 I/O I/O STD FAST nVPA_FSB 1 2 FB4_11 93 I/O O STD FAST -nROMCS 3 6 FB5_2 35 I/O O STD FAST +nROMCS 2 5 FB5_2 35 I/O O STD FAST nCAS 1 1 FB5_5 36 I/O O STD FAST RESET nOE 1 2 FB5_6 37 I/O O STD FAST RA<4> 2 3 FB5_9 40 I/O O STD FAST @@ -108,103 +113,112 @@ RA<0> 2 3 FB7_6 53 I/O O STD FAST RA<8> 7 7 FB7_8 54 I/O O STD FAST RA<10> 1 1 FB7_9 55 I/O O STD FAST RA<9> 2 3 FB7_11 56 I/O O STD FAST -CLK25EN 1 1 FB7_12 58 I/O O STD FAST -CLK20EN 1 1 FB7_14 59 I/O O STD FAST +C25MEN 0 0 FB7_12 58 I/O O STD FAST +C20MEN 0 0 FB7_14 59 I/O O STD FAST RA<11> 1 1 FB8_2 63 I/O O STD FAST -nRAS 3 8 FB8_5 64 I/O O STD FAST -nRAMLWE 1 5 FB8_6 65 I/O O STD FAST -nRAMUWE 1 5 FB8_8 66 I/O O STD FAST -nBERR_FSB 3 9 FB8_12 70 I/O O STD FAST -nBR_IOB 1 6 FB8_15 72 I/O O STD FAST SET +nRAS 3 7 FB8_5 64 I/O O STD FAST +nRAMLWE 1 4 FB8_6 65 I/O O STD FAST +nRAMUWE 1 4 FB8_8 66 I/O O STD FAST +nBERR_FSB 4 8 FB8_12 70 I/O O STD FAST RESET +nBR_IOB 2 4 FB8_15 72 I/O O STD FAST RESET -** 79 Buried Nodes ** +** 85 Buried Nodes ** Signal Total Total Loc Pwr Reg Init Name Pts Inps Mode State -ram/RefRAS 1 2 FB1_1 STD RESET -ram/BACTr 1 2 FB1_2 STD RESET -iobm/RESrr 1 1 FB1_3 STD RESET -iobm/RESrf 1 1 FB1_4 STD RESET -iobm/BERRrf 1 1 FB1_5 STD RESET -fsb/ASrf 1 1 FB1_6 STD RESET -cnt/RefCnt<1> 1 1 FB1_7 STD RESET -RESr2 1 1 FB1_8 STD RESET -RESr1 1 1 FB1_9 STD RESET -RESr0 1 1 FB1_10 STD RESET -IPL2r1 1 1 FB1_11 STD RESET -IPL2r0 1 1 FB1_12 STD RESET -$OpTx$FX_DC$591 1 2 FB1_13 STD -iobs/IOU1 2 2 FB1_14 STD RESET -iobs/IOL1 2 2 FB1_15 STD RESET -iobm/IOS_FSM_FFd1 2 3 FB1_16 STD RESET -fsb/BERR1r 2 4 FB1_17 STD RESET -cs/nOverlay1 2 3 FB1_18 STD RESET -iobs/IOACTr 1 1 FB2_7 STD RESET -iobm/VPArr 1 1 FB2_8 STD RESET -iobm/VPArf 1 1 FB2_9 STD RESET -iobm/IOREQr 1 1 FB2_10 STD RESET -iobm/Er2 1 1 FB2_11 STD RESET -iobm/Er 1 1 FB2_12 STD RESET -iobm/DTACKrr 1 1 FB2_13 STD RESET -iobm/DTACKrf 1 1 FB2_14 STD RESET -iobm/BGr1 1 1 FB2_15 STD RESET -iobm/BGr0 1 1 FB2_16 STD RESET -iobm/BERRrr 1 1 FB2_17 STD RESET -cnt/RefCnt<0> 0 0 FB2_18 STD RESET -fsb/VPA 27 33 FB3_1 STD RESET -fsb/Ready0r 3 8 FB3_4 STD RESET -fsb/BERR0r 3 8 FB3_12 STD RESET -cs/nOverlay0 3 8 FB3_13 STD RESET -fsb/Ready1r 8 18 FB3_15 STD RESET -fsb/Ready2r 9 22 FB3_16 STD RESET -iobs/Clear1 1 3 FB4_1 STD RESET -iobs/ALE0 1 2 FB4_3 STD RESET -cnt/RefCnt<7> 1 7 FB4_4 STD RESET -cnt/RefCnt<6> 1 6 FB4_7 STD RESET +iobm/nASr 1 2 FB1_1 STD RESET +iobm/VPArr 1 1 FB1_2 STD RESET +iobm/VPArf 1 1 FB1_3 STD RESET +iobm/RESrr 1 1 FB1_4 STD RESET +iobm/RESrf 1 1 FB1_5 STD RESET +iobm/IOREQr 1 1 FB1_6 STD RESET +iobm/Er2 1 1 FB1_7 STD RESET +iobm/Er 1 1 FB1_8 STD RESET +iobm/DTACKrr 1 1 FB1_9 STD RESET +iobm/DTACKrf 1 1 FB1_10 STD RESET +iobm/BERRrr 1 1 FB1_11 STD RESET +iobm/BERRrf 1 1 FB1_12 STD RESET +cnt/IPL2r 1 1 FB1_13 STD RESET +iobm/IOS_FSM_FFd1 2 3 FB1_14 STD RESET +iobm/BG 2 2 FB1_15 STD RESET +iobs/IOU0 3 5 FB1_16 STD RESET +iobs/IOL0 3 5 FB1_17 STD RESET +iobm/IOS_FSM_FFd3 3 6 FB1_18 STD RESET +ram/RegUrgentSync 1 1 FB2_7 STD RESET +ram/RefUrgent 1 2 FB2_8 STD RESET +ram/RefReqSync 1 1 FB2_9 STD RESET +ram/RefReq 1 2 FB2_10 STD RESET +ram/RefRAS 1 2 FB2_11 STD RESET +ram/BACTr 1 2 FB2_12 STD RESET +iobs/IOACTr 1 1 FB2_13 STD RESET +iobs/ALE0 1 2 FB2_14 STD RESET +fsb/ASrf 1 1 FB2_15 STD RESET +iobs/PS_FSM_FFd1 2 3 FB2_16 STD RESET +iobs/IOU1 2 2 FB2_17 STD RESET +iobs/IOL1 2 2 FB2_18 STD RESET +fsb/VPA 20 26 FB3_2 STD RESET +iobs/Clear1 1 3 FB3_5 STD RESET +fsb/Ready0r 3 8 FB3_6 STD RESET +iobs/IOReady 4 8 FB3_7 STD RESET +fsb/Ready1r 7 17 FB3_10 STD RESET +iobs/IORW1 16 19 FB3_12 STD RESET +iobs/IORW0 18 20 FB3_15 STD RESET +cnt/nRESout 2 4 FB4_1 STD RESET +cnt/TimerTC 2 5 FB4_3 STD RESET +cnt/Timer<0> 2 3 FB4_4 STD RESET Signal Total Total Loc Pwr Reg Init Name Pts Inps Mode State -cnt/RefCnt<5> 1 5 FB4_8 STD RESET -cnt/RefCnt<4> 1 4 FB4_9 STD RESET -cnt/RefCnt<3> 1 3 FB4_10 STD RESET -cnt/RefCnt<2> 1 2 FB4_12 STD RESET -iobs/PS_FSM_FFd1 2 3 FB4_13 STD RESET -cnt/RefDone 2 10 FB4_14 STD RESET -iobs/IOU0 3 5 FB4_15 STD RESET -cnt/TimeoutA 3 10 FB4_16 STD RESET -iobs/IOReady 4 8 FB4_17 STD RESET -ram/RS_FSM_FFd1 8 14 FB4_18 STD RESET -ram/RAMDIS2 7 15 FB5_3 STD RESET -iobs/IOL0 3 5 FB5_4 STD RESET -iobs/Once 18 19 FB5_7 STD RESET -ram/Once 5 10 FB5_10 STD RESET -iobs/Load1 15 19 FB5_13 STD RESET -ram/RASEL 19 15 FB5_18 STD RESET +cnt/PORS_FSM_FFd2 2 3 FB4_7 STD RESET +cnt/LTimer<0> 2 3 FB4_9 STD RESET +cnt/Timer<3> 3 6 FB4_10 STD RESET +cnt/Timer<2> 3 5 FB4_12 STD RESET +cnt/Timer<1> 3 4 FB4_13 STD RESET +cnt/RefUrgent 3 5 FB4_14 STD RESET +cnt/RefReq 4 5 FB4_15 STD RESET +cnt/LTimer<3> 4 6 FB4_16 STD RESET +cnt/LTimer<2> 4 5 FB4_17 STD RESET +cnt/LTimer<1> 4 4 FB4_18 STD RESET +ram/RASEL 17 13 FB5_1 STD RESET +ram/RefDone 2 4 FB5_3 STD RESET +cs/nOverlay1 2 3 FB5_4 STD RESET +ram/RS_FSM_FFd1 8 11 FB5_7 STD RESET +cs/nOverlay0 3 8 FB5_8 STD RESET +ram/RAMEN 9 13 FB5_10 STD RESET +ram/RS_FSM_FFd2 10 12 FB5_13 STD RESET +ram/RAMReady 12 13 FB5_17 STD RESET iobm/ETACK 1 6 FB6_1 STD RESET -iobm/IOS_FSM_FFd3 3 6 FB6_3 STD RESET -iobm/ES<3> 3 6 FB6_4 STD RESET -iobm/ES<1> 3 4 FB6_5 STD RESET -iobm/ES<0> 3 7 FB6_6 STD RESET -iobm/ALE0 3 5 FB6_7 STD RESET +iobm/DoutOE 2 3 FB6_3 STD RESET +iobm/ALE0 2 4 FB6_4 STD RESET +iobm/ES<3> 3 6 FB6_5 STD RESET +iobm/ES<1> 3 4 FB6_6 STD RESET +iobm/ES<0> 3 7 FB6_7 STD RESET iobm/ES<4> 4 7 FB6_8 STD RESET iobm/IOS_FSM_FFd2 5 11 FB6_10 STD RESET iobm/ES<2> 5 7 FB6_13 STD RESET -iobm/IOACT 7 13 FB6_16 STD RESET +iobm/IOACT 6 12 FB6_16 STD RESET iobm/IOBERR 9 13 FB6_18 STD RESET -ram/RS_FSM_FFd3 11 14 FB7_4 STD RESET -cnt/TimeoutBPre 3 11 FB7_7 STD RESET -ram/RS_FSM_FFd2 14 14 FB7_10 STD RESET -cnt/TimeoutB 3 12 FB7_13 STD RESET -ram/RAMReady 15 15 FB7_15 STD RESET -ram/RAMDIS1 17 15 FB7_18 STD RESET -iobs/PS_FSM_FFd2 15 20 FB8_4 STD RESET -RESDone 1 3 FB8_7 STD RESET -iobs/IOREQ 15 20 FB8_9 STD RESET -iobs/IORW1 17 20 FB8_14 STD RESET -iobs/BERR 4 8 FB8_16 STD RESET -iobs/IORW0 19 21 FB8_18 STD RESET +cnt/LTimer<13> 3 15 FB7_1 STD RESET +cnt/LTimer<9> 4 12 FB7_3 STD RESET +cnt/LTimer<8> 4 11 FB7_4 STD RESET +cnt/LTimer<7> 4 10 FB7_7 STD RESET +cnt/LTimer<6> 4 9 FB7_10 STD RESET +cnt/LTimer<5> 4 8 FB7_13 STD RESET +cnt/LTimer<4> 4 7 FB7_15 STD RESET +cnt/LTimer<12> 4 15 FB7_16 STD RESET +cnt/LTimer<11> 4 14 FB7_17 STD RESET +cnt/LTimer<10> 4 13 FB7_18 STD RESET +cnt/PORS_FSM_FFd1 1 4 FB8_1 STD RESET -** 39 Inputs ** +Signal Total Total Loc Pwr Reg Init +Name Pts Inps Mode State +ram/RS_FSM_FFd3 9 11 FB8_3 STD RESET +iobs/PS_FSM_FFd2 14 19 FB8_7 STD RESET +iobs/Load1 14 18 FB8_10 STD RESET +iobs/IOREQ 14 19 FB8_14 STD RESET +iobs/Once 17 18 FB8_17 STD RESET + +** 36 Inputs ** Signal Loc Pin Pin Pin Name No. Type Use @@ -218,7 +232,7 @@ A_FSB<19> FB1_11 17 I/O I A_FSB<20> FB1_12 18 I/O I A_FSB<21> FB1_14 19 I/O I A_FSB<22> FB1_15 20 I/O I -CLK2X_IOB FB1_17 22 GCK/I/O GCK +C16M FB1_17 22 GCK/I/O GCK A_FSB<5> FB2_6 2 GTS/I/O I A_FSB<6> FB2_8 3 GTS/I/O I A_FSB<7> FB2_9 4 GTS/I/O I @@ -227,15 +241,14 @@ A_FSB<9> FB2_12 7 I/O I A_FSB<10> FB2_14 8 I/O I A_FSB<11> FB2_15 9 I/O I A_FSB<12> FB2_17 10 I/O I -CLK_IOB FB3_2 23 GCK/I/O GCK/I +C8M FB3_2 23 GCK/I/O GCK/I A_FSB<23> FB3_5 24 I/O I -E_IOB FB3_6 25 I/O I -CLK_FSB FB3_8 27 GCK/I/O GCK +E FB3_6 25 I/O I +FCLK FB3_8 27 GCK/I/O GCK nWE_FSB FB3_11 29 I/O I nLDS_FSB FB3_12 30 I/O I nAS_FSB FB3_14 32 I/O I nUDS_FSB FB3_15 33 I/O I -nRES FB4_8 91 I/O I nIPL2 FB4_9 92 I/O I A_FSB<1> FB4_12 94 I/O I A_FSB<2> FB4_14 95 I/O I @@ -244,8 +257,6 @@ A_FSB<4> FB4_17 97 I/O I nBERR_IOB FB6_5 76 I/O I nVPA_IOB FB6_6 77 I/O I nDTACK_IOB FB6_8 78 I/O I -SW<1> FB7_15 60 I/O I -SW<0> FB7_17 61 I/O I nBG_IOB FB8_17 73 I/O I Legend: @@ -264,63 +275,65 @@ Pin Type/Use - I - Input GCK - Global Clock X - Signal used as input to the macrocell logic. Pin No. - ~ - User Assigned *********************************** FB1 *********************************** -Number of function block inputs used/remaining: 20/34 -Number of signals used by logic mapping into function block: 20 +Number of function block inputs used/remaining: 25/29 +Number of signals used by logic mapping into function block: 25 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -ram/RefRAS 1 0 0 4 FB1_1 (b) (b) -ram/BACTr 1 0 0 4 FB1_2 11 I/O I -iobm/RESrr 1 0 0 4 FB1_3 12 I/O I -iobm/RESrf 1 0 0 4 FB1_4 (b) (b) -iobm/BERRrf 1 0 0 4 FB1_5 13 I/O I -fsb/ASrf 1 0 0 4 FB1_6 14 I/O I -cnt/RefCnt<1> 1 0 0 4 FB1_7 (b) (b) -RESr2 1 0 0 4 FB1_8 15 I/O I -RESr1 1 0 0 4 FB1_9 16 I/O I -RESr0 1 0 0 4 FB1_10 (b) (b) -IPL2r1 1 0 0 4 FB1_11 17 I/O I -IPL2r0 1 0 0 4 FB1_12 18 I/O I -$OpTx$FX_DC$591 1 0 0 4 FB1_13 (b) (b) -iobs/IOU1 2 0 0 3 FB1_14 19 I/O I -iobs/IOL1 2 0 0 3 FB1_15 20 I/O I -iobm/IOS_FSM_FFd1 2 0 0 3 FB1_16 (b) (b) -fsb/BERR1r 2 0 0 3 FB1_17 22 GCK/I/O GCK -cs/nOverlay1 2 0 0 3 FB1_18 (b) (b) +iobm/nASr 1 0 0 4 FB1_1 (b) (b) +iobm/VPArr 1 0 0 4 FB1_2 11 I/O I +iobm/VPArf 1 0 0 4 FB1_3 12 I/O I +iobm/RESrr 1 0 0 4 FB1_4 (b) (b) +iobm/RESrf 1 0 0 4 FB1_5 13 I/O I +iobm/IOREQr 1 0 0 4 FB1_6 14 I/O I +iobm/Er2 1 0 0 4 FB1_7 (b) (b) +iobm/Er 1 0 0 4 FB1_8 15 I/O I +iobm/DTACKrr 1 0 0 4 FB1_9 16 I/O I +iobm/DTACKrf 1 0 0 4 FB1_10 (b) (b) +iobm/BERRrr 1 0 0 4 FB1_11 17 I/O I +iobm/BERRrf 1 0 0 4 FB1_12 18 I/O I +cnt/IPL2r 1 0 0 4 FB1_13 (b) (b) +iobm/IOS_FSM_FFd1 2 0 0 3 FB1_14 19 I/O I +iobm/BG 2 0 0 3 FB1_15 20 I/O I +iobs/IOU0 3 0 0 2 FB1_16 (b) (b) +iobs/IOL0 3 0 0 2 FB1_17 22 GCK/I/O GCK +iobm/IOS_FSM_FFd3 3 0 0 2 FB1_18 (b) (b) Signals Used by Logic in Function Block - 1: IPL2r0 8: iobm/IOS_FSM_FFd1 15: nIPL2 - 2: RESr0 9: iobm/IOS_FSM_FFd2 16: nLDS_FSB - 3: RESr1 10: iobm/IOS_FSM_FFd3 17: nRES - 4: cnt/RefCnt<0> 11: iobs/BERR 18: nUDS_FSB - 5: cs/nOverlay0 12: iobs/Load1 19: ram/RS_FSM_FFd1 - 6: fsb/ASrf 13: nAS_FSB 20: ram/RS_FSM_FFd2 - 7: fsb/BERR1r 14: nBERR_IOB + 1: C8M 10: iobm/nASr 18: nAoutOE + 2: E 11: iobs/IOL1 19: nBERR_IOB + 3: nRES.PIN 12: iobs/IOREQ 20: nBG_IOB + 4: iobm/BG 13: iobs/IOU1 21: nDTACK_IOB + 5: iobm/Er 14: iobs/PS_FSM_FFd1 22: nIPL2 + 6: iobm/IOREQr 15: iobs/PS_FSM_FFd2 23: nLDS_FSB + 7: iobm/IOS_FSM_FFd1 16: nADoutLE1 24: nUDS_FSB + 8: iobm/IOS_FSM_FFd2 17: nAS_IOB 25: nVPA_IOB + 9: iobm/IOS_FSM_FFd3 Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -ram/RefRAS ..................XX.................... 2 -ram/BACTr .....X......X........................... 2 -iobm/RESrr ................X....................... 1 -iobm/RESrf ................X....................... 1 -iobm/BERRrf .............X.......................... 1 -fsb/ASrf ............X........................... 1 -cnt/RefCnt<1> ...X.................................... 1 -RESr2 ..X..................................... 1 -RESr1 .X...................................... 1 -RESr0 ................X....................... 1 -IPL2r1 X....................................... 1 -IPL2r0 ..............X......................... 1 -$OpTx$FX_DC$591 .....X......X........................... 2 -iobs/IOU1 ...........X.....X...................... 2 -iobs/IOL1 ...........X...X........................ 2 -iobm/IOS_FSM_FFd1 .......XXX.............................. 3 -fsb/BERR1r .....XX...X.X........................... 4 -cs/nOverlay1 ....XX......X........................... 3 +iobm/nASr ................XX...................... 2 +iobm/VPArr ........................X............... 1 +iobm/VPArf ........................X............... 1 +iobm/RESrr ..X..................................... 1 +iobm/RESrf ..X..................................... 1 +iobm/IOREQr ...........X............................ 1 +iobm/Er2 ....X................................... 1 +iobm/Er .X...................................... 1 +iobm/DTACKrr ....................X................... 1 +iobm/DTACKrf ....................X................... 1 +iobm/BERRrr ..................X..................... 1 +iobm/BERRrf ..................X..................... 1 +cnt/IPL2r .....................X.................. 1 +iobm/IOS_FSM_FFd1 ......XXX............................... 3 +iobm/BG .........X.........X.................... 2 +iobs/IOU0 ............XXXX.......X................ 5 +iobs/IOL0 ..........X..XXX......X................. 5 +iobm/IOS_FSM_FFd3 X..X.XXXX............................... 6 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB2 *********************************** -Number of function block inputs used/remaining: 9/45 -Number of signals used by logic mapping into function block: 9 +Number of function block inputs used/remaining: 16/38 +Number of signals used by logic mapping into function block: 16 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use (unused) 0 0 0 5 FB2_1 (b) @@ -329,208 +342,204 @@ Name Pt Pt Pt Pt # Type Use (unused) 0 0 0 5 FB2_4 (b) (unused) 0 0 0 5 FB2_5 1 GTS/I/O (unused) 0 0 0 5 FB2_6 2 GTS/I/O I -iobs/IOACTr 1 0 0 4 FB2_7 (b) (b) -iobm/VPArr 1 0 0 4 FB2_8 3 GTS/I/O I -iobm/VPArf 1 0 0 4 FB2_9 4 GTS/I/O I -iobm/IOREQr 1 0 0 4 FB2_10 (b) (b) -iobm/Er2 1 0 0 4 FB2_11 6 I/O I -iobm/Er 1 0 0 4 FB2_12 7 I/O I -iobm/DTACKrr 1 0 0 4 FB2_13 (b) (b) -iobm/DTACKrf 1 0 0 4 FB2_14 8 I/O I -iobm/BGr1 1 0 0 4 FB2_15 9 I/O I -iobm/BGr0 1 0 0 4 FB2_16 (b) (b) -iobm/BERRrr 1 0 0 4 FB2_17 10 I/O I -cnt/RefCnt<0> 0 0 0 5 FB2_18 (b) (b) +ram/RegUrgentSync 1 0 0 4 FB2_7 (b) (b) +ram/RefUrgent 1 0 0 4 FB2_8 3 GTS/I/O I +ram/RefReqSync 1 0 0 4 FB2_9 4 GTS/I/O I +ram/RefReq 1 0 0 4 FB2_10 (b) (b) +ram/RefRAS 1 0 0 4 FB2_11 6 I/O I +ram/BACTr 1 0 0 4 FB2_12 7 I/O I +iobs/IOACTr 1 0 0 4 FB2_13 (b) (b) +iobs/ALE0 1 0 0 4 FB2_14 8 I/O I +fsb/ASrf 1 0 0 4 FB2_15 9 I/O I +iobs/PS_FSM_FFd1 2 0 0 3 FB2_16 (b) (b) +iobs/IOU1 2 0 0 3 FB2_17 10 I/O I +iobs/IOL1 2 0 0 3 FB2_18 (b) (b) Signals Used by Logic in Function Block - 1: E_IOB 4: iobm/IOACT 7: nBG_IOB - 2: iobm/BGr0 5: iobs/IOREQ 8: nDTACK_IOB - 3: iobm/Er 6: nBERR_IOB 9: nVPA_IOB + 1: cnt/RefReq 7: iobs/PS_FSM_FFd1 12: ram/RS_FSM_FFd1 + 2: cnt/RefUrgent 8: iobs/PS_FSM_FFd2 13: ram/RS_FSM_FFd2 + 3: fsb/ASrf 9: nAS_FSB 14: ram/RefDone + 4: iobm/IOACT 10: nLDS_FSB 15: ram/RefReqSync + 5: iobs/IOACTr 11: nUDS_FSB 16: ram/RegUrgentSync + 6: iobs/Load1 Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs +ram/RegUrgentSync .X...................................... 1 +ram/RefUrgent .............X.X........................ 2 +ram/RefReqSync X....................................... 1 +ram/RefReq .............XX......................... 2 +ram/RefRAS ...........XX........................... 2 +ram/BACTr ..X.....X............................... 2 iobs/IOACTr ...X.................................... 1 -iobm/VPArr ........X............................... 1 -iobm/VPArf ........X............................... 1 -iobm/IOREQr ....X................................... 1 -iobm/Er2 ..X..................................... 1 -iobm/Er X....................................... 1 -iobm/DTACKrr .......X................................ 1 -iobm/DTACKrf .......X................................ 1 -iobm/BGr1 .X...................................... 1 -iobm/BGr0 ......X................................. 1 -iobm/BERRrr .....X.................................. 1 -cnt/RefCnt<0> ........................................ 0 +iobs/ALE0 ......XX................................ 2 +fsb/ASrf ........X............................... 1 +iobs/PS_FSM_FFd1 ....X.XX................................ 3 +iobs/IOU1 .....X....X............................. 2 +iobs/IOL1 .....X...X.............................. 2 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB3 *********************************** -Number of function block inputs used/remaining: 38/16 -Number of signals used by logic mapping into function block: 38 +Number of function block inputs used/remaining: 34/20 +Number of signals used by logic mapping into function block: 34 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -fsb/VPA 27 22<- 0 0 FB3_1 (b) (b) -(unused) 0 0 /\5 0 FB3_2 23 GCK/I/O GCK/I +(unused) 0 0 \/5 0 FB3_1 (b) (b) +fsb/VPA 20 15<- 0 0 FB3_2 23 GCK/I/O GCK/I (unused) 0 0 /\5 0 FB3_3 (b) (b) -fsb/Ready0r 3 1<- /\3 0 FB3_4 (b) (b) -(unused) 0 0 /\1 4 FB3_5 24 I/O I -(unused) 0 0 \/2 3 FB3_6 25 I/O I -(unused) 0 0 \/5 0 FB3_7 (b) (b) +(unused) 0 0 0 5 FB3_4 (b) +iobs/Clear1 1 0 \/1 3 FB3_5 24 I/O I +fsb/Ready0r 3 1<- \/3 0 FB3_6 25 I/O I +iobs/IOReady 4 3<- \/4 0 FB3_7 (b) (b) (unused) 0 0 \/5 0 FB3_8 27 GCK/I/O GCK -nDTACK_FSB 28 23<- 0 0 FB3_9 28 I/O O -(unused) 0 0 /\5 0 FB3_10 (b) (b) -(unused) 0 0 /\5 0 FB3_11 29 I/O I -fsb/BERR0r 3 0 /\1 1 FB3_12 30 I/O I -cs/nOverlay0 3 0 \/2 0 FB3_13 (b) (b) +nDTACK_FSB 11 9<- \/3 0 FB3_9 28 I/O O +fsb/Ready1r 7 3<- \/1 0 FB3_10 (b) (b) +(unused) 0 0 \/5 0 FB3_11 29 I/O I +iobs/IORW1 16 11<- 0 0 FB3_12 30 I/O I +(unused) 0 0 /\5 0 FB3_13 (b) (b) (unused) 0 0 \/5 0 FB3_14 32 I/O I -fsb/Ready1r 8 7<- \/4 0 FB3_15 33 I/O I -fsb/Ready2r 9 4<- 0 0 FB3_16 (b) (b) -nROMWE 1 0 \/4 0 FB3_17 34 I/O O +iobs/IORW0 18 13<- 0 0 FB3_15 33 I/O I +(unused) 0 0 /\5 0 FB3_16 (b) (b) +nROMWE 1 0 /\3 1 FB3_17 34 I/O O (unused) 0 0 \/5 0 FB3_18 (b) (b) Signals Used by Logic in Function Block - 1: $OpTx$FX_DC$591 14: A_FSB<22> 27: fsb/Ready1r - 2: A_FSB<10> 15: A_FSB<23> 28: fsb/Ready2r - 3: A_FSB<11> 16: A_FSB<8> 29: fsb/VPA - 4: A_FSB<12> 17: A_FSB<9> 30: iobs/BERR - 5: A_FSB<13> 18: SW<1> 31: iobs/IOReady - 6: A_FSB<14> 19: cnt/TimeoutA 32: nADoutLE1 - 7: A_FSB<15> 20: cnt/TimeoutB 33: nAS_FSB - 8: A_FSB<16> 21: cs/nOverlay0 34: nBR_IOB - 9: A_FSB<17> 22: cs/nOverlay1 35: nDTACK_FSB - 10: A_FSB<18> 23: fsb/ASrf 36: nRES - 11: A_FSB<19> 24: fsb/BERR0r 37: nWE_FSB - 12: A_FSB<20> 25: fsb/BERR1r 38: ram/RAMReady - 13: A_FSB<21> 26: fsb/Ready0r + 1: A_FSB<10> 13: A_FSB<22> 24: iobs/IORW0 + 2: A_FSB<11> 14: A_FSB<23> 25: iobs/IORW1 + 3: A_FSB<12> 15: A_FSB<8> 26: iobs/IOReady + 4: A_FSB<13> 16: A_FSB<9> 27: iobs/Once + 5: A_FSB<14> 17: cs/nOverlay1 28: iobs/PS_FSM_FFd1 + 6: A_FSB<15> 18: fsb/ASrf 29: iobs/PS_FSM_FFd2 + 7: A_FSB<16> 19: fsb/Ready0r 30: nADoutLE1 + 8: A_FSB<17> 20: fsb/Ready1r 31: nAS_FSB + 9: A_FSB<18> 21: fsb/VPA 32: nDTACK_FSB + 10: A_FSB<19> 22: iobm/IOBERR 33: nWE_FSB + 11: A_FSB<20> 23: iobs/IOACTr 34: ram/RAMReady + 12: A_FSB<21> Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -fsb/VPA XXXXXXXXXXXXXXXXXXXX.X.XXXXXXXXX.X..XX.. 33 -fsb/Ready0r ............XXX......XX..X......X....X.. 8 -nDTACK_FSB .XXXXXXXXXXXXXXXXXXX.XXXXXXX.XXXXXX.XX.. 34 -fsb/BERR0r ...........XXXX....X..XX........X....... 8 -cs/nOverlay0 ...........XXXX.....X.X.........X..X.... 8 -fsb/Ready1r ....XX.XXXXXXXX..X...XX...X...XXX...X... 18 -fsb/Ready2r .XXXXXXXXXXXXXXXX.X..XX....X....X...X... 22 -nROMWE ................................X...X... 2 +fsb/VPA XXXXXXXXXXXXXXXXXXXXX....X...XX.XX...... 26 +iobs/Clear1 ...........................XXX.......... 3 +fsb/Ready0r ...........XXX..XXX...........X..X...... 8 +iobs/IOReady .................X...XX..XX.XXX......... 8 +nDTACK_FSB XXXXXXXXXXXXXXXXXXXX.....X...XXXXX...... 26 +fsb/Ready1r ...XX.XXXXXXXX..XX.X.....X...XX.X....... 17 +iobs/IORW1 ...XX.XXXXXXXX..XX......X.XXXXX.X....... 19 +iobs/IORW0 ...XX.XXXXXXXX..XX.....XX.XXXXX.X....... 20 +nROMWE ..............................X.X....... 2 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB4 *********************************** -Number of function block inputs used/remaining: 41/13 -Number of signals used by logic mapping into function block: 41 +Number of function block inputs used/remaining: 24/30 +Number of signals used by logic mapping into function block: 24 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -iobs/Clear1 1 0 /\2 2 FB4_1 (b) (b) -nAoutOE 3 0 0 2 FB4_2 87 I/O O -iobs/ALE0 1 0 0 4 FB4_3 (b) (b) -cnt/RefCnt<7> 1 0 0 4 FB4_4 (b) (b) -nDoutOE 2 0 0 3 FB4_5 89 I/O O -nDinOE 3 0 0 2 FB4_6 90 I/O O -cnt/RefCnt<6> 1 0 0 4 FB4_7 (b) (b) -cnt/RefCnt<5> 1 0 0 4 FB4_8 91 I/O I -cnt/RefCnt<4> 1 0 0 4 FB4_9 92 I/O I -cnt/RefCnt<3> 1 0 0 4 FB4_10 (b) (b) +cnt/nRESout 2 0 0 3 FB4_1 (b) (b) +nAoutOE 1 0 0 4 FB4_2 87 I/O O +cnt/TimerTC 2 0 0 3 FB4_3 (b) (b) +cnt/Timer<0> 2 0 0 3 FB4_4 (b) (b) +nDoutOE 1 0 0 4 FB4_5 89 I/O O +nDinOE 2 0 0 3 FB4_6 90 I/O O +cnt/PORS_FSM_FFd2 2 0 0 3 FB4_7 (b) (b) +nRES 1 0 0 4 FB4_8 91 I/O I/O +cnt/LTimer<0> 2 0 0 3 FB4_9 92 I/O I +cnt/Timer<3> 3 0 0 2 FB4_10 (b) (b) nVPA_FSB 1 0 0 4 FB4_11 93 I/O O -cnt/RefCnt<2> 1 0 0 4 FB4_12 94 I/O I -iobs/PS_FSM_FFd1 2 0 0 3 FB4_13 (b) (b) -cnt/RefDone 2 0 0 3 FB4_14 95 I/O I -iobs/IOU0 3 0 0 2 FB4_15 96 I/O I -cnt/TimeoutA 3 0 0 2 FB4_16 (b) (b) -iobs/IOReady 4 0 \/1 0 FB4_17 97 I/O I -ram/RS_FSM_FFd1 8 3<- 0 0 FB4_18 (b) (b) +cnt/Timer<2> 3 0 0 2 FB4_12 94 I/O I +cnt/Timer<1> 3 0 0 2 FB4_13 (b) (b) +cnt/RefUrgent 3 0 0 2 FB4_14 95 I/O I +cnt/RefReq 4 0 0 1 FB4_15 96 I/O I +cnt/LTimer<3> 4 0 0 1 FB4_16 (b) (b) +cnt/LTimer<2> 4 0 0 1 FB4_17 97 I/O I +cnt/LTimer<1> 4 0 0 1 FB4_18 (b) (b) Signals Used by Logic in Function Block - 1: A_FSB<20> 15: cnt/TimeoutA 29: iobs/PS_FSM_FFd1 - 2: A_FSB<21> 16: cs/nOverlay1 30: iobs/PS_FSM_FFd2 - 3: A_FSB<22> 17: fsb/ASrf 31: nADoutLE1 - 4: A_FSB<23> 18: fsb/VPA 32: nAS_FSB - 5: SW<1> 19: iobm/BGr0 33: nAS_IOB - 6: cnt/RefCnt<0> 20: iobm/BGr1 34: nAoutOE - 7: cnt/RefCnt<1> 21: iobm/IOBERR 35: nUDS_FSB - 8: cnt/RefCnt<2> 22: iobm/IOS_FSM_FFd2 36: nWE_FSB - 9: cnt/RefCnt<3> 23: iobm/IOS_FSM_FFd3 37: ram/Once - 10: cnt/RefCnt<4> 24: iobs/IOACTr 38: ram/RS_FSM_FFd1 - 11: cnt/RefCnt<5> 25: iobs/IORW0 39: ram/RS_FSM_FFd2 - 12: cnt/RefCnt<6> 26: iobs/IOReady 40: ram/RS_FSM_FFd3 - 13: cnt/RefCnt<7> 27: iobs/IOU1 41: ram/RefRAS - 14: cnt/RefDone 28: iobs/Once - -Signal 1 2 3 4 5 FB -Name 0----+----0----+----0----+----0----+----0----+----0 Inputs -iobs/Clear1 ............................XXX................... 3 -nAoutOE ..................XX............XX................ 4 -iobs/ALE0 ............................XX.................... 2 -cnt/RefCnt<7> .....XXXXXXX...................................... 7 -nDoutOE .....................XX.X......................... 3 -nDinOE XXXXX..........................X...X.............. 7 -cnt/RefCnt<6> .....XXXXXX....................................... 6 -cnt/RefCnt<5> .....XXXXX........................................ 5 -cnt/RefCnt<4> .....XXXX......................................... 4 -cnt/RefCnt<3> .....XXX.......................................... 3 -nVPA_FSB .................X.............X.................. 2 -cnt/RefCnt<2> .....XX........................................... 2 -iobs/PS_FSM_FFd1 .......................X....XX.................... 3 -cnt/RefDone .....XXXXXXXXX..........................X......... 10 -iobs/IOU0 ..........................X.XXX...X............... 5 -cnt/TimeoutA .....XXXXXXX..X.X..............X.................. 10 -iobs/IOReady ................X...X..X.X.X.XXX.................. 8 -ram/RS_FSM_FFd1 .XXX......XXXX.XX..............X....XXXX.......... 14 - 0----+----1----+----2----+----3----+----4----+----5 - 0 0 0 0 0 - *********************************** FB5 *********************************** -Number of function block inputs used/remaining: 39/15 -Number of signals used by logic mapping into function block: 39 -Signal Total Imp Exp Unused Loc Pin Pin Pin -Name Pt Pt Pt Pt # Type Use -(unused) 0 0 /\5 0 FB5_1 (b) (b) -nROMCS 3 0 \/2 0 FB5_2 35 I/O O -ram/RAMDIS2 7 2<- 0 0 FB5_3 (b) (b) -iobs/IOL0 3 0 0 2 FB5_4 (b) (b) -nCAS 1 0 \/1 3 FB5_5 36 I/O O -nOE 1 1<- \/5 0 FB5_6 37 I/O O -iobs/Once 18 13<- 0 0 FB5_7 (b) (b) -(unused) 0 0 /\5 0 FB5_8 39 I/O (b) -RA<4> 2 0 /\3 0 FB5_9 40 I/O O -ram/Once 5 0 0 0 FB5_10 (b) (b) -RA<3> 2 0 \/2 1 FB5_11 41 I/O O -RA<5> 2 2<- \/5 0 FB5_12 42 I/O O -iobs/Load1 15 10<- 0 0 FB5_13 (b) (b) -RA<2> 2 2<- /\5 0 FB5_14 43 I/O O -RA<6> 2 0 /\2 1 FB5_15 46 I/O O -(unused) 0 0 \/4 1 FB5_16 (b) (b) -(unused) 0 0 \/5 0 FB5_17 49 I/O (b) -ram/RASEL 19 14<- 0 0 FB5_18 (b) (b) - -Signals Used by Logic in Function Block - 1: A_FSB<12> 14: A_FSB<4> 27: iobs/PS_FSM_FFd1 - 2: A_FSB<13> 15: A_FSB<5> 28: iobs/PS_FSM_FFd2 - 3: A_FSB<14> 16: A_FSB<6> 29: nADoutLE1 - 4: A_FSB<15> 17: A_FSB<7> 30: nAS_FSB - 5: A_FSB<16> 18: SW<1> 31: nLDS_FSB - 6: A_FSB<17> 19: cnt/RefCnt<5> 32: nWE_FSB - 7: A_FSB<18> 20: cnt/RefCnt<6> 33: ram/BACTr - 8: A_FSB<19> 21: cnt/RefCnt<7> 34: ram/Once - 9: A_FSB<20> 22: cnt/RefDone 35: ram/RAMDIS2 - 10: A_FSB<21> 23: cs/nOverlay1 36: ram/RASEL - 11: A_FSB<22> 24: fsb/ASrf 37: ram/RS_FSM_FFd1 - 12: A_FSB<23> 25: iobs/IOL1 38: ram/RS_FSM_FFd2 - 13: A_FSB<3> 26: iobs/Once 39: ram/RS_FSM_FFd3 + 1: A_FSB<20> 9: cnt/LTimer<2> 17: cnt/TimerTC + 2: A_FSB<21> 10: cnt/LTimer<3> 18: cnt/nRESout + 3: A_FSB<22> 11: cnt/PORS_FSM_FFd1 19: fsb/VPA + 4: A_FSB<23> 12: cnt/PORS_FSM_FFd2 20: iobm/DoutOE + 5: E 13: cnt/Timer<0> 21: nAS_FSB + 6: cnt/LTimer<0> 14: cnt/Timer<1> 22: nAoutOE + 7: cnt/LTimer<13> 15: cnt/Timer<2> 23: nBR_IOB + 8: cnt/LTimer<1> 16: cnt/Timer<3> 24: nWE_FSB Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -nROMCS ........XXXX.....X....X................. 6 -ram/RAMDIS2 .........XXX......XXXXXX.....X...XX.XXX. 15 -iobs/IOL0 ........................X.XXX.X......... 5 -nCAS ...................................X.... 1 -nOE .............................X.X........ 2 -iobs/Once .XX.XXXXXXXX.....X....XX.XXXXX.X........ 19 -RA<4> ..X...........X....................X.... 3 -ram/Once .........XXX..........XX.....X...X..XXX. 10 -RA<3> .X...........X.....................X.... 3 -RA<5> ...X...........X...................X.... 3 -iobs/Load1 .XX.XXXXXXXX.....X....XX.XXXXX.X........ 19 -RA<2> X...........X......................X.... 3 -RA<6> ....X...........X..................X.... 3 -ram/RASEL .........XXX......XXXXXX.....X..XX..XXX. 15 +cnt/nRESout ......X...XX.....X...................... 4 +nAoutOE ..........XX..........X................. 3 +cnt/TimerTC ....X.......XXXX........................ 5 +cnt/Timer<0> ....X.......X...X....................... 3 +nDoutOE ...................X.X.................. 2 +nDinOE XXXX................X..X................ 6 +cnt/PORS_FSM_FFd2 ......X...XX............................ 3 +nRES .................X...................... 1 +cnt/LTimer<0> .....XX.........X....................... 3 +cnt/Timer<3> ....X.......XXXXX....................... 6 +nVPA_FSB ..................X.X................... 2 +cnt/Timer<2> ....X.......XXX.X....................... 5 +cnt/Timer<1> ....X.......XX..X....................... 4 +cnt/RefUrgent ....X.......XXXX........................ 5 +cnt/RefReq ....X.......XXXX........................ 5 +cnt/LTimer<3> .....XXXXX......X....................... 6 +cnt/LTimer<2> .....XXXX.......X....................... 5 +cnt/LTimer<1> .....XXX........X....................... 4 + 0----+----1----+----2----+----3----+----4 + 0 0 0 0 + *********************************** FB5 *********************************** +Number of function block inputs used/remaining: 30/24 +Number of signals used by logic mapping into function block: 30 +Signal Total Imp Exp Unused Loc Pin Pin Pin +Name Pt Pt Pt Pt # Type Use +ram/RASEL 17 12<- 0 0 FB5_1 (b) (b) +nROMCS 2 2<- /\5 0 FB5_2 35 I/O O +ram/RefDone 2 0 /\2 1 FB5_3 (b) (b) +cs/nOverlay1 2 0 0 3 FB5_4 (b) (b) +nCAS 1 0 0 4 FB5_5 36 I/O O +nOE 1 0 \/3 1 FB5_6 37 I/O O +ram/RS_FSM_FFd1 8 3<- 0 0 FB5_7 (b) (b) +cs/nOverlay0 3 0 \/1 1 FB5_8 39 I/O (b) +RA<4> 2 1<- \/4 0 FB5_9 40 I/O O +ram/RAMEN 9 4<- 0 0 FB5_10 (b) (b) +RA<3> 2 0 \/2 1 FB5_11 41 I/O O +RA<5> 2 2<- \/5 0 FB5_12 42 I/O O +ram/RS_FSM_FFd2 10 5<- 0 0 FB5_13 (b) (b) +RA<2> 2 0 \/1 2 FB5_14 43 I/O O +RA<6> 2 1<- \/4 0 FB5_15 46 I/O O +(unused) 0 0 \/5 0 FB5_16 (b) (b) +ram/RAMReady 12 9<- \/2 0 FB5_17 49 I/O (b) +(unused) 0 0 \/5 0 FB5_18 (b) (b) + +Signals Used by Logic in Function Block + 1: A_FSB<12> 11: A_FSB<4> 21: ram/BACTr + 2: A_FSB<13> 12: A_FSB<5> 22: ram/RAMEN + 3: A_FSB<14> 13: A_FSB<6> 23: ram/RASEL + 4: A_FSB<15> 14: A_FSB<7> 24: ram/RS_FSM_FFd1 + 5: A_FSB<16> 15: nRES.PIN 25: ram/RS_FSM_FFd2 + 6: A_FSB<20> 16: cs/nOverlay0 26: ram/RS_FSM_FFd3 + 7: A_FSB<21> 17: cs/nOverlay1 27: ram/RefDone + 8: A_FSB<22> 18: fsb/ASrf 28: ram/RefReq + 9: A_FSB<23> 19: nAS_FSB 29: ram/RefReqSync + 10: A_FSB<3> 20: nWE_FSB 30: ram/RefUrgent + +Signal 1 2 3 4 FB +Name 0----+----0----+----0----+----0----+----0 Inputs +ram/RASEL ......XXX.......XXX.XX.XXX.X.X.......... 13 +nROMCS .....XXXX.......X....................... 5 +ram/RefDone .......................XX.X.X........... 4 +cs/nOverlay1 ...............X.XX..................... 3 +nCAS ......................X................. 1 +nOE ..................XX.................... 2 +ram/RS_FSM_FFd1 ......XXX.......XXX..X.XXX...X.......... 11 +cs/nOverlay0 .....XXXX.....XX.XX..................... 8 +RA<4> ..X........X..........X................. 3 +ram/RAMEN ......XXX.......XXX.XX.XXX.X.X.......... 13 +RA<3> .X........X...........X................. 3 +RA<5> ...X........X.........X................. 3 +ram/RS_FSM_FFd2 ......XXX.......XXX.X..XXX.X.X.......... 12 +RA<2> X........X............X................. 3 +RA<6> ....X........X........X................. 3 +ram/RAMReady ......XXX.......XXX.XX.XXX.X.X.......... 13 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB6 *********************************** @@ -538,13 +547,13 @@ Number of function block inputs used/remaining: 34/20 Number of signals used by logic mapping into function block: 34 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -iobm/ETACK 1 0 /\4 0 FB6_1 (b) (b) +iobm/ETACK 1 0 0 4 FB6_1 (b) (b) nVMA_IOB 3 0 0 2 FB6_2 74 I/O O -iobm/IOS_FSM_FFd3 3 0 0 2 FB6_3 (b) (b) -iobm/ES<3> 3 0 0 2 FB6_4 (b) (b) -iobm/ES<1> 3 0 0 2 FB6_5 76 I/O I -iobm/ES<0> 3 0 0 2 FB6_6 77 I/O I -iobm/ALE0 3 0 0 2 FB6_7 (b) (b) +iobm/DoutOE 2 0 0 3 FB6_3 (b) (b) +iobm/ALE0 2 0 0 3 FB6_4 (b) (b) +iobm/ES<3> 3 0 0 2 FB6_5 76 I/O I +iobm/ES<1> 3 0 0 2 FB6_6 77 I/O I +iobm/ES<0> 3 0 0 2 FB6_7 (b) (b) iobm/ES<4> 4 0 0 1 FB6_8 78 I/O I nLDS_IOB 4 0 0 1 FB6_9 79 I/O O iobm/IOS_FSM_FFd2 5 0 0 0 FB6_10 (b) (b) @@ -553,12 +562,12 @@ nAS_IOB 3 0 0 2 FB6_12 81 I/O O iobm/ES<2> 5 0 0 0 FB6_13 (b) (b) nADoutLE1 2 0 0 3 FB6_14 82 I/O O nADoutLE0 1 0 \/1 3 FB6_15 85 I/O O -iobm/IOACT 7 2<- 0 0 FB6_16 (b) (b) -nDinLE 1 0 /\1 3 FB6_17 86 I/O O +iobm/IOACT 6 1<- 0 0 FB6_16 (b) (b) +nDinLE 1 0 \/4 0 FB6_17 86 I/O O iobm/IOBERR 9 4<- 0 0 FB6_18 (b) (b) Signals Used by Logic in Function Block - 1: CLK_IOB 13: iobm/Er 24: iobm/VPArr + 1: C8M 13: iobm/Er 24: iobm/VPArr 2: iobm/ALE0 14: iobm/Er2 25: iobs/ALE0 3: iobm/BERRrf 15: iobm/IOACT 26: iobs/Clear1 4: iobm/BERRrr 16: iobm/IOBERR 27: iobs/IOL0 @@ -575,11 +584,11 @@ Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs iobm/ETACK ......XXXXX......................X...... 6 nVMA_IOB ......XXXXX...X.......XX.......X.X...... 10 -iobm/IOS_FSM_FFd3 X...............XXXX...........X........ 6 +iobm/DoutOE ..................XX.......X............ 3 +iobm/ALE0 ................XXXX.................... 4 iobm/ES<3> ......XXXX..XX.......................... 6 iobm/ES<1> ......XX....XX.......................... 4 iobm/ES<0> ......XXXXX.XX.......................... 7 -iobm/ALE0 ................XXXX...........X........ 5 iobm/ES<4> ......XXXXX.XX.......................... 7 nLDS_IOB .................XXX......XX...X........ 6 iobm/IOS_FSM_FFd2 X.XXXX.....X.....XXXXX.................. 11 @@ -588,120 +597,121 @@ nAS_IOB .................XXX...........X........ 4 iobm/ES<2> ......XXXXX.XX.......................... 7 nADoutLE1 .........................X...XX......... 3 nADoutLE0 .X......................X............... 2 -iobm/IOACT X.XXXX.....X....XXXXXX.........X........ 13 +iobm/IOACT X.XXXX.....X....XXXXXX.................. 12 nDinLE .................XX..................... 2 iobm/IOBERR X.XXXX.....X...X.XXXXX..........X....... 13 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB7 *********************************** +Number of function block inputs used/remaining: 30/24 +Number of signals used by logic mapping into function block: 30 +Signal Total Imp Exp Unused Loc Pin Pin Pin +Name Pt Pt Pt Pt # Type Use +cnt/LTimer<13> 3 0 0 2 FB7_1 (b) (b) +RA<1> 2 0 0 3 FB7_2 50 I/O O +cnt/LTimer<9> 4 0 0 1 FB7_3 (b) (b) +cnt/LTimer<8> 4 0 0 1 FB7_4 (b) (b) +RA<7> 2 0 0 3 FB7_5 52 I/O O +RA<0> 2 0 0 3 FB7_6 53 I/O O +cnt/LTimer<7> 4 0 \/1 0 FB7_7 (b) (b) +RA<8> 7 2<- 0 0 FB7_8 54 I/O O +RA<10> 1 0 /\1 3 FB7_9 55 I/O O +cnt/LTimer<6> 4 0 0 1 FB7_10 (b) (b) +RA<9> 2 0 0 3 FB7_11 56 I/O O +C25MEN 0 0 0 5 FB7_12 58 I/O O +cnt/LTimer<5> 4 0 0 1 FB7_13 (b) (b) +C20MEN 0 0 0 5 FB7_14 59 I/O O +cnt/LTimer<4> 4 0 0 1 FB7_15 60 I/O (b) +cnt/LTimer<12> 4 0 0 1 FB7_16 (b) (b) +cnt/LTimer<11> 4 0 0 1 FB7_17 61 I/O (b) +cnt/LTimer<10> 4 0 0 1 FB7_18 (b) (b) + +Signals Used by Logic in Function Block + 1: A_FSB<10> 11: A_FSB<2> 21: cnt/LTimer<3> + 2: A_FSB<11> 12: A_FSB<8> 22: cnt/LTimer<4> + 3: A_FSB<17> 13: A_FSB<9> 23: cnt/LTimer<5> + 4: A_FSB<18> 14: cnt/LTimer<0> 24: cnt/LTimer<6> + 5: A_FSB<19> 15: cnt/LTimer<10> 25: cnt/LTimer<7> + 6: A_FSB<1> 16: cnt/LTimer<11> 26: cnt/LTimer<8> + 7: A_FSB<20> 17: cnt/LTimer<12> 27: cnt/LTimer<9> + 8: A_FSB<21> 18: cnt/LTimer<13> 28: cnt/TimerTC + 9: A_FSB<22> 19: cnt/LTimer<1> 29: cs/nOverlay1 + 10: A_FSB<23> 20: cnt/LTimer<2> 30: ram/RASEL + +Signal 1 2 3 4 FB +Name 0----+----0----+----0----+----0----+----0 Inputs +cnt/LTimer<13> .............XXXXXXXXXXXXXXX............ 15 +RA<1> .X........X..................X.......... 3 +cnt/LTimer<9> .............X...XXXXXXXXXXX............ 12 +cnt/LTimer<8> .............X...XXXXXXXXX.X............ 11 +RA<7> ..X........X.................X.......... 3 +RA<0> X....X.......................X.......... 3 +cnt/LTimer<7> .............X...XXXXXXXX..X............ 10 +RA<8> ...X...XXX..X...............XX.......... 7 +RA<10> .......X................................ 1 +cnt/LTimer<6> .............X...XXXXXXX...X............ 9 +RA<9> ....X.X......................X.......... 3 +C25MEN ........................................ 0 +cnt/LTimer<5> .............X...XXXXXX....X............ 8 +C20MEN ........................................ 0 +cnt/LTimer<4> .............X...XXXXX.....X............ 7 +cnt/LTimer<12> .............XXXXXXXXXXXXXXX............ 15 +cnt/LTimer<11> .............XXX.XXXXXXXXXXX............ 14 +cnt/LTimer<10> .............XX..XXXXXXXXXXX............ 13 + 0----+----1----+----2----+----3----+----4 + 0 0 0 0 + *********************************** FB8 *********************************** Number of function block inputs used/remaining: 34/20 Number of signals used by logic mapping into function block: 34 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -(unused) 0 0 /\5 0 FB7_1 (b) (b) -RA<1> 2 0 /\2 1 FB7_2 50 I/O O -(unused) 0 0 \/5 0 FB7_3 (b) (b) -ram/RS_FSM_FFd3 11 6<- 0 0 FB7_4 (b) (b) -RA<7> 2 0 /\1 2 FB7_5 52 I/O O -RA<0> 2 0 0 3 FB7_6 53 I/O O -cnt/TimeoutBPre 3 0 \/2 0 FB7_7 (b) (b) -RA<8> 7 2<- 0 0 FB7_8 54 I/O O -RA<10> 1 0 \/4 0 FB7_9 55 I/O O -ram/RS_FSM_FFd2 14 9<- 0 0 FB7_10 (b) (b) -RA<9> 2 2<- /\5 0 FB7_11 56 I/O O -CLK25EN 1 0 /\2 2 FB7_12 58 I/O O -cnt/TimeoutB 3 0 \/1 1 FB7_13 (b) (b) -CLK20EN 1 1<- \/5 0 FB7_14 59 I/O O -ram/RAMReady 15 10<- 0 0 FB7_15 60 I/O I -(unused) 0 0 /\5 0 FB7_16 (b) (b) -(unused) 0 0 \/5 0 FB7_17 61 I/O I -ram/RAMDIS1 17 12<- 0 0 FB7_18 (b) (b) - -Signals Used by Logic in Function Block - 1: A_FSB<10> 13: A_FSB<9> 24: cnt/TimeoutB - 2: A_FSB<11> 14: SW<0> 25: cnt/TimeoutBPre - 3: A_FSB<17> 15: cnt/RefCnt<0> 26: cs/nOverlay1 - 4: A_FSB<18> 16: cnt/RefCnt<1> 27: fsb/ASrf - 5: A_FSB<19> 17: cnt/RefCnt<2> 28: nAS_FSB - 6: A_FSB<1> 18: cnt/RefCnt<3> 29: ram/BACTr - 7: A_FSB<20> 19: cnt/RefCnt<4> 30: ram/Once - 8: A_FSB<21> 20: cnt/RefCnt<5> 31: ram/RASEL - 9: A_FSB<22> 21: cnt/RefCnt<6> 32: ram/RS_FSM_FFd1 - 10: A_FSB<23> 22: cnt/RefCnt<7> 33: ram/RS_FSM_FFd2 - 11: A_FSB<2> 23: cnt/RefDone 34: ram/RS_FSM_FFd3 - 12: A_FSB<8> - -Signal 1 2 3 4 FB -Name 0----+----0----+----0----+----0----+----0 Inputs -RA<1> .X........X...................X......... 3 -ram/RS_FSM_FFd3 .......XXX.........XXXX..XXX.X.XXX...... 14 -RA<7> ..X........X..................X......... 3 -RA<0> X....X........................X......... 3 -cnt/TimeoutBPre ..............XXXXXXXX..X.XX............ 11 -RA<8> ...X...XXX..X............X....X......... 7 -RA<10> .......X................................ 1 -ram/RS_FSM_FFd2 .......XXX.........XXXX..XXXX..XXX...... 14 -RA<9> ....X.X.......................X......... 3 -CLK25EN .............X.......................... 1 -cnt/TimeoutB ..............XXXXXXXX.XX.XX............ 12 -CLK20EN .............X.......................... 1 -ram/RAMReady .......XXX.........XXXX..XXXXX.XXX...... 15 -ram/RAMDIS1 .......XXX.........XXXX..XXXXX.XXX...... 15 - 0----+----1----+----2----+----3----+----4 - 0 0 0 0 - *********************************** FB8 *********************************** -Number of function block inputs used/remaining: 38/16 -Number of signals used by logic mapping into function block: 38 -Signal Total Imp Exp Unused Loc Pin Pin Pin -Name Pt Pt Pt Pt # Type Use -(unused) 0 0 /\5 0 FB8_1 (b) (b) -RA<11> 1 0 /\4 0 FB8_2 63 I/O O -(unused) 0 0 \/5 0 FB8_3 (b) (b) -iobs/PS_FSM_FFd2 15 10<- 0 0 FB8_4 (b) (b) -nRAS 3 3<- /\5 0 FB8_5 64 I/O O -nRAMLWE 1 0 /\3 1 FB8_6 65 I/O O -RESDone 1 0 \/1 3 FB8_7 (b) (b) -nRAMUWE 1 1<- \/5 0 FB8_8 66 I/O O -iobs/IOREQ 15 10<- 0 0 FB8_9 67 I/O (b) -(unused) 0 0 /\5 0 FB8_10 (b) (b) -(unused) 0 0 \/1 4 FB8_11 68 I/O (b) -nBERR_FSB 3 1<- \/3 0 FB8_12 70 I/O O +cnt/PORS_FSM_FFd1 1 0 /\2 2 FB8_1 (b) (b) +RA<11> 1 0 \/4 0 FB8_2 63 I/O O +ram/RS_FSM_FFd3 9 4<- 0 0 FB8_3 (b) (b) +(unused) 0 0 0 5 FB8_4 (b) +nRAS 3 0 \/1 1 FB8_5 64 I/O O +nRAMLWE 1 1<- \/5 0 FB8_6 65 I/O O +iobs/PS_FSM_FFd2 14 9<- 0 0 FB8_7 (b) (b) +nRAMUWE 1 0 /\4 0 FB8_8 66 I/O O +(unused) 0 0 \/4 1 FB8_9 67 I/O (b) +iobs/Load1 14 9<- 0 0 FB8_10 (b) (b) +(unused) 0 0 /\5 0 FB8_11 68 I/O (b) +nBERR_FSB 4 0 \/1 0 FB8_12 70 I/O O (unused) 0 0 \/5 0 FB8_13 (b) (b) -iobs/IORW1 17 12<- 0 0 FB8_14 71 I/O (b) -nBR_IOB 1 0 /\4 0 FB8_15 72 I/O O -iobs/BERR 4 0 0 1 FB8_16 (b) (b) -(unused) 0 0 \/5 0 FB8_17 73 I/O I -iobs/IORW0 19 14<- 0 0 FB8_18 (b) (b) +iobs/IOREQ 14 9<- 0 0 FB8_14 71 I/O (b) +nBR_IOB 2 0 /\3 0 FB8_15 72 I/O O +(unused) 0 0 \/5 0 FB8_16 (b) (b) +iobs/Once 17 12<- 0 0 FB8_17 73 I/O I +(unused) 0 0 /\5 0 FB8_18 (b) (b) Signals Used by Logic in Function Block - 1: A_FSB<13> 14: RESr0 27: iobs/IORW1 - 2: A_FSB<14> 15: RESr1 28: iobs/Once - 3: A_FSB<16> 16: RESr2 29: iobs/PS_FSM_FFd1 - 4: A_FSB<17> 17: SW<1> 30: iobs/PS_FSM_FFd2 - 5: A_FSB<18> 18: cnt/TimeoutB 31: nADoutLE1 - 6: A_FSB<19> 19: cs/nOverlay1 32: nAS_FSB - 7: A_FSB<20> 20: fsb/ASrf 33: nLDS_FSB - 8: A_FSB<21> 21: fsb/BERR0r 34: nUDS_FSB - 9: A_FSB<22> 22: fsb/BERR1r 35: nWE_FSB - 10: A_FSB<23> 23: iobm/IOBERR 36: ram/RAMDIS1 - 11: IPL2r0 24: iobs/BERR 37: ram/RAMDIS2 - 12: IPL2r1 25: iobs/IOACTr 38: ram/RefRAS - 13: RESDone 26: iobs/IORW0 + 1: A_FSB<13> 13: cnt/PORS_FSM_FFd1 24: nBERR_FSB + 2: A_FSB<14> 14: cnt/PORS_FSM_FFd2 25: nBR_IOB + 3: A_FSB<16> 15: cs/nOverlay1 26: nLDS_FSB + 4: A_FSB<17> 16: fsb/ASrf 27: nUDS_FSB + 5: A_FSB<18> 17: iobm/IOBERR 28: nWE_FSB + 6: A_FSB<19> 18: iobs/IOACTr 29: ram/RAMEN + 7: A_FSB<20> 19: iobs/Once 30: ram/RS_FSM_FFd1 + 8: A_FSB<21> 20: iobs/PS_FSM_FFd1 31: ram/RS_FSM_FFd2 + 9: A_FSB<22> 21: iobs/PS_FSM_FFd2 32: ram/RS_FSM_FFd3 + 10: A_FSB<23> 22: nADoutLE1 33: ram/RefRAS + 11: cnt/IPL2r 23: nAS_FSB 34: ram/RefUrgent + 12: cnt/LTimer<13> Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs +cnt/PORS_FSM_FFd1 ..........XXXX.......................... 4 RA<11> .....X.................................. 1 -iobs/PS_FSM_FFd2 XXXXXXXXXX......X.XX....X..XXXXX..X..... 20 -nRAS .......XXX........X............X...XXX.. 8 -nRAMLWE ...............................XX.XXX... 5 -RESDone .............XXX........................ 3 -nRAMUWE ...............................X.XXXX... 5 -iobs/IOREQ XXXXXXXXXX......X.XX....X..XXXXX..X..... 20 -nBERR_FSB ......XXXX.......X..XX.X.......X........ 9 -iobs/IORW1 XXXXXXXXXX......X.XX......XXXXXX..X..... 20 -nBR_IOB ..........XXXXXX........................ 6 -iobs/BERR ...................X..XXX..X.XXX........ 8 -iobs/IORW0 XXXXXXXXXX......X.XX.....XXXXXXX..X..... 21 +ram/RS_FSM_FFd3 .......XXX....XX......X.....XXXX.X...... 11 +nRAS .......XXX....X.......X.....X...X....... 7 +nRAMLWE ......................X..X.XX........... 4 +iobs/PS_FSM_FFd2 XXXXXXXXXX....XX.XXXXXX....X............ 19 +nRAMUWE ......................X...XXX........... 4 +iobs/Load1 XXXXXXXXXX....XX..XXXXX....X............ 18 +nBERR_FSB ...............XXXX.XXXX................ 8 +iobs/IOREQ XXXXXXXXXX....XX.XXXXXX....X............ 19 +nBR_IOB ..........X.XX..........X............... 4 +iobs/Once XXXXXXXXXX....XX..XXXXX....X............ 18 0----+----1----+----2----+----3----+----4 0 0 0 0 ******************************* Equations ******************************** @@ -709,13 +719,10 @@ iobs/IORW0 XXXXXXXXXX......X.XX.....XXXXXXX..X..... 21 ********** Mapped Logic ********** -assign $OpTx$FX_DC$591 = (nAS_FSB && !fsb/ASrf); +assign C20MEN = 1'b1; -assign CLK20EN = SW[0]; - - -assign CLK25EN = !SW[0]; +assign C25MEN = 1'b1; @@ -746,28 +753,6 @@ assign CLK25EN = !SW[0]; - - - - - - - - - - - - - - - - - - - -FDCPE FDCPE_IPL2r0 (IPL2r0,!nIPL2,CLK_FSB,1'b0,1'b0); - -FDCPE FDCPE_IPL2r1 (IPL2r1,IPL2r0,CLK_FSB,1'b0,1'b0); assign RA[0] = ((A_FSB[10] && !ram/RASEL) @@ -786,16 +771,16 @@ assign RA[3] = ((A_FSB[13] && !ram/RASEL) || (ram/RASEL && A_FSB[4])); -assign RA[4] = ((A_FSB[14] && !ram/RASEL) - || (ram/RASEL && A_FSB[5])); +assign RA[4] = ((ram/RASEL && A_FSB[5]) + || (A_FSB[14] && !ram/RASEL)); assign RA[5] = ((A_FSB[15] && !ram/RASEL) || (ram/RASEL && A_FSB[6])); -assign RA[6] = ((A_FSB[16] && !ram/RASEL) - || (ram/RASEL && A_FSB[7])); +assign RA[6] = ((ram/RASEL && A_FSB[7]) + || (A_FSB[16] && !ram/RASEL)); assign RA[7] = ((A_FSB[8] && ram/RASEL) @@ -822,235 +807,282 @@ assign RA[10] = A_FSB[21]; assign RA[11] = A_FSB[19]; -FDCPE FDCPE_RESDone (RESDone,1'b1,CLK_FSB,1'b0,1'b0,RESDone_CE); -assign RESDone_CE = (!RESr0 && !RESr1 && RESr2); +FDCPE FDCPE_cnt/IPL2r (cnt/IPL2r,!nIPL2,!C8M,1'b0,1'b0); -FDCPE FDCPE_RESr0 (RESr0,!nRES,CLK_FSB,1'b0,1'b0); +FTCPE FTCPE_cnt/LTimer0 (cnt/LTimer[0],cnt/LTimer_T[0],!C8M,1'b0,1'b0,cnt/TimerTC); +assign cnt/LTimer_T[0] = (!cnt/LTimer[0] && cnt/LTimer[13] && cnt/TimerTC); -FDCPE FDCPE_RESr1 (RESr1,RESr0,CLK_FSB,1'b0,1'b0); +FDCPE FDCPE_cnt/LTimer1 (cnt/LTimer[1],cnt/LTimer_D[1],!C8M,1'b0,1'b0,cnt/TimerTC); +assign cnt/LTimer_D[1] = ((cnt/LTimer[0] && cnt/LTimer[1]) + || (!cnt/LTimer[0] && !cnt/LTimer[1]) + || (cnt/LTimer[13] && cnt/TimerTC)); -FDCPE FDCPE_RESr2 (RESr2,RESr1,CLK_FSB,1'b0,1'b0); +FTCPE FTCPE_cnt/LTimer2 (cnt/LTimer[2],cnt/LTimer_T[2],!C8M,1'b0,1'b0,cnt/TimerTC); +assign cnt/LTimer_T[2] = ((cnt/LTimer[0] && !cnt/LTimer[13] && cnt/LTimer[1]) + || (cnt/LTimer[0] && cnt/LTimer[1] && !cnt/TimerTC) + || (cnt/LTimer[13] && cnt/LTimer[2] && cnt/TimerTC)); -FTCPE FTCPE_cnt/RefCnt0 (cnt/RefCnt[0],1'b1,CLK_FSB,1'b0,1'b0); +FTCPE FTCPE_cnt/LTimer3 (cnt/LTimer[3],cnt/LTimer_T[3],!C8M,1'b0,1'b0,cnt/TimerTC); +assign cnt/LTimer_T[3] = ((cnt/LTimer[13] && cnt/LTimer[3] && cnt/TimerTC) + || (cnt/LTimer[0] && !cnt/LTimer[13] && cnt/LTimer[1] && + cnt/LTimer[2]) + || (cnt/LTimer[0] && cnt/LTimer[1] && cnt/LTimer[2] && + !cnt/TimerTC)); -FTCPE FTCPE_cnt/RefCnt1 (cnt/RefCnt[1],cnt/RefCnt[0],CLK_FSB,1'b0,1'b0); +FTCPE FTCPE_cnt/LTimer4 (cnt/LTimer[4],cnt/LTimer_T[4],!C8M,1'b0,1'b0,cnt/TimerTC); +assign cnt/LTimer_T[4] = ((cnt/LTimer[13] && cnt/LTimer[4] && cnt/TimerTC) + || (cnt/LTimer[0] && !cnt/LTimer[13] && cnt/LTimer[1] && + cnt/LTimer[2] && cnt/LTimer[3]) + || (cnt/LTimer[0] && cnt/LTimer[1] && cnt/LTimer[2] && + cnt/LTimer[3] && !cnt/TimerTC)); -FTCPE FTCPE_cnt/RefCnt2 (cnt/RefCnt[2],cnt/RefCnt_T[2],CLK_FSB,1'b0,1'b0); -assign cnt/RefCnt_T[2] = (cnt/RefCnt[0] && cnt/RefCnt[1]); +FTCPE FTCPE_cnt/LTimer5 (cnt/LTimer[5],cnt/LTimer_T[5],!C8M,1'b0,1'b0,cnt/TimerTC); +assign cnt/LTimer_T[5] = ((cnt/LTimer[13] && cnt/LTimer[5] && cnt/TimerTC) + || (cnt/LTimer[0] && !cnt/LTimer[13] && cnt/LTimer[1] && + cnt/LTimer[2] && cnt/LTimer[3] && cnt/LTimer[4]) + || (cnt/LTimer[0] && cnt/LTimer[1] && cnt/LTimer[2] && + cnt/LTimer[3] && cnt/LTimer[4] && !cnt/TimerTC)); -FTCPE FTCPE_cnt/RefCnt3 (cnt/RefCnt[3],cnt/RefCnt_T[3],CLK_FSB,1'b0,1'b0); -assign cnt/RefCnt_T[3] = (cnt/RefCnt[0] && cnt/RefCnt[1] && cnt/RefCnt[2]); +FTCPE FTCPE_cnt/LTimer6 (cnt/LTimer[6],cnt/LTimer_T[6],!C8M,1'b0,1'b0,cnt/TimerTC); +assign cnt/LTimer_T[6] = ((cnt/LTimer[13] && cnt/LTimer[6] && cnt/TimerTC) + || (cnt/LTimer[0] && !cnt/LTimer[13] && cnt/LTimer[1] && + cnt/LTimer[2] && cnt/LTimer[3] && cnt/LTimer[5] && cnt/LTimer[4]) + || (cnt/LTimer[0] && cnt/LTimer[1] && cnt/LTimer[2] && + cnt/LTimer[3] && cnt/LTimer[5] && cnt/LTimer[4] && !cnt/TimerTC)); -FTCPE FTCPE_cnt/RefCnt4 (cnt/RefCnt[4],cnt/RefCnt_T[4],CLK_FSB,1'b0,1'b0); -assign cnt/RefCnt_T[4] = (cnt/RefCnt[0] && cnt/RefCnt[1] && cnt/RefCnt[3] && - cnt/RefCnt[2]); +FTCPE FTCPE_cnt/LTimer7 (cnt/LTimer[7],cnt/LTimer_T[7],!C8M,1'b0,1'b0,cnt/TimerTC); +assign cnt/LTimer_T[7] = ((cnt/LTimer[13] && cnt/LTimer[7] && cnt/TimerTC) + || (cnt/LTimer[0] && !cnt/LTimer[13] && cnt/LTimer[1] && + cnt/LTimer[2] && cnt/LTimer[3] && cnt/LTimer[5] && cnt/LTimer[4] && + cnt/LTimer[6]) + || (cnt/LTimer[0] && cnt/LTimer[1] && cnt/LTimer[2] && + cnt/LTimer[3] && cnt/LTimer[5] && cnt/LTimer[4] && cnt/LTimer[6] && + !cnt/TimerTC)); -FTCPE FTCPE_cnt/RefCnt5 (cnt/RefCnt[5],cnt/RefCnt_T[5],CLK_FSB,1'b0,1'b0); -assign cnt/RefCnt_T[5] = (cnt/RefCnt[0] && cnt/RefCnt[1] && cnt/RefCnt[3] && - cnt/RefCnt[2] && cnt/RefCnt[4]); +FTCPE FTCPE_cnt/LTimer8 (cnt/LTimer[8],cnt/LTimer_T[8],!C8M,1'b0,1'b0,cnt/TimerTC); +assign cnt/LTimer_T[8] = ((cnt/LTimer[13] && cnt/LTimer[8] && cnt/TimerTC) + || (cnt/LTimer[0] && !cnt/LTimer[13] && cnt/LTimer[1] && + cnt/LTimer[2] && cnt/LTimer[3] && cnt/LTimer[5] && cnt/LTimer[4] && + cnt/LTimer[6] && cnt/LTimer[7]) + || (cnt/LTimer[0] && cnt/LTimer[1] && cnt/LTimer[2] && + cnt/LTimer[3] && cnt/LTimer[5] && cnt/LTimer[4] && cnt/LTimer[6] && + cnt/LTimer[7] && !cnt/TimerTC)); -FTCPE FTCPE_cnt/RefCnt6 (cnt/RefCnt[6],cnt/RefCnt_T[6],CLK_FSB,1'b0,1'b0); -assign cnt/RefCnt_T[6] = (cnt/RefCnt[5] && cnt/RefCnt[0] && cnt/RefCnt[1] && - cnt/RefCnt[3] && cnt/RefCnt[2] && cnt/RefCnt[4]); +FTCPE FTCPE_cnt/LTimer9 (cnt/LTimer[9],cnt/LTimer_T[9],!C8M,1'b0,1'b0,cnt/TimerTC); +assign cnt/LTimer_T[9] = ((cnt/LTimer[13] && cnt/LTimer[9] && cnt/TimerTC) + || (cnt/LTimer[0] && !cnt/LTimer[13] && cnt/LTimer[1] && + cnt/LTimer[2] && cnt/LTimer[3] && cnt/LTimer[5] && cnt/LTimer[4] && + cnt/LTimer[6] && cnt/LTimer[7] && cnt/LTimer[8]) + || (cnt/LTimer[0] && cnt/LTimer[1] && cnt/LTimer[2] && + cnt/LTimer[3] && cnt/LTimer[5] && cnt/LTimer[4] && cnt/LTimer[6] && + cnt/LTimer[7] && cnt/LTimer[8] && !cnt/TimerTC)); -FTCPE FTCPE_cnt/RefCnt7 (cnt/RefCnt[7],cnt/RefCnt_T[7],CLK_FSB,1'b0,1'b0); -assign cnt/RefCnt_T[7] = (cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[0] && - cnt/RefCnt[1] && cnt/RefCnt[3] && cnt/RefCnt[2] && cnt/RefCnt[4]); +FTCPE FTCPE_cnt/LTimer10 (cnt/LTimer[10],cnt/LTimer_T[10],!C8M,1'b0,1'b0,cnt/TimerTC); +assign cnt/LTimer_T[10] = ((cnt/LTimer[13] && cnt/LTimer[10] && cnt/TimerTC) + || (cnt/LTimer[0] && !cnt/LTimer[13] && cnt/LTimer[1] && + cnt/LTimer[2] && cnt/LTimer[3] && cnt/LTimer[5] && cnt/LTimer[4] && + cnt/LTimer[6] && cnt/LTimer[7] && cnt/LTimer[9] && cnt/LTimer[8]) + || (cnt/LTimer[0] && cnt/LTimer[1] && cnt/LTimer[2] && + cnt/LTimer[3] && cnt/LTimer[5] && cnt/LTimer[4] && cnt/LTimer[6] && + cnt/LTimer[7] && cnt/LTimer[9] && cnt/LTimer[8] && !cnt/TimerTC)); -FDCPE FDCPE_cnt/RefDone (cnt/RefDone,cnt/RefDone_D,CLK_FSB,1'b0,1'b0); -assign cnt/RefDone_D = ((!cnt/RefDone && !ram/RefRAS) - || (!cnt/RefCnt[5] && !cnt/RefCnt[6] && !cnt/RefCnt[0] && - !cnt/RefCnt[7] && !cnt/RefCnt[1] && !cnt/RefCnt[3] && !cnt/RefCnt[2] && - !cnt/RefCnt[4])); +FTCPE FTCPE_cnt/LTimer11 (cnt/LTimer[11],cnt/LTimer_T[11],!C8M,1'b0,1'b0,cnt/TimerTC); +assign cnt/LTimer_T[11] = ((cnt/LTimer[13] && cnt/LTimer[11] && cnt/TimerTC) + || (cnt/LTimer[0] && !cnt/LTimer[13] && cnt/LTimer[1] && + cnt/LTimer[2] && cnt/LTimer[3] && cnt/LTimer[5] && cnt/LTimer[4] && + cnt/LTimer[6] && cnt/LTimer[7] && cnt/LTimer[9] && cnt/LTimer[10] && + cnt/LTimer[8]) + || (cnt/LTimer[0] && cnt/LTimer[1] && cnt/LTimer[2] && + cnt/LTimer[3] && cnt/LTimer[5] && cnt/LTimer[4] && cnt/LTimer[6] && + cnt/LTimer[7] && cnt/LTimer[9] && cnt/LTimer[10] && cnt/LTimer[8] && + !cnt/TimerTC)); -FTCPE FTCPE_cnt/TimeoutA (cnt/TimeoutA,cnt/TimeoutA_T,CLK_FSB,1'b0,1'b0); -assign cnt/TimeoutA_T = ((cnt/TimeoutA && nAS_FSB && !fsb/ASrf) - || (!cnt/TimeoutA && !nAS_FSB && !cnt/RefCnt[5] && - !cnt/RefCnt[6] && !cnt/RefCnt[0] && !cnt/RefCnt[1] && !cnt/RefCnt[3] && - !cnt/RefCnt[2] && !cnt/RefCnt[4]) - || (!cnt/TimeoutA && !cnt/RefCnt[5] && !cnt/RefCnt[6] && - !cnt/RefCnt[0] && !cnt/RefCnt[1] && !cnt/RefCnt[3] && !cnt/RefCnt[2] && - !cnt/RefCnt[4] && fsb/ASrf)); +FTCPE FTCPE_cnt/LTimer12 (cnt/LTimer[12],cnt/LTimer_T[12],!C8M,1'b0,1'b0,cnt/TimerTC); +assign cnt/LTimer_T[12] = ((cnt/LTimer[13] && cnt/LTimer[12] && cnt/TimerTC) + || (cnt/LTimer[0] && !cnt/LTimer[13] && cnt/LTimer[1] && + cnt/LTimer[2] && cnt/LTimer[3] && cnt/LTimer[5] && cnt/LTimer[4] && + cnt/LTimer[6] && cnt/LTimer[7] && cnt/LTimer[9] && cnt/LTimer[10] && + cnt/LTimer[11] && cnt/LTimer[8]) + || (cnt/LTimer[0] && cnt/LTimer[1] && cnt/LTimer[2] && + cnt/LTimer[3] && cnt/LTimer[5] && cnt/LTimer[4] && cnt/LTimer[6] && + cnt/LTimer[7] && cnt/LTimer[9] && cnt/LTimer[10] && cnt/LTimer[11] && + cnt/LTimer[8] && !cnt/TimerTC)); -FTCPE FTCPE_cnt/TimeoutB (cnt/TimeoutB,cnt/TimeoutB_T,CLK_FSB,1'b0,1'b0); -assign cnt/TimeoutB_T = ((cnt/TimeoutB && nAS_FSB && !fsb/ASrf) - || (!cnt/TimeoutB && cnt/TimeoutBPre && !nAS_FSB && - !cnt/RefCnt[5] && !cnt/RefCnt[6] && !cnt/RefCnt[0] && !cnt/RefCnt[7] && - !cnt/RefCnt[1] && !cnt/RefCnt[3] && !cnt/RefCnt[2] && !cnt/RefCnt[4]) - || (!cnt/TimeoutB && cnt/TimeoutBPre && !cnt/RefCnt[5] && - !cnt/RefCnt[6] && !cnt/RefCnt[0] && !cnt/RefCnt[7] && !cnt/RefCnt[1] && - !cnt/RefCnt[3] && !cnt/RefCnt[2] && !cnt/RefCnt[4] && fsb/ASrf)); +FTCPE FTCPE_cnt/LTimer13 (cnt/LTimer[13],cnt/LTimer_T[13],!C8M,1'b0,1'b0,cnt/TimerTC); +assign cnt/LTimer_T[13] = ((cnt/LTimer[13] && cnt/TimerTC) + || (cnt/LTimer[0] && cnt/LTimer[1] && cnt/LTimer[2] && + cnt/LTimer[3] && cnt/LTimer[5] && cnt/LTimer[4] && cnt/LTimer[6] && + cnt/LTimer[7] && cnt/LTimer[9] && cnt/LTimer[10] && cnt/LTimer[11] && + cnt/LTimer[8] && cnt/LTimer[12])); -FTCPE FTCPE_cnt/TimeoutBPre (cnt/TimeoutBPre,cnt/TimeoutBPre_T,CLK_FSB,1'b0,1'b0); -assign cnt/TimeoutBPre_T = ((cnt/TimeoutBPre && nAS_FSB && !fsb/ASrf) - || (!cnt/TimeoutBPre && !nAS_FSB && !cnt/RefCnt[5] && - !cnt/RefCnt[6] && !cnt/RefCnt[0] && !cnt/RefCnt[7] && !cnt/RefCnt[1] && - !cnt/RefCnt[3] && !cnt/RefCnt[2] && !cnt/RefCnt[4]) - || (!cnt/TimeoutBPre && !cnt/RefCnt[5] && !cnt/RefCnt[6] && - !cnt/RefCnt[0] && !cnt/RefCnt[7] && !cnt/RefCnt[1] && !cnt/RefCnt[3] && - !cnt/RefCnt[2] && !cnt/RefCnt[4] && fsb/ASrf)); +FTCPE FTCPE_cnt/PORS_FSM_FFd1 (cnt/PORS_FSM_FFd1,cnt/PORS_FSM_FFd1_T,!C8M,1'b0,1'b0); +assign cnt/PORS_FSM_FFd1_T = (cnt/LTimer[13] && !cnt/PORS_FSM_FFd1 && + cnt/PORS_FSM_FFd2 && !cnt/IPL2r); -FTCPE FTCPE_cs/nOverlay0 (cs/nOverlay0,cs/nOverlay0_T,CLK_FSB,!nRES,1'b0); +FDCPE FDCPE_cnt/PORS_FSM_FFd2 (cnt/PORS_FSM_FFd2,cnt/PORS_FSM_FFd2_D,!C8M,1'b0,1'b0); +assign cnt/PORS_FSM_FFd2_D = ((cnt/LTimer[13] && !cnt/PORS_FSM_FFd1) + || (!cnt/LTimer[13] && cnt/PORS_FSM_FFd2)); + +FDCPE FDCPE_cnt/RefReq (cnt/RefReq,cnt/RefReq_D,E,1'b0,1'b0); +assign cnt/RefReq_D = ((cnt/Timer[1] && !cnt/Timer[3]) + || (cnt/Timer[2] && !cnt/Timer[3]) + || (!cnt/Timer[1] && !cnt/Timer[2] && !cnt/Timer[0] && + cnt/Timer[3])); + +FDCPE FDCPE_cnt/RefUrgent (cnt/RefUrgent,cnt/RefUrgent_D,E,1'b0,1'b0); +assign cnt/RefUrgent_D = ((cnt/Timer[1] && cnt/Timer[2] && !cnt/Timer[3]) + || (!cnt/Timer[1] && !cnt/Timer[2] && !cnt/Timer[0] && + cnt/Timer[3])); + +FDCPE FDCPE_cnt/Timer0 (cnt/Timer[0],cnt/Timer_D[0],E,1'b0,1'b0); +assign cnt/Timer_D[0] = (!cnt/TimerTC && !cnt/Timer[0]); + +FDCPE FDCPE_cnt/Timer1 (cnt/Timer[1],cnt/Timer_D[1],E,1'b0,1'b0); +assign cnt/Timer_D[1] = ((!cnt/TimerTC && cnt/Timer[1] && !cnt/Timer[0]) + || (!cnt/TimerTC && !cnt/Timer[1] && cnt/Timer[0])); + +FTCPE FTCPE_cnt/Timer2 (cnt/Timer[2],cnt/Timer_T[2],E,1'b0,1'b0); +assign cnt/Timer_T[2] = ((cnt/TimerTC && cnt/Timer[2]) + || (!cnt/TimerTC && cnt/Timer[1] && cnt/Timer[0])); + +FTCPE FTCPE_cnt/Timer3 (cnt/Timer[3],cnt/Timer_T[3],E,1'b0,1'b0); +assign cnt/Timer_T[3] = ((cnt/TimerTC && cnt/Timer[3]) + || (!cnt/TimerTC && cnt/Timer[1] && cnt/Timer[2] && + cnt/Timer[0])); + +FDCPE FDCPE_cnt/TimerTC (cnt/TimerTC,cnt/TimerTC_D,E,1'b0,1'b0); +assign cnt/TimerTC_D = (!cnt/Timer[1] && !cnt/Timer[2] && !cnt/Timer[0] && + cnt/Timer[3]); + +FDCPE FDCPE_cnt/nRESout (cnt/nRESout,cnt/nRESout_D,!C8M,1'b0,1'b0); +assign cnt/nRESout_D = ((cnt/LTimer[13] && cnt/PORS_FSM_FFd1 && + !cnt/PORS_FSM_FFd2) + || (cnt/PORS_FSM_FFd1 && !cnt/PORS_FSM_FFd2 && + cnt/nRESout)); + +FTCPE FTCPE_cs/nOverlay0 (cs/nOverlay0,cs/nOverlay0_T,FCLK,!nRES.PIN,1'b0); assign cs/nOverlay0_T = ((!A_FSB[23] && A_FSB[22] && !A_FSB[21] && !A_FSB[20] && !cs/nOverlay0 && !nAS_FSB) || (!A_FSB[23] && A_FSB[22] && !A_FSB[21] && !A_FSB[20] && !cs/nOverlay0 && fsb/ASrf)); -FDCPE FDCPE_cs/nOverlay1 (cs/nOverlay1,cs/nOverlay0,CLK_FSB,1'b0,1'b0,cs/nOverlay1_CE); +FDCPE FDCPE_cs/nOverlay1 (cs/nOverlay1,cs/nOverlay0,FCLK,1'b0,1'b0,cs/nOverlay1_CE); assign cs/nOverlay1_CE = (nAS_FSB && !fsb/ASrf); -FDCPE FDCPE_fsb/ASrf (fsb/ASrf,!nAS_FSB,!CLK_FSB,1'b0,1'b0); +FDCPE FDCPE_fsb/ASrf (fsb/ASrf,!nAS_FSB,!FCLK,1'b0,1'b0); -FDCPE FDCPE_fsb/BERR0r (fsb/BERR0r,fsb/BERR0r_D,CLK_FSB,1'b0,1'b0); -assign fsb/BERR0r_D = ((!cnt/TimeoutB && !fsb/BERR0r) - || (nAS_FSB && !fsb/ASrf) - || (!A_FSB[23] && A_FSB[22] && !A_FSB[21] && A_FSB[20] && - !fsb/BERR0r)); - -FDCPE FDCPE_fsb/BERR1r (fsb/BERR1r,fsb/BERR1r_D,CLK_FSB,1'b0,1'b0); -assign fsb/BERR1r_D = ((!iobs/BERR && !fsb/BERR1r) - || (nAS_FSB && !fsb/ASrf)); - -FDCPE FDCPE_fsb/Ready0r (fsb/Ready0r,fsb/Ready0r_D,CLK_FSB,1'b0,1'b0); +FDCPE FDCPE_fsb/Ready0r (fsb/Ready0r,fsb/Ready0r_D,FCLK,1'b0,1'b0); assign fsb/Ready0r_D = ((nAS_FSB && !fsb/ASrf) || (!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && !fsb/Ready0r && !ram/RAMReady) || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && !cs/nOverlay1 && !fsb/Ready0r && !ram/RAMReady)); -FDCPE FDCPE_fsb/Ready1r (fsb/Ready1r,fsb/Ready1r_D,CLK_FSB,1'b0,1'b0); -assign fsb/Ready1r_D = ((cs/nOverlay0.EXP) +FDCPE FDCPE_fsb/Ready1r (fsb/Ready1r,fsb/Ready1r_D,FCLK,1'b0,1'b0); +assign fsb/Ready1r_D = ((A_FSB[13] && A_FSB[22] && A_FSB[20] && A_FSB[19] && + A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && + !fsb/Ready1r && !iobs/IOReady) + || (A_FSB[14] && !A_FSB[22] && A_FSB[21] && A_FSB[20] && + A_FSB[19] && A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && + cs/nOverlay1 && !fsb/Ready1r && !iobs/IOReady && !nADoutLE1) + || (A_FSB[13] && !A_FSB[22] && A_FSB[21] && A_FSB[20] && + A_FSB[19] && A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && + cs/nOverlay1 && !fsb/Ready1r && !iobs/IOReady && !nADoutLE1) + || (nAS_FSB && !fsb/ASrf) || (A_FSB[23] && !fsb/Ready1r && !iobs/IOReady) || (A_FSB[22] && !A_FSB[21] && A_FSB[20] && !fsb/Ready1r && !iobs/IOReady) - || (A_FSB[22] && !A_FSB[21] && !fsb/Ready1r && - !iobs/IOReady && !SW[1]) || (A_FSB[14] && A_FSB[22] && A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && - !fsb/Ready1r && !iobs/IOReady) - || (A_FSB[13] && A_FSB[22] && A_FSB[20] && A_FSB[19] && - A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && - !fsb/Ready1r && !iobs/IOReady) - || (nAS_FSB && !fsb/ASrf)); + !fsb/Ready1r && !iobs/IOReady)); -FDCPE FDCPE_fsb/Ready2r (fsb/Ready2r,fsb/Ready2r_D,CLK_FSB,1'b0,1'b0); -assign fsb/Ready2r_D = ((nAS_FSB && !fsb/ASrf) - || (A_FSB[8] && A_FSB[15] && A_FSB[14] && A_FSB[13] && - A_FSB[12] && A_FSB[11] && A_FSB[10] && !A_FSB[23] && A_FSB[22] && - A_FSB[21] && A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && - A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && !cnt/TimeoutA && - !fsb/Ready2r) - || (A_FSB[8] && A_FSB[15] && A_FSB[14] && A_FSB[13] && - A_FSB[12] && A_FSB[11] && A_FSB[10] && !A_FSB[23] && !A_FSB[22] && - A_FSB[21] && A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && - A_FSB[16] && !nWE_FSB && cs/nOverlay1 && !cnt/TimeoutA && - !fsb/Ready2r) - || (A_FSB[8] && A_FSB[15] && !A_FSB[14] && A_FSB[13] && - !A_FSB[12] && !A_FSB[11] && !A_FSB[10] && !A_FSB[23] && !A_FSB[22] && - A_FSB[21] && A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && - A_FSB[16] && !nWE_FSB && cs/nOverlay1 && !cnt/TimeoutA && - !fsb/Ready2r) - || (A_FSB[9] && A_FSB[15] && A_FSB[14] && A_FSB[13] && - A_FSB[12] && A_FSB[11] && A_FSB[10] && !A_FSB[23] && A_FSB[22] && - A_FSB[21] && A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && - A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && !cnt/TimeoutA && - !fsb/Ready2r) - || (A_FSB[9] && A_FSB[15] && A_FSB[14] && A_FSB[13] && - A_FSB[12] && A_FSB[11] && A_FSB[10] && !A_FSB[23] && !A_FSB[22] && - A_FSB[21] && A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && - A_FSB[16] && !nWE_FSB && cs/nOverlay1 && !cnt/TimeoutA && - !fsb/Ready2r) - || (A_FSB[9] && A_FSB[15] && !A_FSB[14] && A_FSB[13] && - !A_FSB[12] && !A_FSB[11] && !A_FSB[10] && !A_FSB[23] && A_FSB[22] && - A_FSB[21] && A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && - A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && !cnt/TimeoutA && - !fsb/Ready2r) - || (A_FSB[9] && A_FSB[15] && !A_FSB[14] && A_FSB[13] && - !A_FSB[12] && !A_FSB[11] && !A_FSB[10] && !A_FSB[23] && !A_FSB[22] && - A_FSB[21] && A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && - A_FSB[16] && !nWE_FSB && cs/nOverlay1 && !cnt/TimeoutA && - !fsb/Ready2r) - || (A_FSB[8] && A_FSB[15] && !A_FSB[14] && A_FSB[13] && - !A_FSB[12] && !A_FSB[11] && !A_FSB[10] && !A_FSB[23] && A_FSB[22] && - A_FSB[21] && A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && - A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && !cnt/TimeoutA && - !fsb/Ready2r)); - -FDCPE FDCPE_fsb/VPA (fsb/VPA,fsb/VPA_D,CLK_FSB,1'b0,1'b0); -assign fsb/VPA_D = ((EXP15_.EXP) +FDCPE FDCPE_fsb/VPA (fsb/VPA,fsb/VPA_D,FCLK,1'b0,1'b0); +assign fsb/VPA_D = ((EXP21_.EXP) || (!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && - !fsb/Ready0r && fsb/VPA && !ram/RAMReady && !$OpTx$FX_DC$591) - || (A_FSB[22] && !A_FSB[21] && !fsb/Ready1r && fsb/VPA && - !iobs/IOReady && !SW[1] && !$OpTx$FX_DC$591) + !fsb/Ready0r && fsb/VPA && fsb/ASrf && !ram/RAMReady) || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && - !cs/nOverlay1 && !fsb/Ready0r && fsb/VPA && !ram/RAMReady && - !$OpTx$FX_DC$591) + !cs/nOverlay1 && !fsb/Ready0r && fsb/VPA && !nAS_FSB && !ram/RAMReady) + || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && + !cs/nOverlay1 && !fsb/Ready0r && fsb/VPA && fsb/ASrf && !ram/RAMReady) || (A_FSB[14] && A_FSB[22] && A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && - !fsb/Ready1r && fsb/VPA && !iobs/IOReady && !$OpTx$FX_DC$591) + !fsb/Ready1r && fsb/VPA && !iobs/IOReady && !nAS_FSB) || (A_FSB[13] && A_FSB[22] && A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && - !fsb/Ready1r && fsb/VPA && !iobs/IOReady && !$OpTx$FX_DC$591) - || (nROMWE_OBUF.EXP) - || (A_FSB[23] && cnt/TimeoutB && fsb/VPA && - !$OpTx$FX_DC$591) - || (!A_FSB[22] && cnt/TimeoutB && fsb/VPA && - !$OpTx$FX_DC$591) - || (A_FSB[21] && cnt/TimeoutB && fsb/VPA && - !$OpTx$FX_DC$591) + !fsb/Ready1r && fsb/VPA && !iobs/IOReady && !nAS_FSB) + || (A_FSB[14] && A_FSB[22] && A_FSB[20] && A_FSB[19] && + A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && + !fsb/Ready1r && fsb/VPA && !iobs/IOReady && fsb/ASrf) + || (A_FSB[13] && A_FSB[22] && A_FSB[20] && A_FSB[19] && + A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && + !fsb/Ready1r && fsb/VPA && !iobs/IOReady && fsb/ASrf) + || (A_FSB[14] && !A_FSB[22] && A_FSB[21] && A_FSB[20] && + A_FSB[19] && A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && + cs/nOverlay1 && !fsb/Ready1r && fsb/VPA && !iobs/IOReady && !nAS_FSB && + !nADoutLE1) + || (A_FSB[13] && !A_FSB[22] && A_FSB[21] && A_FSB[20] && + A_FSB[19] && A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && + cs/nOverlay1 && !fsb/Ready1r && fsb/VPA && !iobs/IOReady && !nAS_FSB && + !nADoutLE1) + || (A_FSB[13] && !A_FSB[22] && A_FSB[21] && A_FSB[20] && + A_FSB[19] && A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && + cs/nOverlay1 && !fsb/Ready1r && fsb/VPA && !iobs/IOReady && fsb/ASrf && + !nADoutLE1) || (A_FSB[23] && !fsb/Ready1r && fsb/VPA && - !iobs/IOReady && !$OpTx$FX_DC$591) + !iobs/IOReady && !nAS_FSB) + || (A_FSB[23] && !fsb/Ready1r && fsb/VPA && + !iobs/IOReady && fsb/ASrf) + || (!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && + !fsb/Ready0r && fsb/VPA && !nAS_FSB && !ram/RAMReady) || (A_FSB[22] && !A_FSB[21] && A_FSB[20] && !fsb/Ready1r && - fsb/VPA && !iobs/IOReady && !$OpTx$FX_DC$591) - || (iobs/BERR && fsb/VPA && !$OpTx$FX_DC$591) - || (fsb/BERR0r && fsb/VPA && !$OpTx$FX_DC$591) - || (fsb/BERR1r && fsb/VPA && !$OpTx$FX_DC$591) - || (fsb/VPA && !nBR_IOB && !$OpTx$FX_DC$591) - || (!A_FSB[20] && cnt/TimeoutB && fsb/VPA && - !$OpTx$FX_DC$591)); + fsb/VPA && !iobs/IOReady && !nAS_FSB) + || (A_FSB[22] && !A_FSB[21] && A_FSB[20] && !fsb/Ready1r && + fsb/VPA && !iobs/IOReady && fsb/ASrf)); -FDCPE FDCPE_iobm/ALE0 (iobm/ALE0,iobm/ALE0_D,CLK2X_IOB,1'b0,1'b0); -assign iobm/ALE0_D = ((iobm/IOS_FSM_FFd2) - || (iobm/IOS_FSM_FFd3 && !iobm/IOS_FSM_FFd1) - || (!iobm/IOS_FSM_FFd1 && iobm/IOREQr && !nAoutOE)); +FDCPE FDCPE_iobm/ALE0 (iobm/ALE0,iobm/ALE0_D,C16M,1'b0,1'b0); +assign iobm/ALE0_D = ((iobm/IOS_FSM_FFd1 && !iobm/IOS_FSM_FFd2) + || (!iobm/IOS_FSM_FFd3 && !iobm/IOS_FSM_FFd2 && + !iobm/IOREQr)); -FDCPE FDCPE_iobm/BERRrf (iobm/BERRrf,!nBERR_IOB,!CLK2X_IOB,1'b0,1'b0); +FDCPE FDCPE_iobm/BERRrf (iobm/BERRrf,!nBERR_IOB,!C16M,1'b0,1'b0); -FDCPE FDCPE_iobm/BERRrr (iobm/BERRrr,!nBERR_IOB,CLK2X_IOB,1'b0,1'b0); +FDCPE FDCPE_iobm/BERRrr (iobm/BERRrr,!nBERR_IOB,C16M,1'b0,1'b0); -FDCPE FDCPE_iobm/BGr0 (iobm/BGr0,!nBG_IOB,CLK2X_IOB,1'b0,1'b0); +FDCPE FDCPE_iobm/BG (iobm/BG,!nBG_IOB,C16M,1'b0,1'b0,iobm/nASr); -FDCPE FDCPE_iobm/BGr1 (iobm/BGr1,iobm/BGr0,CLK2X_IOB,1'b0,1'b0); +FDCPE FDCPE_iobm/DTACKrf (iobm/DTACKrf,!nDTACK_IOB,!C16M,1'b0,1'b0); -FDCPE FDCPE_iobm/DTACKrf (iobm/DTACKrf,!nDTACK_IOB,!CLK2X_IOB,1'b0,1'b0); +FDCPE FDCPE_iobm/DTACKrr (iobm/DTACKrr,!nDTACK_IOB,C16M,1'b0,1'b0); -FDCPE FDCPE_iobm/DTACKrr (iobm/DTACKrr,!nDTACK_IOB,CLK2X_IOB,1'b0,1'b0); +FDCPE FDCPE_iobm/DoutOE (iobm/DoutOE,iobm/DoutOE_D,C16M,1'b0,1'b0); +assign iobm/DoutOE_D = ((iobs/IORW0 && iobm/IOS_FSM_FFd3) + || (iobs/IORW0 && iobm/IOS_FSM_FFd2)); -FTCPE FTCPE_iobm/ES0 (iobm/ES[0],iobm/ES_T[0],CLK2X_IOB,1'b0,1'b0); +FTCPE FTCPE_iobm/ES0 (iobm/ES[0],iobm/ES_T[0],C16M,1'b0,1'b0); assign iobm/ES_T[0] = ((iobm/ES[0] && !iobm/Er && iobm/Er2) || (!iobm/ES[0] && !iobm/ES[1] && !iobm/ES[2] && !iobm/ES[3] && !iobm/ES[4] && iobm/Er) || (!iobm/ES[0] && !iobm/ES[1] && !iobm/ES[2] && !iobm/ES[3] && !iobm/ES[4] && !iobm/Er2)); -FDCPE FDCPE_iobm/ES1 (iobm/ES[1],iobm/ES_D[1],CLK2X_IOB,1'b0,1'b0); +FDCPE FDCPE_iobm/ES1 (iobm/ES[1],iobm/ES_D[1],C16M,1'b0,1'b0); assign iobm/ES_D[1] = ((iobm/ES[0] && iobm/ES[1]) || (!iobm/ES[0] && !iobm/ES[1]) || (!iobm/Er && iobm/Er2)); -FDCPE FDCPE_iobm/ES2 (iobm/ES[2],iobm/ES_D[2],CLK2X_IOB,1'b0,1'b0); +FDCPE FDCPE_iobm/ES2 (iobm/ES[2],iobm/ES_D[2],C16M,1'b0,1'b0); assign iobm/ES_D[2] = ((!iobm/ES[0] && !iobm/ES[2]) || (!iobm/ES[1] && !iobm/ES[2]) || (!iobm/Er && iobm/Er2) || (iobm/ES[0] && iobm/ES[1] && iobm/ES[2]) || (!iobm/ES[2] && !iobm/ES[3] && iobm/ES[4])); -FTCPE FTCPE_iobm/ES3 (iobm/ES[3],iobm/ES_T[3],CLK2X_IOB,1'b0,1'b0); +FTCPE FTCPE_iobm/ES3 (iobm/ES[3],iobm/ES_T[3],C16M,1'b0,1'b0); assign iobm/ES_T[3] = ((iobm/ES[3] && !iobm/Er && iobm/Er2) || (iobm/ES[0] && iobm/ES[1] && iobm/ES[2] && iobm/Er) || (iobm/ES[0] && iobm/ES[1] && iobm/ES[2] && !iobm/Er2)); -FTCPE FTCPE_iobm/ES4 (iobm/ES[4],iobm/ES_T[4],CLK2X_IOB,1'b0,1'b0); +FTCPE FTCPE_iobm/ES4 (iobm/ES[4],iobm/ES_T[4],C16M,1'b0,1'b0); assign iobm/ES_T[4] = ((iobm/ES[4] && !iobm/Er && iobm/Er2) || (iobm/ES[0] && iobm/ES[1] && iobm/ES[2] && iobm/ES[3] && iobm/Er) @@ -1059,220 +1091,237 @@ assign iobm/ES_T[4] = ((iobm/ES[4] && !iobm/Er && iobm/Er2) || (iobm/ES[0] && iobm/ES[1] && !iobm/ES[2] && !iobm/ES[3] && iobm/ES[4])); -FDCPE FDCPE_iobm/ETACK (iobm/ETACK,iobm/ETACK_D,CLK2X_IOB,1'b0,1'b0); +FDCPE FDCPE_iobm/ETACK (iobm/ETACK,iobm/ETACK_D,C16M,1'b0,1'b0); assign iobm/ETACK_D = (!nVMA_IOB && !iobm/ES[0] && !iobm/ES[1] && !iobm/ES[2] && !iobm/ES[3] && iobm/ES[4]); -FDCPE FDCPE_iobm/Er (iobm/Er,E_IOB,!CLK_IOB,1'b0,1'b0); +FDCPE FDCPE_iobm/Er (iobm/Er,E,!C8M,1'b0,1'b0); -FDCPE FDCPE_iobm/Er2 (iobm/Er2,iobm/Er,CLK2X_IOB,1'b0,1'b0); +FDCPE FDCPE_iobm/Er2 (iobm/Er2,iobm/Er,C16M,1'b0,1'b0); -FDCPE FDCPE_iobm/IOACT (iobm/IOACT,iobm/IOACT_D,CLK2X_IOB,1'b0,1'b0); -assign iobm/IOACT_D = ((CLK_IOB && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 && - iobm/DTACKrf && iobm/DTACKrr) - || (CLK_IOB && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 && +FDCPE FDCPE_iobm/IOACT (iobm/IOACT,iobm/IOACT_D,C16M,1'b0,1'b0); +assign iobm/IOACT_D = ((C8M && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 && iobm/RESrf && iobm/RESrr) || (iobm/IOS_FSM_FFd1 && !iobm/IOS_FSM_FFd2) || (!iobm/IOS_FSM_FFd3 && !iobm/IOS_FSM_FFd2 && !iobm/IOREQr) - || (!iobm/IOS_FSM_FFd3 && !iobm/IOS_FSM_FFd2 && nAoutOE) - || (CLK_IOB && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 && + || (C8M && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 && iobm/ETACK) - || (CLK_IOB && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 && - iobm/BERRrf && iobm/BERRrr)); + || (C8M && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 && + iobm/BERRrf && iobm/BERRrr) + || (C8M && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 && + iobm/DTACKrf && iobm/DTACKrr)); -FTCPE FTCPE_iobm/IOBERR (iobm/IOBERR,iobm/IOBERR_T,CLK2X_IOB,1'b0,1'b0); -assign iobm/IOBERR_T = ((CLK_IOB && nBERR_IOB && iobm/IOS_FSM_FFd3 && +FTCPE FTCPE_iobm/IOBERR (iobm/IOBERR,iobm/IOBERR_T,C16M,1'b0,1'b0); +assign iobm/IOBERR_T = ((C8M && nBERR_IOB && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2 && iobm/IOBERR && iobm/RESrf && iobm/RESrr) - || (CLK_IOB && !nBERR_IOB && iobm/IOS_FSM_FFd3 && + || (C8M && !nBERR_IOB && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2 && !iobm/IOBERR && iobm/BERRrf && iobm/BERRrr) - || (CLK_IOB && !nBERR_IOB && iobm/IOS_FSM_FFd3 && + || (C8M && !nBERR_IOB && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2 && !iobm/IOBERR && iobm/DTACKrf && iobm/DTACKrr) - || (CLK_IOB && !nBERR_IOB && iobm/IOS_FSM_FFd3 && + || (C8M && !nBERR_IOB && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2 && !iobm/IOBERR && iobm/RESrf && iobm/RESrr) || (iobm/IOS_FSM_FFd3 && !iobm/IOS_FSM_FFd1 && !iobm/IOS_FSM_FFd2 && iobm/IOBERR) - || (CLK_IOB && nBERR_IOB && iobm/IOS_FSM_FFd3 && + || (C8M && nBERR_IOB && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2 && iobm/IOBERR && iobm/ETACK) - || (CLK_IOB && !nBERR_IOB && iobm/IOS_FSM_FFd3 && + || (C8M && !nBERR_IOB && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2 && !iobm/IOBERR && iobm/ETACK) - || (CLK_IOB && nBERR_IOB && iobm/IOS_FSM_FFd3 && + || (C8M && nBERR_IOB && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2 && iobm/IOBERR && iobm/BERRrf && iobm/BERRrr) - || (CLK_IOB && nBERR_IOB && iobm/IOS_FSM_FFd3 && + || (C8M && nBERR_IOB && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2 && iobm/IOBERR && iobm/DTACKrf && iobm/DTACKrr)); -FDCPE FDCPE_iobm/IOREQr (iobm/IOREQr,iobs/IOREQ,!CLK2X_IOB,1'b0,1'b0); +FDCPE FDCPE_iobm/IOREQr (iobm/IOREQr,iobs/IOREQ,!C16M,1'b0,1'b0); -FDCPE FDCPE_iobm/IOS_FSM_FFd1 (iobm/IOS_FSM_FFd1,iobm/IOS_FSM_FFd1_D,CLK2X_IOB,1'b0,1'b0); +FDCPE FDCPE_iobm/IOS_FSM_FFd1 (iobm/IOS_FSM_FFd1,iobm/IOS_FSM_FFd1_D,C16M,1'b0,1'b0); assign iobm/IOS_FSM_FFd1_D = ((iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1) || (!iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd2)); -FTCPE FTCPE_iobm/IOS_FSM_FFd2 (iobm/IOS_FSM_FFd2,iobm/IOS_FSM_FFd2_T,CLK2X_IOB,1'b0,1'b0); +FTCPE FTCPE_iobm/IOS_FSM_FFd2 (iobm/IOS_FSM_FFd2,iobm/IOS_FSM_FFd2_T,C16M,1'b0,1'b0); assign iobm/IOS_FSM_FFd2_T = ((iobm/IOS_FSM_FFd3 && !iobm/IOS_FSM_FFd1 && !iobm/IOS_FSM_FFd2) - || (CLK_IOB && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 && + || (C8M && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2 && iobm/ETACK) - || (CLK_IOB && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 && + || (C8M && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2 && iobm/BERRrf && iobm/BERRrr) - || (CLK_IOB && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 && + || (C8M && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2 && iobm/DTACKrf && iobm/DTACKrr) - || (CLK_IOB && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 && + || (C8M && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2 && iobm/RESrf && iobm/RESrr)); -FDCPE FDCPE_iobm/IOS_FSM_FFd3 (iobm/IOS_FSM_FFd3,iobm/IOS_FSM_FFd3_D,CLK2X_IOB,1'b0,1'b0); +FDCPE FDCPE_iobm/IOS_FSM_FFd3 (iobm/IOS_FSM_FFd3,iobm/IOS_FSM_FFd3_D,C16M,1'b0,1'b0); assign iobm/IOS_FSM_FFd3_D = ((iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2) || (iobm/IOS_FSM_FFd3 && !iobm/IOS_FSM_FFd1 && !iobm/IOS_FSM_FFd2) - || (!CLK_IOB && !iobm/IOS_FSM_FFd1 && !iobm/IOS_FSM_FFd2 && - iobm/IOREQr && !nAoutOE)); + || (!C8M && iobm/BG && !iobm/IOS_FSM_FFd1 && + !iobm/IOS_FSM_FFd2 && iobm/IOREQr)); -FDCPE FDCPE_iobm/RESrf (iobm/RESrf,!nRES,!CLK2X_IOB,1'b0,1'b0); +FDCPE FDCPE_iobm/RESrf (iobm/RESrf,!nRES.PIN,!C16M,1'b0,1'b0); -FDCPE FDCPE_iobm/RESrr (iobm/RESrr,!nRES,CLK2X_IOB,1'b0,1'b0); +FDCPE FDCPE_iobm/RESrr (iobm/RESrr,!nRES.PIN,C16M,1'b0,1'b0); -FDCPE FDCPE_iobm/VPArf (iobm/VPArf,!nVPA_IOB,!CLK2X_IOB,1'b0,1'b0); +FDCPE FDCPE_iobm/VPArf (iobm/VPArf,!nVPA_IOB,!C16M,1'b0,1'b0); -FDCPE FDCPE_iobm/VPArr (iobm/VPArr,!nVPA_IOB,CLK2X_IOB,1'b0,1'b0); +FDCPE FDCPE_iobm/VPArr (iobm/VPArr,!nVPA_IOB,C16M,1'b0,1'b0); -FDCPE FDCPE_iobs/ALE0 (iobs/ALE0,iobs/ALE0_D,CLK_FSB,1'b0,1'b0); +FDCPE FDCPE_iobm/nASr (iobm/nASr,iobm/nASr_D,C16M,1'b0,1'b0); +assign iobm/nASr_D = (!nAS_IOB && nAoutOE); + +FDCPE FDCPE_iobs/ALE0 (iobs/ALE0,iobs/ALE0_D,FCLK,1'b0,1'b0); assign iobs/ALE0_D = (iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1); -FTCPE FTCPE_iobs/BERR (iobs/BERR,iobs/BERR_T,CLK_FSB,1'b0,1'b0); -assign iobs/BERR_T = ((iobs/BERR && nAS_FSB && !fsb/ASrf) - || (iobs/Once && iobs/BERR && !iobs/PS_FSM_FFd2 && - !iobs/IOACTr && !iobm/IOBERR && nADoutLE1) - || (iobs/Once && !iobs/BERR && !nAS_FSB && - !iobs/PS_FSM_FFd2 && !iobs/IOACTr && iobm/IOBERR && nADoutLE1) - || (iobs/Once && !iobs/BERR && !iobs/PS_FSM_FFd2 && - !iobs/IOACTr && iobm/IOBERR && fsb/ASrf && nADoutLE1)); - -FDCPE FDCPE_iobs/Clear1 (iobs/Clear1,iobs/Clear1_D,CLK_FSB,1'b0,1'b0); +FDCPE FDCPE_iobs/Clear1 (iobs/Clear1,iobs/Clear1_D,FCLK,1'b0,1'b0); assign iobs/Clear1_D = (iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && !nADoutLE1); -FDCPE FDCPE_iobs/IOACTr (iobs/IOACTr,iobm/IOACT,CLK_FSB,1'b0,1'b0); +FDCPE FDCPE_iobs/IOACTr (iobs/IOACTr,iobm/IOACT,FCLK,1'b0,1'b0); -FDCPE FDCPE_iobs/IOL0 (iobs/IOL0,iobs/IOL0_D,CLK_FSB,1'b0,1'b0,iobs/IOL0_CE); +FDCPE FDCPE_iobs/IOL0 (iobs/IOL0,iobs/IOL0_D,FCLK,1'b0,1'b0,iobs/IOL0_CE); assign iobs/IOL0_D = ((!nLDS_FSB && nADoutLE1) || (iobs/IOL1 && !nADoutLE1)); assign iobs/IOL0_CE = (iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1); -FDCPE FDCPE_iobs/IOL1 (iobs/IOL1,!nLDS_FSB,CLK_FSB,1'b0,1'b0,iobs/Load1); +FDCPE FDCPE_iobs/IOL1 (iobs/IOL1,!nLDS_FSB,FCLK,1'b0,1'b0,iobs/Load1); -FDCPE FDCPE_iobs/IOREQ (iobs/IOREQ,iobs/IOREQ_D,CLK_FSB,1'b0,1'b0); -assign iobs/IOREQ_D = ((!A_FSB[23] && !A_FSB[22] && !A_FSB[21] && +FDCPE FDCPE_iobs/IOREQ (iobs/IOREQ,iobs/IOREQ_D,FCLK,1'b0,1'b0); +assign iobs/IOREQ_D = ((iobs/nBERR_FSB.EXP) + || (!A_FSB[23] && !A_FSB[22] && !A_FSB[21] && !iobs/PS_FSM_FFd2 && nADoutLE1) - || (!A_FSB[23] && A_FSB[21] && !A_FSB[20] && + || (!A_FSB[23] && !A_FSB[22] && !cs/nOverlay1 && !iobs/PS_FSM_FFd2 && nADoutLE1) || (!A_FSB[23] && A_FSB[21] && !A_FSB[19] && !iobs/PS_FSM_FFd2 && nADoutLE1) - || (!A_FSB[23] && A_FSB[21] && !A_FSB[17] && - !iobs/PS_FSM_FFd2 && nADoutLE1) || (!A_FSB[23] && A_FSB[21] && !A_FSB[16] && !iobs/PS_FSM_FFd2 && nADoutLE1) - || (!A_FSB[23] && A_FSB[21] && !A_FSB[18] && - !iobs/PS_FSM_FFd2 && nADoutLE1) || (!A_FSB[23] && A_FSB[21] && nWE_FSB && !iobs/PS_FSM_FFd2 && nADoutLE1) - || (!A_FSB[23] && !A_FSB[20] && !iobs/PS_FSM_FFd2 && - SW[1] && nADoutLE1) - || (!A_FSB[14] && !A_FSB[13] && !A_FSB[23] && A_FSB[21] && + || (!A_FSB[23] && A_FSB[21] && !A_FSB[18] && + !iobs/PS_FSM_FFd2 && nADoutLE1) + || (!A_FSB[23] && A_FSB[21] && !A_FSB[17] && !iobs/PS_FSM_FFd2 && nADoutLE1) || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && cs/nOverlay1 && !iobs/PS_FSM_FFd2 && nADoutLE1) || (!iobs/PS_FSM_FFd2 && iobs/PS_FSM_FFd1) || (iobs/PS_FSM_FFd1 && iobs/IOACTr) || (iobs/Once && !iobs/PS_FSM_FFd2 && nADoutLE1) + || (!A_FSB[23] && !A_FSB[20] && !iobs/PS_FSM_FFd2 && + nADoutLE1) || (nAS_FSB && !iobs/PS_FSM_FFd2 && !fsb/ASrf && - nADoutLE1) - || (!A_FSB[23] && !A_FSB[22] && !cs/nOverlay1 && - !iobs/PS_FSM_FFd2 && nADoutLE1)); + nADoutLE1)); -FTCPE FTCPE_iobs/IORW0 (iobs/IORW0,iobs/IORW0_T,CLK_FSB,1'b0,1'b0); -assign iobs/IORW0_T = ((A_FSB_19_IBUF$BUF0.EXP) - || (iobs/IORW0 && iobs/IORW1 && !nADoutLE1) - || (!iobs/IORW0 && !iobs/IORW1 && !nADoutLE1) - || (nAS_FSB && !fsb/ASrf && nADoutLE1) - || (!A_FSB[23] && !A_FSB[22] && !A_FSB[21] && nADoutLE1) - || (!A_FSB[23] && A_FSB[21] && !iobs/IORW0 && nADoutLE1) - || (!A_FSB[23] && A_FSB[21] && !A_FSB[19] && nADoutLE1) - || (!A_FSB[23] && A_FSB[21] && !A_FSB[18] && nADoutLE1) - || (!A_FSB[23] && A_FSB[21] && !A_FSB[17] && nADoutLE1) - || (!A_FSB[23] && A_FSB[21] && !A_FSB[16] && nADoutLE1) - || (!A_FSB[23] && !A_FSB[20] && SW[1] && nADoutLE1) - || (!nWE_FSB && !iobs/IORW0 && nADoutLE1) - || (!A_FSB[23] && !A_FSB[22] && !cs/nOverlay1 && - nADoutLE1) - || (!A_FSB[23] && A_FSB[21] && !A_FSB[20] && nADoutLE1) - || (!A_FSB[14] && !A_FSB[13] && !A_FSB[23] && A_FSB[21] && - nADoutLE1) - || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && - cs/nOverlay1 && nADoutLE1)); +FTCPE FTCPE_iobs/IORW0 (iobs/IORW0,iobs/IORW0_T,FCLK,1'b0,1'b0); +assign iobs/IORW0_T = ((A_FSB[23] && nWE_FSB && !iobs/Once && !iobs/IORW0 && + !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && fsb/ASrf && nADoutLE1) + || (A_FSB[22] && !A_FSB[21] && A_FSB[20] && nWE_FSB && + !iobs/Once && !iobs/IORW0 && !nAS_FSB && !iobs/PS_FSM_FFd2 && + !iobs/PS_FSM_FFd1 && nADoutLE1) + || (A_FSB[22] && !A_FSB[21] && A_FSB[20] && nWE_FSB && + !iobs/Once && !iobs/IORW0 && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && + fsb/ASrf && nADoutLE1) + || (A_FSB[22] && !A_FSB[21] && A_FSB[20] && !nWE_FSB && + !iobs/Once && iobs/IORW0 && !nAS_FSB && !iobs/PS_FSM_FFd2 && + !iobs/PS_FSM_FFd1 && nADoutLE1) + || (A_FSB[22] && !A_FSB[21] && A_FSB[20] && !nWE_FSB && + !iobs/Once && iobs/IORW0 && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && + fsb/ASrf && nADoutLE1) + || (nROMWE_OBUF.EXP) + || (A_FSB[14] && A_FSB[22] && A_FSB[20] && A_FSB[19] && + A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && + !iobs/Once && iobs/IORW0 && !nAS_FSB && !iobs/PS_FSM_FFd2 && + !iobs/PS_FSM_FFd1 && nADoutLE1) + || (A_FSB[14] && A_FSB[22] && A_FSB[20] && A_FSB[19] && + A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && + !iobs/Once && iobs/IORW0 && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && + fsb/ASrf && nADoutLE1) + || (A_FSB[13] && A_FSB[22] && A_FSB[20] && A_FSB[19] && + A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && + !iobs/Once && iobs/IORW0 && !nAS_FSB && !iobs/PS_FSM_FFd2 && + !iobs/PS_FSM_FFd1 && nADoutLE1) + || (A_FSB[13] && A_FSB[22] && A_FSB[20] && A_FSB[19] && + A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && + !iobs/Once && iobs/IORW0 && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && + fsb/ASrf && nADoutLE1) + || (A_FSB[14] && !A_FSB[22] && A_FSB[21] && A_FSB[20] && + A_FSB[19] && A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && + cs/nOverlay1 && !iobs/Once && iobs/IORW0 && !nAS_FSB && + !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1) + || (iobs/IORW0 && !iobs/IORW1 && !iobs/PS_FSM_FFd2 && + !iobs/PS_FSM_FFd1 && !nADoutLE1) + || (!iobs/IORW0 && iobs/IORW1 && !iobs/PS_FSM_FFd2 && + !iobs/PS_FSM_FFd1 && !nADoutLE1) + || (A_FSB[23] && nWE_FSB && !iobs/Once && !iobs/IORW0 && + !nAS_FSB && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1) + || (A_FSB[23] && !nWE_FSB && !iobs/Once && iobs/IORW0 && + !nAS_FSB && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1) + || (A_FSB[23] && !nWE_FSB && !iobs/Once && iobs/IORW0 && + !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && fsb/ASrf && nADoutLE1)); -FTCPE FTCPE_iobs/IORW1 (iobs/IORW1,iobs/IORW1_T,CLK_FSB,1'b0,1'b0); +FTCPE FTCPE_iobs/IORW1 (iobs/IORW1,iobs/IORW1_T,FCLK,1'b0,1'b0); assign iobs/IORW1_T = ((iobs/Once) || (!nADoutLE1) - || (nBERR_FSB_OBUF.EXP) + || (fsb/Ready1r.EXP) + || (!nWE_FSB && !iobs/IORW1) || (nAS_FSB && !fsb/ASrf) || (!iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1) || (!A_FSB[23] && !A_FSB[22] && !A_FSB[21]) || (!A_FSB[23] && !A_FSB[22] && !cs/nOverlay1) - || (!A_FSB[23] && A_FSB[21] && !A_FSB[20]) || (!A_FSB[23] && A_FSB[21] && !A_FSB[19]) || (!A_FSB[23] && A_FSB[21] && !A_FSB[18]) || (!A_FSB[23] && A_FSB[21] && !A_FSB[17]) || (!A_FSB[23] && A_FSB[21] && !A_FSB[16]) + || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && + cs/nOverlay1) + || (!A_FSB[23] && !A_FSB[20]) || (nWE_FSB && iobs/IORW1) - || (!nWE_FSB && !iobs/IORW1) || (!A_FSB[23] && A_FSB[21] && !iobs/IORW1)); -FTCPE FTCPE_iobs/IOReady (iobs/IOReady,iobs/IOReady_T,CLK_FSB,1'b0,1'b0); -assign iobs/IOReady_T = ((iobs/IOReady && nAS_FSB && !fsb/ASrf) - || (iobs/Once && iobs/IOReady && !iobs/PS_FSM_FFd2 && +FTCPE FTCPE_iobs/IOReady (iobs/IOReady,iobs/IOReady_T,FCLK,1'b0,1'b0); +assign iobs/IOReady_T = ((iobs/Once && iobs/IOReady && !iobs/PS_FSM_FFd2 && !iobs/IOACTr && iobm/IOBERR && nADoutLE1) || (iobs/Once && !iobs/IOReady && !nAS_FSB && !iobs/PS_FSM_FFd2 && !iobs/IOACTr && !iobm/IOBERR && nADoutLE1) || (iobs/Once && !iobs/IOReady && !iobs/PS_FSM_FFd2 && - !iobs/IOACTr && !iobm/IOBERR && fsb/ASrf && nADoutLE1)); + !iobs/IOACTr && !iobm/IOBERR && fsb/ASrf && nADoutLE1) + || (iobs/IOReady && nAS_FSB && !fsb/ASrf)); -FDCPE FDCPE_iobs/IOU0 (iobs/IOU0,iobs/IOU0_D,CLK_FSB,1'b0,1'b0,iobs/IOU0_CE); +FDCPE FDCPE_iobs/IOU0 (iobs/IOU0,iobs/IOU0_D,FCLK,1'b0,1'b0,iobs/IOU0_CE); assign iobs/IOU0_D = ((!nUDS_FSB && nADoutLE1) || (iobs/IOU1 && !nADoutLE1)); assign iobs/IOU0_CE = (iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1); -FDCPE FDCPE_iobs/IOU1 (iobs/IOU1,!nUDS_FSB,CLK_FSB,1'b0,1'b0,iobs/Load1); +FDCPE FDCPE_iobs/IOU1 (iobs/IOU1,!nUDS_FSB,FCLK,1'b0,1'b0,iobs/Load1); -FDCPE FDCPE_iobs/Load1 (iobs/Load1,iobs/Load1_D,CLK_FSB,1'b0,1'b0); +FDCPE FDCPE_iobs/Load1 (iobs/Load1,iobs/Load1_D,FCLK,1'b0,1'b0); assign iobs/Load1_D = ((iobs/Once) || (!nADoutLE1) || (!A_FSB[23] && !A_FSB[22] && !A_FSB[21]) - || (!A_FSB[23] && A_FSB[21] && !A_FSB[20]) + || (!A_FSB[23] && !A_FSB[22] && !cs/nOverlay1) || (!A_FSB[23] && A_FSB[21] && !A_FSB[19]) + || (!A_FSB[23] && A_FSB[21] && nWE_FSB) + || (!A_FSB[23] && A_FSB[21] && !A_FSB[18]) || (!A_FSB[23] && A_FSB[21] && !A_FSB[17]) || (!A_FSB[23] && A_FSB[21] && !A_FSB[16]) - || (!A_FSB[23] && A_FSB[21] && !A_FSB[18]) - || (!A_FSB[23] && A_FSB[21] && nWE_FSB) - || (!A_FSB[23] && !A_FSB[20] && SW[1]) || (!A_FSB[14] && !A_FSB[13] && !A_FSB[23] && A_FSB[21]) || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && cs/nOverlay1) + || (!A_FSB[23] && !A_FSB[20]) || (nAS_FSB && !fsb/ASrf) - || (!iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1) - || (!A_FSB[23] && !A_FSB[22] && !cs/nOverlay1)); + || (!iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1)); -FDCPE FDCPE_iobs/Once (iobs/Once,iobs/Once_D,CLK_FSB,1'b0,1'b0); +FDCPE FDCPE_iobs/Once (iobs/Once,iobs/Once_D,FCLK,1'b0,1'b0); assign iobs/Once_D = ((A_FSB[23] && !iobs/Once && iobs/PS_FSM_FFd1) + || (!iobs/Once && iobs/PS_FSM_FFd2 && !nADoutLE1) || (!iobs/Once && iobs/PS_FSM_FFd1 && !nADoutLE1) || (!A_FSB[23] && !A_FSB[22] && !A_FSB[21] && !iobs/Once) - || (!A_FSB[23] && !A_FSB[22] && !iobs/Once && - !cs/nOverlay1) - || (!A_FSB[23] && A_FSB[21] && !A_FSB[20] && !iobs/Once) - || (RA_4_OBUF.EXP) + || (!A_FSB[23] && !A_FSB[22] && !cs/nOverlay1 && + !iobs/Once) + || (cnt/PORS_FSM_FFd1.EXP) || (!A_FSB[23] && A_FSB[21] && !A_FSB[19] && !iobs/Once) || (!A_FSB[23] && A_FSB[21] && !A_FSB[18] && !iobs/Once) || (!A_FSB[23] && A_FSB[21] && !A_FSB[17] && !iobs/Once) @@ -1280,31 +1329,29 @@ assign iobs/Once_D = ((A_FSB[23] && !iobs/Once && iobs/PS_FSM_FFd1) || (!A_FSB[23] && A_FSB[21] && nWE_FSB && !iobs/Once) || (nAS_FSB && !fsb/ASrf) || (A_FSB[23] && !iobs/Once && iobs/PS_FSM_FFd2) + || (!A_FSB[23] && !A_FSB[20] && !iobs/Once) || (A_FSB[22] && !iobs/Once && iobs/PS_FSM_FFd2) - || (A_FSB[22] && !iobs/Once && iobs/PS_FSM_FFd1) - || (!iobs/Once && iobs/PS_FSM_FFd2 && !nADoutLE1)); + || (A_FSB[22] && !iobs/Once && iobs/PS_FSM_FFd1)); -FDCPE FDCPE_iobs/PS_FSM_FFd1 (iobs/PS_FSM_FFd1,iobs/PS_FSM_FFd1_D,CLK_FSB,1'b0,1'b0); +FDCPE FDCPE_iobs/PS_FSM_FFd1 (iobs/PS_FSM_FFd1,iobs/PS_FSM_FFd1_D,FCLK,1'b0,1'b0); assign iobs/PS_FSM_FFd1_D = ((iobs/PS_FSM_FFd2) || (iobs/PS_FSM_FFd1 && iobs/IOACTr)); -FDCPE FDCPE_iobs/PS_FSM_FFd2 (iobs/PS_FSM_FFd2,iobs/PS_FSM_FFd2_D,CLK_FSB,1'b0,1'b0); +FDCPE FDCPE_iobs/PS_FSM_FFd2 (iobs/PS_FSM_FFd2,iobs/PS_FSM_FFd2_D,FCLK,1'b0,1'b0); assign iobs/PS_FSM_FFd2_D = ((!A_FSB[23] && !A_FSB[22] && !A_FSB[21] && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1) - || (!A_FSB[23] && A_FSB[21] && !A_FSB[20] && + || (!A_FSB[23] && !A_FSB[22] && !cs/nOverlay1 && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1) || (!A_FSB[23] && A_FSB[21] && !A_FSB[19] && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1) - || (!A_FSB[23] && A_FSB[21] && !A_FSB[17] && - !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1) || (!A_FSB[23] && A_FSB[21] && !A_FSB[16] && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1) - || (!A_FSB[23] && A_FSB[21] && !A_FSB[18] && - !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1) || (!A_FSB[23] && A_FSB[21] && nWE_FSB && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1) - || (!A_FSB[23] && !A_FSB[20] && !iobs/PS_FSM_FFd2 && - !iobs/PS_FSM_FFd1 && SW[1] && nADoutLE1) + || (!A_FSB[23] && A_FSB[21] && !A_FSB[18] && + !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1) + || (!A_FSB[23] && A_FSB[21] && !A_FSB[17] && + !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1) || (!A_FSB[14] && !A_FSB[13] && !A_FSB[23] && A_FSB[21] && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1) || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && @@ -1315,121 +1362,78 @@ assign iobs/PS_FSM_FFd2_D = ((!A_FSB[23] && !A_FSB[22] && !A_FSB[21] && !iobs/IOACTr) || (iobs/Once && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1) + || (!A_FSB[23] && !A_FSB[20] && !iobs/PS_FSM_FFd2 && + !iobs/PS_FSM_FFd1 && nADoutLE1) || (nAS_FSB && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && - !fsb/ASrf && nADoutLE1) - || (!A_FSB[23] && !A_FSB[22] && !cs/nOverlay1 && - !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1)); + !fsb/ASrf && nADoutLE1)); assign nADoutLE0 = (!iobm/ALE0 && !iobs/ALE0); -FDCPE FDCPE_nADoutLE1 (nADoutLE1,nADoutLE1_D,CLK_FSB,1'b0,1'b0); +FDCPE FDCPE_nADoutLE1 (nADoutLE1,nADoutLE1_D,FCLK,1'b0,1'b0); assign nADoutLE1_D = ((iobs/Load1) || (!iobs/Clear1 && !nADoutLE1)); -FDCPE FDCPE_nAS_IOB (nAS_IOB_I,nAS_IOB,!CLK2X_IOB,1'b0,1'b0); +FDCPE FDCPE_nAS_IOB (nAS_IOB_I,nAS_IOB,!C16M,1'b0,1'b0); assign nAS_IOB = ((!iobm/IOS_FSM_FFd3 && !iobm/IOS_FSM_FFd2) || (iobm/IOS_FSM_FFd1 && !iobm/IOS_FSM_FFd2)); assign nAS_IOB = nAS_IOB_OE ? nAS_IOB_I : 1'bZ; -assign nAS_IOB_OE = !nAoutOE; +assign nAS_IOB_OE = nAoutOE; -FDCPE FDCPE_nAoutOE (nAoutOE,nAoutOE_D,CLK2X_IOB,1'b0,1'b0); -assign nAoutOE_D = ((!iobm/BGr0 && !iobm/BGr1) - || (!iobm/BGr1 && nAoutOE) - || (!nAS_IOB && !iobm/BGr0 && !nAoutOE)); +FDCPE FDCPE_nAoutOE (nAoutOE,nAoutOE_D,!C8M,1'b0,1'b0); +assign nAoutOE_D = (!nBR_IOB && cnt/PORS_FSM_FFd1 && !cnt/PORS_FSM_FFd2); +FTCPE FTCPE_nBERR_FSB (nBERR_FSB,nBERR_FSB_T,FCLK,1'b0,1'b0); +assign nBERR_FSB_T = ((nAS_FSB && !nBERR_FSB && !fsb/ASrf) + || (iobs/Once && !nBERR_FSB && !iobs/PS_FSM_FFd2 && + !iobs/IOACTr && !iobm/IOBERR && nADoutLE1) + || (iobs/Once && !nAS_FSB && nBERR_FSB && + !iobs/PS_FSM_FFd2 && !iobs/IOACTr && iobm/IOBERR && nADoutLE1) + || (iobs/Once && nBERR_FSB && !iobs/PS_FSM_FFd2 && + !iobs/IOACTr && iobm/IOBERR && fsb/ASrf && nADoutLE1)); -assign nBERR_FSB = ((nAS_FSB) - || (!A_FSB[23] && A_FSB[22] && !A_FSB[21] && A_FSB[20] && - !iobs/BERR && !fsb/BERR0r && !fsb/BERR1r) - || (!iobs/BERR && !cnt/TimeoutB && !fsb/BERR0r && - !fsb/BERR1r)); +FTCPE FTCPE_nBR_IOB (nBR_IOB,nBR_IOB_T,!C8M,1'b0,1'b0); +assign nBR_IOB_T = ((nBR_IOB && !cnt/PORS_FSM_FFd1 && !cnt/PORS_FSM_FFd2) + || (!nBR_IOB && !cnt/PORS_FSM_FFd1 && cnt/PORS_FSM_FFd2 && + cnt/IPL2r)); -FDCPE FDCPE_nBR_IOB (nBR_IOB,1'b0,CLK_FSB,1'b0,1'b0,nBR_IOB_CE); -assign nBR_IOB_CE = (RESr0 && RESr1 && IPL2r0 && RESr2 && !RESDone && - IPL2r1); +FDCPE FDCPE_nCAS (nCAS,!ram/RASEL,!FCLK,1'b0,1'b0); -FDCPE FDCPE_nCAS (nCAS,!ram/RASEL,!CLK_FSB,1'b0,1'b0); - -FDCPE FDCPE_nDTACK_FSB (nDTACK_FSB,nDTACK_FSB_D,CLK_FSB,1'b0,1'b0); -assign nDTACK_FSB_D = ((EXP18_.EXP) +FDCPE FDCPE_nDTACK_FSB (nDTACK_FSB,nDTACK_FSB_D,FCLK,1'b0,1'b0); +assign nDTACK_FSB_D = ((iobs/IOReady.EXP) + || (!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && + !fsb/Ready0r && nDTACK_FSB && !ram/RAMReady) + || (A_FSB[22] && !A_FSB[21] && A_FSB[20] && !fsb/Ready1r && + !iobs/IOReady && nDTACK_FSB) + || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && + !cs/nOverlay1 && !fsb/Ready0r && nDTACK_FSB && !ram/RAMReady) || (A_FSB[14] && A_FSB[22] && A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && !fsb/Ready1r && !iobs/IOReady && nDTACK_FSB) + || (A_FSB[13] && A_FSB[22] && A_FSB[20] && A_FSB[19] && + A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && + !fsb/Ready1r && !iobs/IOReady && nDTACK_FSB) || (A_FSB[14] && !A_FSB[22] && A_FSB[21] && A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && cs/nOverlay1 && !fsb/Ready1r && !iobs/IOReady && nDTACK_FSB && !nADoutLE1) - || (A_FSB[8] && A_FSB[15] && A_FSB[14] && A_FSB[13] && - A_FSB[12] && A_FSB[11] && A_FSB[10] && !A_FSB[23] && A_FSB[22] && - A_FSB[21] && A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && - A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && !cnt/TimeoutA && - !fsb/Ready2r && nDTACK_FSB) - || (A_FSB[8] && A_FSB[15] && A_FSB[14] && A_FSB[13] && - A_FSB[12] && A_FSB[11] && A_FSB[10] && !A_FSB[23] && !A_FSB[22] && - A_FSB[21] && A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && - A_FSB[16] && !nWE_FSB && cs/nOverlay1 && !cnt/TimeoutA && - !fsb/Ready2r && nDTACK_FSB) - || (A_FSB[8] && A_FSB[15] && !A_FSB[14] && A_FSB[13] && - !A_FSB[12] && !A_FSB[11] && !A_FSB[10] && !A_FSB[23] && A_FSB[22] && - A_FSB[21] && A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && - A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && !cnt/TimeoutA && - !fsb/Ready2r && nDTACK_FSB) - || (EXP21_.EXP) - || (A_FSB[22] && !A_FSB[21] && A_FSB[20] && !fsb/Ready1r && - !iobs/IOReady && nDTACK_FSB) - || (A_FSB[22] && !A_FSB[21] && !fsb/Ready1r && - !iobs/IOReady && nDTACK_FSB && !SW[1]) - || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && - !cs/nOverlay1 && !fsb/Ready0r && nDTACK_FSB && !ram/RAMReady) - || (A_FSB[13] && A_FSB[22] && A_FSB[20] && A_FSB[19] && - A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && - !fsb/Ready1r && !iobs/IOReady && nDTACK_FSB) || (A_FSB[13] && !A_FSB[22] && A_FSB[21] && A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && cs/nOverlay1 && !fsb/Ready1r && !iobs/IOReady && nDTACK_FSB && - !nADoutLE1) - || (A_FSB[9] && A_FSB[15] && A_FSB[14] && A_FSB[13] && - A_FSB[12] && A_FSB[11] && A_FSB[10] && !A_FSB[23] && A_FSB[22] && - A_FSB[21] && A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && - A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && !cnt/TimeoutA && - !fsb/Ready2r && nDTACK_FSB) - || (A_FSB[9] && A_FSB[15] && A_FSB[14] && A_FSB[13] && - A_FSB[12] && A_FSB[11] && A_FSB[10] && !A_FSB[23] && !A_FSB[22] && - A_FSB[21] && A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && - A_FSB[16] && !nWE_FSB && cs/nOverlay1 && !cnt/TimeoutA && - !fsb/Ready2r && nDTACK_FSB) - || (A_FSB[9] && A_FSB[15] && !A_FSB[14] && A_FSB[13] && - !A_FSB[12] && !A_FSB[11] && !A_FSB[10] && !A_FSB[23] && A_FSB[22] && - A_FSB[21] && A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && - A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && !cnt/TimeoutA && - !fsb/Ready2r && nDTACK_FSB) - || (A_FSB[9] && A_FSB[15] && !A_FSB[14] && A_FSB[13] && - !A_FSB[12] && !A_FSB[11] && !A_FSB[10] && !A_FSB[23] && !A_FSB[22] && - A_FSB[21] && A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && - A_FSB[16] && !nWE_FSB && cs/nOverlay1 && !cnt/TimeoutA && - !fsb/Ready2r && nDTACK_FSB) - || (A_FSB[8] && A_FSB[15] && !A_FSB[14] && A_FSB[13] && - !A_FSB[12] && !A_FSB[11] && !A_FSB[10] && !A_FSB[23] && !A_FSB[22] && - A_FSB[21] && A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && - A_FSB[16] && !nWE_FSB && cs/nOverlay1 && !cnt/TimeoutA && - !fsb/Ready2r && nDTACK_FSB)); + !nADoutLE1)); -FDCPE FDCPE_nDinLE (nDinLE,nDinLE_D,!CLK2X_IOB,1'b0,1'b0); +FDCPE FDCPE_nDinLE (nDinLE,nDinLE_D,!C16M,1'b0,1'b0); assign nDinLE_D = (iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2); assign nDinOE = ((A_FSB[23] && nWE_FSB && !nAS_FSB) || (A_FSB[22] && !A_FSB[21] && A_FSB[20] && nWE_FSB && - !nAS_FSB) - || (A_FSB[22] && !A_FSB[21] && nWE_FSB && !nAS_FSB && - !SW[1])); + !nAS_FSB)); -FDCPE FDCPE_nDoutOE (nDoutOE,nDoutOE_D,CLK2X_IOB,1'b0,1'b0); -assign nDoutOE_D = ((!iobs/IORW0) - || (!iobm/IOS_FSM_FFd3 && !iobm/IOS_FSM_FFd2)); -FDCPE FDCPE_nLDS_IOB (nLDS_IOB_I,nLDS_IOB,!CLK2X_IOB,1'b0,1'b0); +assign nDoutOE = !((!nAoutOE && iobm/DoutOE)); + +FDCPE FDCPE_nLDS_IOB (nLDS_IOB_I,nLDS_IOB,!C16M,1'b0,1'b0); assign nLDS_IOB = ((iobs/IOL0 && !iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd2) || (iobs/IOL0 && iobm/IOS_FSM_FFd1 && @@ -1437,38 +1441,38 @@ assign nLDS_IOB = ((iobs/IOL0 && !iobm/IOS_FSM_FFd3 && || (!iobs/IORW0 && iobs/IOL0 && iobm/IOS_FSM_FFd3 && !iobm/IOS_FSM_FFd1)); assign nLDS_IOB = nLDS_IOB_OE ? nLDS_IOB_I : 1'bZ; -assign nLDS_IOB_OE = !nAoutOE; +assign nLDS_IOB_OE = nAoutOE; assign nOE = !((nWE_FSB && !nAS_FSB)); -assign nRAMLWE = !((!nWE_FSB && !nLDS_FSB && !ram/RAMDIS2 && !nAS_FSB && - !ram/RAMDIS1)); +assign nRAMLWE = !((!nWE_FSB && !nLDS_FSB && !nAS_FSB && ram/RAMEN)); -assign nRAMUWE = !((!nWE_FSB && !nUDS_FSB && !ram/RAMDIS2 && !nAS_FSB && - !ram/RAMDIS1)); +assign nRAMUWE = !((!nWE_FSB && !nUDS_FSB && !nAS_FSB && ram/RAMEN)); assign nRAS = !(((ram/RefRAS) - || (!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && - !ram/RAMDIS2 && !nAS_FSB && !ram/RAMDIS1) + || (!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && !nAS_FSB && + ram/RAMEN) || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && - !cs/nOverlay1 && !ram/RAMDIS2 && !nAS_FSB && !ram/RAMDIS1))); + !cs/nOverlay1 && !nAS_FSB && ram/RAMEN))); -assign nROMCS = !(((A_FSB[23] && !A_FSB[22] && !A_FSB[21] && !A_FSB[20] && - !SW[1]) - || (!A_FSB[23] && A_FSB[22] && !A_FSB[21] && !A_FSB[20] && - SW[1]) - || (!A_FSB[23] && !A_FSB[22] && !A_FSB[21] && !A_FSB[20] && +assign nRES_I = 1'b0; +assign nRES = nRES_OE ? nRES_I : 1'bZ; +assign nRES_OE = !cnt/nRESout; + + +assign nROMCS = !(((!A_FSB[23] && A_FSB[22] && !A_FSB[21] && !A_FSB[20]) + || (!A_FSB[23] && !A_FSB[21] && !A_FSB[20] && !cs/nOverlay1))); assign nROMWE = !((!nWE_FSB && !nAS_FSB)); -FDCPE FDCPE_nUDS_IOB (nUDS_IOB_I,nUDS_IOB,!CLK2X_IOB,1'b0,1'b0); +FDCPE FDCPE_nUDS_IOB (nUDS_IOB_I,nUDS_IOB,!C16M,1'b0,1'b0); assign nUDS_IOB = ((iobs/IOU0 && !iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd2) || (iobs/IOU0 && iobm/IOS_FSM_FFd1 && @@ -1476,187 +1480,111 @@ assign nUDS_IOB = ((iobs/IOU0 && !iobm/IOS_FSM_FFd3 && || (!iobs/IORW0 && iobs/IOU0 && iobm/IOS_FSM_FFd3 && !iobm/IOS_FSM_FFd1)); assign nUDS_IOB = nUDS_IOB_OE ? nUDS_IOB_I : 1'bZ; -assign nUDS_IOB_OE = !nAoutOE; +assign nUDS_IOB_OE = nAoutOE; -FTCPE FTCPE_nVMA_IOB (nVMA_IOB_I,nVMA_IOB_T,CLK2X_IOB,1'b0,1'b0); +FTCPE FTCPE_nVMA_IOB (nVMA_IOB_I,nVMA_IOB_T,C16M,1'b0,1'b0); assign nVMA_IOB_T = ((!nVMA_IOB && !iobm/ES[0] && !iobm/ES[1] && !iobm/ES[2] && !iobm/ES[3] && !iobm/ES[4]) || (nVMA_IOB && iobm/ES[0] && iobm/ES[1] && iobm/ES[2] && !iobm/ES[3] && !iobm/ES[4] && iobm/IOACT && iobm/VPArf && iobm/VPArr)); assign nVMA_IOB = nVMA_IOB_OE ? nVMA_IOB_I : 1'bZ; -assign nVMA_IOB_OE = !nAoutOE; +assign nVMA_IOB_OE = nAoutOE; assign nVPA_FSB = !((fsb/VPA && !nAS_FSB)); -FDCPE FDCPE_ram/BACTr (ram/BACTr,ram/BACTr_D,CLK_FSB,1'b0,1'b0); +FDCPE FDCPE_ram/BACTr (ram/BACTr,ram/BACTr_D,FCLK,1'b0,1'b0); assign ram/BACTr_D = (nAS_FSB && !fsb/ASrf); -FTCPE FTCPE_ram/Once (ram/Once,ram/Once_T,CLK_FSB,1'b0,1'b0); -assign ram/Once_T = ((ram/Once && nAS_FSB && !fsb/ASrf) - || (!A_FSB[23] && !A_FSB[22] && !ram/Once && cs/nOverlay1 && - !nAS_FSB && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && - !ram/RS_FSM_FFd3) - || (!A_FSB[23] && !A_FSB[22] && !ram/Once && cs/nOverlay1 && - !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3 && fsb/ASrf) - || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && !ram/Once && - !cs/nOverlay1 && !nAS_FSB && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && - !ram/RS_FSM_FFd3) - || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && !ram/Once && - !cs/nOverlay1 && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && - !ram/RS_FSM_FFd3 && fsb/ASrf)); +FDCPE FDCPE_ram/RAMEN (ram/RAMEN,ram/RAMEN_D,FCLK,1'b0,1'b0); +assign ram/RAMEN_D = ((!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && !nAS_FSB && + !ram/RS_FSM_FFd1 && ram/RAMEN) + || (!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && + !ram/RS_FSM_FFd1 && ram/RAMEN && fsb/ASrf) + || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && + !cs/nOverlay1 && !nAS_FSB && !ram/RS_FSM_FFd1 && ram/RAMEN) + || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && + !cs/nOverlay1 && !ram/RS_FSM_FFd1 && ram/RAMEN && fsb/ASrf) + || (ram/RS_FSM_FFd2 && ram/RAMEN) + || (ram/RS_FSM_FFd3 && ram/RAMEN) + || (!ram/RS_FSM_FFd1 && !ram/RefUrgent && ram/RAMEN && + ram/BACTr) + || (!ram/RS_FSM_FFd1 && !ram/RefUrgent && ram/RAMEN && + !ram/RefReq) + || (nAS_FSB && !ram/RS_FSM_FFd2 && !ram/RefUrgent && + !ram/RS_FSM_FFd3 && !fsb/ASrf)); -FDCPE FDCPE_ram/RAMDIS1 (ram/RAMDIS1,ram/RAMDIS1_D,CLK_FSB,1'b0,1'b0); -assign ram/RAMDIS1_D = ((RA_1_OBUF.EXP) - || (A_FSB[23] && !cnt/RefDone && !ram/RS_FSM_FFd1 && - !ram/RS_FSM_FFd3 && cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7]) - || (!A_FSB[22] && !cnt/RefDone && !cs/nOverlay1 && - !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3 && !ram/BACTr && fsb/ASrf) - || (!cnt/RefDone && ram/Once && !ram/RS_FSM_FFd1 && - !ram/RS_FSM_FFd3 && cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7]) - || (A_FSB[22] && !A_FSB[21] && !cnt/RefDone && - !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3 && cnt/RefCnt[5] && cnt/RefCnt[6] && - cnt/RefCnt[7]) - || (!cnt/RefDone && nAS_FSB && !ram/RS_FSM_FFd1 && - !ram/RS_FSM_FFd3 && cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7] && - !fsb/ASrf) - || (A_FSB[22] && !A_FSB[21] && !cnt/RefDone && !nAS_FSB && - !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3 && !ram/BACTr) - || (A_FSB[22] && !A_FSB[21] && !cnt/RefDone && - !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3 && !ram/BACTr && fsb/ASrf) - || (A_FSB[22] && !cnt/RefDone && cs/nOverlay1 && - !nAS_FSB && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3 && !ram/BACTr) - || (A_FSB[22] && !cnt/RefDone && cs/nOverlay1 && - !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3 && !ram/BACTr && fsb/ASrf) - || (!A_FSB[22] && !cnt/RefDone && !cs/nOverlay1 && - !nAS_FSB && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3 && !ram/BACTr) - || (ram/RS_FSM_FFd1 && ram/RS_FSM_FFd3) - || (!ram/RS_FSM_FFd1 && ram/RS_FSM_FFd2) - || (A_FSB[23] && !cnt/RefDone && !nAS_FSB && - !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3 && !ram/BACTr) - || (A_FSB[23] && !cnt/RefDone && !ram/RS_FSM_FFd1 && - !ram/RS_FSM_FFd3 && !ram/BACTr && fsb/ASrf) - || (!cnt/RefDone && ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && - cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7])); +FDCPE FDCPE_ram/RAMReady (ram/RAMReady,ram/RAMReady_D,FCLK,1'b0,1'b0); +assign ram/RAMReady_D = ((RA_6_OBUF.EXP) + || (A_FSB[23] && !ram/RS_FSM_FFd2 && !ram/RefUrgent && + !ram/RS_FSM_FFd3 && !ram/RefReq) + || (A_FSB[22] && !A_FSB[21] && !ram/RS_FSM_FFd2 && + !ram/RefUrgent && !ram/RS_FSM_FFd3 && ram/BACTr) + || (A_FSB[22] && !A_FSB[21] && !ram/RS_FSM_FFd2 && + !ram/RefUrgent && !ram/RS_FSM_FFd3 && !ram/RefReq) + || (A_FSB[22] && cs/nOverlay1 && !ram/RS_FSM_FFd2 && + !ram/RefUrgent && !ram/RS_FSM_FFd3 && ram/BACTr) + || (!A_FSB[22] && !cs/nOverlay1 && !ram/RS_FSM_FFd2 && + !ram/RefUrgent && !ram/RS_FSM_FFd3 && ram/BACTr) + || (ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && + !ram/RefUrgent && !ram/RS_FSM_FFd3) + || (A_FSB[23] && !ram/RS_FSM_FFd2 && !ram/RefUrgent && + !ram/RS_FSM_FFd3 && ram/BACTr) + || (nAS_FSB && !ram/RS_FSM_FFd2 && !ram/RefUrgent && + !ram/RS_FSM_FFd3 && !fsb/ASrf)); -FTCPE FTCPE_ram/RAMDIS2 (ram/RAMDIS2,ram/RAMDIS2_T,CLK_FSB,1'b0,1'b0); -assign ram/RAMDIS2_T = ((!A_FSB[23] && A_FSB[22] && A_FSB[21] && !cnt/RefDone && - ram/Once && !cs/nOverlay1 && !ram/RAMDIS2 && !nAS_FSB && - !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3 && cnt/RefCnt[5] && cnt/RefCnt[6] && - cnt/RefCnt[7]) - || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && !cnt/RefDone && - ram/Once && !cs/nOverlay1 && !ram/RAMDIS2 && !ram/RS_FSM_FFd2 && - !ram/RS_FSM_FFd3 && cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7] && +FDCPE FDCPE_ram/RASEL (ram/RASEL,ram/RASEL_D,FCLK,1'b0,1'b0); +assign ram/RASEL_D = ((A_FSB[22] && !A_FSB[21] && !ram/RS_FSM_FFd2 && + !ram/RefUrgent && !ram/RS_FSM_FFd3 && !ram/RefReq) + || (A_FSB[22] && cs/nOverlay1 && !ram/RS_FSM_FFd2 && + !ram/RefUrgent && !ram/RS_FSM_FFd3 && !ram/RefReq) + || (!A_FSB[22] && !cs/nOverlay1 && !ram/RS_FSM_FFd2 && + !ram/RefUrgent && !ram/RS_FSM_FFd3 && !ram/RefReq) + || (!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && !nAS_FSB && + !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3 && !ram/RAMEN) + || (!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && + !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3 && !ram/RAMEN && fsb/ASrf) + || (ram/RAMReady.EXP) + || (A_FSB[23] && !ram/RS_FSM_FFd2 && !ram/RefUrgent && + !ram/RS_FSM_FFd3 && ram/BACTr) + || (A_FSB[23] && !ram/RS_FSM_FFd2 && !ram/RefUrgent && + !ram/RS_FSM_FFd3 && !ram/RefReq) + || (A_FSB[22] && !A_FSB[21] && !ram/RS_FSM_FFd2 && + !ram/RefUrgent && !ram/RS_FSM_FFd3 && ram/BACTr) + || (A_FSB[22] && cs/nOverlay1 && !ram/RS_FSM_FFd2 && + !ram/RefUrgent && !ram/RS_FSM_FFd3 && ram/BACTr) + || (!A_FSB[22] && !cs/nOverlay1 && !ram/RS_FSM_FFd2 && + !ram/RefUrgent && !ram/RS_FSM_FFd3 && ram/BACTr) + || (ram/RS_FSM_FFd1 && ram/RS_FSM_FFd2) + || (ram/RS_FSM_FFd2 && ram/RS_FSM_FFd3) + || (!nAS_FSB && ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3) + || (ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3 && fsb/ASrf) + || (nAS_FSB && !ram/RS_FSM_FFd2 && !ram/RefUrgent && + !ram/RS_FSM_FFd3 && !fsb/ASrf)); + +FDCPE FDCPE_ram/RS_FSM_FFd1 (ram/RS_FSM_FFd1,ram/RS_FSM_FFd1_D,FCLK,1'b0,1'b0); +assign ram/RS_FSM_FFd1_D = ((!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && + !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && ram/RefUrgent && !ram/RAMEN && fsb/ASrf) - || (ram/RAMDIS2 && nAS_FSB && !fsb/ASrf) - || (!cnt/RefDone && ram/Once && !ram/RAMDIS2 && !nAS_FSB && - ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3 && - cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7]) - || (!cnt/RefDone && ram/Once && !ram/RAMDIS2 && - ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3 && - cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7] && fsb/ASrf) - || (!A_FSB[23] && !A_FSB[22] && !cnt/RefDone && ram/Once && - cs/nOverlay1 && !ram/RAMDIS2 && !nAS_FSB && !ram/RS_FSM_FFd2 && - !ram/RS_FSM_FFd3 && cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7]) - || (!A_FSB[23] && !A_FSB[22] && !cnt/RefDone && ram/Once && - cs/nOverlay1 && !ram/RAMDIS2 && !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3 && - cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7] && fsb/ASrf)); - -FDCPE FDCPE_ram/RAMReady (ram/RAMReady,ram/RAMReady_D,CLK_FSB,1'b0,1'b0); -assign ram/RAMReady_D = ((ram/RS_FSM_FFd2) - || (ram/RS_FSM_FFd3) - || (!A_FSB[23] && !A_FSB[22] && !ram/Once && cs/nOverlay1 && - !nAS_FSB && !ram/RS_FSM_FFd1) - || (!A_FSB[23] && !A_FSB[22] && !ram/Once && cs/nOverlay1 && - !ram/RS_FSM_FFd1 && fsb/ASrf) - || (A_FSB[22] && !A_FSB[21] && !cnt/RefDone && !nAS_FSB && - !ram/RS_FSM_FFd1 && !ram/BACTr) - || (A_FSB[22] && !cnt/RefDone && cs/nOverlay1 && - !nAS_FSB && !ram/RS_FSM_FFd1 && !ram/BACTr) - || (!A_FSB[22] && !cnt/RefDone && !cs/nOverlay1 && - !nAS_FSB && !ram/RS_FSM_FFd1 && !ram/BACTr) - || (A_FSB[22] && !A_FSB[21] && !cnt/RefDone && - !ram/RS_FSM_FFd1 && !ram/BACTr && fsb/ASrf) - || (A_FSB[22] && !cnt/RefDone && cs/nOverlay1 && - !ram/RS_FSM_FFd1 && !ram/BACTr && fsb/ASrf) - || (!A_FSB[22] && !cnt/RefDone && !cs/nOverlay1 && - !ram/RS_FSM_FFd1 && !ram/BACTr && fsb/ASrf) - || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && !ram/Once && - !cs/nOverlay1 && !nAS_FSB && !ram/RS_FSM_FFd1) - || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && !ram/Once && - !cs/nOverlay1 && !ram/RS_FSM_FFd1 && fsb/ASrf) - || (!cnt/RefDone && cnt/RefCnt[5] && cnt/RefCnt[6] && - cnt/RefCnt[7]) - || (A_FSB[23] && !cnt/RefDone && !nAS_FSB && - !ram/RS_FSM_FFd1 && !ram/BACTr) - || (A_FSB[23] && !cnt/RefDone && !ram/RS_FSM_FFd1 && - !ram/BACTr && fsb/ASrf)); - -FDCPE FDCPE_ram/RASEL (ram/RASEL,ram/RASEL_D,CLK_FSB,1'b0,1'b0); -assign ram/RASEL_D = ((A_FSB[22] && !cnt/RefDone && cs/nOverlay1 && - !nAS_FSB && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && !ram/BACTr) - || (A_FSB[22] && !cnt/RefDone && cs/nOverlay1 && - !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && !ram/BACTr && fsb/ASrf) - || (!A_FSB[22] && !cnt/RefDone && !cs/nOverlay1 && - !nAS_FSB && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && !ram/BACTr) - || (!A_FSB[22] && !cnt/RefDone && !cs/nOverlay1 && - !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && !ram/BACTr && fsb/ASrf) - || (A_FSB[22] && !A_FSB[21] && !cnt/RefDone && - !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && cnt/RefCnt[5] && cnt/RefCnt[6] && - cnt/RefCnt[7]) - || (EXP26_.EXP) - || (A_FSB[23] && !cnt/RefDone && !ram/RS_FSM_FFd1 && - !ram/RS_FSM_FFd2 && cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7]) - || (!A_FSB[23] && !A_FSB[22] && !ram/Once && cs/nOverlay1 && - !nAS_FSB && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2) - || (!A_FSB[23] && !A_FSB[22] && !ram/Once && cs/nOverlay1 && - !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && fsb/ASrf) - || (A_FSB[22] && !A_FSB[21] && !cnt/RefDone && !nAS_FSB && - !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && !ram/BACTr) - || (A_FSB[22] && !A_FSB[21] && !cnt/RefDone && - !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && !ram/BACTr && fsb/ASrf) - || (!ram/RS_FSM_FFd2 && ram/RS_FSM_FFd3) - || (!ram/RS_FSM_FFd1 && ram/RS_FSM_FFd2 && - !ram/RS_FSM_FFd3) - || (A_FSB[23] && !cnt/RefDone && !nAS_FSB && - !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && !ram/BACTr) - || (A_FSB[23] && !cnt/RefDone && !ram/RS_FSM_FFd1 && - !ram/RS_FSM_FFd2 && !ram/BACTr && fsb/ASrf) - || (!cnt/RefDone && nAS_FSB && !ram/RS_FSM_FFd2 && - cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7] && !fsb/ASrf)); - -FDCPE FDCPE_ram/RS_FSM_FFd1 (ram/RS_FSM_FFd1,ram/RS_FSM_FFd1_D,CLK_FSB,1'b0,1'b0); -assign ram/RS_FSM_FFd1_D = ((!A_FSB[23] && A_FSB[22] && A_FSB[21] && !cnt/RefDone && - ram/Once && !cs/nOverlay1 && !nAS_FSB && !ram/RS_FSM_FFd1 && - !ram/RS_FSM_FFd2 && cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7]) - || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && !cnt/RefDone && - ram/Once && !cs/nOverlay1 && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && - cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7] && fsb/ASrf) - || (!A_FSB[23] && !A_FSB[22] && !cnt/RefDone && ram/Once && - cs/nOverlay1 && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && - cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7] && fsb/ASrf) + || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && + !cs/nOverlay1 && !nAS_FSB && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && + ram/RefUrgent && !ram/RAMEN) + || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && + !cs/nOverlay1 && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && + ram/RefUrgent && !ram/RAMEN && fsb/ASrf) || (ram/RS_FSM_FFd1 && ram/RS_FSM_FFd2) || (!ram/RS_FSM_FFd1 && ram/RS_FSM_FFd3) - || (!cnt/RefDone && !nAS_FSB && ram/RS_FSM_FFd1 && - !ram/RS_FSM_FFd3 && cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7]) - || (!cnt/RefDone && ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3 && - cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7] && fsb/ASrf) - || (!A_FSB[23] && !A_FSB[22] && !cnt/RefDone && ram/Once && - cs/nOverlay1 && !nAS_FSB && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && - cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7])); + || (!nAS_FSB && ram/RS_FSM_FFd1 && ram/RefUrgent && + !ram/RS_FSM_FFd3) + || (ram/RS_FSM_FFd1 && ram/RefUrgent && + !ram/RS_FSM_FFd3 && fsb/ASrf) + || (!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && !nAS_FSB && + !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && ram/RefUrgent && !ram/RAMEN)); -FDCPE FDCPE_ram/RS_FSM_FFd2 (ram/RS_FSM_FFd2,ram/RS_FSM_FFd2_D,CLK_FSB,1'b0,1'b0); -assign ram/RS_FSM_FFd2_D = ((!ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3 && - !cnt/RefCnt[5] && ram/BACTr) - || (!ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3 && - !cnt/RefCnt[6] && ram/BACTr) - || (nAS_FSB && !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3 && - !cnt/RefCnt[5] && !fsb/ASrf) - || (nAS_FSB && !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3 && - !cnt/RefCnt[7] && !fsb/ASrf) - || (nAS_FSB && !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3 && - !cnt/RefCnt[6] && !fsb/ASrf) +FDCPE FDCPE_ram/RS_FSM_FFd2 (ram/RS_FSM_FFd2,ram/RS_FSM_FFd2_D,FCLK,1'b0,1'b0); +assign ram/RS_FSM_FFd2_D = ((nAS_FSB && !ram/RS_FSM_FFd2 && !ram/RefUrgent && + !ram/RS_FSM_FFd3 && !fsb/ASrf) || (!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && !nAS_FSB && !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3) || (!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && @@ -1666,44 +1594,51 @@ assign ram/RS_FSM_FFd2_D = ((!ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3 && || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && !cs/nOverlay1 && !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3 && fsb/ASrf) || (ram/RS_FSM_FFd1 && ram/RS_FSM_FFd2) - || (cnt/RefDone && !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3) || (!nAS_FSB && ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3) || (ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3 && fsb/ASrf) - || (!ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3 && - !cnt/RefCnt[7] && ram/BACTr)); + || (!ram/RS_FSM_FFd2 && !ram/RefUrgent && + !ram/RS_FSM_FFd3 && ram/BACTr) + || (!ram/RS_FSM_FFd2 && !ram/RefUrgent && + !ram/RS_FSM_FFd3 && !ram/RefReq)); -FDCPE FDCPE_ram/RS_FSM_FFd3 (ram/RS_FSM_FFd3,ram/RS_FSM_FFd3_D,CLK_FSB,1'b0,1'b0); -assign ram/RS_FSM_FFd3_D = ((!cnt/RefDone && !nAS_FSB && ram/RS_FSM_FFd1 && - !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3 && cnt/RefCnt[5] && cnt/RefCnt[6] && - cnt/RefCnt[7]) - || (!cnt/RefDone && ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && - !ram/RS_FSM_FFd3 && cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7] && - fsb/ASrf) - || (!A_FSB[23] && !A_FSB[22] && !cnt/RefDone && - cs/nOverlay1 && !nAS_FSB && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3 && - cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7]) - || (!A_FSB[23] && !A_FSB[22] && !cnt/RefDone && - cs/nOverlay1 && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3 && - cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7] && fsb/ASrf) - || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && !cnt/RefDone && - !cs/nOverlay1 && !nAS_FSB && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3 && - cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7]) - || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && !cnt/RefDone && - !cs/nOverlay1 && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3 && - cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7] && fsb/ASrf) - || (!ram/RS_FSM_FFd1 && ram/RS_FSM_FFd2) - || (!A_FSB[23] && !A_FSB[22] && !ram/Once && cs/nOverlay1 && - !nAS_FSB && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3) - || (!A_FSB[23] && !A_FSB[22] && !ram/Once && cs/nOverlay1 && - !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3 && fsb/ASrf) - || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && !ram/Once && - !cs/nOverlay1 && !nAS_FSB && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3) - || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && !ram/Once && - !cs/nOverlay1 && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3 && fsb/ASrf)); +FTCPE FTCPE_ram/RS_FSM_FFd3 (ram/RS_FSM_FFd3,ram/RS_FSM_FFd3_T,FCLK,1'b0,1'b0); +assign ram/RS_FSM_FFd3_T = ((ram/RS_FSM_FFd1 && ram/RS_FSM_FFd2 && + !ram/RS_FSM_FFd3) + || (ram/RS_FSM_FFd1 && !ram/RefUrgent && + !ram/RS_FSM_FFd3) + || (A_FSB[23] && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && + !ram/RS_FSM_FFd3) + || (nAS_FSB && !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3 && + !fsb/ASrf) + || (!ram/RS_FSM_FFd1 && ram/RS_FSM_FFd2 && + ram/RS_FSM_FFd3) + || (!ram/RS_FSM_FFd2 && !ram/RefUrgent && + !ram/RS_FSM_FFd3 && !ram/RAMEN) + || (A_FSB[22] && !A_FSB[21] && !ram/RS_FSM_FFd1 && + !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3) + || (A_FSB[22] && cs/nOverlay1 && !ram/RS_FSM_FFd1 && + !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3) + || (!A_FSB[22] && !cs/nOverlay1 && !ram/RS_FSM_FFd1 && + !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3)); -FDCPE FDCPE_ram/RefRAS (ram/RefRAS,ram/RefRAS_D,CLK_FSB,1'b0,1'b0); +FDCPE FDCPE_ram/RefDone (ram/RefDone,ram/RefDone_D,FCLK,1'b0,1'b0); +assign ram/RefDone_D = ((ram/RefDone && ram/RefReqSync) + || (!ram/RS_FSM_FFd1 && ram/RS_FSM_FFd2 && + ram/RefReqSync)); + +FDCPE FDCPE_ram/RefRAS (ram/RefRAS,ram/RefRAS_D,FCLK,1'b0,1'b0); assign ram/RefRAS_D = (!ram/RS_FSM_FFd1 && ram/RS_FSM_FFd2); +FDCPE FDCPE_ram/RefReq (ram/RefReq,ram/RefReq_D,FCLK,1'b0,1'b0); +assign ram/RefReq_D = (!ram/RefDone && ram/RefReqSync); + +FDCPE FDCPE_ram/RefReqSync (ram/RefReqSync,cnt/RefReq,FCLK,1'b0,1'b0); + +FDCPE FDCPE_ram/RefUrgent (ram/RefUrgent,ram/RefUrgent_D,FCLK,1'b0,1'b0); +assign ram/RefUrgent_D = (!ram/RefDone && ram/RegUrgentSync); + +FDCPE FDCPE_ram/RegUrgentSync (ram/RegUrgentSync,cnt/RefUrgent,FCLK,1'b0,1'b0); + Register Legend: FDCPE (Q,D,C,CLR,PRE,CE); FTCPE (Q,D,C,CLR,PRE,CE); @@ -1756,10 +1691,10 @@ No. Name No. Name 5 VCC 55 RA<10> 6 A_FSB<8> 56 RA<9> 7 A_FSB<9> 57 VCC - 8 A_FSB<10> 58 CLK25EN - 9 A_FSB<11> 59 CLK20EN - 10 A_FSB<12> 60 SW<1> - 11 A_FSB<13> 61 SW<0> + 8 A_FSB<10> 58 C25MEN + 9 A_FSB<11> 59 C20MEN + 10 A_FSB<12> 60 KPR + 11 A_FSB<13> 61 KPR 12 A_FSB<14> 62 GND 13 A_FSB<15> 63 RA<11> 14 A_FSB<16> 64 nRAS @@ -1770,12 +1705,12 @@ No. Name No. Name 19 A_FSB<21> 69 GND 20 A_FSB<22> 70 nBERR_FSB 21 GND 71 KPR - 22 CLK2X_IOB 72 nBR_IOB - 23 CLK_IOB 73 nBG_IOB + 22 C16M 72 nBR_IOB + 23 C8M 73 nBG_IOB 24 A_FSB<23> 74 nVMA_IOB - 25 E_IOB 75 GND + 25 E 75 GND 26 VCC 76 nBERR_IOB - 27 CLK_FSB 77 nVPA_IOB + 27 FCLK 77 nVPA_IOB 28 nDTACK_FSB 78 nDTACK_IOB 29 nWE_FSB 79 nLDS_IOB 30 nLDS_FSB 80 nUDS_IOB diff --git a/cpld/XC95144XL/WarpSE.stx b/cpld/XC95144XL/WarpSE.stx new file mode 100644 index 0000000..e69de29 diff --git a/cpld/XC95144XL/WarpSE.syr b/cpld/XC95144XL/WarpSE.syr index 5b9587b..dfa7477 100644 --- a/cpld/XC95144XL/WarpSE.syr +++ b/cpld/XC95144XL/WarpSE.syr @@ -76,30 +76,12 @@ wysiwyg : NO * HDL Compilation * ========================================================================= Compiling verilog file "../RAM.v" in library work -ERROR:HDLCompilers:28 - "../RAM.v" line 38 'BACTr' has not been declared -ERROR:HDLCompilers:28 - "../RAM.v" line 96 'RefFromRS0Next' has not been declared -ERROR:HDLCompilers:28 - "../RAM.v" line 100 'RAMDIS1' has not been declared -ERROR:HDLCompilers:28 - "../RAM.v" line 101 'RefFromRS0Pre' has not been declared -ERROR:HDLCompilers:28 - "../RAM.v" line 107 'RAMDIS1' has not been declared -ERROR:HDLCompilers:28 - "../RAM.v" line 113 'RAMDIS1' has not been declared -ERROR:HDLCompilers:28 - "../RAM.v" line 118 'RAMDIS1' has not been declared -ERROR:HDLCompilers:28 - "../RAM.v" line 124 'RAMDIS1' has not been declared -ERROR:HDLCompilers:28 - "../RAM.v" line 132 'RAMDIS1' has not been declared -ERROR:HDLCompilers:28 - "../RAM.v" line 139 'RAMDIS1' has not been declared -ERROR:HDLCompilers:28 - "../RAM.v" line 146 'RAMDIS1' has not been declared -ERROR:HDLCompilers:28 - "../RAM.v" line 153 'RAMDIS1' has not been declared -ERROR:HDLCompilers:28 - "../RAM.v" line 160 'RAMDIS1' has not been declared -ERROR:HDLCompilers:28 - "../RAM.v" line 167 'RAMDIS1' has not been declared -ERROR:HDLCompilers:28 - "../RAM.v" line 176 'RAMDIS1' has not been declared -ERROR:HDLCompilers:28 - "../RAM.v" line 184 'RAMDIS1' has not been declared -ERROR:HDLCompilers:28 - "../RAM.v" line 190 'RAMDIS1' has not been declared Compiling verilog file "../IOBS.v" in library work Module compiled Compiling verilog file "../IOBM.v" in library work Module compiled Compiling verilog file "../FSB.v" in library work Module compiled -ERROR:HDLCompilers:28 - "../FSB.v" line 71 'BERR' has not been declared Compiling verilog file "../CS.v" in library work Module compiled Compiling verilog file "../CNT.v" in library work @@ -107,12 +89,412 @@ Module compiled Compiling verilog file "../WarpSE.v" in library work Module compiled Module compiled -Analysis of file <"WarpSE.prj"> failed. +No errors in compilation +Analysis of file <"WarpSE.prj"> succeeded. + + +========================================================================= +* Design Hierarchy Analysis * +========================================================================= +Analyzing hierarchy for module in library . + +Analyzing hierarchy for module in library . + +Analyzing hierarchy for module in library . + +Analyzing hierarchy for module in library . + +Analyzing hierarchy for module in library . + +Analyzing hierarchy for module in library . + +Analyzing hierarchy for module in library . + + +========================================================================= +* HDL Analysis * +========================================================================= +Analyzing top module . +Module is correct for synthesis. + +Analyzing module in library . +Module is correct for synthesis. + +Analyzing module in library . +Module is correct for synthesis. + +Analyzing module in library . +Module is correct for synthesis. + +Analyzing module in library . +Module is correct for synthesis. + +Analyzing module in library . +Module is correct for synthesis. + +Analyzing module in library . +Module is correct for synthesis. + + +========================================================================= +* HDL Synthesis * +========================================================================= + +Performing bidirectional port resolution... + +Synthesizing Unit . + Related source file is "../CS.v". + Found 1-bit register for signal . + Found 1-bit register for signal . + Summary: + inferred 2 D-type flip-flop(s). +Unit synthesized. + + +Synthesizing Unit . + Related source file is "../RAM.v". + Found finite state machine for signal . + ----------------------------------------------------------------------- + | States | 8 | + | Transitions | 21 | + | Inputs | 6 | + | Outputs | 9 | + | Clock | CLK (rising_edge) | + | Power Up State | 000 | + | Encoding | automatic | + | Implementation | automatic | + ----------------------------------------------------------------------- + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Summary: + inferred 1 Finite State Machine(s). + inferred 10 D-type flip-flop(s). +Unit synthesized. + + +Synthesizing Unit . + Related source file is "../IOBS.v". + Found finite state machine for signal . + ----------------------------------------------------------------------- + | States | 4 | + | Transitions | 10 | + | Inputs | 5 | + | Outputs | 5 | + | Clock | CLK (rising_edge) | + | Power Up State | 00 | + | Encoding | automatic | + | Implementation | automatic | + ----------------------------------------------------------------------- + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Summary: + inferred 1 Finite State Machine(s). + inferred 9 D-type flip-flop(s). +Unit synthesized. + + +Synthesizing Unit . + Related source file is "../IOBM.v". + Found finite state machine for signal . + ----------------------------------------------------------------------- + | States | 8 | + | Transitions | 16 | + | Inputs | 7 | + | Outputs | 8 | + | Clock | C16M (rising_edge) | + | Power Up State | 000 | + | Encoding | automatic | + | Implementation | automatic | + ----------------------------------------------------------------------- + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 5-bit up counter for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Summary: + inferred 1 Finite State Machine(s). + inferred 1 Counter(s). + inferred 22 D-type flip-flop(s). +Unit synthesized. + + +Synthesizing Unit . + Related source file is "../CNT.v". +WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. + Found finite state machine for signal . + ----------------------------------------------------------------------- + | States | 4 | + | Transitions | 8 | + | Inputs | 2 | + | Outputs | 4 | + | Clock | C8M (falling_edge) | + | Power Up State | 00 | + | Encoding | automatic | + | Implementation | automatic | + ----------------------------------------------------------------------- + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 14-bit up counter for signal . + Found 4-bit up counter for signal . + Found 1-bit register for signal . + Summary: + inferred 1 Finite State Machine(s). + inferred 2 Counter(s). + inferred 7 D-type flip-flop(s). +Unit synthesized. + + +Synthesizing Unit . + Related source file is "../FSB.v". + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Summary: + inferred 1 D-type flip-flop(s). +Unit synthesized. + + +Synthesizing Unit . + Related source file is "../WarpSE.v". +WARNING:Xst:646 - Signal is assigned but never used. This unconnected signal will be trimmed during the optimization process. +WARNING:Xst:646 - Signal is assigned but never used. This unconnected signal will be trimmed during the optimization process. + Found 1-bit tristate buffer for signal . + Found 1-bit tristate buffer for signal . + Found 1-bit tristate buffer for signal . + Found 1-bit tristate buffer for signal . + Found 1-bit tristate buffer for signal . + Summary: + inferred 5 Tristate(s). +Unit synthesized. + + +========================================================================= +HDL Synthesis Report + +Macro Statistics +# Counters : 3 + 14-bit up counter : 1 + 4-bit up counter : 1 + 5-bit up counter : 1 +# Registers : 63 + 1-bit register : 63 +# Tristates : 5 + 1-bit tristate buffer : 5 + +========================================================================= + +========================================================================= +* Advanced HDL Synthesis * +========================================================================= + +Analyzing FSM for best encoding. +Optimizing FSM on signal with johnson encoding. +------------------- + State | Encoding +------------------- + 00 | 00 + 01 | 01 + 10 | 11 + 11 | 10 +------------------- +Analyzing FSM for best encoding. +Optimizing FSM on signal with gray encoding. +------------------- + State | Encoding +------------------- + 000 | 000 + 001 | 001 + 010 | 011 + 011 | 010 + 100 | 110 + 101 | 111 + 110 | 101 + 111 | 100 +------------------- +Analyzing FSM for best encoding. +Optimizing FSM on signal with johnson encoding. +------------------- + State | Encoding +------------------- + 00 | 00 + 11 | 01 + 10 | 11 + 01 | 10 +------------------- +Analyzing FSM for best encoding. +Optimizing FSM on signal with compact encoding. +------------------- + State | Encoding +------------------- + 000 | 000 + 010 | 010 + 001 | 101 + 101 | 001 + 011 | 011 + 100 | 111 + 111 | 100 + 110 | 110 +------------------- + +========================================================================= +Advanced HDL Synthesis Report + +Macro Statistics +# FSMs : 4 +# Counters : 3 + 14-bit up counter : 1 + 4-bit up counter : 1 + 5-bit up counter : 1 +# Registers : 51 + Flip-Flops : 51 + +========================================================================= + +========================================================================= +* Low Level Synthesis * +========================================================================= + +Optimizing unit ... + implementation constraint: INIT=r : fsb/ASrf + implementation constraint: INIT=r : iobm/IOREQr + implementation constraint: INIT=r : cnt/PORS_FSM_FFd1 + implementation constraint: INIT=r : cnt/PORS_FSM_FFd2 + implementation constraint: INIT=r : cs/nOverlay1 + implementation constraint: INIT=r : ram/RAMEN + implementation constraint: INIT=r : ram/RAMReady + implementation constraint: INIT=r : ram/RASEL + implementation constraint: INIT=r : ram/RefRAS + implementation constraint: INIT=r : cs/nOverlay0 + implementation constraint: INIT=r : iobs/IOACTr + implementation constraint: INIT=r : iobs/Once + implementation constraint: INIT=r : ram/RS_FSM_FFd1 + implementation constraint: INIT=r : iobm/BG + implementation constraint: INIT=r : iobm/IOS_FSM_FFd3 + implementation constraint: INIT=r : iobm/ETACK + implementation constraint: INIT=r : iobm/DoutOE + implementation constraint: INIT=r : ram/RS_FSM_FFd3 + implementation constraint: INIT=r : iobm/IOS_FSM_FFd2 + implementation constraint: INIT=r : iobs/PS_FSM_FFd2 + implementation constraint: INIT=r : iobs/PS_FSM_FFd1 + implementation constraint: INIT=r : iobm/IOS_FSM_FFd1 + implementation constraint: INIT=r : ram/RS_FSM_FFd2 + implementation constraint: INIT=r : cnt/Timer_3 + implementation constraint: INIT=r : cnt/Timer_2 + implementation constraint: INIT=r : cnt/Timer_1 + implementation constraint: INIT=r : cnt/Timer_0 + +========================================================================= +* Partition Report * +========================================================================= + +Partition Implementation Status +------------------------------- + + No Partitions were found in this design. + +------------------------------- + +========================================================================= +* Final Report * +========================================================================= +Final Results +RTL Top Level Output File Name : WarpSE.ngr +Top Level Output File Name : WarpSE +Output Format : NGC +Optimization Goal : Speed +Keep Hierarchy : No +Target Technology : XC9500XL CPLDs +Macro Preserve : YES +XOR Preserve : YES +Clock Enable : YES +wysiwyg : NO + +Design Statistics +# IOs : 75 + +Cell Usage : +# BELS : 577 +# AND2 : 172 +# AND3 : 20 +# AND4 : 15 +# AND5 : 3 +# AND6 : 1 +# AND8 : 4 +# GND : 1 +# INV : 238 +# OR2 : 98 +# OR3 : 4 +# VCC : 1 +# XOR2 : 20 +# FlipFlops/Latches : 96 +# FD : 63 +# FDCE : 33 +# Tri-States : 1 +# BUFE : 1 +# IO Buffers : 72 +# IBUF : 36 +# IOBUFE : 1 +# OBUF : 31 +# OBUFE : 4 +========================================================================= + + +Total REAL time to Xst completion: 15.00 secs +Total CPU time to Xst completion: 15.04 secs + --> -Total memory usage is 232068 kilobytes +Total memory usage is 266244 kilobytes -Number of errors : 18 ( 0 filtered) -Number of warnings : 0 ( 0 filtered) +Number of errors : 0 ( 0 filtered) +Number of warnings : 4 ( 0 filtered) Number of infos : 0 ( 0 filtered) diff --git a/cpld/XC95144XL/WarpSE.tspec b/cpld/XC95144XL/WarpSE.tspec index a315bc9..0b33bd1 100644 --- a/cpld/XC95144XL/WarpSE.tspec +++ b/cpld/XC95144XL/WarpSE.tspec @@ -1,396 +1,404 @@ +TS_CLK_IOB:FROM:cnt/LTimer<0>.Q:TO:cnt/LTimer<0>.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<13>.Q:TO:cnt/LTimer<0>.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<13>.Q:TO:cnt/LTimer<13>.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<11>.Q:TO:cnt/LTimer<13>.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<12>.Q:TO:cnt/LTimer<13>.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<0>.Q:TO:cnt/LTimer<13>.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<1>.Q:TO:cnt/LTimer<13>.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<2>.Q:TO:cnt/LTimer<13>.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<10>.Q:TO:cnt/LTimer<13>.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<3>.Q:TO:cnt/LTimer<13>.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<4>.Q:TO:cnt/LTimer<13>.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<5>.Q:TO:cnt/LTimer<13>.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<6>.Q:TO:cnt/LTimer<13>.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<7>.Q:TO:cnt/LTimer<13>.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<8>.Q:TO:cnt/LTimer<13>.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<9>.Q:TO:cnt/LTimer<13>.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<13>.Q:TO:cnt/LTimer<1>.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<1>.Q:TO:cnt/LTimer<1>.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<0>.Q:TO:cnt/LTimer<1>.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<13>.Q:TO:cnt/LTimer<2>.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<2>.Q:TO:cnt/LTimer<2>.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<0>.Q:TO:cnt/LTimer<2>.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<1>.Q:TO:cnt/LTimer<2>.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<13>.Q:TO:cnt/LTimer<3>.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<3>.Q:TO:cnt/LTimer<3>.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<0>.Q:TO:cnt/LTimer<3>.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<1>.Q:TO:cnt/LTimer<3>.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<2>.Q:TO:cnt/LTimer<3>.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<13>.Q:TO:cnt/LTimer<5>.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<5>.Q:TO:cnt/LTimer<5>.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<0>.Q:TO:cnt/LTimer<5>.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<1>.Q:TO:cnt/LTimer<5>.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<2>.Q:TO:cnt/LTimer<5>.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<3>.Q:TO:cnt/LTimer<5>.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<4>.Q:TO:cnt/LTimer<5>.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<13>.Q:TO:cnt/LTimer<4>.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<4>.Q:TO:cnt/LTimer<4>.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<3>.Q:TO:cnt/LTimer<4>.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<0>.Q:TO:cnt/LTimer<4>.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<1>.Q:TO:cnt/LTimer<4>.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<2>.Q:TO:cnt/LTimer<4>.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<13>.Q:TO:cnt/LTimer<6>.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<6>.Q:TO:cnt/LTimer<6>.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<5>.Q:TO:cnt/LTimer<6>.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<0>.Q:TO:cnt/LTimer<6>.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<1>.Q:TO:cnt/LTimer<6>.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<2>.Q:TO:cnt/LTimer<6>.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<3>.Q:TO:cnt/LTimer<6>.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<4>.Q:TO:cnt/LTimer<6>.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<13>.Q:TO:cnt/LTimer<7>.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<7>.Q:TO:cnt/LTimer<7>.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<5>.Q:TO:cnt/LTimer<7>.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<6>.Q:TO:cnt/LTimer<7>.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<0>.Q:TO:cnt/LTimer<7>.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<1>.Q:TO:cnt/LTimer<7>.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<2>.Q:TO:cnt/LTimer<7>.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<3>.Q:TO:cnt/LTimer<7>.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<4>.Q:TO:cnt/LTimer<7>.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<13>.Q:TO:cnt/LTimer<9>.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<9>.Q:TO:cnt/LTimer<9>.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<0>.Q:TO:cnt/LTimer<9>.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<1>.Q:TO:cnt/LTimer<9>.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<2>.Q:TO:cnt/LTimer<9>.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<3>.Q:TO:cnt/LTimer<9>.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<4>.Q:TO:cnt/LTimer<9>.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<5>.Q:TO:cnt/LTimer<9>.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<6>.Q:TO:cnt/LTimer<9>.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<7>.Q:TO:cnt/LTimer<9>.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<8>.Q:TO:cnt/LTimer<9>.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<13>.Q:TO:cnt/LTimer<10>.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<10>.Q:TO:cnt/LTimer<10>.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<9>.Q:TO:cnt/LTimer<10>.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<0>.Q:TO:cnt/LTimer<10>.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<1>.Q:TO:cnt/LTimer<10>.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<2>.Q:TO:cnt/LTimer<10>.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<3>.Q:TO:cnt/LTimer<10>.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<4>.Q:TO:cnt/LTimer<10>.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<5>.Q:TO:cnt/LTimer<10>.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<6>.Q:TO:cnt/LTimer<10>.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<7>.Q:TO:cnt/LTimer<10>.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<8>.Q:TO:cnt/LTimer<10>.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<13>.Q:TO:cnt/LTimer<11>.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<11>.Q:TO:cnt/LTimer<11>.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<0>.Q:TO:cnt/LTimer<11>.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<1>.Q:TO:cnt/LTimer<11>.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<2>.Q:TO:cnt/LTimer<11>.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<10>.Q:TO:cnt/LTimer<11>.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<3>.Q:TO:cnt/LTimer<11>.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<4>.Q:TO:cnt/LTimer<11>.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<5>.Q:TO:cnt/LTimer<11>.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<6>.Q:TO:cnt/LTimer<11>.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<7>.Q:TO:cnt/LTimer<11>.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<8>.Q:TO:cnt/LTimer<11>.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<9>.Q:TO:cnt/LTimer<11>.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<13>.Q:TO:cnt/LTimer<8>.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<8>.Q:TO:cnt/LTimer<8>.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<7>.Q:TO:cnt/LTimer<8>.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<5>.Q:TO:cnt/LTimer<8>.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<6>.Q:TO:cnt/LTimer<8>.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<0>.Q:TO:cnt/LTimer<8>.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<1>.Q:TO:cnt/LTimer<8>.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<2>.Q:TO:cnt/LTimer<8>.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<3>.Q:TO:cnt/LTimer<8>.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<4>.Q:TO:cnt/LTimer<8>.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<13>.Q:TO:cnt/LTimer<12>.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<12>.Q:TO:cnt/LTimer<12>.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<10>.Q:TO:cnt/LTimer<12>.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<11>.Q:TO:cnt/LTimer<12>.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<9>.Q:TO:cnt/LTimer<12>.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<0>.Q:TO:cnt/LTimer<12>.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<1>.Q:TO:cnt/LTimer<12>.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<2>.Q:TO:cnt/LTimer<12>.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<3>.Q:TO:cnt/LTimer<12>.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<4>.Q:TO:cnt/LTimer<12>.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<5>.Q:TO:cnt/LTimer<12>.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<6>.Q:TO:cnt/LTimer<12>.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<7>.Q:TO:cnt/LTimer<12>.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<8>.Q:TO:cnt/LTimer<12>.D:1428 +TS_CLK_IOB:FROM:cnt/nBR_IOB.Q:TO:cnt/AoutOE.D:1428 +TS_CLK_IOB:FROM:cnt/PORS_FSM_FFd1.Q:TO:cnt/AoutOE.D:1428 +TS_CLK_IOB:FROM:cnt/PORS_FSM_FFd2.Q:TO:cnt/AoutOE.D:1428 +TS_CLK_IOB:FROM:cnt/PORS_FSM_FFd1.Q:TO:cnt/nBR_IOB.D:1428 +TS_CLK_IOB:FROM:cnt/nBR_IOB.Q:TO:cnt/nBR_IOB.D:1428 +TS_CLK_IOB:FROM:cnt/PORS_FSM_FFd2.Q:TO:cnt/nBR_IOB.D:1428 +TS_CLK_IOB:FROM:cnt/IPL2r.Q:TO:cnt/nBR_IOB.D:1428 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd2.Q:TO:iobm/IOS_FSM_FFd3.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:iobm/IOS_FSM_FFd3.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd1.Q:TO:iobm/IOS_FSM_FFd3.D:666 -TS_CLK2X_IOB:FROM:nAoutOE_OBUF.Q:TO:iobm/IOS_FSM_FFd3.D:666 +TS_CLK2X_IOB:FROM:iobm/BG.Q:TO:iobm/IOS_FSM_FFd3.D:666 TS_CLK2X_IOB:FROM:iobm/IOREQr.Q:TO:iobm/IOS_FSM_FFd3.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd2.Q:TO:iobm/IOS_FSM_FFd2.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:iobm/IOS_FSM_FFd2.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd1.Q:TO:iobm/IOS_FSM_FFd2.D:666 TS_CLK2X_IOB:FROM:iobm/ETACK.Q:TO:iobm/IOS_FSM_FFd2.D:666 -TS_CLK2X_IOB:FROM:iobm/BERRrf.Q:TO:iobm/IOS_FSM_FFd2.D:666 TS_CLK2X_IOB:FROM:iobm/BERRrr.Q:TO:iobm/IOS_FSM_FFd2.D:666 -TS_CLK2X_IOB:FROM:iobm/DTACKrf.Q:TO:iobm/IOS_FSM_FFd2.D:666 +TS_CLK2X_IOB:FROM:iobm/BERRrf.Q:TO:iobm/IOS_FSM_FFd2.D:666 TS_CLK2X_IOB:FROM:iobm/DTACKrr.Q:TO:iobm/IOS_FSM_FFd2.D:666 -TS_CLK2X_IOB:FROM:iobm/RESrf.Q:TO:iobm/IOS_FSM_FFd2.D:666 +TS_CLK2X_IOB:FROM:iobm/DTACKrf.Q:TO:iobm/IOS_FSM_FFd2.D:666 TS_CLK2X_IOB:FROM:iobm/RESrr.Q:TO:iobm/IOS_FSM_FFd2.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd2.Q:TO:iobm/IOBERR.D:666 +TS_CLK2X_IOB:FROM:iobm/RESrf.Q:TO:iobm/IOS_FSM_FFd2.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd1.Q:TO:iobm/IOS_FSM_FFd2.D:666 +TS_CLK_IOB:FROM:cnt/PORS_FSM_FFd1.Q:TO:cnt/PORS_FSM_FFd1.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<13>.Q:TO:cnt/PORS_FSM_FFd1.D:1428 +TS_CLK_IOB:FROM:cnt/PORS_FSM_FFd2.Q:TO:cnt/PORS_FSM_FFd1.D:1428 +TS_CLK_IOB:FROM:cnt/IPL2r.Q:TO:cnt/PORS_FSM_FFd1.D:1428 +TS_CLK_IOB:FROM:cnt/LTimer<13>.Q:TO:cnt/PORS_FSM_FFd2.D:1428 +TS_CLK_IOB:FROM:cnt/PORS_FSM_FFd1.Q:TO:cnt/PORS_FSM_FFd2.D:1428 +TS_CLK_IOB:FROM:cnt/PORS_FSM_FFd2.Q:TO:cnt/PORS_FSM_FFd2.D:1428 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:iobm/IOBERR.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd2.Q:TO:iobm/IOBERR.D:666 TS_CLK2X_IOB:FROM:iobm/IOBERR.Q:TO:iobm/IOBERR.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd1.Q:TO:iobm/IOBERR.D:666 -TS_CLK2X_IOB:FROM:iobm/RESrf.Q:TO:iobm/IOBERR.D:666 -TS_CLK2X_IOB:FROM:iobm/RESrr.Q:TO:iobm/IOBERR.D:666 -TS_CLK2X_IOB:FROM:iobm/BERRrf.Q:TO:iobm/IOBERR.D:666 -TS_CLK2X_IOB:FROM:iobm/BERRrr.Q:TO:iobm/IOBERR.D:666 -TS_CLK2X_IOB:FROM:iobm/DTACKrf.Q:TO:iobm/IOBERR.D:666 -TS_CLK2X_IOB:FROM:iobm/DTACKrr.Q:TO:iobm/IOBERR.D:666 TS_CLK2X_IOB:FROM:iobm/ETACK.Q:TO:iobm/IOBERR.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:iobm/IOACT.D:666 +TS_CLK2X_IOB:FROM:iobm/BERRrr.Q:TO:iobm/IOBERR.D:666 +TS_CLK2X_IOB:FROM:iobm/BERRrf.Q:TO:iobm/IOBERR.D:666 +TS_CLK2X_IOB:FROM:iobm/DTACKrr.Q:TO:iobm/IOBERR.D:666 +TS_CLK2X_IOB:FROM:iobm/DTACKrf.Q:TO:iobm/IOBERR.D:666 +TS_CLK2X_IOB:FROM:iobm/RESrr.Q:TO:iobm/IOBERR.D:666 +TS_CLK2X_IOB:FROM:iobm/RESrf.Q:TO:iobm/IOBERR.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd1.Q:TO:iobm/IOBERR.D:666 +TS_CLK_IOB:FROM:cnt/LTimer<13>.Q:TO:cnt/nRESout.D:1428 +TS_CLK_IOB:FROM:cnt/nRESout.Q:TO:cnt/nRESout.D:1428 +TS_CLK_IOB:FROM:cnt/PORS_FSM_FFd1.Q:TO:cnt/nRESout.D:1428 +TS_CLK_IOB:FROM:cnt/PORS_FSM_FFd2.Q:TO:cnt/nRESout.D:1428 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd2.Q:TO:iobm/IOACT.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd1.Q:TO:iobm/IOACT.D:666 -TS_CLK2X_IOB:FROM:iobm/DTACKrf.Q:TO:iobm/IOACT.D:666 -TS_CLK2X_IOB:FROM:iobm/DTACKrr.Q:TO:iobm/IOACT.D:666 -TS_CLK2X_IOB:FROM:iobm/RESrf.Q:TO:iobm/IOACT.D:666 -TS_CLK2X_IOB:FROM:iobm/RESrr.Q:TO:iobm/IOACT.D:666 -TS_CLK2X_IOB:FROM:nAoutOE_OBUF.Q:TO:iobm/IOACT.D:666 -TS_CLK2X_IOB:FROM:iobm/IOREQr.Q:TO:iobm/IOACT.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:iobm/IOACT.D:666 TS_CLK2X_IOB:FROM:iobm/ETACK.Q:TO:iobm/IOACT.D:666 -TS_CLK2X_IOB:FROM:iobm/BERRrf.Q:TO:iobm/IOACT.D:666 TS_CLK2X_IOB:FROM:iobm/BERRrr.Q:TO:iobm/IOACT.D:666 -TS_CLK_FSB:FROM:cnt/RefDone.Q:TO:cnt/RefDone.D:400 -TS_CLK_FSB:FROM:ram/RefRAS.Q:TO:cnt/RefDone.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:cnt/RefDone.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:cnt/RefDone.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefDone.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:cnt/RefDone.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefDone.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:cnt/RefDone.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefDone.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<4>.Q:TO:cnt/RefDone.D:400 -TS_CLK_FSB:FROM:ram/Once.Q:TO:ram/Once.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/Once.D:400 -TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/Once.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/Once.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/Once.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/Once.D:400 -TS_CLK_FSB:FROM:iobs/Once.Q:TO:iobs/Once.D:400 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/Once.D:400 -TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/Once.D:400 -TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:iobs/Once.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:iobs/Once.D:400 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/Once.D:400 +TS_CLK2X_IOB:FROM:iobm/BERRrf.Q:TO:iobm/IOACT.D:666 +TS_CLK2X_IOB:FROM:iobm/DTACKrr.Q:TO:iobm/IOACT.D:666 +TS_CLK2X_IOB:FROM:iobm/DTACKrf.Q:TO:iobm/IOACT.D:666 +TS_CLK2X_IOB:FROM:iobm/RESrr.Q:TO:iobm/IOACT.D:666 +TS_CLK2X_IOB:FROM:iobm/RESrf.Q:TO:iobm/IOACT.D:666 +TS_CLK2X_IOB:FROM:iobm/IOREQr.Q:TO:iobm/IOACT.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd1.Q:TO:iobm/IOACT.D:666 TS_CLK_FSB:FROM:cs/nOverlay0.Q:TO:cs/nOverlay1.D:400 TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:cs/nOverlay1.CE:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:iobs/BERR.D:400 -TS_CLK_FSB:FROM:iobs/BERR.Q:TO:iobs/BERR.D:400 -TS_CLK_FSB:FROM:iobs/Once.Q:TO:iobs/BERR.D:400 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/BERR.D:400 -TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/BERR.D:400 -TS_CLK_FSB:FROM:iobs/IOACTr.Q:TO:iobs/BERR.D:400 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/IORW0.D:400 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/IORW0.D:400 -TS_CLK_FSB:FROM:iobs/Once.Q:TO:iobs/IORW0.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:iobs/Once.D:400 +TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:iobs/Once.D:400 +TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/Once.D:400 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/Once.D:400 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/Once.D:400 +TS_CLK_FSB:FROM:iobs/Once.Q:TO:iobs/Once.D:400 TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/IORW0.D:400 -TS_CLK_FSB:FROM:iobs/IORW0.Q:TO:iobs/IORW0.D:400 TS_CLK_FSB:FROM:iobs/IORW1.Q:TO:iobs/IORW0.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:iobs/IORW0.D:400 TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:iobs/IORW0.D:400 -TS_CLK_FSB:FROM:cs/nOverlay0.Q:TO:cs/nOverlay0.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:iobs/IORW0.D:400 +TS_CLK_FSB:FROM:iobs/Once.Q:TO:iobs/IORW0.D:400 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/IORW0.D:400 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/IORW0.D:400 +TS_CLK_FSB:FROM:iobs/IORW0.Q:TO:iobs/IORW0.D:400 +TS_CLK_FSB:FROM:ram/RefReqSync.Q:TO:ram/RefDone.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RefDone.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RefDone.D:400 +TS_CLK_FSB:FROM:ram/RefDone.Q:TO:ram/RefDone.D:400 TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:cs/nOverlay0.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:cnt/TimeoutA.D:400 -TS_CLK_FSB:FROM:cnt/TimeoutA.Q:TO:cnt/TimeoutA.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:cnt/TimeoutA.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:cnt/TimeoutA.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/TimeoutA.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/TimeoutA.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:cnt/TimeoutA.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/TimeoutA.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<4>.Q:TO:cnt/TimeoutA.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:cnt/TimeoutB.D:400 -TS_CLK_FSB:FROM:cnt/TimeoutB.Q:TO:cnt/TimeoutB.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:cnt/TimeoutB.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:cnt/TimeoutB.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/TimeoutB.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:cnt/TimeoutB.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/TimeoutB.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:cnt/TimeoutB.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/TimeoutB.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<4>.Q:TO:cnt/TimeoutB.D:400 -TS_CLK_FSB:FROM:cnt/TimeoutBPre.Q:TO:cnt/TimeoutB.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:cnt/TimeoutBPre.D:400 -TS_CLK_FSB:FROM:cnt/TimeoutBPre.Q:TO:cnt/TimeoutBPre.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:cnt/TimeoutBPre.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:cnt/TimeoutBPre.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/TimeoutBPre.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:cnt/TimeoutBPre.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/TimeoutBPre.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:cnt/TimeoutBPre.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/TimeoutBPre.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<4>.Q:TO:cnt/TimeoutBPre.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:fsb/BERR0r.D:400 -TS_CLK_FSB:FROM:cnt/TimeoutB.Q:TO:fsb/BERR0r.D:400 -TS_CLK_FSB:FROM:fsb/BERR0r.Q:TO:fsb/BERR0r.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:fsb/BERR1r.D:400 -TS_CLK_FSB:FROM:iobs/BERR.Q:TO:fsb/BERR1r.D:400 -TS_CLK_FSB:FROM:fsb/BERR1r.Q:TO:fsb/BERR1r.D:400 +TS_CLK_FSB:FROM:cs/nOverlay0.Q:TO:cs/nOverlay0.D:400 TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:fsb/Ready0r.D:400 TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:fsb/Ready0r.D:400 -TS_CLK_FSB:FROM:fsb/Ready0r.Q:TO:fsb/Ready0r.D:400 TS_CLK_FSB:FROM:ram/RAMReady.Q:TO:fsb/Ready0r.D:400 +TS_CLK_FSB:FROM:fsb/Ready0r.Q:TO:fsb/Ready0r.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:fsb/Ready1r.D:400 TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:fsb/Ready1r.D:400 +TS_CLK_FSB:FROM:iobs/IOReady.Q:TO:fsb/Ready1r.D:400 TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:fsb/Ready1r.D:400 TS_CLK_FSB:FROM:fsb/Ready1r.Q:TO:fsb/Ready1r.D:400 -TS_CLK_FSB:FROM:iobs/IOReady.Q:TO:fsb/Ready1r.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:fsb/Ready1r.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:fsb/Ready2r.D:400 -TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:fsb/Ready2r.D:400 -TS_CLK_FSB:FROM:cnt/TimeoutA.Q:TO:fsb/Ready2r.D:400 -TS_CLK_FSB:FROM:fsb/Ready2r.Q:TO:fsb/Ready2r.D:400 -TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:fsb/VPA.D:400 -TS_CLK_FSB:FROM:cnt/TimeoutA.Q:TO:fsb/VPA.D:400 -TS_CLK_FSB:FROM:fsb/Ready2r.Q:TO:fsb/VPA.D:400 -TS_CLK_FSB:FROM:fsb/VPA.Q:TO:fsb/VPA.D:400 TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:fsb/VPA.D:400 -TS_CLK_FSB:FROM:iobs/BERR.Q:TO:fsb/VPA.D:400 -TS_CLK_FSB:FROM:fsb/Ready1r.Q:TO:fsb/VPA.D:400 -TS_CLK_FSB:FROM:cnt/TimeoutB.Q:TO:fsb/VPA.D:400 -TS_CLK_FSB:FROM:fsb/BERR0r.Q:TO:fsb/VPA.D:400 -TS_CLK_FSB:FROM:fsb/BERR1r.Q:TO:fsb/VPA.D:400 -TS_CLK_FSB:FROM:Park.Q:TO:fsb/VPA.D:400 -TS_CLK_FSB:FROM:iobs/IOReady.Q:TO:fsb/VPA.D:400 TS_CLK_FSB:FROM:fsb/Ready0r.Q:TO:fsb/VPA.D:400 +TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:fsb/VPA.D:400 TS_CLK_FSB:FROM:ram/RAMReady.Q:TO:fsb/VPA.D:400 +TS_CLK_FSB:FROM:fsb/Ready1r.Q:TO:fsb/VPA.D:400 +TS_CLK_FSB:FROM:iobs/IOReady.Q:TO:fsb/VPA.D:400 TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:fsb/VPA.D:400 +TS_CLK_FSB:FROM:fsb/VPA.Q:TO:fsb/VPA.D:400 TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/IOL0.D:400 TS_CLK_FSB:FROM:iobs/IOL1.Q:TO:iobs/IOL0.D:400 TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/IOL0.CE:400 TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/IOL0.CE:400 TS_CLK_FSB:FROM:iobs/Load1.Q:TO:iobs/IOL1.CE:400 -TS_CLK_FSB:FROM:iobs/Once.Q:TO:iobs/IORW1.D:400 -TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/IORW1.D:400 TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:iobs/IORW1.D:400 TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:iobs/IORW1.D:400 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/IORW1.D:400 TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/IORW1.D:400 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/IORW1.D:400 +TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/IORW1.D:400 +TS_CLK_FSB:FROM:iobs/Once.Q:TO:iobs/IORW1.D:400 TS_CLK_FSB:FROM:iobs/IORW1.Q:TO:iobs/IORW1.D:400 TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:iobs/IOReady.D:400 -TS_CLK_FSB:FROM:iobs/IOReady.Q:TO:iobs/IOReady.D:400 TS_CLK_FSB:FROM:iobs/Once.Q:TO:iobs/IOReady.D:400 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/IOReady.D:400 TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/IOReady.D:400 TS_CLK_FSB:FROM:iobs/IOACTr.Q:TO:iobs/IOReady.D:400 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/IOReady.D:400 +TS_CLK_FSB:FROM:iobs/IOReady.Q:TO:iobs/IOReady.D:400 TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/IOU0.D:400 TS_CLK_FSB:FROM:iobs/IOU1.Q:TO:iobs/IOU0.D:400 TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/IOU0.CE:400 TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/IOU0.CE:400 TS_CLK_FSB:FROM:iobs/Load1.Q:TO:iobs/IOU1.CE:400 -TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RAMDIS2.D:400 -TS_CLK_FSB:FROM:cnt/RefDone.Q:TO:ram/RAMDIS2.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:ram/RAMDIS2.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:ram/RAMDIS2.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:ram/RAMDIS2.D:400 -TS_CLK_FSB:FROM:ram/Once.Q:TO:ram/RAMDIS2.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RAMDIS2.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RAMDIS2.D:400 -TS_CLK_FSB:FROM:ram/RAMDIS2.Q:TO:ram/RAMDIS2.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RAMDIS2.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RAMDIS2.D:400 TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:fsb/nDTACK.D:400 -TS_CLK_FSB:FROM:iobs/BERR.Q:TO:fsb/nDTACK.D:400 -TS_CLK_FSB:FROM:iobs/IOReady.Q:TO:fsb/nDTACK.D:400 -TS_CLK_FSB:FROM:cnt/TimeoutB.Q:TO:fsb/nDTACK.D:400 -TS_CLK_FSB:FROM:fsb/BERR0r.Q:TO:fsb/nDTACK.D:400 -TS_CLK_FSB:FROM:fsb/BERR1r.Q:TO:fsb/nDTACK.D:400 -TS_CLK_FSB:FROM:Park.Q:TO:fsb/nDTACK.D:400 -TS_CLK_FSB:FROM:fsb/nDTACK.Q:TO:fsb/nDTACK.D:400 -TS_CLK_FSB:FROM:fsb/Ready1r.Q:TO:fsb/nDTACK.D:400 -TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:fsb/nDTACK.D:400 TS_CLK_FSB:FROM:fsb/Ready0r.Q:TO:fsb/nDTACK.D:400 +TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:fsb/nDTACK.D:400 TS_CLK_FSB:FROM:ram/RAMReady.Q:TO:fsb/nDTACK.D:400 +TS_CLK_FSB:FROM:fsb/Ready1r.Q:TO:fsb/nDTACK.D:400 +TS_CLK_FSB:FROM:iobs/IOReady.Q:TO:fsb/nDTACK.D:400 TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:fsb/nDTACK.D:400 -TS_CLK_FSB:FROM:cnt/TimeoutA.Q:TO:fsb/nDTACK.D:400 -TS_CLK_FSB:FROM:fsb/Ready2r.Q:TO:fsb/nDTACK.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RS_FSM_FFd1.D:400 -TS_CLK_FSB:FROM:cnt/RefDone.Q:TO:ram/RS_FSM_FFd1.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:ram/RS_FSM_FFd1.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:ram/RS_FSM_FFd1.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:ram/RS_FSM_FFd1.D:400 -TS_CLK_FSB:FROM:ram/Once.Q:TO:ram/RS_FSM_FFd1.D:400 -TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RS_FSM_FFd1.D:400 +TS_CLK_FSB:FROM:fsb/nDTACK.Q:TO:fsb/nDTACK.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:iobs/nBERR_FSB.D:400 +TS_CLK_FSB:FROM:iobs/Once.Q:TO:iobs/nBERR_FSB.D:400 +TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/nBERR_FSB.D:400 +TS_CLK_FSB:FROM:iobs/IOACTr.Q:TO:iobs/nBERR_FSB.D:400 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/nBERR_FSB.D:400 +TS_CLK_FSB:FROM:iobs/nBERR_FSB.Q:TO:iobs/nBERR_FSB.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RASEL.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RASEL.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RASEL.D:400 +TS_CLK_FSB:FROM:ram/RefUrgent.Q:TO:ram/RASEL.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RASEL.D:400 +TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RASEL.D:400 +TS_CLK_FSB:FROM:ram/RAMEN.Q:TO:ram/RASEL.D:400 +TS_CLK_FSB:FROM:ram/RefReq.Q:TO:ram/RASEL.D:400 +TS_CLK_FSB:FROM:ram/BACTr.Q:TO:ram/RASEL.D:400 TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RS_FSM_FFd1.D:400 TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RS_FSM_FFd1.D:400 TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RS_FSM_FFd1.D:400 -TS_CLK_FSB:FROM:cnt/RefDone.Q:TO:ram/RASEL.D:400 -TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RASEL.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RASEL.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RASEL.D:400 -TS_CLK_FSB:FROM:ram/BACTr.Q:TO:ram/RASEL.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RASEL.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:ram/RASEL.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:ram/RASEL.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:ram/RASEL.D:400 -TS_CLK_FSB:FROM:ram/Once.Q:TO:ram/RASEL.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RASEL.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:ram/RS_FSM_FFd2.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RS_FSM_FFd1.D:400 +TS_CLK_FSB:FROM:ram/RefUrgent.Q:TO:ram/RS_FSM_FFd1.D:400 +TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RS_FSM_FFd1.D:400 +TS_CLK_FSB:FROM:ram/RAMEN.Q:TO:ram/RS_FSM_FFd1.D:400 TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RS_FSM_FFd2.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RS_FSM_FFd2.D:400 -TS_CLK_FSB:FROM:ram/BACTr.Q:TO:ram/RS_FSM_FFd2.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:ram/RS_FSM_FFd2.D:400 +TS_CLK_FSB:FROM:ram/RefUrgent.Q:TO:ram/RS_FSM_FFd2.D:400 TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RS_FSM_FFd2.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:ram/RS_FSM_FFd2.D:400 +TS_CLK_FSB:FROM:ram/RefReq.Q:TO:ram/RS_FSM_FFd2.D:400 +TS_CLK_FSB:FROM:ram/BACTr.Q:TO:ram/RS_FSM_FFd2.D:400 TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RS_FSM_FFd2.D:400 TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RS_FSM_FFd2.D:400 -TS_CLK_FSB:FROM:cnt/RefDone.Q:TO:ram/RS_FSM_FFd2.D:400 -TS_CLK_FSB:FROM:cnt/RefDone.Q:TO:ram/RS_FSM_FFd3.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:ram/RS_FSM_FFd3.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:ram/RS_FSM_FFd3.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:ram/RS_FSM_FFd3.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RS_FSM_FFd3.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RS_FSM_FFd3.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RS_FSM_FFd3.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RS_FSM_FFd3.D:400 -TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RS_FSM_FFd3.D:400 -TS_CLK_FSB:FROM:ram/Once.Q:TO:ram/RS_FSM_FFd3.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RS_FSM_FFd2.D:400 TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/PS_FSM_FFd2.D:400 +TS_CLK_FSB:FROM:iobs/IOACTr.Q:TO:iobs/PS_FSM_FFd2.D:400 TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/PS_FSM_FFd2.D:400 TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/PS_FSM_FFd2.D:400 TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:iobs/PS_FSM_FFd2.D:400 -TS_CLK_FSB:FROM:iobs/IOACTr.Q:TO:iobs/PS_FSM_FFd2.D:400 -TS_CLK_FSB:FROM:iobs/Once.Q:TO:iobs/PS_FSM_FFd2.D:400 TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:iobs/PS_FSM_FFd2.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<5>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefCnt<5>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:cnt/RefCnt<5>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefCnt<5>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<4>.Q:TO:cnt/RefCnt<5>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:cnt/RefCnt<6>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<6>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefCnt<6>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:cnt/RefCnt<6>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefCnt<6>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<4>.Q:TO:cnt/RefCnt<6>.D:400 +TS_CLK_FSB:FROM:iobs/Once.Q:TO:iobs/PS_FSM_FFd2.D:400 +TS_CLK_FSB:FROM:ram/RefDone.Q:TO:ram/RefUrgent.D:400 +TS_CLK_FSB:FROM:ram/RegUrgentSync.Q:TO:ram/RefUrgent.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RS_FSM_FFd3.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RS_FSM_FFd3.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RS_FSM_FFd3.D:400 +TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RS_FSM_FFd3.D:400 +TS_CLK_FSB:FROM:ram/RAMEN.Q:TO:ram/RS_FSM_FFd3.D:400 +TS_CLK_FSB:FROM:ram/RefUrgent.Q:TO:ram/RS_FSM_FFd3.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RS_FSM_FFd3.D:400 TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/PS_FSM_FFd1.D:400 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/PS_FSM_FFd1.D:400 TS_CLK_FSB:FROM:iobs/IOACTr.Q:TO:iobs/PS_FSM_FFd1.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:cnt/RefCnt<7>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:cnt/RefCnt<7>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<7>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefCnt<7>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:cnt/RefCnt<7>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefCnt<7>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<4>.Q:TO:cnt/RefCnt<7>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<1>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<3>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefCnt<3>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefCnt<3>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<2>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefCnt<2>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<4>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefCnt<4>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:cnt/RefCnt<4>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefCnt<4>.D:400 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/PS_FSM_FFd1.D:400 +TS_CLK_FSB:FROM:ram/RAMEN.Q:TO:ram/RAMEN.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RAMEN.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RAMEN.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RAMEN.D:400 +TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RAMEN.D:400 +TS_CLK_FSB:FROM:ram/BACTr.Q:TO:ram/RAMEN.D:400 +TS_CLK_FSB:FROM:ram/RefReq.Q:TO:ram/RAMEN.D:400 +TS_CLK_FSB:FROM:ram/RefUrgent.Q:TO:ram/RAMEN.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RAMEN.D:400 TS_CLK_FSB:FROM:iobs/Once.Q:TO:iobs/Load1.D:400 -TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/Load1.D:400 TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:iobs/Load1.D:400 TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:iobs/Load1.D:400 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/Load1.D:400 TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/Load1.D:400 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/Load1.D:400 +TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/Load1.D:400 TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/BACTr.D:400 -TS_CLK_FSB:FROM:RESr0.Q:TO:RESr1.D:400 -TS_CLK_FSB:FROM:RESr1.Q:TO:RESr2.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RefRAS.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RefRAS.D:400 -TS_CLK_FSB:FROM:RESr0.Q:TO:RESDone.CE:400 -TS_CLK_FSB:FROM:RESr1.Q:TO:RESDone.CE:400 -TS_CLK_FSB:FROM:RESr2.Q:TO:RESDone.CE:400 -TS_CLK_FSB:FROM:IPL2r0.Q:TO:IPL2r1.D:400 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/ALE0.D:400 +TS_CLK_FSB:FROM:ram/RefReqSync.Q:TO:ram/RefReq.D:400 +TS_CLK_FSB:FROM:ram/RefDone.Q:TO:ram/RefReq.D:400 TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/ALE0.D:400 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/ALE0.D:400 TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/Clear1.D:400 TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/Clear1.D:400 TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/Clear1.D:400 TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/IOREQ.D:400 +TS_CLK_FSB:FROM:iobs/IOACTr.Q:TO:iobs/IOREQ.D:400 TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/IOREQ.D:400 TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:iobs/IOREQ.D:400 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/IOREQ.D:400 -TS_CLK_FSB:FROM:iobs/IOACTr.Q:TO:iobs/IOREQ.D:400 -TS_CLK_FSB:FROM:iobs/Once.Q:TO:iobs/IOREQ.D:400 TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:iobs/IOREQ.D:400 -TS_CLK_FSB:FROM:cnt/RefDone.Q:TO:ram/RAMDIS1.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:ram/RAMDIS1.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:ram/RAMDIS1.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:ram/RAMDIS1.D:400 -TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RAMDIS1.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RAMDIS1.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RAMDIS1.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RAMDIS1.D:400 -TS_CLK_FSB:FROM:ram/BACTr.Q:TO:ram/RAMDIS1.D:400 -TS_CLK_FSB:FROM:ram/Once.Q:TO:ram/RAMDIS1.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RAMDIS1.D:400 +TS_CLK_FSB:FROM:iobs/Once.Q:TO:iobs/IOREQ.D:400 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/IOREQ.D:400 +TS_CLK_FSB:FROM:ram/RefUrgent.Q:TO:ram/RAMReady.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RAMReady.D:400 +TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RAMReady.D:400 +TS_CLK_FSB:FROM:ram/RAMEN.Q:TO:ram/RAMReady.D:400 +TS_CLK_FSB:FROM:ram/BACTr.Q:TO:ram/RAMReady.D:400 +TS_CLK_FSB:FROM:ram/RefReq.Q:TO:ram/RAMReady.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RAMReady.D:400 TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RAMReady.D:400 TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RAMReady.D:400 -TS_CLK_FSB:FROM:ram/Once.Q:TO:ram/RAMReady.D:400 -TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RAMReady.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RAMReady.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RAMReady.D:400 -TS_CLK_FSB:FROM:cnt/RefDone.Q:TO:ram/RAMReady.D:400 -TS_CLK_FSB:FROM:ram/BACTr.Q:TO:ram/RAMReady.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:ram/RAMReady.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:ram/RAMReady.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:ram/RAMReady.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RefRAS.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RefRAS.D:400 TS_CLK_FSB:FROM:ram/RASEL.Q:TO:ram/nCAS.D:400 -TS_CLK_FSB:FROM:RESr0.Q:TO:Park.CE:400 -TS_CLK_FSB:FROM:RESr1.Q:TO:Park.CE:400 -TS_CLK_FSB:FROM:IPL2r0.Q:TO:Park.CE:400 -TS_CLK_FSB:FROM:RESr2.Q:TO:Park.CE:400 -TS_CLK_FSB:FROM:RESDone.Q:TO:Park.CE:400 -TS_CLK_FSB:FROM:IPL2r1.Q:TO:Park.CE:400 -TS_CLK_FSB:FROM:iobs/Load1.Q:TO:nADoutLE1_OBUF.D:400 TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:nADoutLE1_OBUF.D:400 +TS_CLK_FSB:FROM:iobs/Load1.Q:TO:nADoutLE1_OBUF.D:400 TS_CLK_FSB:FROM:iobs/Clear1.Q:TO:nADoutLE1_OBUF.D:400 -TS_CLK2X_IOB:FROM:iobm/nVMA.Q:TO:iobm/nVMA.D:666 +TS_CLK2X_IOB:FROM:iobm/IOACT.Q:TO:iobm/nVMA.D:666 TS_CLK2X_IOB:FROM:iobm/ES<0>.Q:TO:iobm/nVMA.D:666 TS_CLK2X_IOB:FROM:iobm/ES<1>.Q:TO:iobm/nVMA.D:666 TS_CLK2X_IOB:FROM:iobm/ES<2>.Q:TO:iobm/nVMA.D:666 +TS_CLK2X_IOB:FROM:iobm/nVMA.Q:TO:iobm/nVMA.D:666 +TS_CLK2X_IOB:FROM:iobm/VPArr.Q:TO:iobm/nVMA.D:666 +TS_CLK2X_IOB:FROM:iobm/VPArf.Q:TO:iobm/nVMA.D:666 TS_CLK2X_IOB:FROM:iobm/ES<3>.Q:TO:iobm/nVMA.D:666 TS_CLK2X_IOB:FROM:iobm/ES<4>.Q:TO:iobm/nVMA.D:666 -TS_CLK2X_IOB:FROM:iobm/IOACT.Q:TO:iobm/nVMA.D:666 -TS_CLK2X_IOB:FROM:iobm/VPArf.Q:TO:iobm/nVMA.D:666 -TS_CLK2X_IOB:FROM:iobm/VPArr.Q:TO:iobm/nVMA.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd1.Q:TO:iobm/nASout.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd2.Q:TO:iobm/nASout.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd1.Q:TO:iobm/nASout.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:iobm/nASout.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd1.Q:TO:iobm/nLDS.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd2.Q:TO:iobm/nLDS.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd1.Q:TO:iobm/nLDS.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:iobm/nLDS.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd1.Q:TO:iobm/nUDS.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd2.Q:TO:iobm/nUDS.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd1.Q:TO:iobm/nUDS.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:iobm/nUDS.D:666 +TS_CLK2X_IOB:FROM:iobm/nASr.Q:TO:iobm/BG.CE:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd1.Q:TO:iobm/IOS_FSM_FFd1.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:iobm/IOS_FSM_FFd1.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd2.Q:TO:iobm/IOS_FSM_FFd1.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<0>.Q:TO:iobm/ES<0>.D:666 TS_CLK2X_IOB:FROM:iobm/Er2.Q:TO:iobm/ES<0>.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<0>.Q:TO:iobm/ES<0>.D:666 TS_CLK2X_IOB:FROM:iobm/ES<1>.Q:TO:iobm/ES<0>.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<4>.Q:TO:iobm/ES<0>.D:666 TS_CLK2X_IOB:FROM:iobm/ES<2>.Q:TO:iobm/ES<0>.D:666 TS_CLK2X_IOB:FROM:iobm/ES<3>.Q:TO:iobm/ES<0>.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<4>.Q:TO:iobm/ES<0>.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<0>.Q:TO:iobm/ES<1>.D:666 TS_CLK2X_IOB:FROM:iobm/ES<1>.Q:TO:iobm/ES<1>.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<0>.Q:TO:iobm/ES<1>.D:666 TS_CLK2X_IOB:FROM:iobm/Er2.Q:TO:iobm/ES<1>.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<0>.Q:TO:iobm/ES<2>.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<4>.Q:TO:iobm/ES<1>.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<2>.Q:TO:iobm/ES<1>.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<3>.Q:TO:iobm/ES<1>.D:666 TS_CLK2X_IOB:FROM:iobm/ES<2>.Q:TO:iobm/ES<2>.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<0>.Q:TO:iobm/ES<2>.D:666 TS_CLK2X_IOB:FROM:iobm/ES<1>.Q:TO:iobm/ES<2>.D:666 TS_CLK2X_IOB:FROM:iobm/Er2.Q:TO:iobm/ES<2>.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<3>.Q:TO:iobm/ES<2>.D:666 TS_CLK2X_IOB:FROM:iobm/ES<4>.Q:TO:iobm/ES<2>.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<3>.Q:TO:iobm/ES<2>.D:666 TS_CLK2X_IOB:FROM:iobm/ES<3>.Q:TO:iobm/ES<3>.D:666 -TS_CLK2X_IOB:FROM:iobm/Er2.Q:TO:iobm/ES<3>.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<2>.Q:TO:iobm/ES<3>.D:666 TS_CLK2X_IOB:FROM:iobm/ES<0>.Q:TO:iobm/ES<3>.D:666 TS_CLK2X_IOB:FROM:iobm/ES<1>.Q:TO:iobm/ES<3>.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<2>.Q:TO:iobm/ES<3>.D:666 +TS_CLK2X_IOB:FROM:iobm/Er2.Q:TO:iobm/ES<3>.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<4>.Q:TO:iobm/ES<3>.D:666 TS_CLK2X_IOB:FROM:iobm/ES<4>.Q:TO:iobm/ES<4>.D:666 -TS_CLK2X_IOB:FROM:iobm/Er2.Q:TO:iobm/ES<4>.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<3>.Q:TO:iobm/ES<4>.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<2>.Q:TO:iobm/ES<4>.D:666 TS_CLK2X_IOB:FROM:iobm/ES<0>.Q:TO:iobm/ES<4>.D:666 TS_CLK2X_IOB:FROM:iobm/ES<1>.Q:TO:iobm/ES<4>.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<2>.Q:TO:iobm/ES<4>.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<3>.Q:TO:iobm/ES<4>.D:666 -TS_CLK2X_IOB:FROM:iobm/nVMA.Q:TO:iobm/ETACK.D:666 +TS_CLK2X_IOB:FROM:iobm/Er2.Q:TO:iobm/ES<4>.D:666 TS_CLK2X_IOB:FROM:iobm/ES<0>.Q:TO:iobm/ETACK.D:666 TS_CLK2X_IOB:FROM:iobm/ES<1>.Q:TO:iobm/ETACK.D:666 TS_CLK2X_IOB:FROM:iobm/ES<2>.Q:TO:iobm/ETACK.D:666 TS_CLK2X_IOB:FROM:iobm/ES<3>.Q:TO:iobm/ETACK.D:666 TS_CLK2X_IOB:FROM:iobm/ES<4>.Q:TO:iobm/ETACK.D:666 +TS_CLK2X_IOB:FROM:iobm/nVMA.Q:TO:iobm/ETACK.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd2.Q:TO:iobm/ALE0.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd1.Q:TO:iobm/ALE0.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:iobm/ALE0.D:666 -TS_CLK2X_IOB:FROM:nAoutOE_OBUF.Q:TO:iobm/ALE0.D:666 TS_CLK2X_IOB:FROM:iobm/IOREQr.Q:TO:iobm/ALE0.D:666 -TS_CLK2X_IOB:FROM:iobm/BGr0.Q:TO:iobm/BGr1.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd1.Q:TO:iobm/ALE0.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd2.Q:TO:iobm/DoutOE.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:iobm/DoutOE.D:666 +TS_CLK2X_IOB:FROM:iobm/nASout.Q:TO:iobm/nASr.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd1.Q:TO:iobm/nDinLE.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd2.Q:TO:iobm/nDinLE.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd2.Q:TO:iobm/nDoutOE.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:iobm/nDoutOE.D:666 -TS_CLK2X_IOB:FROM:nAoutOE_OBUF.Q:TO:nAoutOE_OBUF.D:666 -TS_CLK2X_IOB:FROM:iobm/BGr1.Q:TO:nAoutOE_OBUF.D:666 -TS_CLK2X_IOB:FROM:iobm/BGr0.Q:TO:nAoutOE_OBUF.D:666 -TS_CLK2X_IOB:FROM:iobm/nASout.Q:TO:nAoutOE_OBUF.D:666 diff --git a/cpld/XC95144XL/WarpSE.vm6 b/cpld/XC95144XL/WarpSE.vm6 index ca40c63..c6cd4f3 100644 --- a/cpld/XC95144XL/WarpSE.vm6 +++ b/cpld/XC95144XL/WarpSE.vm6 @@ -8,3213 +8,3682 @@ NETWORK | WarpSE | 0 | 0 | 16391 INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_9_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<9> | 9892 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<9> | 9616 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_9_IBUF | 9691 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_9_IBUF | 9407 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_8_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<8> | 9893 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<8> | 9617 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_8_IBUF | 9692 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_8_IBUF | 9408 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_15_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<15> | 9894 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<15> | 9618 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_15_IBUF | 9693 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_15_IBUF | 9409 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_14_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<14> | 9895 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<14> | 9619 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_14_IBUF | 9694 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 9410 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_13_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<13> | 9896 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<13> | 9620 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_13_IBUF | 9695 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 9411 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_12_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<12> | 9897 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<12> | 9621 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_12_IBUF | 9696 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_12_IBUF | 9412 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_11_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<11> | 9898 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<11> | 9622 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_11_IBUF | 9697 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_11_IBUF | 9413 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_10_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<10> | 9899 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<10> | 9623 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_10_IBUF | 9698 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_10_IBUF | 9414 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_23_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<23> | 9900 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<23> | 9624 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_22_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<22> | 9901 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<22> | 9625 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_21_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<21> | 9902 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<21> | 9626 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_20_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<20> | 9903 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<20> | 9627 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9418 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_19_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<19> | 9904 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<19> | 9628 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_19_IBUF | 9703 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 9419 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_18_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<18> | 9905 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<18> | 9629 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_18_IBUF | 9704 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 9420 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_17_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<17> | 9906 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<17> | 9630 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_17_IBUF | 9705 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 9421 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_16_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<16> | 9907 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<16> | 9631 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_16_IBUF | 9706 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 9422 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_INSTANCE | 0 | 0 | NULL | CLK2X_IOB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 2 +INPUT_INSTANCE | 0 | 0 | NULL | C16M_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 2 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | CLK2X_IOB | 9908 | PI | 426 | 0 | WarpSE_COPY_0_COPY_0 | CLK2X_IOB;CLK2X_IOB;CLK2X_IOB | NULL | NULL | 0 | 100 | NOTYPE +NODE | C16M | 9632 | PI | 465 | 0 | WarpSE_COPY_0_COPY_0 | CLK2X_IOB;CLK2X_IOB;CLK2X_IOB | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 3 | 5 | II_FCLK -NODE | CLK2X_IOB_IBUF/FCLK | 9707 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9423 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 7 | 5 | II_FCLKINV -NODE | CLK2X_IOB_IBUF/FCLK- | 9708 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 9424 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV MACROCELL_INSTANCE | PrldLow+Tff+PinTrst+OptxMapped | iobm/nVMA | WarpSE_COPY_0_COPY_0 | 2155893760 | 11 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/nVMA | 9710 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nVMA.Q | iobm/nVMA | 1 | 0 | MC_UIM +NODE | iobm/nVMA | 9426 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nVMA.Q | iobm/nVMA | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9764 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 9494 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9766 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 9499 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9769 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 9502 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9770 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 9503 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9771 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +NODE | iobm/ES<4> | 9504 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOACT | 9790 | ? | 504 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOACT.Q | iobm/IOACT | 1 | 0 | MC_UIM +NODE | iobm/IOACT | 9519 | ? | 556 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOACT.Q | iobm/IOACT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/VPArf | 9808 | ? | 504 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/VPArf.Q | iobm/VPArf | 1 | 0 | MC_UIM +NODE | iobm/VPArf | 9534 | ? | 556 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/VPArf.Q | iobm/VPArf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/VPArr | 9809 | ? | 504 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/VPArr.Q | iobm/VPArr | 1 | 0 | MC_UIM +NODE | iobm/VPArr | 9535 | ? | 556 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/VPArr.Q | iobm/VPArr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BG | 9852 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | cnt/AoutOE | 9480 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/AoutOE.Q | cnt/AoutOE | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK2X_IOB_IBUF/FCLK | 9707 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9423 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | iobm/nVMA$Q | 9709 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nVMA.Q | iobm/nVMA | 0 | 0 | MC_Q +NODE | iobm/nVMA$Q | 9425 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nVMA.Q | iobm/nVMA | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/nVMA | 9710 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nVMA.Q | iobm/nVMA | 1 | 0 | MC_UIM +NODE | iobm/nVMA | 9426 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nVMA.Q | iobm/nVMA | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 2 | 0 | MC_OE -NODE | iobm/nVMA$OE | 9711 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nVMA.BUFOE.OUT | iobm/nVMA | 2 | 0 | MC_OE +NODE | iobm/nVMA$OE | 9427 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nVMA.BUFOE.OUT | iobm/nVMA | 2 | 0 | MC_OE SIGNAL_INSTANCE | iobm/nVMA.SI | iobm/nVMA | 0 | 10 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/nVMA | 9710 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nVMA.Q | iobm/nVMA | 1 | 0 | MC_UIM +NODE | iobm/nVMA | 9426 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nVMA.Q | iobm/nVMA | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9764 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 9494 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9766 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 9499 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9769 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 9502 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9770 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 9503 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9771 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +NODE | iobm/ES<4> | 9504 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOACT | 9790 | ? | 504 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOACT.Q | iobm/IOACT | 1 | 0 | MC_UIM +NODE | iobm/IOACT | 9519 | ? | 556 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOACT.Q | iobm/IOACT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/VPArf | 9808 | ? | 504 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/VPArf.Q | iobm/VPArf | 1 | 0 | MC_UIM +NODE | iobm/VPArf | 9534 | ? | 556 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/VPArf.Q | iobm/VPArf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/VPArr | 9809 | ? | 504 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/VPArr.Q | iobm/VPArr | 1 | 0 | MC_UIM +NODE | iobm/VPArr | 9535 | ? | 556 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/VPArr.Q | iobm/VPArr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BG | 9852 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | cnt/AoutOE | 9480 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/AoutOE.Q | cnt/AoutOE | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/nVMA.D1 | 9932 | ? | 504 | 4096 | iobm/nVMA | NULL | NULL | iobm/nVMA.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/nVMA.D1 | 9653 | ? | 556 | 4096 | iobm/nVMA | NULL | NULL | iobm/nVMA.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/nVMA.D2 | 9933 | ? | 504 | 4096 | iobm/nVMA | NULL | NULL | iobm/nVMA.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/nVMA.D2 | 9654 | ? | 556 | 4096 | iobm/nVMA | NULL | NULL | iobm/nVMA.SI | 2 | 9 | MC_SI_D2 SPPTERM | 6 | IV_FALSE | iobm/nVMA | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_FALSE | iobm/ES<4> SPPTERM | 9 | IV_TRUE | iobm/nVMA | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_TRUE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_FALSE | iobm/ES<4> | IV_TRUE | iobm/IOACT | IV_TRUE | iobm/VPArf | IV_TRUE | iobm/VPArr OUTPUT_NODE_TYPE | 4 | 9 | MC_SI_TRST -SIGNAL | NODE | iobm/nVMA.TRST | 9935 | ? | 0 | 4096 | iobm/nVMA | NULL | NULL | iobm/nVMA.SI | 4 | 9 | MC_SI_TRST -SPPTERM | 1 | IV_FALSE | iobm/BG +SIGNAL | NODE | iobm/nVMA.TRST | 9656 | ? | 0 | 4096 | iobm/nVMA | NULL | NULL | iobm/nVMA.SI | 4 | 9 | MC_SI_TRST +SPPTERM | 1 | IV_TRUE | cnt/AoutOE SRFF_INSTANCE | iobm/nVMA.REG | iobm/nVMA | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/nVMA.D | 9931 | ? | 504 | 0 | iobm/nVMA | NULL | NULL | iobm/nVMA.XOR | 0 | 7 | ALU_F +NODE | iobm/nVMA.D | 9652 | ? | 556 | 0 | iobm/nVMA | NULL | NULL | iobm/nVMA.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK2X_IOB_IBUF/FCLK | 9707 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9423 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/nVMA.Q | 9936 | ? | 520 | 0 | iobm/nVMA | NULL | NULL | iobm/nVMA.REG | 0 | 8 | SRFF_Q +NODE | iobm/nVMA.Q | 9657 | ? | 574 | 0 | iobm/nVMA | NULL | NULL | iobm/nVMA.REG | 0 | 8 | SRFF_Q TNAME | CLK2X_IOB BUF_INSTANCE | iobm/nVMA.BUFOE | iobm/nVMA | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 10 | CTOR_UNKNOWN -SIGNAL | NODE | iobm/nVMA.TRST | 9935 | ? | 0 | 4096 | iobm/nVMA | NULL | NULL | iobm/nVMA.SI | 4 | 9 | MC_SI_TRST -SPPTERM | 1 | IV_FALSE | iobm/BG +SIGNAL | NODE | iobm/nVMA.TRST | 9656 | ? | 0 | 4096 | iobm/nVMA | NULL | NULL | iobm/nVMA.SI | 4 | 9 | MC_SI_TRST +SPPTERM | 1 | IV_TRUE | cnt/AoutOE OUTPUT_NODE_TYPE | 0 | 10 | BUF_OUT -NODE | iobm/nVMA.BUFOE.OUT | 9934 | ? | 0 | 0 | iobm/nVMA | NULL | NULL | iobm/nVMA.BUFOE | 0 | 10 | BUF_OUT +NODE | iobm/nVMA.BUFOE.OUT | 9655 | ? | 0 | 0 | iobm/nVMA | NULL | NULL | iobm/nVMA.BUFOE | 0 | 10 | BUF_OUT MACROCELL_INSTANCE | PrldLow+PinTrst+OptxMapped+Ce | iobm/nASout | WarpSE_COPY_0_COPY_0 | 2424325120 | 5 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9758 | ? | 534 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 9488 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9759 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 9489 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9757 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9486 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BG | 9852 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | cnt/AoutOE | 9480 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/AoutOE.Q | cnt/AoutOE | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK2X_IOB_IBUF/FCLK- | 9708 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 9424 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | iobm/nASout$Q | 9712 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nASout.Q | iobm/nASout | 0 | 0 | MC_Q +NODE | iobm/nASout$Q | 9428 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nASout.Q | iobm/nASout | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/nASout | 9713 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nASout.Q | iobm/nASout | 1 | 0 | MC_UIM +NODE | iobm/nASout | 9429 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nASout.Q | iobm/nASout | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 2 | 0 | MC_OE -NODE | iobm/nASout$OE | 9714 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nASout.BUFOE.OUT | iobm/nASout | 2 | 0 | MC_OE +NODE | iobm/nASout$OE | 9430 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nASout.BUFOE.OUT | iobm/nASout | 2 | 0 | MC_OE SIGNAL_INSTANCE | iobm/nASout.SI | iobm/nASout | 0 | 4 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9758 | ? | 534 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 9488 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9759 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 9489 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9757 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9486 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BG | 9852 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | cnt/AoutOE | 9480 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/AoutOE.Q | cnt/AoutOE | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/nASout.D1 | 9938 | ? | 506 | 4096 | iobm/nASout | NULL | NULL | iobm/nASout.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/nASout.D1 | 9659 | ? | 558 | 4096 | iobm/nASout | NULL | NULL | iobm/nASout.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/nASout.D2 | 9939 | ? | 506 | 4096 | iobm/nASout | NULL | NULL | iobm/nASout.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/nASout.D2 | 9660 | ? | 558 | 4096 | iobm/nASout | NULL | NULL | iobm/nASout.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd2 SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_FALSE | iobm/IOS_FSM_FFd2 OUTPUT_NODE_TYPE | 4 | 9 | MC_SI_TRST -SIGNAL | NODE | iobm/nASout.TRST | 9941 | ? | 0 | 4096 | iobm/nASout | NULL | NULL | iobm/nASout.SI | 4 | 9 | MC_SI_TRST -SPPTERM | 1 | IV_FALSE | iobm/BG +SIGNAL | NODE | iobm/nASout.TRST | 9662 | ? | 0 | 4096 | iobm/nASout | NULL | NULL | iobm/nASout.SI | 4 | 9 | MC_SI_TRST +SPPTERM | 1 | IV_TRUE | cnt/AoutOE SRFF_INSTANCE | iobm/nASout.REG | iobm/nASout | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/nASout.D | 9937 | ? | 506 | 0 | iobm/nASout | NULL | NULL | iobm/nASout.XOR | 0 | 7 | ALU_F +NODE | iobm/nASout.D | 9658 | ? | 558 | 0 | iobm/nASout | NULL | NULL | iobm/nASout.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK2X_IOB_IBUF/FCLK- | 9708 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 9424 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/nASout.Q | 9942 | ? | 536 | 0 | iobm/nASout | NULL | NULL | iobm/nASout.REG | 0 | 8 | SRFF_Q +NODE | iobm/nASout.Q | 9663 | ? | 587 | 0 | iobm/nASout | NULL | NULL | iobm/nASout.REG | 0 | 8 | SRFF_Q TNAME | CLK2X_IOB BUF_INSTANCE | iobm/nASout.BUFOE | iobm/nASout | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 10 | CTOR_UNKNOWN -SIGNAL | NODE | iobm/nASout.TRST | 9941 | ? | 0 | 4096 | iobm/nASout | NULL | NULL | iobm/nASout.SI | 4 | 9 | MC_SI_TRST -SPPTERM | 1 | IV_FALSE | iobm/BG +SIGNAL | NODE | iobm/nASout.TRST | 9662 | ? | 0 | 4096 | iobm/nASout | NULL | NULL | iobm/nASout.SI | 4 | 9 | MC_SI_TRST +SPPTERM | 1 | IV_TRUE | cnt/AoutOE OUTPUT_NODE_TYPE | 0 | 10 | BUF_OUT -NODE | iobm/nASout.BUFOE.OUT | 9940 | ? | 0 | 0 | iobm/nASout | NULL | NULL | iobm/nASout.BUFOE | 0 | 10 | BUF_OUT +NODE | iobm/nASout.BUFOE.OUT | 9661 | ? | 0 | 0 | iobm/nASout | NULL | NULL | iobm/nASout.BUFOE | 0 | 10 | BUF_OUT MACROCELL_INSTANCE | Inv+PrldLow+PinTrst+OptxMapped+Ce | iobm/nLDS | WarpSE_COPY_0_COPY_0 | 2424325376 | 7 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOL0 | 9739 | ? | 508 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL0.Q | iobs/IOL0 | 1 | 0 | MC_UIM +NODE | iobs/IOL0 | 9465 | ? | 560 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL0.Q | iobs/IOL0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9757 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9486 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9759 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 9489 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9758 | ? | 534 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 9488 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW0 | 9727 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW0.Q | iobs/IORW0 | 1 | 0 | MC_UIM +NODE | iobs/IORW0 | 9456 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW0.Q | iobs/IORW0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BG | 9852 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | cnt/AoutOE | 9480 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/AoutOE.Q | cnt/AoutOE | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK2X_IOB_IBUF/FCLK- | 9708 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 9424 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | iobm/nLDS | 9715 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nLDS.Q | iobm/nLDS | 0 | 0 | MC_Q +NODE | iobm/nLDS | 9431 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nLDS.Q | iobm/nLDS | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 2 | 0 | MC_OE -NODE | iobm/nLDS$OE | 9716 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nLDS.BUFOE.OUT | iobm/nLDS | 2 | 0 | MC_OE +NODE | iobm/nLDS$OE | 9432 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nLDS.BUFOE.OUT | iobm/nLDS | 2 | 0 | MC_OE SIGNAL_INSTANCE | iobm/nLDS.SI | iobm/nLDS | 0 | 6 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOL0 | 9739 | ? | 508 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL0.Q | iobs/IOL0 | 1 | 0 | MC_UIM +NODE | iobs/IOL0 | 9465 | ? | 560 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL0.Q | iobs/IOL0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9757 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9486 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9759 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 9489 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9758 | ? | 534 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 9488 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW0 | 9727 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW0.Q | iobs/IORW0 | 1 | 0 | MC_UIM +NODE | iobs/IORW0 | 9456 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW0.Q | iobs/IORW0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BG | 9852 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | cnt/AoutOE | 9480 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/AoutOE.Q | cnt/AoutOE | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/nLDS.D1 | 9944 | ? | 508 | 4096 | iobm/nLDS | NULL | NULL | iobm/nLDS.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/nLDS.D1 | 9665 | ? | 560 | 4096 | iobm/nLDS | NULL | NULL | iobm/nLDS.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/nLDS.D2 | 9945 | ? | 508 | 4096 | iobm/nLDS | NULL | NULL | iobm/nLDS.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/nLDS.D2 | 9666 | ? | 560 | 4096 | iobm/nLDS | NULL | NULL | iobm/nLDS.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | iobs/IOL0 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd2 SPPTERM | 3 | IV_TRUE | iobs/IOL0 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 SPPTERM | 4 | IV_FALSE | iobs/IORW0 | IV_TRUE | iobs/IOL0 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd1 OUTPUT_NODE_TYPE | 4 | 9 | MC_SI_TRST -SIGNAL | NODE | iobm/nLDS.TRST | 9947 | ? | 0 | 4096 | iobm/nLDS | NULL | NULL | iobm/nLDS.SI | 4 | 9 | MC_SI_TRST -SPPTERM | 1 | IV_FALSE | iobm/BG +SIGNAL | NODE | iobm/nLDS.TRST | 9668 | ? | 0 | 4096 | iobm/nLDS | NULL | NULL | iobm/nLDS.SI | 4 | 9 | MC_SI_TRST +SPPTERM | 1 | IV_TRUE | cnt/AoutOE SRFF_INSTANCE | iobm/nLDS.REG | iobm/nLDS | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/nLDS.D | 9943 | ? | 508 | 0 | iobm/nLDS | NULL | NULL | iobm/nLDS.XOR | 0 | 7 | ALU_F +NODE | iobm/nLDS.D | 9664 | ? | 560 | 0 | iobm/nLDS | NULL | NULL | iobm/nLDS.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK2X_IOB_IBUF/FCLK- | 9708 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 9424 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/nLDS.Q | 9948 | ? | 0 | 0 | iobm/nLDS | NULL | NULL | iobm/nLDS.REG | 0 | 8 | SRFF_Q +NODE | iobm/nLDS.Q | 9669 | ? | 0 | 0 | iobm/nLDS | NULL | NULL | iobm/nLDS.REG | 0 | 8 | SRFF_Q TNAME | CLK2X_IOB BUF_INSTANCE | iobm/nLDS.BUFOE | iobm/nLDS | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 10 | CTOR_UNKNOWN -SIGNAL | NODE | iobm/nLDS.TRST | 9947 | ? | 0 | 4096 | iobm/nLDS | NULL | NULL | iobm/nLDS.SI | 4 | 9 | MC_SI_TRST -SPPTERM | 1 | IV_FALSE | iobm/BG +SIGNAL | NODE | iobm/nLDS.TRST | 9668 | ? | 0 | 4096 | iobm/nLDS | NULL | NULL | iobm/nLDS.SI | 4 | 9 | MC_SI_TRST +SPPTERM | 1 | IV_TRUE | cnt/AoutOE OUTPUT_NODE_TYPE | 0 | 10 | BUF_OUT -NODE | iobm/nLDS.BUFOE.OUT | 9946 | ? | 0 | 0 | iobm/nLDS | NULL | NULL | iobm/nLDS.BUFOE | 0 | 10 | BUF_OUT +NODE | iobm/nLDS.BUFOE.OUT | 9667 | ? | 0 | 0 | iobm/nLDS | NULL | NULL | iobm/nLDS.BUFOE | 0 | 10 | BUF_OUT MACROCELL_INSTANCE | Inv+PrldLow+PinTrst+OptxMapped+Ce | iobm/nUDS | WarpSE_COPY_0_COPY_0 | 2424325376 | 7 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOU0 | 9744 | ? | 509 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU0.Q | iobs/IOU0 | 1 | 0 | MC_UIM +NODE | iobs/IOU0 | 9470 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU0.Q | iobs/IOU0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9757 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9486 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9759 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 9489 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9758 | ? | 534 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 9488 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW0 | 9727 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW0.Q | iobs/IORW0 | 1 | 0 | MC_UIM +NODE | iobs/IORW0 | 9456 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW0.Q | iobs/IORW0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BG | 9852 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | cnt/AoutOE | 9480 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/AoutOE.Q | cnt/AoutOE | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK2X_IOB_IBUF/FCLK- | 9708 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 9424 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | iobm/nUDS | 9717 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nUDS.Q | iobm/nUDS | 0 | 0 | MC_Q +NODE | iobm/nUDS | 9433 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nUDS.Q | iobm/nUDS | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 2 | 0 | MC_OE -NODE | iobm/nUDS$OE | 9718 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nUDS.BUFOE.OUT | iobm/nUDS | 2 | 0 | MC_OE +NODE | iobm/nUDS$OE | 9434 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nUDS.BUFOE.OUT | iobm/nUDS | 2 | 0 | MC_OE SIGNAL_INSTANCE | iobm/nUDS.SI | iobm/nUDS | 0 | 6 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOU0 | 9744 | ? | 509 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU0.Q | iobs/IOU0 | 1 | 0 | MC_UIM +NODE | iobs/IOU0 | 9470 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU0.Q | iobs/IOU0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9757 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9486 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9759 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 9489 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9758 | ? | 534 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 9488 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW0 | 9727 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW0.Q | iobs/IORW0 | 1 | 0 | MC_UIM +NODE | iobs/IORW0 | 9456 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW0.Q | iobs/IORW0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BG | 9852 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | cnt/AoutOE | 9480 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/AoutOE.Q | cnt/AoutOE | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/nUDS.D1 | 9950 | ? | 509 | 4096 | iobm/nUDS | NULL | NULL | iobm/nUDS.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/nUDS.D1 | 9671 | ? | 561 | 4096 | iobm/nUDS | NULL | NULL | iobm/nUDS.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/nUDS.D2 | 9951 | ? | 509 | 4096 | iobm/nUDS | NULL | NULL | iobm/nUDS.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/nUDS.D2 | 9672 | ? | 561 | 4096 | iobm/nUDS | NULL | NULL | iobm/nUDS.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | iobs/IOU0 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd2 SPPTERM | 3 | IV_TRUE | iobs/IOU0 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 SPPTERM | 4 | IV_FALSE | iobs/IORW0 | IV_TRUE | iobs/IOU0 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd1 OUTPUT_NODE_TYPE | 4 | 9 | MC_SI_TRST -SIGNAL | NODE | iobm/nUDS.TRST | 9953 | ? | 0 | 4096 | iobm/nUDS | NULL | NULL | iobm/nUDS.SI | 4 | 9 | MC_SI_TRST -SPPTERM | 1 | IV_FALSE | iobm/BG +SIGNAL | NODE | iobm/nUDS.TRST | 9674 | ? | 0 | 4096 | iobm/nUDS | NULL | NULL | iobm/nUDS.SI | 4 | 9 | MC_SI_TRST +SPPTERM | 1 | IV_TRUE | cnt/AoutOE SRFF_INSTANCE | iobm/nUDS.REG | iobm/nUDS | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/nUDS.D | 9949 | ? | 509 | 0 | iobm/nUDS | NULL | NULL | iobm/nUDS.XOR | 0 | 7 | ALU_F +NODE | iobm/nUDS.D | 9670 | ? | 561 | 0 | iobm/nUDS | NULL | NULL | iobm/nUDS.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK2X_IOB_IBUF/FCLK- | 9708 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 9424 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/nUDS.Q | 9954 | ? | 0 | 0 | iobm/nUDS | NULL | NULL | iobm/nUDS.REG | 0 | 8 | SRFF_Q +NODE | iobm/nUDS.Q | 9675 | ? | 0 | 0 | iobm/nUDS | NULL | NULL | iobm/nUDS.REG | 0 | 8 | SRFF_Q TNAME | CLK2X_IOB BUF_INSTANCE | iobm/nUDS.BUFOE | iobm/nUDS | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 10 | CTOR_UNKNOWN -SIGNAL | NODE | iobm/nUDS.TRST | 9953 | ? | 0 | 4096 | iobm/nUDS | NULL | NULL | iobm/nUDS.SI | 4 | 9 | MC_SI_TRST -SPPTERM | 1 | IV_FALSE | iobm/BG +SIGNAL | NODE | iobm/nUDS.TRST | 9674 | ? | 0 | 4096 | iobm/nUDS | NULL | NULL | iobm/nUDS.SI | 4 | 9 | MC_SI_TRST +SPPTERM | 1 | IV_TRUE | cnt/AoutOE OUTPUT_NODE_TYPE | 0 | 10 | BUF_OUT -NODE | iobm/nUDS.BUFOE.OUT | 9952 | ? | 0 | 0 | iobm/nUDS | NULL | NULL | iobm/nUDS.BUFOE | 0 | 10 | BUF_OUT +NODE | iobm/nUDS.BUFOE.OUT | 9673 | ? | 0 | 0 | iobm/nUDS | NULL | NULL | iobm/nUDS.BUFOE | 0 | 10 | BUF_OUT INPUT_INSTANCE | 0 | 0 | NULL | nWE_FSB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nWE_FSB | 9909 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | nWE_FSB | 9633 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nWE_FSB_IBUF | 9719 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 9435 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_INSTANCE | 0 | 0 | NULL | CLK_FSB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 2 +INPUT_INSTANCE | 0 | 0 | NULL | C8M_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 2 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | CLK_FSB | 9910 | PI | 427 | 0 | WarpSE_COPY_0_COPY_0 | CLK_FSB;CLK_FSB;CLK_FSB | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 3 | 5 | II_FCLK -NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | C8M | 9634 | PI | 466 | 0 | WarpSE_COPY_0_COPY_0 | CLK_IOB;CLK_IOB;CLK_IOB | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | C8M_IBUF | 9436 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 7 | 5 | II_FCLKINV -NODE | CLK_FSB_IBUF/FCLK- | 9721 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 9437 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | cnt/RefDone | WarpSE_COPY_0_COPY_0 | 2155873536 | 11 | 1 +MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped+Ce | cnt/LTimer<0> | WarpSE_COPY_0_COPY_0 | 2424313088 | 4 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9722 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 9438 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefRAS | 9792 | ? | 437 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefRAS.Q | ram/RefRAS | 1 | 0 | MC_UIM +NODE | cnt/LTimer<13> | 9439 | ? | 507 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9762 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9485 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9763 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9767 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9768 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9772 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<3> | 9773 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9775 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<4> | 9776 | ? | 477 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | C8M_IBUF/FCLK- | 9437 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/RefDone | 9722 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 9438 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | cnt/RefDone.SI | cnt/RefDone | 0 | 10 | 2 +SIGNAL_INSTANCE | cnt/LTimer<0>.SI | cnt/LTimer<0> | 0 | 3 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9722 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 9438 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefRAS | 9792 | ? | 437 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefRAS.Q | ram/RefRAS | 1 | 0 | MC_UIM +NODE | cnt/LTimer<13> | 9439 | ? | 507 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9762 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9763 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9767 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9768 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9772 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<3> | 9773 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9775 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<4> | 9776 | ? | 477 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9485 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/RefDone.D1 | 9956 | ? | 437 | 4096 | cnt/RefDone | NULL | NULL | cnt/RefDone.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<0>.D1 | 9677 | ? | 469 | 4096 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/RefDone.D2 | 9957 | ? | 437 | 4096 | cnt/RefDone | NULL | NULL | cnt/RefDone.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RefRAS -SPPTERM | 8 | IV_FALSE | cnt/RefCnt<5> | IV_FALSE | cnt/RefCnt<6> | IV_FALSE | cnt/RefCnt<0> | IV_FALSE | cnt/RefCnt<7> | IV_FALSE | cnt/RefCnt<1> | IV_FALSE | cnt/RefCnt<3> | IV_FALSE | cnt/RefCnt<2> | IV_FALSE | cnt/RefCnt<4> +SIGNAL | NODE | cnt/LTimer<0>.D2 | 9678 | ? | 469 | 4096 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_FALSE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<13> | IV_TRUE | cnt/TimerTC +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<0>.CE | 9679 | ? | 471 | 4096 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 1 | IV_TRUE | cnt/TimerTC -SRFF_INSTANCE | cnt/RefDone.REG | cnt/RefDone | 0 | 2 | 1 +SRFF_INSTANCE | cnt/LTimer<0>.REG | cnt/LTimer<0> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/RefDone.D | 9955 | ? | 437 | 0 | cnt/RefDone | NULL | NULL | cnt/RefDone.XOR | 0 | 7 | ALU_F +NODE | cnt/LTimer<0>.D | 9676 | ? | 469 | 0 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | C8M_IBUF/FCLK- | 9437 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | cnt/LTimer<0>.CE | 9679 | ? | 471 | 4096 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 1 | IV_TRUE | cnt/TimerTC OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/RefDone.Q | 9958 | ? | 499 | 0 | cnt/RefDone | NULL | NULL | cnt/RefDone.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB +NODE | cnt/LTimer<0>.Q | 9680 | ? | 496 | 0 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.REG | 0 | 8 | SRFF_Q +TNAME | CLK_IOB + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | cnt/LTimer<13> | WarpSE_COPY_0_COPY_0 | 2424312832 | 16 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 9438 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 9440 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 9441 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<3> | 9442 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<5> | 9443 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<4> | 9448 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<6> | 9449 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<7> | 9450 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<9> | 9451 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<10> | 9452 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<11> | 9453 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<8> | 9454 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<12> | 9455 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<12>.Q | cnt/LTimer<12> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<13> | 9439 | ? | 507 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 9485 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | C8M_IBUF/FCLK- | 9437 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/LTimer<13> | 9439 | ? | 507 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/LTimer<13>.SI | cnt/LTimer<13> | 0 | 15 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 9438 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 9440 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 9441 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<3> | 9442 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<5> | 9443 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<4> | 9448 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<6> | 9449 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<7> | 9450 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<9> | 9451 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<10> | 9452 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<11> | 9453 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<8> | 9454 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<12> | 9455 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<12>.Q | cnt/LTimer<12> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<13> | 9439 | ? | 507 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 9485 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<13>.D1 | 9682 | ? | 472 | 4096 | cnt/LTimer<13> | NULL | NULL | cnt/LTimer<13>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<13>.D2 | 9683 | ? | 472 | 4096 | cnt/LTimer<13> | NULL | NULL | cnt/LTimer<13>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | cnt/LTimer<13> | IV_TRUE | cnt/TimerTC +SPPTERM | 13 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer<9> | IV_TRUE | cnt/LTimer<10> | IV_TRUE | cnt/LTimer<11> | IV_TRUE | cnt/LTimer<8> | IV_TRUE | cnt/LTimer<12> +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<13>.CE | 9684 | ? | 473 | 4096 | cnt/LTimer<13> | NULL | NULL | cnt/LTimer<13>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 1 | IV_TRUE | cnt/TimerTC + +SRFF_INSTANCE | cnt/LTimer<13>.REG | cnt/LTimer<13> | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/LTimer<13>.D | 9681 | ? | 472 | 0 | cnt/LTimer<13> | NULL | NULL | cnt/LTimer<13>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | C8M_IBUF/FCLK- | 9437 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | cnt/LTimer<13>.CE | 9684 | ? | 473 | 4096 | cnt/LTimer<13> | NULL | NULL | cnt/LTimer<13>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 1 | IV_TRUE | cnt/TimerTC +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<13>.Q | 9685 | ? | 507 | 0 | cnt/LTimer<13> | NULL | NULL | cnt/LTimer<13>.REG | 0 | 8 | SRFF_Q +TNAME | CLK_IOB + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped+Ce | cnt/LTimer<1> | WarpSE_COPY_0_COPY_0 | 2424308992 | 5 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 9438 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 9440 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<13> | 9439 | ? | 507 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 9485 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | C8M_IBUF/FCLK- | 9437 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 9440 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/LTimer<1>.SI | cnt/LTimer<1> | 0 | 4 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 9438 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 9440 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<13> | 9439 | ? | 507 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 9485 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<1>.D1 | 9687 | ? | 474 | 4096 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<1>.D2 | 9688 | ? | 474 | 4096 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> +SPPTERM | 2 | IV_FALSE | cnt/LTimer<0> | IV_FALSE | cnt/LTimer<1> +SPPTERM | 2 | IV_TRUE | cnt/LTimer<13> | IV_TRUE | cnt/TimerTC +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<1>.CE | 9689 | ? | 475 | 4096 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 1 | IV_TRUE | cnt/TimerTC + +SRFF_INSTANCE | cnt/LTimer<1>.REG | cnt/LTimer<1> | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/LTimer<1>.D | 9686 | ? | 474 | 0 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | C8M_IBUF/FCLK- | 9437 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | cnt/LTimer<1>.CE | 9689 | ? | 475 | 4096 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 1 | IV_TRUE | cnt/TimerTC +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<1>.Q | 9690 | ? | 496 | 0 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.REG | 0 | 8 | SRFF_Q +TNAME | CLK_IOB + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | cnt/LTimer<2> | WarpSE_COPY_0_COPY_0 | 2424312832 | 6 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 9438 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<13> | 9439 | ? | 507 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 9440 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 9441 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 9485 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | C8M_IBUF/FCLK- | 9437 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 9441 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/LTimer<2>.SI | cnt/LTimer<2> | 0 | 5 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 9438 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<13> | 9439 | ? | 507 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 9440 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 9441 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 9485 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<2>.D1 | 9692 | ? | 476 | 4096 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<2>.D2 | 9693 | ? | 476 | 4096 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | cnt/LTimer<0> | IV_FALSE | cnt/LTimer<13> | IV_TRUE | cnt/LTimer<1> +SPPTERM | 3 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_FALSE | cnt/TimerTC +SPPTERM | 3 | IV_TRUE | cnt/LTimer<13> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/TimerTC +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<2>.CE | 9694 | ? | 477 | 4096 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 1 | IV_TRUE | cnt/TimerTC + +SRFF_INSTANCE | cnt/LTimer<2>.REG | cnt/LTimer<2> | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/LTimer<2>.D | 9691 | ? | 476 | 0 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | C8M_IBUF/FCLK- | 9437 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | cnt/LTimer<2>.CE | 9694 | ? | 477 | 4096 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 1 | IV_TRUE | cnt/TimerTC +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<2>.Q | 9695 | ? | 496 | 0 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.REG | 0 | 8 | SRFF_Q +TNAME | CLK_IOB + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | cnt/LTimer<3> | WarpSE_COPY_0_COPY_0 | 2424312832 | 7 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<13> | 9439 | ? | 507 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<3> | 9442 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 9485 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 9438 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 9440 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 9441 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | C8M_IBUF/FCLK- | 9437 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 9442 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/LTimer<3>.SI | cnt/LTimer<3> | 0 | 6 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<13> | 9439 | ? | 507 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<3> | 9442 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 9485 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 9438 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 9440 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 9441 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<3>.D1 | 9697 | ? | 478 | 4096 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<3>.D2 | 9698 | ? | 478 | 4096 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | cnt/LTimer<13> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/TimerTC +SPPTERM | 4 | IV_TRUE | cnt/LTimer<0> | IV_FALSE | cnt/LTimer<13> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> +SPPTERM | 4 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_FALSE | cnt/TimerTC +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<3>.CE | 9699 | ? | 479 | 4096 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 1 | IV_TRUE | cnt/TimerTC + +SRFF_INSTANCE | cnt/LTimer<3>.REG | cnt/LTimer<3> | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/LTimer<3>.D | 9696 | ? | 478 | 0 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | C8M_IBUF/FCLK- | 9437 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | cnt/LTimer<3>.CE | 9699 | ? | 479 | 4096 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 1 | IV_TRUE | cnt/TimerTC +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<3>.Q | 9700 | ? | 496 | 0 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.REG | 0 | 8 | SRFF_Q +TNAME | CLK_IOB + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | cnt/LTimer<5> | WarpSE_COPY_0_COPY_0 | 2424312832 | 9 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<13> | 9439 | ? | 507 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<5> | 9443 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 9485 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 9438 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 9440 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 9441 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<3> | 9442 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<4> | 9448 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | C8M_IBUF/FCLK- | 9437 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 9443 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/LTimer<5>.SI | cnt/LTimer<5> | 0 | 8 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<13> | 9439 | ? | 507 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<5> | 9443 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 9485 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 9438 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 9440 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 9441 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<3> | 9442 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<4> | 9448 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<5>.D1 | 9702 | ? | 480 | 4096 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<5>.D2 | 9703 | ? | 480 | 4096 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | cnt/LTimer<13> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/TimerTC +SPPTERM | 6 | IV_TRUE | cnt/LTimer<0> | IV_FALSE | cnt/LTimer<13> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> +SPPTERM | 6 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_FALSE | cnt/TimerTC +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<5>.CE | 9704 | ? | 481 | 4096 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 1 | IV_TRUE | cnt/TimerTC + +SRFF_INSTANCE | cnt/LTimer<5>.REG | cnt/LTimer<5> | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/LTimer<5>.D | 9701 | ? | 480 | 0 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | C8M_IBUF/FCLK- | 9437 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | cnt/LTimer<5>.CE | 9704 | ? | 481 | 4096 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 1 | IV_TRUE | cnt/TimerTC +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<5>.Q | 9705 | ? | 496 | 0 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.REG | 0 | 8 | SRFF_Q +TNAME | CLK_IOB + +INPUT_INSTANCE | 0 | 0 | NULL | FCLK_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 2 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | FCLK | 9635 | PI | 467 | 0 | WarpSE_COPY_0_COPY_0 | CLK_FSB;CLK_FSB;CLK_FSB | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 7 | 5 | II_FCLKINV +NODE | FCLK_IBUF/FCLK- | 9445 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV INPUT_INSTANCE | 0 | 0 | NULL | nAS_FSB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nAS_FSB | 9915 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | nAS_FSB | 9639 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | ram/Once | WarpSE_COPY_0_COPY_0 | 2155877376 | 11 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9723 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9754 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9756 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9760 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/Once | 9723 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | ram/Once.SI | ram/Once | 0 | 10 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9723 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9754 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9756 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9760 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/Once.D1 | 9960 | ? | 439 | 4096 | ram/Once | NULL | NULL | ram/Once.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/Once.D2 | 9961 | ? | 439 | 4096 | ram/Once | NULL | NULL | ram/Once.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_TRUE | ram/Once | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | ram/Once | IV_TRUE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 -SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | ram/Once | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | fsb/ASrf -SPPTERM | 9 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | ram/Once | IV_FALSE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 -SPPTERM | 9 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | ram/Once | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | fsb/ASrf - -SRFF_INSTANCE | ram/Once.REG | ram/Once | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/Once.D | 9959 | ? | 439 | 0 | ram/Once | NULL | NULL | ram/Once.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/Once.Q | 9962 | ? | 499 | 0 | ram/Once | NULL | NULL | ram/Once.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -INPUT_INSTANCE | 0 | 0 | NULL | SW_1_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | SW<1> | 9920 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | SW_1_IBUF | 9795 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | SW_1_IBUF | 0 | 5 | II_IMUX - -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobs/Once | WarpSE_COPY_0_COPY_0 | 2155873536 | 11 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9724 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9761 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9765 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9850 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nOE_OBUF.EXP | 10466 | ? | 440 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nOE_OBUF.EXP | nOE_OBUF | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP25_.EXP | 10467 | ? | 440 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP25_.EXP | EXP25_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/Once | 9724 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobs/Once.SI | iobs/Once | 0 | 10 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9724 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9761 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9765 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9850 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nOE_OBUF.EXP | 10466 | ? | 440 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nOE_OBUF.EXP | nOE_OBUF | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP25_.EXP | 10467 | ? | 440 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP25_.EXP | EXP25_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/Once.D1 | 9964 | ? | 440 | 4096 | iobs/Once | NULL | NULL | iobs/Once.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/Once.D2 | 9965 | ? | 440 | 4096 | iobs/Once | NULL | NULL | iobs/Once.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | nOE_OBUF.EXP -SPPTERM | 1 | IV_TRUE | EXP25_.EXP -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 3 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Once | IV_TRUE | iobs/PS_FSM_FFd2 -SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | iobs/Once | IV_TRUE | iobs/PS_FSM_FFd2 -SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | iobs/Once | IV_TRUE | iobs/PS_FSM_FFd1 -SPPTERM | 3 | IV_FALSE | iobs/Once | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/ALE1 - -SRFF_INSTANCE | iobs/Once.REG | iobs/Once | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/Once.D | 9963 | ? | 440 | 0 | iobs/Once | NULL | NULL | iobs/Once.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/Once.Q | 9966 | ? | 497 | 0 | iobs/Once | NULL | NULL | iobs/Once.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB +NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX MACROCELL_INSTANCE | PrldLow+OptxMapped | cs/nOverlay1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 4 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay0 | 9729 | ? | 445 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.Q | cs/nOverlay0 | 1 | 0 | MC_UIM +NODE | cs/nOverlay0 | 9459 | ? | 516 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.Q | cs/nOverlay0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cs/nOverlay1.SI | cs/nOverlay1 | 0 | 3 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay0 | 9729 | ? | 445 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.Q | cs/nOverlay0 | 1 | 0 | MC_UIM +NODE | cs/nOverlay0 | 9459 | ? | 516 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.Q | cs/nOverlay0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cs/nOverlay1.D1 | 9968 | ? | 441 | 4096 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cs/nOverlay1.D1 | 9707 | ? | 510 | 4096 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cs/nOverlay1.D2 | 9969 | ? | 441 | 4096 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cs/nOverlay1.D2 | 9708 | ? | 510 | 4096 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | cs/nOverlay0 OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cs/nOverlay1.CE | 9970 | ? | 442 | 4096 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cs/nOverlay1.CE | 9709 | ? | 512 | 4096 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf SRFF_INSTANCE | cs/nOverlay1.REG | cs/nOverlay1 | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cs/nOverlay1.D | 9967 | ? | 441 | 0 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.XOR | 0 | 7 | ALU_F +NODE | cs/nOverlay1.D | 9706 | ? | 510 | 0 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cs/nOverlay1.CE | 9970 | ? | 442 | 4096 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cs/nOverlay1.CE | 9709 | ? | 512 | 4096 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cs/nOverlay1.Q | 9971 | ? | 499 | 0 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.REG | 0 | 8 | SRFF_Q +NODE | cs/nOverlay1.Q | 9710 | ? | 551 | 0 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobs/BERR | WarpSE_COPY_0_COPY_0 | 2155877376 | 9 | 1 +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobs/Once | WarpSE_COPY_0_COPY_0 | 2155873536 | 11 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/BERR | 9726 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/BERR.Q | iobs/BERR | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | iobs/Once | 9447 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9491 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9724 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9495 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9761 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9774 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOBERR | 9781 | ? | 518 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOBERR.Q | iobm/IOBERR | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9850 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | A_FSB_20_IBUF | 9418 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | EXP27_.EXP | 10270 | ? | 513 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP27_.EXP | EXP27_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP28_.EXP | 10271 | ? | 513 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP28_.EXP | EXP28_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/BERR | 9726 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/BERR.Q | iobs/BERR | 1 | 0 | MC_UIM +NODE | iobs/Once | 9447 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | iobs/BERR.SI | iobs/BERR | 0 | 8 | 2 +SIGNAL_INSTANCE | iobs/Once.SI | iobs/Once | 0 | 10 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/BERR | 9726 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/BERR.Q | iobs/BERR | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | iobs/Once | 9447 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9491 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9724 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9495 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9761 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9774 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOBERR | 9781 | ? | 518 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOBERR.Q | iobm/IOBERR | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9850 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | A_FSB_20_IBUF | 9418 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP27_.EXP | 10270 | ? | 513 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP27_.EXP | EXP27_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP28_.EXP | 10271 | ? | 513 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP28_.EXP | EXP28_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/BERR.D1 | 9973 | ? | 443 | 4096 | iobs/BERR | NULL | NULL | iobs/BERR.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/Once.D1 | 9712 | ? | 513 | 4096 | iobs/Once | NULL | NULL | iobs/Once.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/BERR.D2 | 9974 | ? | 443 | 4096 | iobs/BERR | NULL | NULL | iobs/BERR.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_TRUE | iobs/BERR | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 6 | IV_TRUE | iobs/Once | IV_TRUE | iobs/BERR | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_FALSE | iobm/IOBERR | IV_TRUE | iobs/ALE1 -SPPTERM | 7 | IV_TRUE | iobs/Once | IV_FALSE | iobs/BERR | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_TRUE | iobm/IOBERR | IV_TRUE | iobs/ALE1 -SPPTERM | 7 | IV_TRUE | iobs/Once | IV_FALSE | iobs/BERR | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_TRUE | iobm/IOBERR | IV_TRUE | fsb/ASrf | IV_TRUE | iobs/ALE1 +SIGNAL | NODE | iobs/Once.D2 | 9713 | ? | 513 | 4096 | iobs/Once | NULL | NULL | iobs/Once.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP27_.EXP +SPPTERM | 1 | IV_TRUE | EXP28_.EXP +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 3 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Once | IV_TRUE | iobs/PS_FSM_FFd2 +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | iobs/Once +SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | iobs/Once | IV_TRUE | iobs/PS_FSM_FFd2 +SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | iobs/Once | IV_TRUE | iobs/PS_FSM_FFd1 -SRFF_INSTANCE | iobs/BERR.REG | iobs/BERR | 0 | 2 | 1 +SRFF_INSTANCE | iobs/Once.REG | iobs/Once | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/BERR.D | 9972 | ? | 443 | 0 | iobs/BERR | NULL | NULL | iobs/BERR.XOR | 0 | 7 | ALU_F +NODE | iobs/Once.D | 9711 | ? | 513 | 0 | iobs/Once | NULL | NULL | iobs/Once.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/BERR.Q | 9975 | ? | 467 | 0 | iobs/BERR | NULL | NULL | iobs/BERR.REG | 0 | 8 | SRFF_Q +NODE | iobs/Once.Q | 9714 | ? | 550 | 0 | iobs/Once | NULL | NULL | iobs/Once.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB -MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | iobs/IORW0 | WarpSE_COPY_0_COPY_0 | 2155877632 | 13 | 1 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | cnt/LTimer<4> | WarpSE_COPY_0_COPY_0 | 2424312832 | 8 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9694 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | cnt/LTimer<13> | 9439 | ? | 507 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9695 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | cnt/LTimer<4> | 9448 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | cnt/TimerTC | 9485 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | cnt/LTimer<0> | 9438 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9850 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 9440 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | cnt/LTimer<2> | 9441 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 9442 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9719 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW0 | 9727 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW0.Q | iobs/IORW0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP32_.EXP | 10518 | ? | 444 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP32_.EXP | EXP32_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP37_.EXP | 10530 | ? | 444 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP37_.EXP | EXP37_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | C8M_IBUF/FCLK- | 9437 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/IORW0 | 9727 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW0.Q | iobs/IORW0 | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 9448 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/LTimer<4>.SI | cnt/LTimer<4> | 0 | 7 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<13> | 9439 | ? | 507 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<4> | 9448 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 9485 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 9438 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 9440 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 9441 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<3> | 9442 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<4>.D1 | 9716 | ? | 482 | 4096 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<4>.D2 | 9717 | ? | 482 | 4096 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | cnt/LTimer<13> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/TimerTC +SPPTERM | 5 | IV_TRUE | cnt/LTimer<0> | IV_FALSE | cnt/LTimer<13> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> +SPPTERM | 5 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_FALSE | cnt/TimerTC +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<4>.CE | 9718 | ? | 483 | 4096 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 1 | IV_TRUE | cnt/TimerTC + +SRFF_INSTANCE | cnt/LTimer<4>.REG | cnt/LTimer<4> | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/LTimer<4>.D | 9715 | ? | 482 | 0 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | C8M_IBUF/FCLK- | 9437 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | cnt/LTimer<4>.CE | 9718 | ? | 483 | 4096 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 1 | IV_TRUE | cnt/TimerTC +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<4>.Q | 9719 | ? | 496 | 0 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.REG | 0 | 8 | SRFF_Q +TNAME | CLK_IOB + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | cnt/LTimer<6> | WarpSE_COPY_0_COPY_0 | 2424312832 | 10 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<13> | 9439 | ? | 507 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<6> | 9449 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 9485 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 9438 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 9440 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 9441 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<3> | 9442 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<5> | 9443 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<4> | 9448 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | C8M_IBUF/FCLK- | 9437 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 9449 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/LTimer<6>.SI | cnt/LTimer<6> | 0 | 9 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<13> | 9439 | ? | 507 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<6> | 9449 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 9485 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 9438 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 9440 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 9441 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<3> | 9442 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<5> | 9443 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<4> | 9448 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<6>.D1 | 9721 | ? | 484 | 4096 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<6>.D2 | 9722 | ? | 484 | 4096 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | cnt/LTimer<13> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/TimerTC +SPPTERM | 7 | IV_TRUE | cnt/LTimer<0> | IV_FALSE | cnt/LTimer<13> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<4> +SPPTERM | 7 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<4> | IV_FALSE | cnt/TimerTC +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<6>.CE | 9723 | ? | 485 | 4096 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 1 | IV_TRUE | cnt/TimerTC + +SRFF_INSTANCE | cnt/LTimer<6>.REG | cnt/LTimer<6> | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/LTimer<6>.D | 9720 | ? | 484 | 0 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | C8M_IBUF/FCLK- | 9437 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | cnt/LTimer<6>.CE | 9723 | ? | 485 | 4096 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 1 | IV_TRUE | cnt/TimerTC +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<6>.Q | 9724 | ? | 496 | 0 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.REG | 0 | 8 | SRFF_Q +TNAME | CLK_IOB + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | cnt/LTimer<7> | WarpSE_COPY_0_COPY_0 | 2424312832 | 16 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<13> | 9439 | ? | 507 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<7> | 9450 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 9485 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 9438 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 9440 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 9441 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<3> | 9442 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<5> | 9443 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<4> | 9448 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<6> | 9449 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | C8M_IBUF/FCLK- | 9437 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 9407 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9483 | ? | 554 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 9450 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | cnt/LTimer<7>.EXP | 10246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.EXP | cnt/LTimer<7> | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | cnt/LTimer<7>.SI | cnt/LTimer<7> | 0 | 15 | 4 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<13> | 9439 | ? | 507 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<7> | 9450 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 9485 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 9438 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 9440 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 9441 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<3> | 9442 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<5> | 9443 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<4> | 9448 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<6> | 9449 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 9407 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9483 | ? | 554 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<7>.D1 | 9726 | ? | 486 | 4096 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<7>.D2 | 9727 | ? | 486 | 4096 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | cnt/LTimer<13> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/TimerTC +SPPTERM | 8 | IV_TRUE | cnt/LTimer<0> | IV_FALSE | cnt/LTimer<13> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<6> +SPPTERM | 8 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<6> | IV_FALSE | cnt/TimerTC +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | cnt/LTimer<7>.EXP | 10244 | ? | 0 | 0 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 5 | IV_TRUE | A_FSB_9_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_TRUE | ram/RASEL +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<7>.CE | 9728 | ? | 487 | 4096 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 1 | IV_TRUE | cnt/TimerTC + +SRFF_INSTANCE | cnt/LTimer<7>.REG | cnt/LTimer<7> | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/LTimer<7>.D | 9725 | ? | 486 | 0 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | C8M_IBUF/FCLK- | 9437 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | cnt/LTimer<7>.CE | 9728 | ? | 487 | 4096 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 1 | IV_TRUE | cnt/TimerTC +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<7>.Q | 9729 | ? | 496 | 0 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.REG | 0 | 8 | SRFF_Q +TNAME | CLK_IOB + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | cnt/LTimer<9> | WarpSE_COPY_0_COPY_0 | 2424312832 | 13 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<13> | 9439 | ? | 507 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<9> | 9451 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 9485 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 9438 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 9440 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 9441 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<3> | 9442 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<5> | 9443 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<4> | 9448 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<6> | 9449 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<7> | 9450 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<8> | 9454 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | C8M_IBUF/FCLK- | 9437 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/LTimer<9> | 9451 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/LTimer<9>.SI | cnt/LTimer<9> | 0 | 12 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<13> | 9439 | ? | 507 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<9> | 9451 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 9485 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 9438 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 9440 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 9441 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<3> | 9442 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<5> | 9443 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<4> | 9448 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<6> | 9449 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<7> | 9450 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<8> | 9454 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<9>.D1 | 9731 | ? | 488 | 4096 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<9>.D2 | 9732 | ? | 488 | 4096 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | cnt/LTimer<13> | IV_TRUE | cnt/LTimer<9> | IV_TRUE | cnt/TimerTC +SPPTERM | 10 | IV_TRUE | cnt/LTimer<0> | IV_FALSE | cnt/LTimer<13> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer<8> +SPPTERM | 10 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer<8> | IV_FALSE | cnt/TimerTC +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<9>.CE | 9733 | ? | 489 | 4096 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 1 | IV_TRUE | cnt/TimerTC + +SRFF_INSTANCE | cnt/LTimer<9>.REG | cnt/LTimer<9> | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/LTimer<9>.D | 9730 | ? | 488 | 0 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | C8M_IBUF/FCLK- | 9437 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | cnt/LTimer<9>.CE | 9733 | ? | 489 | 4096 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 1 | IV_TRUE | cnt/TimerTC +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<9>.Q | 9734 | ? | 496 | 0 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.REG | 0 | 8 | SRFF_Q +TNAME | CLK_IOB + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | cnt/LTimer<10> | WarpSE_COPY_0_COPY_0 | 2424312832 | 14 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<13> | 9439 | ? | 507 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<10> | 9452 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 9485 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 9438 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 9440 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 9441 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<3> | 9442 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<5> | 9443 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<4> | 9448 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<6> | 9449 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<7> | 9450 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<9> | 9451 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<8> | 9454 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | C8M_IBUF/FCLK- | 9437 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/LTimer<10> | 9452 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/LTimer<10>.SI | cnt/LTimer<10> | 0 | 13 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<13> | 9439 | ? | 507 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<10> | 9452 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 9485 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 9438 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 9440 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 9441 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<3> | 9442 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<5> | 9443 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<4> | 9448 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<6> | 9449 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<7> | 9450 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<9> | 9451 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<8> | 9454 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<10>.D1 | 9736 | ? | 490 | 4096 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<10>.D2 | 9737 | ? | 490 | 4096 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | cnt/LTimer<13> | IV_TRUE | cnt/LTimer<10> | IV_TRUE | cnt/TimerTC +SPPTERM | 11 | IV_TRUE | cnt/LTimer<0> | IV_FALSE | cnt/LTimer<13> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer<9> | IV_TRUE | cnt/LTimer<8> +SPPTERM | 11 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer<9> | IV_TRUE | cnt/LTimer<8> | IV_FALSE | cnt/TimerTC +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<10>.CE | 9738 | ? | 491 | 4096 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 1 | IV_TRUE | cnt/TimerTC + +SRFF_INSTANCE | cnt/LTimer<10>.REG | cnt/LTimer<10> | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/LTimer<10>.D | 9735 | ? | 490 | 0 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | C8M_IBUF/FCLK- | 9437 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | cnt/LTimer<10>.CE | 9738 | ? | 491 | 4096 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 1 | IV_TRUE | cnt/TimerTC +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<10>.Q | 9739 | ? | 496 | 0 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.REG | 0 | 8 | SRFF_Q +TNAME | CLK_IOB + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | cnt/LTimer<11> | WarpSE_COPY_0_COPY_0 | 2424312832 | 15 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<13> | 9439 | ? | 507 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<11> | 9453 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 9485 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 9438 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 9440 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 9441 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<3> | 9442 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<5> | 9443 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<4> | 9448 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<6> | 9449 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<7> | 9450 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<9> | 9451 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<10> | 9452 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<8> | 9454 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | C8M_IBUF/FCLK- | 9437 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/LTimer<11> | 9453 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/LTimer<11>.SI | cnt/LTimer<11> | 0 | 14 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<13> | 9439 | ? | 507 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<11> | 9453 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 9485 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 9438 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 9440 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 9441 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<3> | 9442 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<5> | 9443 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<4> | 9448 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<6> | 9449 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<7> | 9450 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<9> | 9451 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<10> | 9452 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<8> | 9454 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<11>.D1 | 9741 | ? | 492 | 4096 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<11>.D2 | 9742 | ? | 492 | 4096 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | cnt/LTimer<13> | IV_TRUE | cnt/LTimer<11> | IV_TRUE | cnt/TimerTC +SPPTERM | 12 | IV_TRUE | cnt/LTimer<0> | IV_FALSE | cnt/LTimer<13> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer<9> | IV_TRUE | cnt/LTimer<10> | IV_TRUE | cnt/LTimer<8> +SPPTERM | 12 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer<9> | IV_TRUE | cnt/LTimer<10> | IV_TRUE | cnt/LTimer<8> | IV_FALSE | cnt/TimerTC +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<11>.CE | 9743 | ? | 493 | 4096 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 1 | IV_TRUE | cnt/TimerTC + +SRFF_INSTANCE | cnt/LTimer<11>.REG | cnt/LTimer<11> | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/LTimer<11>.D | 9740 | ? | 492 | 0 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | C8M_IBUF/FCLK- | 9437 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | cnt/LTimer<11>.CE | 9743 | ? | 493 | 4096 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 1 | IV_TRUE | cnt/TimerTC +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<11>.Q | 9744 | ? | 496 | 0 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.REG | 0 | 8 | SRFF_Q +TNAME | CLK_IOB + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | cnt/LTimer<8> | WarpSE_COPY_0_COPY_0 | 2424312832 | 12 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<13> | 9439 | ? | 507 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<8> | 9454 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 9485 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 9438 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 9440 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 9441 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<3> | 9442 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<5> | 9443 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<4> | 9448 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<6> | 9449 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<7> | 9450 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | C8M_IBUF/FCLK- | 9437 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/LTimer<8> | 9454 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/LTimer<8>.SI | cnt/LTimer<8> | 0 | 11 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<13> | 9439 | ? | 507 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<8> | 9454 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 9485 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 9438 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 9440 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 9441 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<3> | 9442 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<5> | 9443 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<4> | 9448 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<6> | 9449 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<7> | 9450 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<8>.D1 | 9746 | ? | 494 | 4096 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<8>.D2 | 9747 | ? | 494 | 4096 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | cnt/LTimer<13> | IV_TRUE | cnt/LTimer<8> | IV_TRUE | cnt/TimerTC +SPPTERM | 9 | IV_TRUE | cnt/LTimer<0> | IV_FALSE | cnt/LTimer<13> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> +SPPTERM | 9 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_FALSE | cnt/TimerTC +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<8>.CE | 9748 | ? | 495 | 4096 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 1 | IV_TRUE | cnt/TimerTC + +SRFF_INSTANCE | cnt/LTimer<8>.REG | cnt/LTimer<8> | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/LTimer<8>.D | 9745 | ? | 494 | 0 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | C8M_IBUF/FCLK- | 9437 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | cnt/LTimer<8>.CE | 9748 | ? | 495 | 4096 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 1 | IV_TRUE | cnt/TimerTC +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<8>.Q | 9749 | ? | 496 | 0 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.REG | 0 | 8 | SRFF_Q +TNAME | CLK_IOB + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | cnt/LTimer<12> | WarpSE_COPY_0_COPY_0 | 2424312832 | 16 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<13> | 9439 | ? | 507 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<12> | 9455 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<12>.Q | cnt/LTimer<12> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 9485 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 9438 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 9440 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 9441 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<3> | 9442 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<5> | 9443 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<4> | 9448 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<6> | 9449 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<7> | 9450 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<9> | 9451 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<10> | 9452 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<11> | 9453 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<8> | 9454 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | C8M_IBUF/FCLK- | 9437 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/LTimer<12> | 9455 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<12>.Q | cnt/LTimer<12> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/LTimer<12>.SI | cnt/LTimer<12> | 0 | 15 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<13> | 9439 | ? | 507 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<12> | 9455 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<12>.Q | cnt/LTimer<12> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 9485 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 9438 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 9440 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 9441 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<3> | 9442 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<5> | 9443 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<4> | 9448 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<6> | 9449 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<7> | 9450 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<9> | 9451 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<10> | 9452 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<11> | 9453 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<8> | 9454 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<12>.D1 | 9751 | ? | 496 | 4096 | cnt/LTimer<12> | NULL | NULL | cnt/LTimer<12>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<12>.D2 | 9752 | ? | 496 | 4096 | cnt/LTimer<12> | NULL | NULL | cnt/LTimer<12>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | cnt/LTimer<13> | IV_TRUE | cnt/LTimer<12> | IV_TRUE | cnt/TimerTC +SPPTERM | 13 | IV_TRUE | cnt/LTimer<0> | IV_FALSE | cnt/LTimer<13> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer<9> | IV_TRUE | cnt/LTimer<10> | IV_TRUE | cnt/LTimer<11> | IV_TRUE | cnt/LTimer<8> +SPPTERM | 13 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer<9> | IV_TRUE | cnt/LTimer<10> | IV_TRUE | cnt/LTimer<11> | IV_TRUE | cnt/LTimer<8> | IV_FALSE | cnt/TimerTC +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<12>.CE | 9753 | ? | 497 | 4096 | cnt/LTimer<12> | NULL | NULL | cnt/LTimer<12>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 1 | IV_TRUE | cnt/TimerTC + +SRFF_INSTANCE | cnt/LTimer<12>.REG | cnt/LTimer<12> | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/LTimer<12>.D | 9750 | ? | 496 | 0 | cnt/LTimer<12> | NULL | NULL | cnt/LTimer<12>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | C8M_IBUF/FCLK- | 9437 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | cnt/LTimer<12>.CE | 9753 | ? | 497 | 4096 | cnt/LTimer<12> | NULL | NULL | cnt/LTimer<12>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 1 | IV_TRUE | cnt/TimerTC +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<12>.Q | 9754 | ? | 496 | 0 | cnt/LTimer<12> | NULL | NULL | cnt/LTimer<12>.REG | 0 | 8 | SRFF_Q +TNAME | CLK_IOB + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobs/IORW0 | WarpSE_COPY_0_COPY_0 | 2155877376 | 13 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IORW0 | 9456 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW0.Q | iobs/IORW0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IORW1 | 9468 | ? | 525 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9491 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9495 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/ALE1 | 9574 | ? | 555 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9435 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9447 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP19_.EXP | 10212 | ? | 514 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP19_.EXP | EXP19_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP20_.EXP | 10213 | ? | 514 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP20_.EXP | EXP20_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobs/IORW0 | 9456 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW0.Q | iobs/IORW0 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobs/IORW0.SI | iobs/IORW0 | 0 | 12 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9694 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | iobs/IORW0 | 9456 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW0.Q | iobs/IORW0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9695 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | iobs/IORW1 | 9468 | ? | 525 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | iobs/PS_FSM_FFd2 | 9491 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | iobs/PS_FSM_FFd1 | 9495 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9850 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | iobs/ALE1 | 9574 | ? | 555 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | nWE_FSB_IBUF | 9435 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | iobs/Once | 9447 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9719 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW0 | 9727 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW0.Q | iobs/IORW0 | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP32_.EXP | 10518 | ? | 444 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP32_.EXP | EXP32_ | 4 | 0 | MC_EXPORT +NODE | EXP19_.EXP | 10212 | ? | 514 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP19_.EXP | EXP19_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP37_.EXP | 10530 | ? | 444 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP37_.EXP | EXP37_ | 4 | 0 | MC_EXPORT +NODE | EXP20_.EXP | 10213 | ? | 514 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP20_.EXP | EXP20_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/IORW0.D1 | 9977 | ? | 444 | 4096 | iobs/IORW0 | NULL | NULL | iobs/IORW0.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/IORW0.D1 | 9756 | ? | 514 | 4096 | iobs/IORW0 | NULL | NULL | iobs/IORW0.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/IORW0.D2 | 9978 | ? | 444 | 4096 | iobs/IORW0 | NULL | NULL | iobs/IORW0.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP32_.EXP -SPPTERM | 1 | IV_TRUE | EXP37_.EXP -SPPTERM | 3 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/IORW0 | IV_TRUE | iobs/ALE1 -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_TRUE | iobs/ALE1 -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_TRUE | iobs/ALE1 -SPPTERM | 5 | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | iobs/ALE1 -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 | IV_TRUE | iobs/ALE1 +SIGNAL | NODE | iobs/IORW0.D2 | 9757 | ? | 514 | 4096 | iobs/IORW0 | NULL | NULL | iobs/IORW0.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP19_.EXP +SPPTERM | 1 | IV_TRUE | EXP20_.EXP +SPPTERM | 5 | IV_TRUE | iobs/IORW0 | IV_FALSE | iobs/IORW1 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_FALSE | iobs/ALE1 +SPPTERM | 5 | IV_FALSE | iobs/IORW0 | IV_TRUE | iobs/IORW1 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_FALSE | iobs/ALE1 +SPPTERM | 8 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | iobs/Once | IV_FALSE | iobs/IORW0 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/ALE1 +SPPTERM | 8 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/Once | IV_TRUE | iobs/IORW0 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/ALE1 +SPPTERM | 8 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/Once | IV_TRUE | iobs/IORW0 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | iobs/ALE1 SRFF_INSTANCE | iobs/IORW0.REG | iobs/IORW0 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/IORW0.D | 9976 | ? | 444 | 0 | iobs/IORW0 | NULL | NULL | iobs/IORW0.XOR | 0 | 7 | ALU_F +NODE | iobs/IORW0.D | 9755 | ? | 514 | 0 | iobs/IORW0 | NULL | NULL | iobs/IORW0.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/IORW0.Q | 9979 | ? | 535 | 0 | iobs/IORW0 | NULL | NULL | iobs/IORW0.REG | 0 | 8 | SRFF_Q +NODE | iobs/IORW0.Q | 9758 | ? | 581 | 0 | iobs/IORW0 | NULL | NULL | iobs/IORW0.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB -INPUT_INSTANCE | 0 | 0 | NULL | nRES_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nRES | 9911 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nRES_IBUF | 9728 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 0 | 5 | II_IMUX - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cs/nOverlay0 | WarpSE_COPY_0_COPY_0 | 2155877376 | 20 | 2 +MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RefDone | WarpSE_COPY_0_COPY_0 | 2155873280 | 10 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | ram/RefDone | 9457 | ? | 544 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | ram/RefReqSync | 9513 | ? | 544 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReqSync.Q | ram/RefReqSync | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd1 | 9487 | ? | 552 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd2 | 9490 | ? | 552 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay0 | 9729 | ? | 445 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.Q | cs/nOverlay0 | 1 | 0 | MC_UIM +NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRES_IBUF | 9728 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | A_FSB_20_IBUF | 9418 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9694 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9703 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9704 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9705 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9706 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9719 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9736 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9743 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9850 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9695 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cs/nOverlay0 | 9729 | ? | 445 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.Q | cs/nOverlay0 | 1 | 0 | MC_UIM +NODE | ram/RefDone | 9457 | ? | 544 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | cs/nOverlay0.EXP | 10442 | ? | 453 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.EXP | cs/nOverlay0 | 4 | 0 | MC_EXPORT +NODE | ram/RefDone.EXP | 10229 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.EXP | ram/RefDone | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | cs/nOverlay0.SI | cs/nOverlay0 | 0 | 19 | 4 +SIGNAL_INSTANCE | ram/RefDone.SI | ram/RefDone | 0 | 9 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | ram/RefDone | 9457 | ? | 544 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | ram/RefReqSync | 9513 | ? | 544 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReqSync.Q | ram/RefReqSync | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd1 | 9487 | ? | 552 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd2 | 9490 | ? | 552 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay0 | 9729 | ? | 445 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.Q | cs/nOverlay0 | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRES_IBUF | 9728 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9418 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9694 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9703 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9704 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9705 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9706 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9719 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9736 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9743 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9850 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9695 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cs/nOverlay0.D1 | 9981 | ? | 445 | 4096 | cs/nOverlay0 | NULL | NULL | cs/nOverlay0.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RefDone.D1 | 9760 | ? | 515 | 4096 | ram/RefDone | NULL | NULL | ram/RefDone.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cs/nOverlay0.D2 | 9982 | ? | 445 | 6144 | cs/nOverlay0 | NULL | NULL | cs/nOverlay0.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RefDone.D2 | 9761 | ? | 515 | 4096 | ram/RefDone | NULL | NULL | ram/RefDone.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | ram/RefDone | IV_TRUE | ram/RefReqSync +SPPTERM | 3 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RefReqSync +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | ram/RefDone.EXP | 10217 | ? | 0 | 0 | ram/RefDone | NULL | NULL | ram/RefDone.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | cs/nOverlay1 + +SRFF_INSTANCE | ram/RefDone.REG | ram/RefDone | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ram/RefDone.D | 9759 | ? | 515 | 0 | ram/RefDone | NULL | NULL | ram/RefDone.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ram/RefDone.Q | 9762 | ? | 544 | 0 | ram/RefDone | NULL | NULL | ram/RefDone.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +INPUT_INSTANCE | 0 | 0 | NULL | N01 | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | nRES | 9607 | PIPO | 584 | 64 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRES | 0 | 6 | OI_OUT +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | N01 | 9458 | ? | 584 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cs/nOverlay0 | WarpSE_COPY_0_COPY_0 | 2155877376 | 11 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9418 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay0 | 9459 | ? | 516 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.Q | cs/nOverlay0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | N01 | 9458 | ? | 584 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9483 | ? | 554 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_5_IBUF | 9545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_5_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cs/nOverlay0 | 9459 | ? | 516 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.Q | cs/nOverlay0 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | cs/nOverlay0.EXP | 10231 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.EXP | cs/nOverlay0 | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | cs/nOverlay0.SI | cs/nOverlay0 | 0 | 10 | 4 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9418 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay0 | 9459 | ? | 516 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.Q | cs/nOverlay0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | N01 | 9458 | ? | 584 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9483 | ? | 554 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_5_IBUF | 9545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_5_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cs/nOverlay0.D1 | 9764 | ? | 516 | 4096 | cs/nOverlay0 | NULL | NULL | cs/nOverlay0.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cs/nOverlay0.D2 | 9765 | ? | 516 | 6144 | cs/nOverlay0 | NULL | NULL | cs/nOverlay0.SI | 2 | 9 | MC_SI_D2 SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | cs/nOverlay0 | IV_FALSE | nAS_FSB_IBUF SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | cs/nOverlay0 | IV_TRUE | fsb/ASrf OUTPUT_NODE_TYPE | 6 | 9 | MC_SI_RSTF -SIGNAL | NODE | cs/nOverlay0.RSTF | 9983 | ? | 446 | 4096 | cs/nOverlay0 | NULL | NULL | cs/nOverlay0.SI | 6 | 9 | MC_SI_RSTF -SPPTERM | 1 | IV_FALSE | nRES_IBUF +SIGNAL | NODE | cs/nOverlay0.RSTF | 9766 | ? | 517 | 4096 | cs/nOverlay0 | NULL | NULL | cs/nOverlay0.SI | 6 | 9 | MC_SI_RSTF +SPPTERM | 1 | IV_FALSE | N01 OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | cs/nOverlay0.EXP | 10427 | ? | 453 | 0 | cs/nOverlay0 | NULL | NULL | cs/nOverlay0.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 13 | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_FALSE | iobs/ALE1 -SPPTERM | 13 | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_FALSE | iobs/ALE1 +SIGNAL | NODE | cs/nOverlay0.EXP | 10219 | ? | 0 | 0 | cs/nOverlay0 | NULL | NULL | cs/nOverlay0.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_5_IBUF SRFF_INSTANCE | cs/nOverlay0.REG | cs/nOverlay0 | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cs/nOverlay0.D | 9980 | ? | 445 | 0 | cs/nOverlay0 | NULL | NULL | cs/nOverlay0.XOR | 0 | 7 | ALU_F +NODE | cs/nOverlay0.D | 9763 | ? | 516 | 0 | cs/nOverlay0 | NULL | NULL | cs/nOverlay0.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 3 | 8 | SRFF_R -SIGNAL | NODE | cs/nOverlay0.RSTF | 9983 | ? | 446 | 4096 | cs/nOverlay0 | NULL | NULL | cs/nOverlay0.SI | 6 | 9 | MC_SI_RSTF -SPPTERM | 1 | IV_FALSE | nRES_IBUF +SIGNAL | NODE | cs/nOverlay0.RSTF | 9766 | ? | 517 | 4096 | cs/nOverlay0 | NULL | NULL | cs/nOverlay0.SI | 6 | 9 | MC_SI_RSTF +SPPTERM | 1 | IV_FALSE | N01 OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cs/nOverlay0.Q | 9984 | ? | 445 | 0 | cs/nOverlay0 | NULL | NULL | cs/nOverlay0.REG | 0 | 8 | SRFF_Q +NODE | cs/nOverlay0.Q | 9767 | ? | 516 | 0 | cs/nOverlay0 | NULL | NULL | cs/nOverlay0.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/TimeoutA | WarpSE_COPY_0_COPY_0 | 2155877376 | 11 | 1 +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | fsb/Ready0r | WarpSE_COPY_0_COPY_0 | 2155873536 | 16 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimeoutA | 9730 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimeoutA.Q | cnt/TimeoutA | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9762 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9763 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +NODE | fsb/Ready0r | 9460 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9767 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +NODE | ram/RAMReady | 9540 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9772 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +NODE | iobs/Once | 9447 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<3> | 9773 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +NODE | iobs/IOReady | 9469 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9775 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<4> | 9776 | ? | 477 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9491 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | iobs/IOACTr | 9506 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOBERR | 9511 | ? | 572 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOBERR.Q | iobm/IOBERR | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/ALE1 | 9574 | ? | 555 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Clear1.EXP | 10204 | ? | 518 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.EXP | iobs/Clear1 | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/TimeoutA | 9730 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimeoutA.Q | cnt/TimeoutA | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cnt/TimeoutA.SI | cnt/TimeoutA | 0 | 10 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimeoutA | 9730 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimeoutA.Q | cnt/TimeoutA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9762 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9763 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9767 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9772 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<3> | 9773 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9775 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<4> | 9776 | ? | 477 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/TimeoutA.D1 | 9986 | ? | 447 | 4096 | cnt/TimeoutA | NULL | NULL | cnt/TimeoutA.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/TimeoutA.D2 | 9987 | ? | 447 | 4096 | cnt/TimeoutA | NULL | NULL | cnt/TimeoutA.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_TRUE | cnt/TimeoutA | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 9 | IV_FALSE | cnt/TimeoutA | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | cnt/RefCnt<5> | IV_FALSE | cnt/RefCnt<6> | IV_FALSE | cnt/RefCnt<0> | IV_FALSE | cnt/RefCnt<1> | IV_FALSE | cnt/RefCnt<3> | IV_FALSE | cnt/RefCnt<2> | IV_FALSE | cnt/RefCnt<4> -SPPTERM | 9 | IV_FALSE | cnt/TimeoutA | IV_FALSE | cnt/RefCnt<5> | IV_FALSE | cnt/RefCnt<6> | IV_FALSE | cnt/RefCnt<0> | IV_FALSE | cnt/RefCnt<1> | IV_FALSE | cnt/RefCnt<3> | IV_FALSE | cnt/RefCnt<2> | IV_FALSE | cnt/RefCnt<4> | IV_TRUE | fsb/ASrf - -SRFF_INSTANCE | cnt/TimeoutA.REG | cnt/TimeoutA | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/TimeoutA.D | 9985 | ? | 447 | 0 | cnt/TimeoutA | NULL | NULL | cnt/TimeoutA.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/TimeoutA.Q | 9988 | ? | 467 | 0 | cnt/TimeoutA | NULL | NULL | cnt/TimeoutA.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/TimeoutB | WarpSE_COPY_0_COPY_0 | 2155877376 | 14 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimeoutB | 9731 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimeoutB.Q | cnt/TimeoutB | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimeoutBPre | 9732 | ? | 449 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimeoutBPre.Q | cnt/TimeoutBPre | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9762 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9763 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9767 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9768 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9772 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<3> | 9773 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9775 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<4> | 9776 | ? | 477 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK20EN_OBUF | 9844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK20EN_OBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/TimeoutB | 9731 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimeoutB.Q | cnt/TimeoutB | 1 | 0 | MC_UIM +NODE | fsb/Ready0r | 9460 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | cnt/TimeoutB.EXP | 10501 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimeoutB.EXP | cnt/TimeoutB | 4 | 0 | MC_EXPORT +NODE | fsb/Ready0r.EXP | 10205 | ? | 526 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.EXP | fsb/Ready0r | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | cnt/TimeoutB.SI | cnt/TimeoutB | 0 | 13 | 3 +SIGNAL_INSTANCE | fsb/Ready0r.SI | fsb/Ready0r | 0 | 15 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimeoutB | 9731 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimeoutB.Q | cnt/TimeoutB | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimeoutBPre | 9732 | ? | 449 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimeoutBPre.Q | cnt/TimeoutBPre | 1 | 0 | MC_UIM +NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9762 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +NODE | fsb/Ready0r | 9460 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9763 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +NODE | ram/RAMReady | 9540 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9767 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +NODE | iobs/Once | 9447 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9768 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +NODE | iobs/IOReady | 9469 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9772 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9491 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<3> | 9773 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +NODE | iobs/IOACTr | 9506 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9775 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +NODE | iobm/IOBERR | 9511 | ? | 572 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOBERR.Q | iobm/IOBERR | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<4> | 9776 | ? | 477 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +NODE | iobs/ALE1 | 9574 | ? | 555 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK20EN_OBUF | 9844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK20EN_OBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Clear1.EXP | 10204 | ? | 518 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.EXP | iobs/Clear1 | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/TimeoutB.D1 | 9990 | ? | 448 | 4096 | cnt/TimeoutB | NULL | NULL | cnt/TimeoutB.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | fsb/Ready0r.D1 | 9769 | ? | 518 | 4096 | fsb/Ready0r | NULL | NULL | fsb/Ready0r.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/TimeoutB.D2 | 9991 | ? | 448 | 4096 | cnt/TimeoutB | NULL | NULL | cnt/TimeoutB.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_TRUE | cnt/TimeoutB | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 11 | IV_FALSE | cnt/TimeoutB | IV_TRUE | cnt/TimeoutBPre | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | cnt/RefCnt<5> | IV_FALSE | cnt/RefCnt<6> | IV_FALSE | cnt/RefCnt<0> | IV_FALSE | cnt/RefCnt<7> | IV_FALSE | cnt/RefCnt<1> | IV_FALSE | cnt/RefCnt<3> | IV_FALSE | cnt/RefCnt<2> | IV_FALSE | cnt/RefCnt<4> -SPPTERM | 11 | IV_FALSE | cnt/TimeoutB | IV_TRUE | cnt/TimeoutBPre | IV_FALSE | cnt/RefCnt<5> | IV_FALSE | cnt/RefCnt<6> | IV_FALSE | cnt/RefCnt<0> | IV_FALSE | cnt/RefCnt<7> | IV_FALSE | cnt/RefCnt<1> | IV_FALSE | cnt/RefCnt<3> | IV_FALSE | cnt/RefCnt<2> | IV_FALSE | cnt/RefCnt<4> | IV_TRUE | fsb/ASrf -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | cnt/TimeoutB.EXP | 10489 | ? | 0 | 0 | cnt/TimeoutB | NULL | NULL | cnt/TimeoutB.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | CLK20EN_OBUF - -SRFF_INSTANCE | cnt/TimeoutB.REG | cnt/TimeoutB | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/TimeoutB.D | 9989 | ? | 448 | 0 | cnt/TimeoutB | NULL | NULL | cnt/TimeoutB.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/TimeoutB.Q | 9992 | ? | 467 | 0 | cnt/TimeoutB | NULL | NULL | cnt/TimeoutB.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/TimeoutBPre | WarpSE_COPY_0_COPY_0 | 2155877376 | 18 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimeoutBPre | 9732 | ? | 449 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimeoutBPre.Q | cnt/TimeoutBPre | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9762 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9763 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9767 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9768 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9772 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<3> | 9773 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9775 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<4> | 9776 | ? | 477 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9691 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9755 | ? | 500 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/TimeoutBPre | 9732 | ? | 449 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimeoutBPre.Q | cnt/TimeoutBPre | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | cnt/TimeoutBPre.EXP | 10497 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimeoutBPre.EXP | cnt/TimeoutBPre | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | cnt/TimeoutBPre.SI | cnt/TimeoutBPre | 0 | 17 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimeoutBPre | 9732 | ? | 449 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimeoutBPre.Q | cnt/TimeoutBPre | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9762 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9763 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9767 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9768 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9772 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<3> | 9773 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9775 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<4> | 9776 | ? | 477 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9691 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9755 | ? | 500 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/TimeoutBPre.D1 | 9994 | ? | 449 | 4096 | cnt/TimeoutBPre | NULL | NULL | cnt/TimeoutBPre.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/TimeoutBPre.D2 | 9995 | ? | 449 | 4096 | cnt/TimeoutBPre | NULL | NULL | cnt/TimeoutBPre.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_TRUE | cnt/TimeoutBPre | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 10 | IV_FALSE | cnt/TimeoutBPre | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | cnt/RefCnt<5> | IV_FALSE | cnt/RefCnt<6> | IV_FALSE | cnt/RefCnt<0> | IV_FALSE | cnt/RefCnt<7> | IV_FALSE | cnt/RefCnt<1> | IV_FALSE | cnt/RefCnt<3> | IV_FALSE | cnt/RefCnt<2> | IV_FALSE | cnt/RefCnt<4> -SPPTERM | 10 | IV_FALSE | cnt/TimeoutBPre | IV_FALSE | cnt/RefCnt<5> | IV_FALSE | cnt/RefCnt<6> | IV_FALSE | cnt/RefCnt<0> | IV_FALSE | cnt/RefCnt<7> | IV_FALSE | cnt/RefCnt<1> | IV_FALSE | cnt/RefCnt<3> | IV_FALSE | cnt/RefCnt<2> | IV_FALSE | cnt/RefCnt<4> | IV_TRUE | fsb/ASrf -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | cnt/TimeoutBPre.EXP | 10485 | ? | 0 | 0 | cnt/TimeoutBPre | NULL | NULL | cnt/TimeoutBPre.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 5 | IV_TRUE | A_FSB_9_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_TRUE | ram/RASEL -SPPTERM | 6 | IV_TRUE | A_FSB_9_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_TRUE | ram/RASEL - -SRFF_INSTANCE | cnt/TimeoutBPre.REG | cnt/TimeoutBPre | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/TimeoutBPre.D | 9993 | ? | 449 | 0 | cnt/TimeoutBPre | NULL | NULL | cnt/TimeoutBPre.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/TimeoutBPre.Q | 9996 | ? | 449 | 0 | cnt/TimeoutBPre | NULL | NULL | cnt/TimeoutBPre.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | fsb/BERR0r | WarpSE_COPY_0_COPY_0 | 2155873536 | 25 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR0r | 9733 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimeoutB | 9731 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimeoutB.Q | cnt/TimeoutB | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9691 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9692 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9693 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9694 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9695 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9696 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9697 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9698 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9703 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9704 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9705 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9706 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/BERR | 9726 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/BERR.Q | iobs/BERR | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR1r | 9734 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9736 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Disable | 9848 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | Park.Q | Park | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | fsb/BERR0r | 9733 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | fsb/BERR0r.EXP | 10441 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.EXP | fsb/BERR0r | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | fsb/BERR0r.SI | fsb/BERR0r | 0 | 24 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR0r | 9733 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimeoutB | 9731 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimeoutB.Q | cnt/TimeoutB | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9691 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9692 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9693 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9694 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9695 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9696 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9697 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9698 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9703 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9704 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9705 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9706 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/BERR | 9726 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/BERR.Q | iobs/BERR | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR1r | 9734 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9736 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Disable | 9848 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | Park.Q | Park | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | fsb/BERR0r.D1 | 9998 | ? | 450 | 4096 | fsb/BERR0r | NULL | NULL | fsb/BERR0r.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | fsb/BERR0r.D2 | 9999 | ? | 450 | 4096 | fsb/BERR0r | NULL | NULL | fsb/BERR0r.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_FALSE | cnt/TimeoutB | IV_FALSE | fsb/BERR0r -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | fsb/BERR0r -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | fsb/BERR0r.EXP | 10426 | ? | 467 | 0 | fsb/BERR0r | NULL | NULL | fsb/BERR0r.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 22 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/BERR | IV_FALSE | cnt/TimeoutB | IV_FALSE | fsb/BERR0r | IV_FALSE | fsb/BERR1r | IV_TRUE | fsb/Ready1r | IV_TRUE | Disable - -SRFF_INSTANCE | fsb/BERR0r.REG | fsb/BERR0r | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | fsb/BERR0r.D | 9997 | ? | 450 | 0 | fsb/BERR0r | NULL | NULL | fsb/BERR0r.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | fsb/BERR0r.Q | 10000 | ? | 467 | 0 | fsb/BERR0r | NULL | NULL | fsb/BERR0r.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | fsb/BERR1r | WarpSE_COPY_0_COPY_0 | 2155873536 | 5 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/BERR | 9726 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/BERR.Q | iobs/BERR | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR1r | 9734 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | fsb/BERR1r | 9734 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | fsb/BERR1r.SI | fsb/BERR1r | 0 | 4 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/BERR | 9726 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/BERR.Q | iobs/BERR | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR1r | 9734 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | fsb/BERR1r.D1 | 10002 | ? | 451 | 4096 | fsb/BERR1r | NULL | NULL | fsb/BERR1r.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | fsb/BERR1r.D2 | 10003 | ? | 451 | 4096 | fsb/BERR1r | NULL | NULL | fsb/BERR1r.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_FALSE | iobs/BERR | IV_FALSE | fsb/BERR1r -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf - -SRFF_INSTANCE | fsb/BERR1r.REG | fsb/BERR1r | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | fsb/BERR1r.D | 10001 | ? | 451 | 0 | fsb/BERR1r | NULL | NULL | fsb/BERR1r.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | fsb/BERR1r.Q | 10004 | ? | 467 | 0 | fsb/BERR1r | NULL | NULL | fsb/BERR1r.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | fsb/Ready0r | WarpSE_COPY_0_COPY_0 | 2155873536 | 33 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready0r | 9735 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMReady | 9814 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9692 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9693 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9694 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9695 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9696 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9697 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9698 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9703 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9704 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9705 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9706 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9719 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimeoutA | 9730 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimeoutA.Q | cnt/TimeoutA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready2r | 9737 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA | 9738 | ? | 455 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$FX_DC$591.UIM | 9891 | ? | 455 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$591.Q | $OpTx$FX_DC$591 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9691 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/BERR | 9726 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/BERR.Q | iobs/BERR | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimeoutB | 9731 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimeoutB.Q | cnt/TimeoutB | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR0r | 9733 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR1r | 9734 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9736 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Disable | 9848 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | Park.Q | Park | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9743 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP16_.EXP | 10435 | ? | 452 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP16_.EXP | EXP16_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | fsb/Ready0r | 9735 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | fsb/Ready0r.EXP | 10434 | ? | 455 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.EXP | fsb/Ready0r | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | fsb/Ready0r.SI | fsb/Ready0r | 0 | 32 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready0r | 9735 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMReady | 9814 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9692 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9693 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9694 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9695 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9696 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9697 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9698 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9703 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9704 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9705 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9706 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9719 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimeoutA | 9730 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimeoutA.Q | cnt/TimeoutA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready2r | 9737 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA | 9738 | ? | 455 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$FX_DC$591.UIM | 9891 | ? | 455 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$591.Q | $OpTx$FX_DC$591 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9691 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/BERR | 9726 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/BERR.Q | iobs/BERR | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimeoutB | 9731 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimeoutB.Q | cnt/TimeoutB | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR0r | 9733 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR1r | 9734 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9736 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Disable | 9848 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | Park.Q | Park | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9743 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP16_.EXP | 10435 | ? | 452 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP16_.EXP | EXP16_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | fsb/Ready0r.D1 | 10006 | ? | 452 | 4096 | fsb/Ready0r | NULL | NULL | fsb/Ready0r.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | fsb/Ready0r.D2 | 10007 | ? | 452 | 4096 | fsb/Ready0r | NULL | NULL | fsb/Ready0r.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP16_.EXP +SIGNAL | NODE | fsb/Ready0r.D2 | 9770 | ? | 518 | 4096 | fsb/Ready0r | NULL | NULL | fsb/Ready0r.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | iobs/Clear1.EXP SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_FALSE | ram/RAMReady SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_FALSE | ram/RAMReady OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | fsb/Ready0r.EXP | 10419 | ? | 455 | 0 | fsb/Ready0r | NULL | NULL | fsb/Ready0r.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 21 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$FX_DC$591.UIM -SPPTERM | 23 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/BERR | IV_FALSE | cnt/TimeoutB | IV_FALSE | fsb/BERR0r | IV_FALSE | fsb/BERR1r | IV_TRUE | fsb/Ready1r | IV_TRUE | Disable | IV_FALSE | $OpTx$FX_DC$591.UIM -SPPTERM | 23 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/BERR | IV_FALSE | cnt/TimeoutB | IV_FALSE | fsb/BERR0r | IV_FALSE | fsb/BERR1r | IV_TRUE | iobs/IOReady | IV_TRUE | Disable | IV_FALSE | $OpTx$FX_DC$591.UIM +SIGNAL | NODE | fsb/Ready0r.EXP | 10191 | ? | 526 | 0 | fsb/Ready0r | NULL | NULL | fsb/Ready0r.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 6 | IV_TRUE | iobs/Once | IV_TRUE | iobs/IOReady | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_TRUE | iobm/IOBERR | IV_TRUE | iobs/ALE1 +SPPTERM | 7 | IV_TRUE | iobs/Once | IV_FALSE | iobs/IOReady | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_FALSE | iobm/IOBERR | IV_TRUE | iobs/ALE1 +SPPTERM | 7 | IV_TRUE | iobs/Once | IV_FALSE | iobs/IOReady | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_FALSE | iobm/IOBERR | IV_TRUE | fsb/ASrf | IV_TRUE | iobs/ALE1 SRFF_INSTANCE | fsb/Ready0r.REG | fsb/Ready0r | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | fsb/Ready0r.D | 10005 | ? | 452 | 0 | fsb/Ready0r | NULL | NULL | fsb/Ready0r.XOR | 0 | 7 | ALU_F +NODE | fsb/Ready0r.D | 9768 | ? | 518 | 0 | fsb/Ready0r | NULL | NULL | fsb/Ready0r.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | fsb/Ready0r.Q | 10008 | ? | 467 | 0 | fsb/Ready0r | NULL | NULL | fsb/Ready0r.REG | 0 | 8 | SRFF_Q +NODE | fsb/Ready0r.Q | 9771 | ? | 531 | 0 | fsb/Ready0r | NULL | NULL | fsb/Ready0r.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | fsb/Ready1r | WarpSE_COPY_0_COPY_0 | 2155873536 | 23 | 2 +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | fsb/Ready1r | WarpSE_COPY_0_COPY_0 | 2155873536 | 18 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9692 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9693 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +NODE | fsb/Ready1r | 9461 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9694 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | iobs/IOReady | 9469 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9695 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9696 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9697 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9418 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9698 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 9410 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 9419 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 9420 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 9421 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 9422 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9703 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 9435 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9704 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9705 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 9411 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9706 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | fsb/nDTACK.EXP | 10208 | ? | 519 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/nDTACK.EXP | fsb/nDTACK | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9719 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimeoutA | 9730 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimeoutA.Q | cnt/TimeoutA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready2r | 9737 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP22_.EXP | 10443 | ? | 453 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP22_.EXP | EXP22_ | 4 | 0 | MC_EXPORT +NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | fsb/Ready1r | 9736 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +NODE | fsb/Ready1r | 9461 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | fsb/Ready1r.EXP | 10444 | ? | 454 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.EXP | fsb/Ready1r | 4 | 0 | MC_EXPORT +NODE | fsb/Ready1r.EXP | 10209 | ? | 525 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.EXP | fsb/Ready1r | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | fsb/Ready1r.SI | fsb/Ready1r | 0 | 22 | 3 +SIGNAL_INSTANCE | fsb/Ready1r.SI | fsb/Ready1r | 0 | 17 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9692 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9693 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +NODE | fsb/Ready1r | 9461 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9694 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | iobs/IOReady | 9469 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9695 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9696 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9697 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9418 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9698 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 9410 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 9419 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 9420 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 9421 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 9422 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9703 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 9435 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9704 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9705 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 9411 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9706 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9719 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimeoutA | 9730 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimeoutA.Q | cnt/TimeoutA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready2r | 9737 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP22_.EXP | 10443 | ? | 453 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP22_.EXP | EXP22_ | 4 | 0 | MC_EXPORT +NODE | fsb/nDTACK.EXP | 10208 | ? | 519 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/nDTACK.EXP | fsb/nDTACK | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | fsb/Ready1r.D1 | 10010 | ? | 453 | 4096 | fsb/Ready1r | NULL | NULL | fsb/Ready1r.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | fsb/Ready1r.D1 | 9773 | ? | 519 | 4096 | fsb/Ready1r | NULL | NULL | fsb/Ready1r.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | fsb/Ready1r.D2 | 10011 | ? | 453 | 4096 | fsb/Ready1r | NULL | NULL | fsb/Ready1r.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP22_.EXP +SIGNAL | NODE | fsb/Ready1r.D2 | 9774 | ? | 519 | 4096 | fsb/Ready1r | NULL | NULL | fsb/Ready1r.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | fsb/nDTACK.EXP SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 3 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady +SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady +SPPTERM | 11 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | fsb/Ready1r.EXP | 10429 | ? | 454 | 0 | fsb/Ready1r | NULL | NULL | fsb/Ready1r.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 19 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/TimeoutA | IV_FALSE | fsb/Ready2r -SPPTERM | 19 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | cnt/TimeoutA | IV_FALSE | fsb/Ready2r -SPPTERM | 19 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | cnt/TimeoutA | IV_FALSE | fsb/Ready2r +SIGNAL | NODE | fsb/Ready1r.EXP | 10195 | ? | 525 | 0 | fsb/Ready1r | NULL | NULL | fsb/Ready1r.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 4 | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF SRFF_INSTANCE | fsb/Ready1r.REG | fsb/Ready1r | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | fsb/Ready1r.D | 10009 | ? | 453 | 0 | fsb/Ready1r | NULL | NULL | fsb/Ready1r.XOR | 0 | 7 | ALU_F +NODE | fsb/Ready1r.D | 9772 | ? | 519 | 0 | fsb/Ready1r | NULL | NULL | fsb/Ready1r.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | fsb/Ready1r.Q | 10012 | ? | 467 | 0 | fsb/Ready1r | NULL | NULL | fsb/Ready1r.REG | 0 | 8 | SRFF_Q +NODE | fsb/Ready1r.Q | 9775 | ? | 531 | 0 | fsb/Ready1r | NULL | NULL | fsb/Ready1r.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | fsb/Ready2r | WarpSE_COPY_0_COPY_0 | 2155873536 | 22 | 1 +MACROCELL_INSTANCE | PrldLow+OptxMapped | fsb/VPA | WarpSE_COPY_0_COPY_0 | 2155873280 | 15 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9691 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9693 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +NODE | fsb/Ready1r | 9461 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9694 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | fsb/VPA | 9462 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9695 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | iobs/IOReady | 9469 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9696 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9697 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9698 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9418 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | fsb/Ready0r | 9460 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9703 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | ram/RAMReady | 9540 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9704 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | EXP14_.EXP | 10202 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP14_.EXP | EXP14_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9705 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | EXP15_.EXP | 10203 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP15_.EXP | EXP15_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9706 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9719 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimeoutA | 9730 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimeoutA.Q | cnt/TimeoutA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready2r | 9737 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9692 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r.EXP | 10444 | ? | 454 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.EXP | fsb/Ready1r | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | fsb/Ready2r | 9737 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM +NODE | fsb/VPA | 9462 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | fsb/Ready2r.SI | fsb/Ready2r | 0 | 21 | 2 +SIGNAL_INSTANCE | fsb/VPA.SI | fsb/VPA | 0 | 14 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9691 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9693 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +NODE | fsb/Ready1r | 9461 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9694 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | fsb/VPA | 9462 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9695 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | iobs/IOReady | 9469 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9696 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9697 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9698 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9418 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | fsb/Ready0r | 9460 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9703 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | ram/RAMReady | 9540 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9704 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | EXP14_.EXP | 10202 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP14_.EXP | EXP14_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9705 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9706 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9719 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimeoutA | 9730 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimeoutA.Q | cnt/TimeoutA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready2r | 9737 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9692 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r.EXP | 10444 | ? | 454 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.EXP | fsb/Ready1r | 4 | 0 | MC_EXPORT +NODE | EXP15_.EXP | 10203 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP15_.EXP | EXP15_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | fsb/Ready2r.D1 | 10014 | ? | 454 | 4096 | fsb/Ready2r | NULL | NULL | fsb/Ready2r.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | fsb/VPA.D1 | 9777 | ? | 520 | 4096 | fsb/VPA | NULL | NULL | fsb/VPA.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | fsb/Ready2r.D2 | 10015 | ? | 454 | 4096 | fsb/Ready2r | NULL | NULL | fsb/Ready2r.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | fsb/Ready1r.EXP -SPPTERM | 19 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/TimeoutA | IV_FALSE | fsb/Ready2r -SPPTERM | 19 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | cnt/TimeoutA | IV_FALSE | fsb/Ready2r -SPPTERM | 19 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/TimeoutA | IV_FALSE | fsb/Ready2r -SPPTERM | 19 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | cnt/TimeoutA | IV_FALSE | fsb/Ready2r -SPPTERM | 19 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/TimeoutA | IV_FALSE | fsb/Ready2r - -SRFF_INSTANCE | fsb/Ready2r.REG | fsb/Ready2r | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | fsb/Ready2r.D | 10013 | ? | 454 | 0 | fsb/Ready2r | NULL | NULL | fsb/Ready2r.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | fsb/Ready2r.Q | 10016 | ? | 467 | 0 | fsb/Ready2r | NULL | NULL | fsb/Ready2r.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | PrldLow+OptxMapped | fsb/VPA | WarpSE_COPY_0_COPY_0 | 2155873280 | 11 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA | 9738 | ? | 455 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Disable | 9848 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | Park.Q | Park | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$FX_DC$591.UIM | 9891 | ? | 455 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$591.Q | $OpTx$FX_DC$591 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR0r | 9733 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR1r | 9734 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/BERR | 9726 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/BERR.Q | iobs/BERR | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimeoutB | 9731 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimeoutB.Q | cnt/TimeoutB | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP14_.EXP | 10432 | ? | 455 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP14_.EXP | EXP14_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP23_.EXP | 10446 | ? | 455 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP23_.EXP | EXP23_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | fsb/VPA | 9738 | ? | 455 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | fsb/VPA.SI | fsb/VPA | 0 | 10 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA | 9738 | ? | 455 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Disable | 9848 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | Park.Q | Park | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$FX_DC$591.UIM | 9891 | ? | 455 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$591.Q | $OpTx$FX_DC$591 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR0r | 9733 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR1r | 9734 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/BERR | 9726 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/BERR.Q | iobs/BERR | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimeoutB | 9731 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimeoutB.Q | cnt/TimeoutB | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP14_.EXP | 10432 | ? | 455 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP14_.EXP | EXP14_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP23_.EXP | 10446 | ? | 455 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP23_.EXP | EXP23_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | fsb/VPA.D1 | 10018 | ? | 455 | 4096 | fsb/VPA | NULL | NULL | fsb/VPA.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | fsb/VPA.D2 | 10019 | ? | 455 | 4096 | fsb/VPA | NULL | NULL | fsb/VPA.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | fsb/VPA.D2 | 9778 | ? | 520 | 4096 | fsb/VPA | NULL | NULL | fsb/VPA.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | EXP14_.EXP -SPPTERM | 1 | IV_TRUE | EXP23_.EXP -SPPTERM | 3 | IV_TRUE | iobs/BERR | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$FX_DC$591.UIM -SPPTERM | 3 | IV_TRUE | fsb/BERR0r | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$FX_DC$591.UIM -SPPTERM | 3 | IV_TRUE | fsb/BERR1r | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$FX_DC$591.UIM -SPPTERM | 3 | IV_TRUE | fsb/VPA | IV_FALSE | Disable | IV_FALSE | $OpTx$FX_DC$591.UIM -SPPTERM | 4 | IV_FALSE | A_FSB_20_IBUF | IV_TRUE | cnt/TimeoutB | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$FX_DC$591.UIM +SPPTERM | 1 | IV_TRUE | EXP15_.EXP +SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | iobs/IOReady | IV_FALSE | nAS_FSB_IBUF +SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | iobs/IOReady | IV_TRUE | fsb/ASrf +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_TRUE | fsb/VPA | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RAMReady +SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | iobs/IOReady | IV_FALSE | nAS_FSB_IBUF +SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | iobs/IOReady | IV_TRUE | fsb/ASrf SRFF_INSTANCE | fsb/VPA.REG | fsb/VPA | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | fsb/VPA.D | 10017 | ? | 455 | 0 | fsb/VPA | NULL | NULL | fsb/VPA.XOR | 0 | 7 | ALU_F +NODE | fsb/VPA.D | 9776 | ? | 520 | 0 | fsb/VPA | NULL | NULL | fsb/VPA.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | fsb/VPA.Q | 10020 | ? | 455 | 0 | fsb/VPA | NULL | NULL | fsb/VPA.REG | 0 | 8 | SRFF_Q +NODE | fsb/VPA.Q | 9779 | ? | 520 | 0 | fsb/VPA | NULL | NULL | fsb/VPA.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB +INPUT_INSTANCE | 0 | 0 | NULL | nBG_IOB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | nBG_IOB | 9636 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | nBG_IOB_IBUF | 9463 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBG_IOB_IBUF | 0 | 5 | II_IMUX + +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/BG | WarpSE_COPY_0_COPY_0 | 2155873280 | 3 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBG_IOB_IBUF | 9463 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBG_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/nASr | 9536 | ? | 563 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nASr.Q | iobm/nASr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | C16M_IBUF/FCLK | 9423 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/BG | 9464 | ? | 564 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BG.Q | iobm/BG | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/BG.SI | iobm/BG | 0 | 2 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBG_IOB_IBUF | 9463 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBG_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/nASr | 9536 | ? | 563 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nASr.Q | iobm/nASr | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/BG.D1 | 9781 | ? | 562 | 4096 | iobm/BG | NULL | NULL | iobm/BG.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/BG.D2 | 9782 | ? | 562 | 4096 | iobm/BG | NULL | NULL | iobm/BG.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | nBG_IOB_IBUF +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | iobm/BG.CE | 9783 | ? | 563 | 4096 | iobm/BG | NULL | NULL | iobm/BG.SI | 10 | 9 | MC_SI_CE +SPPTERM | 1 | IV_TRUE | iobm/nASr + +SRFF_INSTANCE | iobm/BG.REG | iobm/BG | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/BG.D | 9780 | ? | 562 | 0 | iobm/BG | NULL | NULL | iobm/BG.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | C16M_IBUF/FCLK | 9423 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | iobm/BG.CE | 9783 | ? | 563 | 4096 | iobm/BG | NULL | NULL | iobm/BG.SI | 10 | 9 | MC_SI_CE +SPPTERM | 1 | IV_TRUE | iobm/nASr +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/BG.Q | 9784 | ? | 564 | 0 | iobm/BG | NULL | NULL | iobm/BG.REG | 0 | 8 | SRFF_Q +TNAME | CLK2X_IOB + INPUT_INSTANCE | 0 | 0 | NULL | nLDS_FSB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nLDS_FSB | 9912 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | nLDS_FSB | 9637 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nLDS_FSB_IBUF | 9740 | ? | 458 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nLDS_FSB_IBUF | 9466 | ? | 523 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/IOL0 | WarpSE_COPY_0_COPY_0 | 2155873280 | 6 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOL1 | 9741 | ? | 456 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM +NODE | iobs/IOL1 | 9467 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9850 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | iobs/ALE1 | 9574 | ? | 555 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_FSB_IBUF | 9740 | ? | 458 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nLDS_FSB_IBUF | 9466 | ? | 523 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9761 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9491 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9765 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9495 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/IOL0 | 9739 | ? | 508 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL0.Q | iobs/IOL0 | 1 | 0 | MC_UIM +NODE | iobs/IOL0 | 9465 | ? | 560 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL0.Q | iobs/IOL0 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobs/IOL0.SI | iobs/IOL0 | 0 | 5 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOL1 | 9741 | ? | 456 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM +NODE | iobs/IOL1 | 9467 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9850 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | iobs/ALE1 | 9574 | ? | 555 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_FSB_IBUF | 9740 | ? | 458 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nLDS_FSB_IBUF | 9466 | ? | 523 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9761 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9491 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9765 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9495 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/IOL0.D1 | 10022 | ? | 456 | 4096 | iobs/IOL0 | NULL | NULL | iobs/IOL0.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/IOL0.D1 | 9786 | ? | 521 | 4096 | iobs/IOL0 | NULL | NULL | iobs/IOL0.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/IOL0.D2 | 10023 | ? | 456 | 4096 | iobs/IOL0 | NULL | NULL | iobs/IOL0.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/IOL0.D2 | 9787 | ? | 521 | 4096 | iobs/IOL0 | NULL | NULL | iobs/IOL0.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_FALSE | nLDS_FSB_IBUF | IV_TRUE | iobs/ALE1 SPPTERM | 2 | IV_TRUE | iobs/IOL1 | IV_FALSE | iobs/ALE1 OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | iobs/IOL0.CE | 10024 | ? | 457 | 4096 | iobs/IOL0 | NULL | NULL | iobs/IOL0.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | iobs/IOL0.CE | 9788 | ? | 522 | 4096 | iobs/IOL0 | NULL | NULL | iobs/IOL0.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 SRFF_INSTANCE | iobs/IOL0.REG | iobs/IOL0 | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/IOL0.D | 10021 | ? | 456 | 0 | iobs/IOL0 | NULL | NULL | iobs/IOL0.XOR | 0 | 7 | ALU_F +NODE | iobs/IOL0.D | 9785 | ? | 521 | 0 | iobs/IOL0 | NULL | NULL | iobs/IOL0.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | iobs/IOL0.CE | 10024 | ? | 457 | 4096 | iobs/IOL0 | NULL | NULL | iobs/IOL0.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | iobs/IOL0.CE | 9788 | ? | 522 | 4096 | iobs/IOL0 | NULL | NULL | iobs/IOL0.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/IOL0.Q | 10025 | ? | 508 | 0 | iobs/IOL0 | NULL | NULL | iobs/IOL0.REG | 0 | 8 | SRFF_Q +NODE | iobs/IOL0.Q | 9789 | ? | 560 | 0 | iobs/IOL0 | NULL | NULL | iobs/IOL0.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/IOL1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_FSB_IBUF | 9740 | ? | 458 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nLDS_FSB_IBUF | 9466 | ? | 523 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Load1 | 9777 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +NODE | iobs/Load1 | 9508 | ? | 555 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/IOL1 | 9741 | ? | 456 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM +NODE | iobs/IOL1 | 9467 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobs/IOL1.SI | iobs/IOL1 | 0 | 2 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_FSB_IBUF | 9740 | ? | 458 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nLDS_FSB_IBUF | 9466 | ? | 523 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Load1 | 9777 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +NODE | iobs/Load1 | 9508 | ? | 555 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/IOL1.D1 | 10027 | ? | 458 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/IOL1.D1 | 9791 | ? | 523 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/IOL1.D2 | 10028 | ? | 458 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/IOL1.D2 | 9792 | ? | 523 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | nLDS_FSB_IBUF OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | iobs/IOL1.CE | 10029 | ? | 459 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | iobs/IOL1.CE | 9793 | ? | 524 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_TRUE | iobs/Load1 SRFF_INSTANCE | iobs/IOL1.REG | iobs/IOL1 | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/IOL1.D | 10026 | ? | 458 | 0 | iobs/IOL1 | NULL | NULL | iobs/IOL1.XOR | 0 | 7 | ALU_F +NODE | iobs/IOL1.D | 9790 | ? | 523 | 0 | iobs/IOL1 | NULL | NULL | iobs/IOL1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | iobs/IOL1.CE | 10029 | ? | 459 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | iobs/IOL1.CE | 9793 | ? | 524 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_TRUE | iobs/Load1 OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/IOL1.Q | 10030 | ? | 456 | 0 | iobs/IOL1 | NULL | NULL | iobs/IOL1.REG | 0 | 8 | SRFF_Q +NODE | iobs/IOL1.Q | 9794 | ? | 521 | 0 | iobs/IOL1 | NULL | NULL | iobs/IOL1.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB -MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | iobs/IORW1 | WarpSE_COPY_0_COPY_0 | 2155877632 | 9 | 1 +MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | iobs/IORW1 | WarpSE_COPY_0_COPY_0 | 2155877632 | 10 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1 | 9742 | ? | 460 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +NODE | iobs/IORW1 | 9468 | ? | 525 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9850 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | iobs/ALE1 | 9574 | ? | 555 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9724 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | iobs/Once | 9447 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9719 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9418 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP36_.EXP | 10528 | ? | 460 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP36_.EXP | EXP36_ | 4 | 0 | MC_EXPORT +NODE | nWE_FSB_IBUF | 9435 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Park.EXP | 10529 | ? | 460 | 0 | WarpSE_COPY_0_COPY_0 | NULL | Park.EXP | Park | 4 | 0 | MC_EXPORT +NODE | EXP17_.EXP | 10210 | ? | 525 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP17_.EXP | EXP17_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | EXP18_.EXP | 10211 | ? | 525 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP18_.EXP | EXP18_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/IORW1 | 9742 | ? | 460 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +NODE | iobs/IORW1 | 9468 | ? | 525 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | iobs/IORW1.SI | iobs/IORW1 | 0 | 8 | 2 +SIGNAL_INSTANCE | iobs/IORW1.SI | iobs/IORW1 | 0 | 9 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1 | 9742 | ? | 460 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +NODE | iobs/IORW1 | 9468 | ? | 525 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9850 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | iobs/ALE1 | 9574 | ? | 555 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9724 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | iobs/Once | 9447 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9719 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9418 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP36_.EXP | 10528 | ? | 460 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP36_.EXP | EXP36_ | 4 | 0 | MC_EXPORT +NODE | nWE_FSB_IBUF | 9435 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Park.EXP | 10529 | ? | 460 | 0 | WarpSE_COPY_0_COPY_0 | NULL | Park.EXP | Park | 4 | 0 | MC_EXPORT +NODE | EXP17_.EXP | 10210 | ? | 525 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP17_.EXP | EXP17_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP18_.EXP | 10211 | ? | 525 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP18_.EXP | EXP18_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/IORW1.D1 | 10032 | ? | 460 | 4096 | iobs/IORW1 | NULL | NULL | iobs/IORW1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/IORW1.D1 | 9796 | ? | 525 | 4096 | iobs/IORW1 | NULL | NULL | iobs/IORW1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/IORW1.D2 | 10033 | ? | 460 | 4096 | iobs/IORW1 | NULL | NULL | iobs/IORW1.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/IORW1.D2 | 9797 | ? | 525 | 4096 | iobs/IORW1 | NULL | NULL | iobs/IORW1.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobs/Once SPPTERM | 1 | IV_FALSE | iobs/ALE1 -SPPTERM | 1 | IV_TRUE | EXP36_.EXP -SPPTERM | 1 | IV_TRUE | Park.EXP +SPPTERM | 1 | IV_TRUE | EXP17_.EXP +SPPTERM | 1 | IV_TRUE | EXP18_.EXP +SPPTERM | 2 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_20_IBUF SPPTERM | 2 | IV_TRUE | nWE_FSB_IBUF | IV_TRUE | iobs/IORW1 -SPPTERM | 2 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/IORW1 SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/IORW1 SRFF_INSTANCE | iobs/IORW1.REG | iobs/IORW1 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/IORW1.D | 10031 | ? | 460 | 0 | iobs/IORW1 | NULL | NULL | iobs/IORW1.XOR | 0 | 7 | ALU_F +NODE | iobs/IORW1.D | 9795 | ? | 525 | 0 | iobs/IORW1 | NULL | NULL | iobs/IORW1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/IORW1.Q | 10034 | ? | 460 | 0 | iobs/IORW1 | NULL | NULL | iobs/IORW1.REG | 0 | 8 | SRFF_Q +NODE | iobs/IORW1.Q | 9798 | ? | 525 | 0 | iobs/IORW1 | NULL | NULL | iobs/IORW1.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobs/IOReady | WarpSE_COPY_0_COPY_0 | 2155877376 | 19 | 2 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobs/IOReady | WarpSE_COPY_0_COPY_0 | 2155877376 | 23 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9743 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +NODE | iobs/IOReady | 9469 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9724 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9761 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | fsb/Ready1r | 9461 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9774 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +NODE | fsb/nDTACK.UIM | 9476 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/nDTACK.Q | fsb/nDTACK | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOBERR | 9781 | ? | 518 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOBERR.Q | iobm/IOBERR | 1 | 0 | MC_UIM +NODE | A_FSB_9_IBUF | 9407 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9850 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | A_FSB_8_IBUF | 9408 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_15_IBUF | 9409 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 9410 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9722 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +NODE | A_FSB_13_IBUF | 9411 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9723 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +NODE | A_FSB_12_IBUF | 9412 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | A_FSB_11_IBUF | 9413 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9754 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | A_FSB_10_IBUF | 9414 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9756 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9762 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9763 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +NODE | A_FSB_20_IBUF | 9418 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9768 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +NODE | A_FSB_19_IBUF | 9419 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9420 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9421 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9422 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready0r.EXP | 10205 | ? | 526 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.EXP | fsb/Ready0r | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/IOReady | 9743 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +NODE | iobs/IOReady | 9469 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | iobs/IOReady.EXP | 10450 | ? | 468 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.EXP | iobs/IOReady | 4 | 0 | MC_EXPORT +NODE | iobs/IOReady.EXP | 10206 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.EXP | iobs/IOReady | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | iobs/IOReady.SI | iobs/IOReady | 0 | 18 | 3 +SIGNAL_INSTANCE | iobs/IOReady.SI | iobs/IOReady | 0 | 22 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9743 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +NODE | iobs/IOReady | 9469 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9724 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9761 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | fsb/Ready1r | 9461 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9774 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +NODE | fsb/nDTACK.UIM | 9476 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/nDTACK.Q | fsb/nDTACK | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOBERR | 9781 | ? | 518 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOBERR.Q | iobm/IOBERR | 1 | 0 | MC_UIM +NODE | A_FSB_9_IBUF | 9407 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9850 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | A_FSB_8_IBUF | 9408 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_15_IBUF | 9409 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 9410 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9722 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +NODE | A_FSB_13_IBUF | 9411 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9723 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +NODE | A_FSB_12_IBUF | 9412 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | A_FSB_11_IBUF | 9413 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9754 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | A_FSB_10_IBUF | 9414 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9756 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9762 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9763 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +NODE | A_FSB_20_IBUF | 9418 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9768 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +NODE | A_FSB_19_IBUF | 9419 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9420 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9421 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9422 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready0r.EXP | 10205 | ? | 526 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.EXP | fsb/Ready0r | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/IOReady.D1 | 10036 | ? | 461 | 4096 | iobs/IOReady | NULL | NULL | iobs/IOReady.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/IOReady.D1 | 9800 | ? | 526 | 4096 | iobs/IOReady | NULL | NULL | iobs/IOReady.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/IOReady.D2 | 10037 | ? | 461 | 4096 | iobs/IOReady | NULL | NULL | iobs/IOReady.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/IOReady.D2 | 9801 | ? | 526 | 4096 | iobs/IOReady | NULL | NULL | iobs/IOReady.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | fsb/Ready0r.EXP SPPTERM | 3 | IV_TRUE | iobs/IOReady | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 6 | IV_TRUE | iobs/Once | IV_TRUE | iobs/IOReady | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_TRUE | iobm/IOBERR | IV_TRUE | iobs/ALE1 -SPPTERM | 7 | IV_TRUE | iobs/Once | IV_FALSE | iobs/IOReady | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_FALSE | iobm/IOBERR | IV_TRUE | iobs/ALE1 -SPPTERM | 7 | IV_TRUE | iobs/Once | IV_FALSE | iobs/IOReady | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_FALSE | iobm/IOBERR | IV_TRUE | fsb/ASrf | IV_TRUE | iobs/ALE1 OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | iobs/IOReady.EXP | 10448 | ? | 468 | 0 | iobs/IOReady | NULL | NULL | iobs/IOReady.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 11 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cnt/RefDone | IV_TRUE | ram/Once | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_TRUE | fsb/ASrf +SIGNAL | NODE | iobs/IOReady.EXP | 10192 | ? | 531 | 0 | iobs/IOReady | NULL | NULL | iobs/IOReady.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 4 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_TRUE | fsb/nDTACK.UIM +SPPTERM | 17 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | fsb/Ready1r +SPPTERM | 17 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | iobs/IOReady SRFF_INSTANCE | iobs/IOReady.REG | iobs/IOReady | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/IOReady.D | 10035 | ? | 461 | 0 | iobs/IOReady | NULL | NULL | iobs/IOReady.XOR | 0 | 7 | ALU_F +NODE | iobs/IOReady.D | 9799 | ? | 526 | 0 | iobs/IOReady | NULL | NULL | iobs/IOReady.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/IOReady.Q | 10038 | ? | 467 | 0 | iobs/IOReady | NULL | NULL | iobs/IOReady.REG | 0 | 8 | SRFF_Q +NODE | iobs/IOReady.Q | 9802 | ? | 531 | 0 | iobs/IOReady | NULL | NULL | iobs/IOReady.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB INPUT_INSTANCE | 0 | 0 | NULL | nUDS_FSB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nUDS_FSB | 9913 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | nUDS_FSB | 9638 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nUDS_FSB_IBUF | 9745 | ? | 464 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nUDS_FSB_IBUF | 9471 | ? | 529 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/IOU0 | WarpSE_COPY_0_COPY_0 | 2155873280 | 6 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOU1 | 9746 | ? | 462 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM +NODE | iobs/IOU1 | 9472 | ? | 527 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9850 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | iobs/ALE1 | 9574 | ? | 555 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_FSB_IBUF | 9745 | ? | 464 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nUDS_FSB_IBUF | 9471 | ? | 529 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9761 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9491 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9765 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9495 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/IOU0 | 9744 | ? | 509 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU0.Q | iobs/IOU0 | 1 | 0 | MC_UIM +NODE | iobs/IOU0 | 9470 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU0.Q | iobs/IOU0 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobs/IOU0.SI | iobs/IOU0 | 0 | 5 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOU1 | 9746 | ? | 462 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM +NODE | iobs/IOU1 | 9472 | ? | 527 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9850 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | iobs/ALE1 | 9574 | ? | 555 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_FSB_IBUF | 9745 | ? | 464 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nUDS_FSB_IBUF | 9471 | ? | 529 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9761 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9491 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9765 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9495 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/IOU0.D1 | 10040 | ? | 462 | 4096 | iobs/IOU0 | NULL | NULL | iobs/IOU0.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/IOU0.D1 | 9804 | ? | 527 | 4096 | iobs/IOU0 | NULL | NULL | iobs/IOU0.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/IOU0.D2 | 10041 | ? | 462 | 4096 | iobs/IOU0 | NULL | NULL | iobs/IOU0.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/IOU0.D2 | 9805 | ? | 527 | 4096 | iobs/IOU0 | NULL | NULL | iobs/IOU0.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_FALSE | nUDS_FSB_IBUF | IV_TRUE | iobs/ALE1 SPPTERM | 2 | IV_TRUE | iobs/IOU1 | IV_FALSE | iobs/ALE1 OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | iobs/IOU0.CE | 10042 | ? | 463 | 4096 | iobs/IOU0 | NULL | NULL | iobs/IOU0.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | iobs/IOU0.CE | 9806 | ? | 528 | 4096 | iobs/IOU0 | NULL | NULL | iobs/IOU0.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 SRFF_INSTANCE | iobs/IOU0.REG | iobs/IOU0 | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/IOU0.D | 10039 | ? | 462 | 0 | iobs/IOU0 | NULL | NULL | iobs/IOU0.XOR | 0 | 7 | ALU_F +NODE | iobs/IOU0.D | 9803 | ? | 527 | 0 | iobs/IOU0 | NULL | NULL | iobs/IOU0.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | iobs/IOU0.CE | 10042 | ? | 463 | 4096 | iobs/IOU0 | NULL | NULL | iobs/IOU0.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | iobs/IOU0.CE | 9806 | ? | 528 | 4096 | iobs/IOU0 | NULL | NULL | iobs/IOU0.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/IOU0.Q | 10043 | ? | 509 | 0 | iobs/IOU0 | NULL | NULL | iobs/IOU0.REG | 0 | 8 | SRFF_Q +NODE | iobs/IOU0.Q | 9807 | ? | 561 | 0 | iobs/IOU0 | NULL | NULL | iobs/IOU0.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/IOU1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_FSB_IBUF | 9745 | ? | 464 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nUDS_FSB_IBUF | 9471 | ? | 529 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Load1 | 9777 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +NODE | iobs/Load1 | 9508 | ? | 555 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/IOU1 | 9746 | ? | 462 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM +NODE | iobs/IOU1 | 9472 | ? | 527 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobs/IOU1.SI | iobs/IOU1 | 0 | 2 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_FSB_IBUF | 9745 | ? | 464 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nUDS_FSB_IBUF | 9471 | ? | 529 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Load1 | 9777 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +NODE | iobs/Load1 | 9508 | ? | 555 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/IOU1.D1 | 10045 | ? | 464 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/IOU1.D1 | 9809 | ? | 529 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/IOU1.D2 | 10046 | ? | 464 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/IOU1.D2 | 9810 | ? | 529 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | nUDS_FSB_IBUF OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | iobs/IOU1.CE | 10047 | ? | 465 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | iobs/IOU1.CE | 9811 | ? | 530 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_TRUE | iobs/Load1 SRFF_INSTANCE | iobs/IOU1.REG | iobs/IOU1 | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/IOU1.D | 10044 | ? | 464 | 0 | iobs/IOU1 | NULL | NULL | iobs/IOU1.XOR | 0 | 7 | ALU_F +NODE | iobs/IOU1.D | 9808 | ? | 529 | 0 | iobs/IOU1 | NULL | NULL | iobs/IOU1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | iobs/IOU1.CE | 10047 | ? | 465 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | iobs/IOU1.CE | 9811 | ? | 530 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_TRUE | iobs/Load1 OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/IOU1.Q | 10048 | ? | 462 | 0 | iobs/IOU1 | NULL | NULL | iobs/IOU1.REG | 0 | 8 | SRFF_Q +NODE | iobs/IOU1.Q | 9812 | ? | 527 | 0 | iobs/IOU1 | NULL | NULL | iobs/IOU1.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | ram/RAMDIS2 | WarpSE_COPY_0_COPY_0 | 2155877376 | 16 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMDIS2 | 9747 | ? | 466 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9722 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9723 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9754 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9756 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9760 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9762 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9763 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9768 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nROMCS_OBUF.EXP | 10464 | ? | 466 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.EXP | nROMCS_OBUF | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RAMDIS2 | 9747 | ? | 466 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | ram/RAMDIS2.SI | ram/RAMDIS2 | 0 | 15 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMDIS2 | 9747 | ? | 466 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9722 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9723 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9754 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9756 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9760 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9762 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9763 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9768 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nROMCS_OBUF.EXP | 10464 | ? | 466 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.EXP | nROMCS_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RAMDIS2.D1 | 10050 | ? | 466 | 4096 | ram/RAMDIS2 | NULL | NULL | ram/RAMDIS2.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RAMDIS2.D2 | 10051 | ? | 466 | 4096 | ram/RAMDIS2 | NULL | NULL | ram/RAMDIS2.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | nROMCS_OBUF.EXP -SPPTERM | 3 | IV_TRUE | ram/RAMDIS2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 10 | IV_FALSE | cnt/RefDone | IV_TRUE | ram/Once | IV_FALSE | ram/RAMDIS2 | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> -SPPTERM | 10 | IV_FALSE | cnt/RefDone | IV_TRUE | ram/Once | IV_FALSE | ram/RAMDIS2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_TRUE | fsb/ASrf -SPPTERM | 12 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cnt/RefDone | IV_TRUE | ram/Once | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/RAMDIS2 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> -SPPTERM | 12 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cnt/RefDone | IV_TRUE | ram/Once | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/RAMDIS2 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_TRUE | fsb/ASrf - -SRFF_INSTANCE | ram/RAMDIS2.REG | ram/RAMDIS2 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RAMDIS2.D | 10049 | ? | 466 | 0 | ram/RAMDIS2 | NULL | NULL | ram/RAMDIS2.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RAMDIS2.Q | 10052 | ? | 466 | 0 | ram/RAMDIS2 | NULL | NULL | ram/RAMDIS2.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -INPUT_INSTANCE | 0 | 0 | NULL | CLK_IOB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 2 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | CLK_IOB | 9914 | PI | 428 | 0 | WarpSE_COPY_0_COPY_0 | CLK_IOB;CLK_IOB;CLK_IOB | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | CLK_IOB_IBUF | 9748 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 7 | 5 | II_FCLKINV -NODE | CLK_IOB_IBUF/FCLK- | 9749 | ? | 529 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 7 | 5 | II_FCLKINV - INPUT_INSTANCE | 0 | 0 | NULL | nBERR_IOB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nBERR_IOB | 9916 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | nBERR_IOB | 9640 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nBERR_IOB_IBUF | 9751 | ? | 525 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nBERR_IOB_IBUF | 9474 | ? | 578 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX -MACROCELL_INSTANCE | PrldLow+OptxMapped | fsb/nDTACK | WarpSE_COPY_0_COPY_0 | 2155873280 | 24 | 2 +MACROCELL_INSTANCE | PrldLow+OptxMapped | fsb/nDTACK | WarpSE_COPY_0_COPY_0 | 2155873280 | 17 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9691 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 9411 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9693 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9694 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9695 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9418 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9696 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 9419 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9697 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 9420 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9698 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 9421 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 9422 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 9435 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | fsb/Ready1r | 9461 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9703 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | iobs/IOReady | 9469 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9704 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | fsb/nDTACK.UIM | 9476 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/nDTACK.Q | fsb/nDTACK | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9705 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | iobs/ALE1 | 9574 | ? | 555 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9706 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 9410 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9719 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | EXP16_.EXP | 10207 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP16_.EXP | EXP16_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimeoutA | 9730 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimeoutA.Q | cnt/TimeoutA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready2r | 9737 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/nDTACK.UIM | 9753 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/nDTACK.Q | fsb/nDTACK | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9692 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP19_.EXP | 10438 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP19_.EXP | EXP19_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP20_.EXP | 10439 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP20_.EXP | EXP20_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | fsb/nDTACK | 9752 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/nDTACK.Q | fsb/nDTACK | 0 | 0 | MC_Q +NODE | fsb/nDTACK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/nDTACK.Q | fsb/nDTACK | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | fsb/nDTACK.UIM | 9753 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/nDTACK.Q | fsb/nDTACK | 1 | 0 | MC_UIM +NODE | fsb/nDTACK.UIM | 9476 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/nDTACK.Q | fsb/nDTACK | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | fsb/nDTACK.EXP | 10208 | ? | 519 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/nDTACK.EXP | fsb/nDTACK | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | fsb/nDTACK.SI | fsb/nDTACK | 0 | 23 | 2 +SIGNAL_INSTANCE | fsb/nDTACK.SI | fsb/nDTACK | 0 | 16 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9691 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 9411 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9693 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9694 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9695 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9418 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9696 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 9419 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9697 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 9420 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9698 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 9421 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 9422 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 9435 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | fsb/Ready1r | 9461 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9703 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | iobs/IOReady | 9469 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9704 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | fsb/nDTACK.UIM | 9476 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/nDTACK.Q | fsb/nDTACK | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9705 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | iobs/ALE1 | 9574 | ? | 555 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9706 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 9410 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9719 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimeoutA | 9730 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimeoutA.Q | cnt/TimeoutA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready2r | 9737 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/nDTACK.UIM | 9753 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/nDTACK.Q | fsb/nDTACK | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9692 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP19_.EXP | 10438 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP19_.EXP | EXP19_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP20_.EXP | 10439 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP20_.EXP | EXP20_ | 4 | 0 | MC_EXPORT +NODE | EXP16_.EXP | 10207 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP16_.EXP | EXP16_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | fsb/nDTACK.D1 | 10054 | ? | 467 | 4096 | fsb/nDTACK | NULL | NULL | fsb/nDTACK.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | fsb/nDTACK.D1 | 9814 | ? | 531 | 4096 | fsb/nDTACK | NULL | NULL | fsb/nDTACK.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | fsb/nDTACK.D2 | 10055 | ? | 467 | 4096 | fsb/nDTACK | NULL | NULL | fsb/nDTACK.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP19_.EXP -SPPTERM | 1 | IV_TRUE | EXP20_.EXP -SPPTERM | 20 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | fsb/nDTACK.UIM -SPPTERM | 20 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | cnt/TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | fsb/nDTACK.UIM -SPPTERM | 20 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | fsb/nDTACK.UIM -SPPTERM | 20 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | cnt/TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | fsb/nDTACK.UIM -SPPTERM | 20 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | cnt/TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | fsb/nDTACK.UIM +SIGNAL | NODE | fsb/nDTACK.D2 | 9815 | ? | 531 | 4096 | fsb/nDTACK | NULL | NULL | fsb/nDTACK.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP16_.EXP +SPPTERM | 14 | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_TRUE | fsb/nDTACK.UIM | IV_FALSE | iobs/ALE1 +SPPTERM | 14 | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_TRUE | fsb/nDTACK.UIM | IV_FALSE | iobs/ALE1 +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | fsb/nDTACK.EXP | 10194 | ? | 519 | 0 | fsb/nDTACK | NULL | NULL | fsb/nDTACK.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 11 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady +SPPTERM | 13 | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_FALSE | iobs/ALE1 +SPPTERM | 13 | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_FALSE | iobs/ALE1 SRFF_INSTANCE | fsb/nDTACK.REG | fsb/nDTACK | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | fsb/nDTACK.D | 10053 | ? | 467 | 0 | fsb/nDTACK | NULL | NULL | fsb/nDTACK.XOR | 0 | 7 | ALU_F +NODE | fsb/nDTACK.D | 9813 | ? | 531 | 0 | fsb/nDTACK | NULL | NULL | fsb/nDTACK.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | fsb/nDTACK.Q | 10056 | ? | 467 | 0 | fsb/nDTACK | NULL | NULL | fsb/nDTACK.REG | 0 | 8 | SRFF_Q +NODE | fsb/nDTACK.Q | 9816 | ? | 531 | 0 | fsb/nDTACK | NULL | NULL | fsb/nDTACK.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB -MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RS_FSM_FFd1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 16 | 1 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobs/nBERR_FSB | WarpSE_COPY_0_COPY_0 | 2155877376 | 13 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9754 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9756 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/nBERR_FSB.UIM | 9478 | ? | 532 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/nBERR_FSB.Q | iobs/nBERR_FSB | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9760 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9722 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +NODE | iobs/Once | 9447 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | iobs/PS_FSM_FFd2 | 9491 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9762 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +NODE | iobs/IOACTr | 9506 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9763 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +NODE | iobm/IOBERR | 9511 | ? | 572 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOBERR.Q | iobm/IOBERR | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9768 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +NODE | iobs/ALE1 | 9574 | ? | 555 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 9410 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 9411 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9723 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Clear1.EXP | 10449 | ? | 468 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.EXP | iobs/Clear1 | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady.EXP | 10450 | ? | 468 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.EXP | iobs/IOReady | 4 | 0 | MC_EXPORT +NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | iobs/nBERR_FSB | 9477 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/nBERR_FSB.Q | iobs/nBERR_FSB | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RS_FSM_FFd1 | 9754 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/nBERR_FSB.UIM | 9478 | ? | 532 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/nBERR_FSB.Q | iobs/nBERR_FSB | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | iobs/nBERR_FSB.EXP | 10267 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/nBERR_FSB.EXP | iobs/nBERR_FSB | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | ram/RS_FSM_FFd1.SI | ram/RS_FSM_FFd1 | 0 | 15 | 2 +SIGNAL_INSTANCE | iobs/nBERR_FSB.SI | iobs/nBERR_FSB | 0 | 12 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9754 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9756 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/nBERR_FSB.UIM | 9478 | ? | 532 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/nBERR_FSB.Q | iobs/nBERR_FSB | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9760 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9722 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +NODE | iobs/Once | 9447 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | iobs/PS_FSM_FFd2 | 9491 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9762 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +NODE | iobs/IOACTr | 9506 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9763 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +NODE | iobm/IOBERR | 9511 | ? | 572 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOBERR.Q | iobm/IOBERR | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9768 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +NODE | iobs/ALE1 | 9574 | ? | 555 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | A_FSB_14_IBUF | 9410 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 9411 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9723 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Clear1.EXP | 10449 | ? | 468 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.EXP | iobs/Clear1 | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady.EXP | 10450 | ? | 468 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.EXP | iobs/IOReady | 4 | 0 | MC_EXPORT +NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RS_FSM_FFd1.D1 | 10058 | ? | 468 | 4096 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/nBERR_FSB.D1 | 9818 | ? | 532 | 4096 | iobs/nBERR_FSB | NULL | NULL | iobs/nBERR_FSB.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RS_FSM_FFd1.D2 | 10059 | ? | 468 | 4096 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | iobs/Clear1.EXP -SPPTERM | 1 | IV_TRUE | iobs/IOReady.EXP -SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd2 -SPPTERM | 2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd3 -SPPTERM | 7 | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> -SPPTERM | 7 | IV_FALSE | cnt/RefDone | IV_TRUE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_TRUE | fsb/ASrf -SPPTERM | 11 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cnt/RefDone | IV_TRUE | ram/Once | IV_TRUE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> +SIGNAL | NODE | iobs/nBERR_FSB.D2 | 9819 | ? | 532 | 4096 | iobs/nBERR_FSB | NULL | NULL | iobs/nBERR_FSB.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | iobs/nBERR_FSB.UIM | IV_FALSE | fsb/ASrf +SPPTERM | 6 | IV_TRUE | iobs/Once | IV_FALSE | iobs/nBERR_FSB.UIM | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_FALSE | iobm/IOBERR | IV_TRUE | iobs/ALE1 +SPPTERM | 7 | IV_TRUE | iobs/Once | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | iobs/nBERR_FSB.UIM | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_TRUE | iobm/IOBERR | IV_TRUE | iobs/ALE1 +SPPTERM | 7 | IV_TRUE | iobs/Once | IV_TRUE | iobs/nBERR_FSB.UIM | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_TRUE | iobm/IOBERR | IV_TRUE | fsb/ASrf | IV_TRUE | iobs/ALE1 +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | iobs/nBERR_FSB.EXP | 10255 | ? | 550 | 0 | iobs/nBERR_FSB | NULL | NULL | iobs/nBERR_FSB.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 6 | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | iobs/ALE1 -SRFF_INSTANCE | ram/RS_FSM_FFd1.REG | ram/RS_FSM_FFd1 | 0 | 2 | 1 +SRFF_INSTANCE | iobs/nBERR_FSB.REG | iobs/nBERR_FSB | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RS_FSM_FFd1.D | 10057 | ? | 468 | 0 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.XOR | 0 | 7 | ALU_F +NODE | iobs/nBERR_FSB.D | 9817 | ? | 532 | 0 | iobs/nBERR_FSB | NULL | NULL | iobs/nBERR_FSB.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RS_FSM_FFd1.Q | 10060 | ? | 499 | 0 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.REG | 0 | 8 | SRFF_Q +NODE | iobs/nBERR_FSB.Q | 9820 | ? | 532 | 0 | iobs/nBERR_FSB | NULL | NULL | iobs/nBERR_FSB.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB -MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RASEL | WarpSE_COPY_0_COPY_0 | 2155873280 | 14 | 1 +MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | cnt/AoutOE | WarpSE_COPY_0_COPY_0 | 2424308736 | 4 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9756 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/nBR_IOB | 9482 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nBR_IOB.Q | cnt/nBR_IOB | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9760 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | cnt/PORS_FSM_FFd1 | 9505 | ? | 507 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/PORS_FSM_FFd1.Q | cnt/PORS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9754 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/PORS_FSM_FFd2 | 9507 | ? | 507 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/PORS_FSM_FFd2.Q | cnt/PORS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9722 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9778 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9762 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9763 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9768 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP24_.EXP | 10463 | ? | 469 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP24_.EXP | EXP24_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP27_.EXP | 10474 | ? | 469 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP27_.EXP | EXP27_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | C8M_IBUF/FCLK- | 9437 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | cnt/AoutOE$Q | 9479 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/AoutOE.Q | cnt/AoutOE | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RASEL | 9755 | ? | 500 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | cnt/AoutOE | 9480 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/AoutOE.Q | cnt/AoutOE | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | ram/RASEL.SI | ram/RASEL | 0 | 13 | 2 +SIGNAL_INSTANCE | cnt/AoutOE.SI | cnt/AoutOE | 0 | 3 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9756 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/nBR_IOB | 9482 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nBR_IOB.Q | cnt/nBR_IOB | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9760 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | cnt/PORS_FSM_FFd1 | 9505 | ? | 507 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/PORS_FSM_FFd1.Q | cnt/PORS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9754 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9722 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9778 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9762 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9763 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9768 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP24_.EXP | 10463 | ? | 469 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP24_.EXP | EXP24_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP27_.EXP | 10474 | ? | 469 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP27_.EXP | EXP27_ | 4 | 0 | MC_EXPORT +NODE | cnt/PORS_FSM_FFd2 | 9507 | ? | 507 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/PORS_FSM_FFd2.Q | cnt/PORS_FSM_FFd2 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RASEL.D1 | 10062 | ? | 469 | 4096 | ram/RASEL | NULL | NULL | ram/RASEL.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/AoutOE.D1 | 9822 | ? | 498 | 4096 | cnt/AoutOE | NULL | NULL | cnt/AoutOE.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RASEL.D2 | 10063 | ? | 469 | 4096 | ram/RASEL | NULL | NULL | ram/RASEL.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP24_.EXP -SPPTERM | 1 | IV_TRUE | EXP27_.EXP -SPPTERM | 2 | IV_FALSE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd3 -SPPTERM | 3 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 -SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/BACTr -SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf -SPPTERM | 7 | IV_FALSE | cnt/RefDone | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_FALSE | fsb/ASrf +SIGNAL | NODE | cnt/AoutOE.D2 | 9823 | ? | 498 | 4096 | cnt/AoutOE | NULL | NULL | cnt/AoutOE.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_FALSE | cnt/nBR_IOB | IV_TRUE | cnt/PORS_FSM_FFd1 | IV_FALSE | cnt/PORS_FSM_FFd2 + +SRFF_INSTANCE | cnt/AoutOE.REG | cnt/AoutOE | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/AoutOE.D | 9821 | ? | 498 | 0 | cnt/AoutOE | NULL | NULL | cnt/AoutOE.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | C8M_IBUF/FCLK- | 9437 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/AoutOE.Q | 9824 | ? | 587 | 0 | cnt/AoutOE | NULL | NULL | cnt/AoutOE.REG | 0 | 8 | SRFF_Q +TNAME | CLK_IOB + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | cnt/nBR_IOB | WarpSE_COPY_0_COPY_0 | 2424312832 | 13 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/nBR_IOB | 9482 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nBR_IOB.Q | cnt/nBR_IOB | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/PORS_FSM_FFd1 | 9505 | ? | 507 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/PORS_FSM_FFd1.Q | cnt/PORS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/PORS_FSM_FFd2 | 9507 | ? | 507 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/PORS_FSM_FFd2.Q | cnt/PORS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IPL2r | 9515 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IPL2r.Q | cnt/IPL2r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | C8M_IBUF/FCLK- | 9437 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9420 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9491 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/ALE1 | 9574 | ? | 555 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9421 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | cnt/nBR_IOB$Q | 9481 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nBR_IOB.Q | cnt/nBR_IOB | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/nBR_IOB | 9482 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nBR_IOB.Q | cnt/nBR_IOB | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | cnt/nBR_IOB.EXP | 10269 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nBR_IOB.EXP | cnt/nBR_IOB | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | cnt/nBR_IOB.SI | cnt/nBR_IOB | 0 | 12 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/nBR_IOB | 9482 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nBR_IOB.Q | cnt/nBR_IOB | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/PORS_FSM_FFd1 | 9505 | ? | 507 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/PORS_FSM_FFd1.Q | cnt/PORS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/PORS_FSM_FFd2 | 9507 | ? | 507 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/PORS_FSM_FFd2.Q | cnt/PORS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IPL2r | 9515 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IPL2r.Q | cnt/IPL2r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9420 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9491 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/ALE1 | 9574 | ? | 555 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9421 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/nBR_IOB.D1 | 9826 | ? | 499 | 4096 | cnt/nBR_IOB | NULL | NULL | cnt/nBR_IOB.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/nBR_IOB.D2 | 9827 | ? | 499 | 4096 | cnt/nBR_IOB | NULL | NULL | cnt/nBR_IOB.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | cnt/nBR_IOB | IV_FALSE | cnt/PORS_FSM_FFd1 | IV_FALSE | cnt/PORS_FSM_FFd2 +SPPTERM | 4 | IV_FALSE | cnt/nBR_IOB | IV_FALSE | cnt/PORS_FSM_FFd1 | IV_TRUE | cnt/PORS_FSM_FFd2 | IV_TRUE | cnt/IPL2r +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | cnt/nBR_IOB.EXP | 10257 | ? | 550 | 0 | cnt/nBR_IOB | NULL | NULL | cnt/nBR_IOB.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_18_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | iobs/ALE1 +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_17_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | iobs/ALE1 +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | iobs/ALE1 + +SRFF_INSTANCE | cnt/nBR_IOB.REG | cnt/nBR_IOB | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/nBR_IOB.D | 9825 | ? | 499 | 0 | cnt/nBR_IOB | NULL | NULL | cnt/nBR_IOB.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | C8M_IBUF/FCLK- | 9437 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/nBR_IOB.Q | 9828 | ? | 499 | 0 | cnt/nBR_IOB | NULL | NULL | cnt/nBR_IOB.REG | 0 | 8 | SRFF_Q +TNAME | CLK_IOB + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | ram/RASEL | WarpSE_COPY_0_COPY_0 | 2155873536 | 9 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9487 | ? | 552 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9490 | ? | 552 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9493 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefUrgent | 9492 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrgent.Q | ram/RefUrgent | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nROMCS_OBUF.EXP | 10228 | ? | 533 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.EXP | nROMCS_OBUF | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP23_.EXP | 10239 | ? | 533 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP23_.EXP | EXP23_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ram/RASEL | 9483 | ? | 554 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | ram/RASEL.SI | ram/RASEL | 0 | 8 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9487 | ? | 552 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9490 | ? | 552 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9493 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefUrgent | 9492 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrgent.Q | ram/RefUrgent | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nROMCS_OBUF.EXP | 10228 | ? | 533 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.EXP | nROMCS_OBUF | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP23_.EXP | 10239 | ? | 533 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP23_.EXP | EXP23_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RASEL.D1 | 9830 | ? | 533 | 4096 | ram/RASEL | NULL | NULL | ram/RASEL.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RASEL.D2 | 9831 | ? | 533 | 4096 | ram/RASEL | NULL | NULL | ram/RASEL.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | nROMCS_OBUF.EXP +SPPTERM | 1 | IV_TRUE | EXP23_.EXP +SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd2 +SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd3 +SPPTERM | 3 | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 3 | IV_TRUE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | fsb/ASrf +SPPTERM | 5 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RefUrgent | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | fsb/ASrf SRFF_INSTANCE | ram/RASEL.REG | ram/RASEL | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RASEL.D | 10061 | ? | 469 | 0 | ram/RASEL | NULL | NULL | ram/RASEL.XOR | 0 | 7 | ALU_F +NODE | ram/RASEL.D | 9829 | ? | 533 | 0 | ram/RASEL | NULL | NULL | ram/RASEL.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RASEL.Q | 10064 | ? | 500 | 0 | ram/RASEL | NULL | NULL | ram/RASEL.REG | 0 | 8 | SRFF_Q +NODE | ram/RASEL.Q | 9832 | ? | 554 | 0 | ram/RASEL | NULL | NULL | ram/RASEL.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | ram/RS_FSM_FFd2 | WarpSE_COPY_0_COPY_0 | 2155873536 | 11 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9754 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9756 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9760 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9722 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9768 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9778 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF$BUF0.EXP | 10498 | ? | 470 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_21_IBUF$BUF0.EXP | A_FSB_21_IBUF$BUF0 | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_9_OBUF.EXP | 10499 | ? | 470 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_9_OBUF.EXP | RA_9_OBUF | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RS_FSM_FFd2 | 9756 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_INSTANCE | 0 | 0 | NULL | E_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | E | 9641 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | E_IBUF | 9484 | ? | 553 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX -SIGNAL_INSTANCE | ram/RS_FSM_FFd2.SI | ram/RS_FSM_FFd2 | 0 | 10 | 2 +MACROCELL_INSTANCE | PrldLow+OptxMapped | cnt/TimerTC | WarpSE_COPY_0_COPY_0 | 2155873280 | 5 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9754 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 9497 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9756 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/Timer<2> | 9498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | cnt/Timer<0> | 9500 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9760 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | cnt/Timer<3> | 9501 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | E_IBUF | 9484 | ? | 553 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9485 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/TimerTC.SI | cnt/TimerTC | 0 | 5 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9722 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 9497 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9768 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +NODE | cnt/Timer<2> | 9498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9778 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 9500 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF$BUF0.EXP | 10498 | ? | 470 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_21_IBUF$BUF0.EXP | A_FSB_21_IBUF$BUF0 | 4 | 0 | MC_EXPORT +NODE | cnt/Timer<3> | 9501 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_9_OBUF.EXP | 10499 | ? | 470 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_9_OBUF.EXP | RA_9_OBUF | 4 | 0 | MC_EXPORT +NODE | E_IBUF | 9484 | ? | 553 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RS_FSM_FFd2.D1 | 10066 | ? | 470 | 4096 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/TimerTC.D1 | 9834 | ? | 0 | 4096 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RS_FSM_FFd2.D2 | 10067 | ? | 470 | 4096 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | A_FSB_21_IBUF$BUF0.EXP -SPPTERM | 1 | IV_TRUE | RA_9_OBUF.EXP -SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd2 -SPPTERM | 3 | IV_TRUE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 -SPPTERM | 3 | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 -SPPTERM | 3 | IV_TRUE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | fsb/ASrf -SPPTERM | 4 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | cnt/RefCnt<7> | IV_TRUE | ram/BACTr +SIGNAL | NODE | cnt/TimerTC.D2 | 9835 | ? | 0 | 4096 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 4 | IV_FALSE | cnt/Timer<1> | IV_FALSE | cnt/Timer<2> | IV_FALSE | cnt/Timer<0> | IV_TRUE | cnt/Timer<3> +OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF +SIGNAL | NODE | cnt/TimerTC.CLKF | 9836 | ? | 497 | 4096 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | E_IBUF -SRFF_INSTANCE | ram/RS_FSM_FFd2.REG | ram/RS_FSM_FFd2 | 0 | 2 | 1 +SRFF_INSTANCE | cnt/TimerTC.REG | cnt/TimerTC | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RS_FSM_FFd2.D | 10065 | ? | 470 | 0 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.XOR | 0 | 7 | ALU_F +NODE | cnt/TimerTC.D | 9833 | ? | 0 | 0 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +SIGNAL | NODE | cnt/TimerTC.CLKF | 9836 | ? | 497 | 4096 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | E_IBUF OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RS_FSM_FFd2.Q | 10068 | ? | 499 | 0 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB +NODE | cnt/TimerTC.Q | 9837 | ? | 497 | 0 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOS_FSM_FFd3 | WarpSE_COPY_0_COPY_0 | 2155873280 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9758 | ? | 534 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 9488 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9759 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 9489 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9757 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9486 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_IOB_IBUF | 9748 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +NODE | C8M_IBUF | 9436 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOREQr | 9791 | ? | 523 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM +NODE | iobm/BG | 9464 | ? | 564 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BG.Q | iobm/BG | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BG | 9852 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | iobm/IOREQr | 9512 | ? | 576 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK2X_IOB_IBUF/FCLK | 9707 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9423 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOS_FSM_FFd3 | 9757 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9486 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/IOS_FSM_FFd3.SI | iobm/IOS_FSM_FFd3 | 0 | 6 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9758 | ? | 534 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 9488 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9759 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 9489 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9757 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9486 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_IOB_IBUF | 9748 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +NODE | C8M_IBUF | 9436 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOREQr | 9791 | ? | 523 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM +NODE | iobm/BG | 9464 | ? | 564 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BG.Q | iobm/BG | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BG | 9852 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | iobm/IOREQr | 9512 | ? | 576 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOS_FSM_FFd3.D1 | 10070 | ? | 510 | 4096 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd3.D1 | 9839 | ? | 564 | 4096 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOS_FSM_FFd3.D2 | 10071 | ? | 510 | 4096 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS_FSM_FFd3.D2 | 9840 | ? | 564 | 4096 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 SPPTERM | 3 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd1 | IV_FALSE | iobm/IOS_FSM_FFd2 -SPPTERM | 5 | IV_FALSE | CLK_IOB_IBUF | IV_FALSE | iobm/IOS_FSM_FFd1 | IV_FALSE | iobm/IOS_FSM_FFd2 | IV_TRUE | iobm/IOREQr | IV_FALSE | iobm/BG +SPPTERM | 5 | IV_FALSE | C8M_IBUF | IV_TRUE | iobm/BG | IV_FALSE | iobm/IOS_FSM_FFd1 | IV_FALSE | iobm/IOS_FSM_FFd2 | IV_TRUE | iobm/IOREQr SRFF_INSTANCE | iobm/IOS_FSM_FFd3.REG | iobm/IOS_FSM_FFd3 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOS_FSM_FFd3.D | 10069 | ? | 510 | 0 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.XOR | 0 | 7 | ALU_F +NODE | iobm/IOS_FSM_FFd3.D | 9838 | ? | 564 | 0 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK2X_IOB_IBUF/FCLK | 9707 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9423 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOS_FSM_FFd3.Q | 10072 | ? | 535 | 0 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.REG | 0 | 8 | SRFF_Q +NODE | iobm/IOS_FSM_FFd3.Q | 9841 | ? | 581 | 0 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.REG | 0 | 8 | SRFF_Q TNAME | CLK_IOB | CLK2X_IOB +MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RS_FSM_FFd1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 12 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9487 | ? | 552 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9490 | ? | 552 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9493 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefUrgent | 9492 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrgent.Q | ram/RefUrgent | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMEN | 9496 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nOE_OBUF.EXP | 10230 | ? | 534 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nOE_OBUF.EXP | nOE_OBUF | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 9487 | ? | 552 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | ram/RS_FSM_FFd1.SI | ram/RS_FSM_FFd1 | 0 | 11 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9487 | ? | 552 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9490 | ? | 552 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9493 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefUrgent | 9492 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrgent.Q | ram/RefUrgent | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMEN | 9496 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nOE_OBUF.EXP | 10230 | ? | 534 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nOE_OBUF.EXP | nOE_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RS_FSM_FFd1.D1 | 9843 | ? | 534 | 4096 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RS_FSM_FFd1.D2 | 9844 | ? | 534 | 4096 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | nOE_OBUF.EXP +SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd2 +SPPTERM | 2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd3 +SPPTERM | 4 | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RefUrgent | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 4 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RefUrgent | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | fsb/ASrf +SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RefUrgent | IV_FALSE | ram/RAMEN + +SRFF_INSTANCE | ram/RS_FSM_FFd1.REG | ram/RS_FSM_FFd1 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ram/RS_FSM_FFd1.D | 9842 | ? | 534 | 0 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ram/RS_FSM_FFd1.Q | 9845 | ? | 552 | 0 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOS_FSM_FFd1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 4 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9757 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9486 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9759 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 9489 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9758 | ? | 534 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 9488 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK2X_IOB_IBUF/FCLK | 9707 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9423 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOS_FSM_FFd1 | 9758 | ? | 534 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 9488 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/IOS_FSM_FFd1.SI | iobm/IOS_FSM_FFd1 | 0 | 3 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9757 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9486 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9759 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 9489 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9758 | ? | 534 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 9488 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOS_FSM_FFd1.D1 | 10074 | ? | 511 | 4096 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd1.D1 | 9847 | ? | 565 | 4096 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOS_FSM_FFd1.D2 | 10075 | ? | 511 | 4096 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS_FSM_FFd1.D2 | 9848 | ? | 565 | 4096 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 SPPTERM | 2 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd2 SRFF_INSTANCE | iobm/IOS_FSM_FFd1.REG | iobm/IOS_FSM_FFd1 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOS_FSM_FFd1.D | 10073 | ? | 511 | 0 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.XOR | 0 | 7 | ALU_F +NODE | iobm/IOS_FSM_FFd1.D | 9846 | ? | 565 | 0 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK2X_IOB_IBUF/FCLK | 9707 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9423 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOS_FSM_FFd1.Q | 10076 | ? | 534 | 0 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.REG | 0 | 8 | SRFF_Q +NODE | iobm/IOS_FSM_FFd1.Q | 9849 | ? | 588 | 0 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.REG | 0 | 8 | SRFF_Q TNAME | CLK2X_IOB MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobm/IOS_FSM_FFd2 | WarpSE_COPY_0_COPY_0 | 2155877376 | 12 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9757 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9486 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9758 | ? | 534 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 9488 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9759 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 9489 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_IOB_IBUF | 9748 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +NODE | C8M_IBUF | 9436 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ETACK | 9787 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM +NODE | iobm/ETACK | 9517 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrf | 9798 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +NODE | iobm/BERRrf | 9524 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrr | 9799 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM +NODE | iobm/BERRrr | 9525 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrf | 9802 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM +NODE | iobm/DTACKrf | 9527 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrr | 9803 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM +NODE | iobm/DTACKrr | 9528 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrf | 9805 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM +NODE | iobm/RESrf | 9531 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrr | 9806 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM +NODE | iobm/RESrr | 9532 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK2X_IOB_IBUF/FCLK | 9707 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9423 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOS_FSM_FFd2 | 9759 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 9489 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/IOS_FSM_FFd2.SI | iobm/IOS_FSM_FFd2 | 0 | 11 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9757 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9486 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9758 | ? | 534 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 9488 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9759 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 9489 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_IOB_IBUF | 9748 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +NODE | C8M_IBUF | 9436 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ETACK | 9787 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM +NODE | iobm/ETACK | 9517 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrf | 9798 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +NODE | iobm/BERRrf | 9524 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrr | 9799 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM +NODE | iobm/BERRrr | 9525 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrf | 9802 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM +NODE | iobm/DTACKrf | 9527 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrr | 9803 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM +NODE | iobm/DTACKrr | 9528 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrf | 9805 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM +NODE | iobm/RESrf | 9531 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrr | 9806 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM +NODE | iobm/RESrr | 9532 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOS_FSM_FFd2.D1 | 10078 | ? | 512 | 4096 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd2.D1 | 9851 | ? | 566 | 4096 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOS_FSM_FFd2.D2 | 10079 | ? | 512 | 4096 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS_FSM_FFd2.D2 | 9852 | ? | 566 | 4096 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd1 | IV_FALSE | iobm/IOS_FSM_FFd2 -SPPTERM | 5 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_TRUE | iobm/ETACK -SPPTERM | 6 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_TRUE | iobm/BERRrf | IV_TRUE | iobm/BERRrr -SPPTERM | 6 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_TRUE | iobm/DTACKrf | IV_TRUE | iobm/DTACKrr -SPPTERM | 6 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_TRUE | iobm/RESrf | IV_TRUE | iobm/RESrr +SPPTERM | 5 | IV_TRUE | C8M_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_TRUE | iobm/ETACK +SPPTERM | 6 | IV_TRUE | C8M_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_TRUE | iobm/BERRrf | IV_TRUE | iobm/BERRrr +SPPTERM | 6 | IV_TRUE | C8M_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_TRUE | iobm/DTACKrf | IV_TRUE | iobm/DTACKrr +SPPTERM | 6 | IV_TRUE | C8M_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_TRUE | iobm/RESrf | IV_TRUE | iobm/RESrr SRFF_INSTANCE | iobm/IOS_FSM_FFd2.REG | iobm/IOS_FSM_FFd2 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOS_FSM_FFd2.D | 10077 | ? | 512 | 0 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.XOR | 0 | 7 | ALU_F +NODE | iobm/IOS_FSM_FFd2.D | 9850 | ? | 566 | 0 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK2X_IOB_IBUF/FCLK | 9707 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9423 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOS_FSM_FFd2.Q | 10080 | ? | 535 | 0 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.REG | 0 | 8 | SRFF_Q +NODE | iobm/IOS_FSM_FFd2.Q | 9853 | ? | 588 | 0 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.REG | 0 | 8 | SRFF_Q TNAME | CLK_IOB | CLK2X_IOB -MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RS_FSM_FFd3 | WarpSE_COPY_0_COPY_0 | 2155873280 | 13 | 1 +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | ram/RS_FSM_FFd2 | WarpSE_COPY_0_COPY_0 | 2155873536 | 10 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9754 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 9487 | ? | 552 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9756 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 9490 | ? | 552 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd3 | 9493 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9723 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | ram/RefUrgent | 9492 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrgent.Q | ram/RefUrgent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | ram/RefReq | 9510 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9760 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | ram/BACTr | 9509 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | RA_5_OBUF.EXP | 10234 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.EXP | RA_5_OBUF | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP29_.EXP | 10495 | ? | 471 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP29_.EXP | EXP29_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_7_OBUF.EXP | 10496 | ? | 471 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_7_OBUF.EXP | RA_7_OBUF | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RS_FSM_FFd3 | 9760 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 9490 | ? | 552 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | ram/RS_FSM_FFd3.SI | ram/RS_FSM_FFd3 | 0 | 12 | 2 +SIGNAL_INSTANCE | ram/RS_FSM_FFd2.SI | ram/RS_FSM_FFd2 | 0 | 9 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9754 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 9487 | ? | 552 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9756 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 9490 | ? | 552 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd3 | 9493 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9723 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | ram/RefUrgent | 9492 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrgent.Q | ram/RefUrgent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | ram/RefReq | 9510 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9760 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | ram/BACTr | 9509 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP29_.EXP | 10495 | ? | 471 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP29_.EXP | EXP29_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_7_OBUF.EXP | 10496 | ? | 471 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_7_OBUF.EXP | RA_7_OBUF | 4 | 0 | MC_EXPORT +NODE | RA_5_OBUF.EXP | 10234 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.EXP | RA_5_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RS_FSM_FFd3.D1 | 10082 | ? | 471 | 4096 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RS_FSM_FFd2.D1 | 9855 | ? | 535 | 4096 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RS_FSM_FFd3.D2 | 10083 | ? | 471 | 4096 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP29_.EXP -SPPTERM | 1 | IV_TRUE | RA_7_OBUF.EXP -SPPTERM | 2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd2 -SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | ram/Once | IV_TRUE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 -SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | ram/Once | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | fsb/ASrf -SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | ram/Once | IV_FALSE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 -SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | ram/Once | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | fsb/ASrf +SIGNAL | NODE | ram/RS_FSM_FFd2.D2 | 9856 | ? | 535 | 4096 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | RA_5_OBUF.EXP +SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd2 +SPPTERM | 3 | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 3 | IV_TRUE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | fsb/ASrf +SPPTERM | 4 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RefUrgent | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | ram/BACTr +SPPTERM | 4 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RefUrgent | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefReq -SRFF_INSTANCE | ram/RS_FSM_FFd3.REG | ram/RS_FSM_FFd3 | 0 | 2 | 1 +SRFF_INSTANCE | ram/RS_FSM_FFd2.REG | ram/RS_FSM_FFd2 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RS_FSM_FFd3.D | 10081 | ? | 471 | 0 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.XOR | 0 | 7 | ALU_F +NODE | ram/RS_FSM_FFd2.D | 9854 | ? | 535 | 0 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RS_FSM_FFd3.Q | 10084 | ? | 499 | 0 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.REG | 0 | 8 | SRFF_Q +NODE | ram/RS_FSM_FFd2.Q | 9857 | ? | 552 | 0 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobs/PS_FSM_FFd2 | WarpSE_COPY_0_COPY_0 | 2155873536 | 13 | 1 +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobs/PS_FSM_FFd2 | WarpSE_COPY_0_COPY_0 | 2155873536 | 12 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9761 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9491 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9765 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9495 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9774 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +NODE | iobs/IOACTr | 9506 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9724 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | iobs/Once | 9447 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9850 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | iobs/ALE1 | 9574 | ? | 555 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | A_FSB_20_IBUF | 9418 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | nRAMLWE_OBUF.EXP | 10263 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.EXP | nRAMLWE_OBUF | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP33_.EXP | 10520 | ? | 472 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP33_.EXP | EXP33_ | 4 | 0 | MC_EXPORT +NODE | nRAMUWE_OBUF.EXP | 10264 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.EXP | nRAMUWE_OBUF | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRAS_OBUF.EXP | 10521 | ? | 472 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.EXP | nRAS_OBUF | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/PS_FSM_FFd2 | 9761 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9491 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | iobs/PS_FSM_FFd2.SI | iobs/PS_FSM_FFd2 | 0 | 12 | 2 +SIGNAL_INSTANCE | iobs/PS_FSM_FFd2.SI | iobs/PS_FSM_FFd2 | 0 | 11 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9761 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9491 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9765 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9495 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9774 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +NODE | iobs/IOACTr | 9506 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9724 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | iobs/Once | 9447 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9850 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | iobs/ALE1 | 9574 | ? | 555 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | A_FSB_20_IBUF | 9418 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | nRAMLWE_OBUF.EXP | 10263 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.EXP | nRAMLWE_OBUF | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP33_.EXP | 10520 | ? | 472 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP33_.EXP | EXP33_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRAS_OBUF.EXP | 10521 | ? | 472 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.EXP | nRAS_OBUF | 4 | 0 | MC_EXPORT +NODE | nRAMUWE_OBUF.EXP | 10264 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.EXP | nRAMUWE_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/PS_FSM_FFd2.D1 | 10086 | ? | 472 | 4096 | iobs/PS_FSM_FFd2 | NULL | NULL | iobs/PS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/PS_FSM_FFd2.D1 | 9859 | ? | 536 | 4096 | iobs/PS_FSM_FFd2 | NULL | NULL | iobs/PS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/PS_FSM_FFd2.D2 | 10087 | ? | 472 | 4096 | iobs/PS_FSM_FFd2 | NULL | NULL | iobs/PS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP33_.EXP -SPPTERM | 1 | IV_TRUE | nRAS_OBUF.EXP +SIGNAL | NODE | iobs/PS_FSM_FFd2.D2 | 9860 | ? | 536 | 4096 | iobs/PS_FSM_FFd2 | NULL | NULL | iobs/PS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | nRAMLWE_OBUF.EXP +SPPTERM | 1 | IV_TRUE | nRAMUWE_OBUF.EXP SPPTERM | 3 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_TRUE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/IOACTr SPPTERM | 3 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | iobs/PS_FSM_FFd1 | IV_FALSE | iobs/IOACTr SPPTERM | 4 | IV_TRUE | iobs/Once | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/ALE1 +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/ALE1 SPPTERM | 5 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_FALSE | fsb/ASrf | IV_TRUE | iobs/ALE1 -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/ALE1 SRFF_INSTANCE | iobs/PS_FSM_FFd2.REG | iobs/PS_FSM_FFd2 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/PS_FSM_FFd2.D | 10085 | ? | 472 | 0 | iobs/PS_FSM_FFd2 | NULL | NULL | iobs/PS_FSM_FFd2.XOR | 0 | 7 | ALU_F +NODE | iobs/PS_FSM_FFd2.D | 9858 | ? | 536 | 0 | iobs/PS_FSM_FFd2 | NULL | NULL | iobs/PS_FSM_FFd2.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/PS_FSM_FFd2.Q | 10088 | ? | 497 | 0 | iobs/PS_FSM_FFd2 | NULL | NULL | iobs/PS_FSM_FFd2.REG | 0 | 8 | SRFF_Q +NODE | iobs/PS_FSM_FFd2.Q | 9861 | ? | 550 | 0 | iobs/PS_FSM_FFd2 | NULL | NULL | iobs/PS_FSM_FFd2.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<5> | WarpSE_COPY_0_COPY_0 | 2155877376 | 6 | 1 +MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RefUrgent | WarpSE_COPY_0_COPY_0 | 2155873280 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9767 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +NODE | ram/RefDone | 9457 | ? | 544 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9772 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +NODE | ram/RegUrgentSync | 9549 | ? | 537 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RegUrgentSync.Q | ram/RegUrgentSync | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<3> | 9773 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9775 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<4> | 9776 | ? | 477 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/RefCnt<5> | 9762 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +NODE | ram/RefUrgent | 9492 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrgent.Q | ram/RefUrgent | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | cnt/RefCnt<5>.SI | cnt/RefCnt<5> | 0 | 5 | 2 +SIGNAL_INSTANCE | ram/RefUrgent.SI | ram/RefUrgent | 0 | 2 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9767 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +NODE | ram/RefDone | 9457 | ? | 544 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9772 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<3> | 9773 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9775 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<4> | 9776 | ? | 477 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +NODE | ram/RegUrgentSync | 9549 | ? | 537 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RegUrgentSync.Q | ram/RegUrgentSync | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/RefCnt<5>.D1 | 10090 | ? | 473 | 0 | cnt/RefCnt<5> | NULL | NULL | cnt/RefCnt<5>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RefUrgent.D1 | 9863 | ? | 537 | 4096 | ram/RefUrgent | NULL | NULL | ram/RefUrgent.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/RefCnt<5>.D2 | 10091 | ? | 473 | 4096 | cnt/RefCnt<5> | NULL | NULL | cnt/RefCnt<5>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 5 | IV_TRUE | cnt/RefCnt<0> | IV_TRUE | cnt/RefCnt<1> | IV_TRUE | cnt/RefCnt<3> | IV_TRUE | cnt/RefCnt<2> | IV_TRUE | cnt/RefCnt<4> +SIGNAL | NODE | ram/RefUrgent.D2 | 9864 | ? | 537 | 4096 | ram/RefUrgent | NULL | NULL | ram/RefUrgent.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_FALSE | ram/RefDone | IV_TRUE | ram/RegUrgentSync -SRFF_INSTANCE | cnt/RefCnt<5>.REG | cnt/RefCnt<5> | 0 | 2 | 1 +SRFF_INSTANCE | ram/RefUrgent.REG | ram/RefUrgent | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/RefCnt<5>.D | 10089 | ? | 473 | 0 | cnt/RefCnt<5> | NULL | NULL | cnt/RefCnt<5>.XOR | 0 | 7 | ALU_F +NODE | ram/RefUrgent.D | 9862 | ? | 537 | 0 | ram/RefUrgent | NULL | NULL | ram/RefUrgent.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/RefCnt<5>.Q | 10092 | ? | 499 | 0 | cnt/RefCnt<5> | NULL | NULL | cnt/RefCnt<5>.REG | 0 | 8 | SRFF_Q +NODE | ram/RefUrgent.Q | 9865 | ? | 551 | 0 | ram/RefUrgent | NULL | NULL | ram/RefUrgent.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<6> | WarpSE_COPY_0_COPY_0 | 2155877376 | 7 | 1 +MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | ram/RS_FSM_FFd3 | WarpSE_COPY_0_COPY_0 | 2155877632 | 10 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9762 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9767 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9772 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 9487 | ? | 552 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<3> | 9773 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 9490 | ? | 552 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9775 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 9493 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<4> | 9776 | ? | 477 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | ram/RefUrgent | 9492 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrgent.Q | ram/RefUrgent | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMEN | 9496 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF$BUF0.EXP | 10261 | ? | 538 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_19_IBUF$BUF0.EXP | A_FSB_19_IBUF$BUF0 | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/RefCnt<6> | 9763 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 9493 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | cnt/RefCnt<6>.SI | cnt/RefCnt<6> | 0 | 6 | 2 +SIGNAL_INSTANCE | ram/RS_FSM_FFd3.SI | ram/RS_FSM_FFd3 | 0 | 9 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9762 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9767 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9772 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 9487 | ? | 552 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<3> | 9773 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 9490 | ? | 552 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9775 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 9493 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<4> | 9776 | ? | 477 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefUrgent | 9492 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrgent.Q | ram/RefUrgent | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMEN | 9496 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF$BUF0.EXP | 10261 | ? | 538 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_19_IBUF$BUF0.EXP | A_FSB_19_IBUF$BUF0 | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/RefCnt<6>.D1 | 10094 | ? | 474 | 0 | cnt/RefCnt<6> | NULL | NULL | cnt/RefCnt<6>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RS_FSM_FFd3.D1 | 9867 | ? | 538 | 4096 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/RefCnt<6>.D2 | 10095 | ? | 474 | 4096 | cnt/RefCnt<6> | NULL | NULL | cnt/RefCnt<6>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 6 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<0> | IV_TRUE | cnt/RefCnt<1> | IV_TRUE | cnt/RefCnt<3> | IV_TRUE | cnt/RefCnt<2> | IV_TRUE | cnt/RefCnt<4> +SIGNAL | NODE | ram/RS_FSM_FFd3.D2 | 9868 | ? | 538 | 4096 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | A_FSB_19_IBUF$BUF0.EXP +SPPTERM | 3 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd3 +SPPTERM | 4 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RefUrgent | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RAMEN +SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 5 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 -SRFF_INSTANCE | cnt/RefCnt<6>.REG | cnt/RefCnt<6> | 0 | 2 | 1 +SRFF_INSTANCE | ram/RS_FSM_FFd3.REG | ram/RS_FSM_FFd3 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/RefCnt<6>.D | 10093 | ? | 474 | 0 | cnt/RefCnt<6> | NULL | NULL | cnt/RefCnt<6>.XOR | 0 | 7 | ALU_F +NODE | ram/RS_FSM_FFd3.D | 9866 | ? | 538 | 0 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/RefCnt<6>.Q | 10096 | ? | 499 | 0 | cnt/RefCnt<6> | NULL | NULL | cnt/RefCnt<6>.REG | 0 | 8 | SRFF_Q +NODE | ram/RS_FSM_FFd3.Q | 9869 | ? | 551 | 0 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | iobm/ES<0> | WarpSE_COPY_0_COPY_0 | 2155877632 | 8 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9764 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 9494 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9789 | ? | 529 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 9518 | ? | 582 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9804 | ? | 517 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +NODE | iobm/Er2 | 9530 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9766 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 9499 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9769 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 9502 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9770 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 9503 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9771 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +NODE | iobm/ES<4> | 9504 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK2X_IOB_IBUF/FCLK | 9707 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9423 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/ES<0> | 9764 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 9494 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/ES<0>.SI | iobm/ES<0> | 0 | 7 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9764 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 9494 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9789 | ? | 529 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 9518 | ? | 582 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9804 | ? | 517 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +NODE | iobm/Er2 | 9530 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9766 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 9499 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9769 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 9502 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9770 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 9503 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9771 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +NODE | iobm/ES<4> | 9504 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/ES<0>.D1 | 10098 | ? | 513 | 4096 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/ES<0>.D1 | 9871 | ? | 567 | 4096 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/ES<0>.D2 | 10099 | ? | 513 | 4096 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/ES<0>.D2 | 9872 | ? | 567 | 4096 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | iobm/ES<0> | IV_FALSE | iobm/Er | IV_TRUE | iobm/Er2 SPPTERM | 6 | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_FALSE | iobm/ES<4> | IV_TRUE | iobm/Er SPPTERM | 6 | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_FALSE | iobm/ES<4> | IV_FALSE | iobm/Er2 SRFF_INSTANCE | iobm/ES<0>.REG | iobm/ES<0> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/ES<0>.D | 10097 | ? | 513 | 0 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.XOR | 0 | 7 | ALU_F +NODE | iobm/ES<0>.D | 9870 | ? | 567 | 0 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK2X_IOB_IBUF/FCLK | 9707 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9423 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/ES<0>.Q | 10100 | ? | 520 | 0 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.REG | 0 | 8 | SRFF_Q +NODE | iobm/ES<0>.Q | 9873 | ? | 574 | 0 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.REG | 0 | 8 | SRFF_Q TNAME | CLK2X_IOB MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/PS_FSM_FFd1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 4 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9761 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9491 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9765 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9495 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9774 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +NODE | iobs/IOACTr | 9506 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/PS_FSM_FFd1 | 9765 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9495 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobs/PS_FSM_FFd1.SI | iobs/PS_FSM_FFd1 | 0 | 3 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9761 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9491 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9765 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9495 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9774 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +NODE | iobs/IOACTr | 9506 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/PS_FSM_FFd1.D1 | 10102 | ? | 475 | 4096 | iobs/PS_FSM_FFd1 | NULL | NULL | iobs/PS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/PS_FSM_FFd1.D1 | 9875 | ? | 539 | 4096 | iobs/PS_FSM_FFd1 | NULL | NULL | iobs/PS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/PS_FSM_FFd1.D2 | 10103 | ? | 475 | 4096 | iobs/PS_FSM_FFd1 | NULL | NULL | iobs/PS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/PS_FSM_FFd1.D2 | 9876 | ? | 539 | 4096 | iobs/PS_FSM_FFd1 | NULL | NULL | iobs/PS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobs/PS_FSM_FFd2 SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/IOACTr SRFF_INSTANCE | iobs/PS_FSM_FFd1.REG | iobs/PS_FSM_FFd1 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/PS_FSM_FFd1.D | 10101 | ? | 475 | 0 | iobs/PS_FSM_FFd1 | NULL | NULL | iobs/PS_FSM_FFd1.XOR | 0 | 7 | ALU_F +NODE | iobs/PS_FSM_FFd1.D | 9874 | ? | 539 | 0 | iobs/PS_FSM_FFd1 | NULL | NULL | iobs/PS_FSM_FFd1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/PS_FSM_FFd1.Q | 10104 | ? | 497 | 0 | iobs/PS_FSM_FFd1 | NULL | NULL | iobs/PS_FSM_FFd1.REG | 0 | 8 | SRFF_Q +NODE | iobs/PS_FSM_FFd1.Q | 9877 | ? | 550 | 0 | iobs/PS_FSM_FFd1 | NULL | NULL | iobs/PS_FSM_FFd1.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB +MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RAMEN | WarpSE_COPY_0_COPY_0 | 2155873280 | 11 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9490 | ? | 552 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMEN | 9496 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9493 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9487 | ? | 552 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefUrgent | 9492 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrgent.Q | ram/RefUrgent | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9509 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefReq | 9510 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RA_4_OBUF.EXP | 10232 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.EXP | RA_4_OBUF | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ram/RAMEN | 9496 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | ram/RAMEN.SI | ram/RAMEN | 0 | 10 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9490 | ? | 552 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMEN | 9496 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9493 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9487 | ? | 552 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefUrgent | 9492 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrgent.Q | ram/RefUrgent | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9509 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefReq | 9510 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RA_4_OBUF.EXP | 10232 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.EXP | RA_4_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RAMEN.D1 | 9879 | ? | 540 | 4096 | ram/RAMEN | NULL | NULL | ram/RAMEN.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RAMEN.D2 | 9880 | ? | 540 | 4096 | ram/RAMEN | NULL | NULL | ram/RAMEN.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | RA_4_OBUF.EXP +SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RAMEN +SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd3 | IV_TRUE | ram/RAMEN +SPPTERM | 4 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RefUrgent | IV_TRUE | ram/RAMEN | IV_TRUE | ram/BACTr +SPPTERM | 4 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RefUrgent | IV_TRUE | ram/RAMEN | IV_FALSE | ram/RefReq +SPPTERM | 5 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RefUrgent | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | fsb/ASrf + +SRFF_INSTANCE | ram/RAMEN.REG | ram/RAMEN | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ram/RAMEN.D | 9878 | ? | 540 | 0 | ram/RAMEN | NULL | NULL | ram/RAMEN.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ram/RAMEN.Q | 9881 | ? | 551 | 0 | ram/RAMEN | NULL | NULL | ram/RAMEN.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+OptxMapped | cnt/Timer<1> | WarpSE_COPY_0_COPY_0 | 2155873280 | 4 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 9485 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Timer<1> | 9497 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Timer<0> | 9500 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | E_IBUF | 9484 | ? | 553 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 9497 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/Timer<1>.SI | cnt/Timer<1> | 0 | 4 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 9485 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Timer<1> | 9497 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Timer<0> | 9500 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | E_IBUF | 9484 | ? | 553 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/Timer<1>.D1 | 9883 | ? | 0 | 4096 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/Timer<1>.D2 | 9884 | ? | 0 | 4096 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_FALSE | cnt/TimerTC | IV_TRUE | cnt/Timer<1> | IV_FALSE | cnt/Timer<0> +SPPTERM | 3 | IV_FALSE | cnt/TimerTC | IV_FALSE | cnt/Timer<1> | IV_TRUE | cnt/Timer<0> +OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF +SIGNAL | NODE | cnt/Timer<1>.CLKF | 9885 | ? | 0 | 4096 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | E_IBUF + +SRFF_INSTANCE | cnt/Timer<1>.REG | cnt/Timer<1> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/Timer<1>.D | 9882 | ? | 0 | 0 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +SIGNAL | NODE | cnt/Timer<1>.CLKF | 9885 | ? | 0 | 4096 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | E_IBUF +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/Timer<1>.Q | 9886 | ? | 0 | 0 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/Timer<2> | WarpSE_COPY_0_COPY_0 | 2155877376 | 5 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 9485 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Timer<1> | 9497 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Timer<0> | 9500 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Timer<2> | 9498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | E_IBUF | 9484 | ? | 553 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/Timer<2> | 9498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/Timer<2>.SI | cnt/Timer<2> | 0 | 5 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 9485 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Timer<1> | 9497 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Timer<0> | 9500 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Timer<2> | 9498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | E_IBUF | 9484 | ? | 553 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/Timer<2>.D1 | 9888 | ? | 0 | 4096 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/Timer<2>.D2 | 9889 | ? | 0 | 4096 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | cnt/TimerTC | IV_TRUE | cnt/Timer<2> +SPPTERM | 3 | IV_FALSE | cnt/TimerTC | IV_TRUE | cnt/Timer<1> | IV_TRUE | cnt/Timer<0> +OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF +SIGNAL | NODE | cnt/Timer<2>.CLKF | 9890 | ? | 0 | 4096 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | E_IBUF + +SRFF_INSTANCE | cnt/Timer<2>.REG | cnt/Timer<2> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/Timer<2>.D | 9887 | ? | 0 | 0 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +SIGNAL | NODE | cnt/Timer<2>.CLKF | 9890 | ? | 0 | 4096 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | E_IBUF +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/Timer<2>.Q | 9891 | ? | 0 | 0 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.REG | 0 | 8 | SRFF_Q + MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobm/ES<1> | WarpSE_COPY_0_COPY_0 | 2155873536 | 5 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9764 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 9494 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9766 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 9499 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9789 | ? | 529 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 9518 | ? | 582 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9804 | ? | 517 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +NODE | iobm/Er2 | 9530 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK2X_IOB_IBUF/FCLK | 9707 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9423 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/ES<1> | 9766 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 9499 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/ES<1>.SI | iobm/ES<1> | 0 | 4 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9764 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 9494 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9766 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 9499 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9789 | ? | 529 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 9518 | ? | 582 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9804 | ? | 517 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +NODE | iobm/Er2 | 9530 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/ES<1>.D1 | 10106 | ? | 514 | 4096 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/ES<1>.D1 | 9893 | ? | 568 | 4096 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/ES<1>.D2 | 10107 | ? | 514 | 4096 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/ES<1>.D2 | 9894 | ? | 568 | 4096 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> SPPTERM | 2 | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<1> SPPTERM | 2 | IV_FALSE | iobm/Er | IV_TRUE | iobm/Er2 SRFF_INSTANCE | iobm/ES<1>.REG | iobm/ES<1> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/ES<1>.D | 10105 | ? | 514 | 0 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.XOR | 0 | 7 | ALU_F +NODE | iobm/ES<1>.D | 9892 | ? | 568 | 0 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK2X_IOB_IBUF/FCLK | 9707 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9423 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/ES<1>.Q | 10108 | ? | 520 | 0 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.REG | 0 | 8 | SRFF_Q +NODE | iobm/ES<1>.Q | 9895 | ? | 574 | 0 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.REG | 0 | 8 | SRFF_Q TNAME | CLK2X_IOB -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<0> | WarpSE_COPY_0_COPY_0 | 2155877376 | 1 | 1 +MACROCELL_INSTANCE | PrldLow+OptxMapped | cnt/Timer<0> | WarpSE_COPY_0_COPY_0 | 2155873280 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | cnt/TimerTC | 9485 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Timer<0> | 9500 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | E_IBUF | 9484 | ? | 553 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/RefCnt<0> | 9767 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 9500 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | cnt/RefCnt<0>.SI | cnt/RefCnt<0> | 0 | 0 | 2 +SIGNAL_INSTANCE | cnt/Timer<0>.SI | cnt/Timer<0> | 0 | 3 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 9485 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Timer<0> | 9500 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | E_IBUF | 9484 | ? | 553 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/RefCnt<0>.D1 | 10110 | ? | 476 | 4096 | cnt/RefCnt<0> | NULL | NULL | cnt/RefCnt<0>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/Timer<0>.D1 | 9897 | ? | 0 | 4096 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/RefCnt<0>.D2 | 10111 | ? | 476 | 4096 | cnt/RefCnt<0> | NULL | NULL | cnt/RefCnt<0>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 0 | IV_DC +SIGNAL | NODE | cnt/Timer<0>.D2 | 9898 | ? | 0 | 4096 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_FALSE | cnt/TimerTC | IV_FALSE | cnt/Timer<0> +OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF +SIGNAL | NODE | cnt/Timer<0>.CLKF | 9899 | ? | 0 | 4096 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | E_IBUF -SRFF_INSTANCE | cnt/RefCnt<0>.REG | cnt/RefCnt<0> | 0 | 2 | 1 +SRFF_INSTANCE | cnt/Timer<0>.REG | cnt/Timer<0> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/RefCnt<0>.D | 10109 | ? | 476 | 0 | cnt/RefCnt<0> | NULL | NULL | cnt/RefCnt<0>.XOR | 0 | 7 | ALU_F +NODE | cnt/Timer<0>.D | 9896 | ? | 0 | 0 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +SIGNAL | NODE | cnt/Timer<0>.CLKF | 9899 | ? | 0 | 4096 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | E_IBUF OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/RefCnt<0>.Q | 10112 | ? | 482 | 0 | cnt/RefCnt<0> | NULL | NULL | cnt/RefCnt<0>.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB +NODE | cnt/Timer<0>.Q | 9900 | ? | 0 | 0 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<7> | WarpSE_COPY_0_COPY_0 | 2155877376 | 8 | 1 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/Timer<3> | WarpSE_COPY_0_COPY_0 | 2155877376 | 6 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9762 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9485 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9763 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 9497 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9767 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +NODE | cnt/Timer<2> | 9498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9772 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 9500 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<3> | 9773 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +NODE | cnt/Timer<3> | 9501 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9775 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<4> | 9776 | ? | 477 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | E_IBUF | 9484 | ? | 553 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/RefCnt<7> | 9768 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +NODE | cnt/Timer<3> | 9501 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | cnt/RefCnt<7>.SI | cnt/RefCnt<7> | 0 | 7 | 2 +SIGNAL_INSTANCE | cnt/Timer<3>.SI | cnt/Timer<3> | 0 | 6 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9762 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9485 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9763 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 9497 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9767 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +NODE | cnt/Timer<2> | 9498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9772 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 9500 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<3> | 9773 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +NODE | cnt/Timer<3> | 9501 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9775 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<4> | 9776 | ? | 477 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +NODE | E_IBUF | 9484 | ? | 553 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/RefCnt<7>.D1 | 10114 | ? | 477 | 0 | cnt/RefCnt<7> | NULL | NULL | cnt/RefCnt<7>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/Timer<3>.D1 | 9902 | ? | 0 | 4096 | cnt/Timer<3> | NULL | NULL | cnt/Timer<3>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/RefCnt<7>.D2 | 10115 | ? | 477 | 4096 | cnt/RefCnt<7> | NULL | NULL | cnt/RefCnt<7>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 7 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<0> | IV_TRUE | cnt/RefCnt<1> | IV_TRUE | cnt/RefCnt<3> | IV_TRUE | cnt/RefCnt<2> | IV_TRUE | cnt/RefCnt<4> +SIGNAL | NODE | cnt/Timer<3>.D2 | 9903 | ? | 0 | 4096 | cnt/Timer<3> | NULL | NULL | cnt/Timer<3>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | cnt/TimerTC | IV_TRUE | cnt/Timer<3> +SPPTERM | 4 | IV_FALSE | cnt/TimerTC | IV_TRUE | cnt/Timer<1> | IV_TRUE | cnt/Timer<2> | IV_TRUE | cnt/Timer<0> +OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF +SIGNAL | NODE | cnt/Timer<3>.CLKF | 9904 | ? | 0 | 4096 | cnt/Timer<3> | NULL | NULL | cnt/Timer<3>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | E_IBUF -SRFF_INSTANCE | cnt/RefCnt<7>.REG | cnt/RefCnt<7> | 0 | 2 | 1 +SRFF_INSTANCE | cnt/Timer<3>.REG | cnt/Timer<3> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/RefCnt<7>.D | 10113 | ? | 477 | 0 | cnt/RefCnt<7> | NULL | NULL | cnt/RefCnt<7>.XOR | 0 | 7 | ALU_F +NODE | cnt/Timer<3>.D | 9901 | ? | 0 | 0 | cnt/Timer<3> | NULL | NULL | cnt/Timer<3>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +SIGNAL | NODE | cnt/Timer<3>.CLKF | 9904 | ? | 0 | 4096 | cnt/Timer<3> | NULL | NULL | cnt/Timer<3>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | E_IBUF OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/RefCnt<7>.Q | 10116 | ? | 499 | 0 | cnt/RefCnt<7> | NULL | NULL | cnt/RefCnt<7>.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB +NODE | cnt/Timer<3>.Q | 9905 | ? | 0 | 0 | cnt/Timer<3> | NULL | NULL | cnt/Timer<3>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobm/ES<2> | WarpSE_COPY_0_COPY_0 | 2155873536 | 8 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9764 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 9494 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9769 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 9502 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9766 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 9499 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9789 | ? | 529 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 9518 | ? | 582 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9804 | ? | 517 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +NODE | iobm/Er2 | 9530 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9770 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 9503 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9771 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +NODE | iobm/ES<4> | 9504 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK2X_IOB_IBUF/FCLK | 9707 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9423 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/ES<2> | 9769 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 9502 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/ES<2>.SI | iobm/ES<2> | 0 | 7 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9764 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 9494 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9769 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 9502 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9766 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 9499 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9789 | ? | 529 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 9518 | ? | 582 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9804 | ? | 517 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +NODE | iobm/Er2 | 9530 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9770 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 9503 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9771 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +NODE | iobm/ES<4> | 9504 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/ES<2>.D1 | 10118 | ? | 515 | 4096 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/ES<2>.D1 | 9907 | ? | 569 | 4096 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/ES<2>.D2 | 10119 | ? | 515 | 4096 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/ES<2>.D2 | 9908 | ? | 569 | 4096 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<2> SPPTERM | 2 | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<2> SPPTERM | 2 | IV_FALSE | iobm/Er | IV_TRUE | iobm/Er2 @@ -3223,102 +3692,102 @@ SPPTERM | 3 | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_TRUE | iobm/ES< SRFF_INSTANCE | iobm/ES<2>.REG | iobm/ES<2> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/ES<2>.D | 10117 | ? | 515 | 0 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.XOR | 0 | 7 | ALU_F +NODE | iobm/ES<2>.D | 9906 | ? | 569 | 0 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK2X_IOB_IBUF/FCLK | 9707 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9423 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/ES<2>.Q | 10120 | ? | 520 | 0 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.REG | 0 | 8 | SRFF_Q +NODE | iobm/ES<2>.Q | 9909 | ? | 574 | 0 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.REG | 0 | 8 | SRFF_Q TNAME | CLK2X_IOB MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobm/ES<3> | WarpSE_COPY_0_COPY_0 | 2155877376 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9770 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 9503 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9789 | ? | 529 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 9518 | ? | 582 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9804 | ? | 517 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +NODE | iobm/Er2 | 9530 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9764 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 9494 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9766 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 9499 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9769 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 9502 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK2X_IOB_IBUF/FCLK | 9707 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9423 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/ES<3> | 9770 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 9503 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/ES<3>.SI | iobm/ES<3> | 0 | 6 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9770 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 9503 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9789 | ? | 529 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 9518 | ? | 582 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9804 | ? | 517 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +NODE | iobm/Er2 | 9530 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9764 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 9494 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9766 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 9499 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9769 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 9502 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/ES<3>.D1 | 10122 | ? | 516 | 4096 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/ES<3>.D1 | 9911 | ? | 570 | 4096 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/ES<3>.D2 | 10123 | ? | 516 | 4096 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/ES<3>.D2 | 9912 | ? | 570 | 4096 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | iobm/ES<3> | IV_FALSE | iobm/Er | IV_TRUE | iobm/Er2 SPPTERM | 4 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_TRUE | iobm/ES<2> | IV_TRUE | iobm/Er SPPTERM | 4 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_TRUE | iobm/ES<2> | IV_FALSE | iobm/Er2 SRFF_INSTANCE | iobm/ES<3>.REG | iobm/ES<3> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/ES<3>.D | 10121 | ? | 516 | 0 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.XOR | 0 | 7 | ALU_F +NODE | iobm/ES<3>.D | 9910 | ? | 570 | 0 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK2X_IOB_IBUF/FCLK | 9707 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9423 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/ES<3>.Q | 10124 | ? | 520 | 0 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.REG | 0 | 8 | SRFF_Q +NODE | iobm/ES<3>.Q | 9913 | ? | 574 | 0 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.REG | 0 | 8 | SRFF_Q TNAME | CLK2X_IOB MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobm/ES<4> | WarpSE_COPY_0_COPY_0 | 2155877376 | 8 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9771 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +NODE | iobm/ES<4> | 9504 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9789 | ? | 529 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 9518 | ? | 582 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9804 | ? | 517 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +NODE | iobm/Er2 | 9530 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9764 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 9494 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9766 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 9499 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9769 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 9502 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9770 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 9503 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK2X_IOB_IBUF/FCLK | 9707 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9423 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/ES<4> | 9771 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +NODE | iobm/ES<4> | 9504 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/ES<4>.SI | iobm/ES<4> | 0 | 7 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9771 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +NODE | iobm/ES<4> | 9504 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9789 | ? | 529 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 9518 | ? | 582 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9804 | ? | 517 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +NODE | iobm/Er2 | 9530 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9764 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 9494 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9766 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 9499 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9769 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 9502 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9770 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 9503 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/ES<4>.D1 | 10126 | ? | 517 | 4096 | iobm/ES<4> | NULL | NULL | iobm/ES<4>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/ES<4>.D1 | 9915 | ? | 571 | 4096 | iobm/ES<4> | NULL | NULL | iobm/ES<4>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/ES<4>.D2 | 10127 | ? | 517 | 4096 | iobm/ES<4> | NULL | NULL | iobm/ES<4>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/ES<4>.D2 | 9916 | ? | 571 | 4096 | iobm/ES<4> | NULL | NULL | iobm/ES<4>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | iobm/ES<4> | IV_FALSE | iobm/Er | IV_TRUE | iobm/Er2 SPPTERM | 5 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_TRUE | iobm/ES<2> | IV_TRUE | iobm/ES<3> | IV_TRUE | iobm/Er SPPTERM | 5 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_TRUE | iobm/ES<2> | IV_TRUE | iobm/ES<3> | IV_FALSE | iobm/Er2 @@ -3326,2127 +3795,1880 @@ SPPTERM | 5 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_FALSE | iobm/ES<2 SRFF_INSTANCE | iobm/ES<4>.REG | iobm/ES<4> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/ES<4>.D | 10125 | ? | 517 | 0 | iobm/ES<4> | NULL | NULL | iobm/ES<4>.XOR | 0 | 7 | ALU_F +NODE | iobm/ES<4>.D | 9914 | ? | 571 | 0 | iobm/ES<4> | NULL | NULL | iobm/ES<4>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK2X_IOB_IBUF/FCLK | 9707 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9423 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/ES<4>.Q | 10128 | ? | 520 | 0 | iobm/ES<4> | NULL | NULL | iobm/ES<4>.REG | 0 | 8 | SRFF_Q +NODE | iobm/ES<4>.Q | 9917 | ? | 574 | 0 | iobm/ES<4> | NULL | NULL | iobm/ES<4>.REG | 0 | 8 | SRFF_Q TNAME | CLK2X_IOB -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<1> | WarpSE_COPY_0_COPY_0 | 2155877376 | 2 | 1 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | cnt/PORS_FSM_FFd1 | WarpSE_COPY_0_COPY_0 | 2424312832 | 12 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9767 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<13> | 9439 | ? | 507 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | cnt/PORS_FSM_FFd1 | 9505 | ? | 507 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/PORS_FSM_FFd1.Q | cnt/PORS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/PORS_FSM_FFd2 | 9507 | ? | 507 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/PORS_FSM_FFd2.Q | cnt/PORS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IPL2r | 9515 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IPL2r.Q | cnt/IPL2r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | C8M_IBUF/FCLK- | 9437 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9410 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9411 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9447 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/RefCnt<1> | 9772 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +NODE | cnt/PORS_FSM_FFd1 | 9505 | ? | 507 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/PORS_FSM_FFd1.Q | cnt/PORS_FSM_FFd1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | cnt/PORS_FSM_FFd1.EXP | 10260 | ? | 513 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/PORS_FSM_FFd1.EXP | cnt/PORS_FSM_FFd1 | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | cnt/RefCnt<1>.SI | cnt/RefCnt<1> | 0 | 1 | 2 +SIGNAL_INSTANCE | cnt/PORS_FSM_FFd1.SI | cnt/PORS_FSM_FFd1 | 0 | 11 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9767 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<13> | 9439 | ? | 507 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/PORS_FSM_FFd1 | 9505 | ? | 507 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/PORS_FSM_FFd1.Q | cnt/PORS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/PORS_FSM_FFd2 | 9507 | ? | 507 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/PORS_FSM_FFd2.Q | cnt/PORS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IPL2r | 9515 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IPL2r.Q | cnt/IPL2r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9410 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9411 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9447 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/RefCnt<1>.D1 | 10130 | ? | 478 | 0 | cnt/RefCnt<1> | NULL | NULL | cnt/RefCnt<1>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/PORS_FSM_FFd1.D1 | 9919 | ? | 503 | 4096 | cnt/PORS_FSM_FFd1 | NULL | NULL | cnt/PORS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/RefCnt<1>.D2 | 10131 | ? | 478 | 4096 | cnt/RefCnt<1> | NULL | NULL | cnt/RefCnt<1>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | cnt/RefCnt<0> +SIGNAL | NODE | cnt/PORS_FSM_FFd1.D2 | 9920 | ? | 503 | 4096 | cnt/PORS_FSM_FFd1 | NULL | NULL | cnt/PORS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 4 | IV_TRUE | cnt/LTimer<13> | IV_FALSE | cnt/PORS_FSM_FFd1 | IV_TRUE | cnt/PORS_FSM_FFd2 | IV_FALSE | cnt/IPL2r +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | cnt/PORS_FSM_FFd1.EXP | 10248 | ? | 513 | 0 | cnt/PORS_FSM_FFd1 | NULL | NULL | cnt/PORS_FSM_FFd1.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 5 | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/Once +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/Once -SRFF_INSTANCE | cnt/RefCnt<1>.REG | cnt/RefCnt<1> | 0 | 2 | 1 +SRFF_INSTANCE | cnt/PORS_FSM_FFd1.REG | cnt/PORS_FSM_FFd1 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/RefCnt<1>.D | 10129 | ? | 478 | 0 | cnt/RefCnt<1> | NULL | NULL | cnt/RefCnt<1>.XOR | 0 | 7 | ALU_F +NODE | cnt/PORS_FSM_FFd1.D | 9918 | ? | 503 | 0 | cnt/PORS_FSM_FFd1 | NULL | NULL | cnt/PORS_FSM_FFd1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | C8M_IBUF/FCLK- | 9437 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/RefCnt<1>.Q | 10132 | ? | 482 | 0 | cnt/RefCnt<1> | NULL | NULL | cnt/RefCnt<1>.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<3> | WarpSE_COPY_0_COPY_0 | 2155877376 | 4 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9767 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9772 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9775 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/RefCnt<3> | 9773 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cnt/RefCnt<3>.SI | cnt/RefCnt<3> | 0 | 3 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9767 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9772 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9775 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/RefCnt<3>.D1 | 10134 | ? | 479 | 0 | cnt/RefCnt<3> | NULL | NULL | cnt/RefCnt<3>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/RefCnt<3>.D2 | 10135 | ? | 479 | 4096 | cnt/RefCnt<3> | NULL | NULL | cnt/RefCnt<3>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_TRUE | cnt/RefCnt<0> | IV_TRUE | cnt/RefCnt<1> | IV_TRUE | cnt/RefCnt<2> - -SRFF_INSTANCE | cnt/RefCnt<3>.REG | cnt/RefCnt<3> | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/RefCnt<3>.D | 10133 | ? | 479 | 0 | cnt/RefCnt<3> | NULL | NULL | cnt/RefCnt<3>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/RefCnt<3>.Q | 10136 | ? | 482 | 0 | cnt/RefCnt<3> | NULL | NULL | cnt/RefCnt<3>.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB +NODE | cnt/PORS_FSM_FFd1.Q | 9921 | ? | 507 | 0 | cnt/PORS_FSM_FFd1 | NULL | NULL | cnt/PORS_FSM_FFd1.REG | 0 | 8 | SRFF_Q +TNAME | CLK_IOB MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/IOACTr | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOACT | 9790 | ? | 504 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOACT.Q | iobm/IOACT | 1 | 0 | MC_UIM +NODE | iobm/IOACT | 9519 | ? | 556 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOACT.Q | iobm/IOACT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/IOACTr | 9774 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +NODE | iobs/IOACTr | 9506 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobs/IOACTr.SI | iobs/IOACTr | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOACT | 9790 | ? | 504 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOACT.Q | iobm/IOACT | 1 | 0 | MC_UIM +NODE | iobm/IOACT | 9519 | ? | 556 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOACT.Q | iobm/IOACT | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/IOACTr.D1 | 10138 | ? | 480 | 4096 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/IOACTr.D1 | 9923 | ? | 541 | 4096 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/IOACTr.D2 | 10139 | ? | 480 | 4096 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/IOACTr.D2 | 9924 | ? | 541 | 4096 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobm/IOACT SRFF_INSTANCE | iobs/IOACTr.REG | iobs/IOACTr | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/IOACTr.D | 10137 | ? | 480 | 0 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.XOR | 0 | 7 | ALU_F +NODE | iobs/IOACTr.D | 9922 | ? | 541 | 0 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/IOACTr.Q | 10140 | ? | 497 | 0 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.REG | 0 | 8 | SRFF_Q +NODE | iobs/IOACTr.Q | 9925 | ? | 550 | 0 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<2> | WarpSE_COPY_0_COPY_0 | 2155877376 | 3 | 1 +MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | cnt/PORS_FSM_FFd2 | WarpSE_COPY_0_COPY_0 | 2424308736 | 4 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9767 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<13> | 9439 | ? | 507 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9772 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +NODE | cnt/PORS_FSM_FFd2 | 9507 | ? | 507 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/PORS_FSM_FFd2.Q | cnt/PORS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | cnt/PORS_FSM_FFd1 | 9505 | ? | 507 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/PORS_FSM_FFd1.Q | cnt/PORS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | C8M_IBUF/FCLK- | 9437 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/RefCnt<2> | 9775 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +NODE | cnt/PORS_FSM_FFd2 | 9507 | ? | 507 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/PORS_FSM_FFd2.Q | cnt/PORS_FSM_FFd2 | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | cnt/RefCnt<2>.SI | cnt/RefCnt<2> | 0 | 2 | 2 +SIGNAL_INSTANCE | cnt/PORS_FSM_FFd2.SI | cnt/PORS_FSM_FFd2 | 0 | 3 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9767 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<13> | 9439 | ? | 507 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9772 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +NODE | cnt/PORS_FSM_FFd2 | 9507 | ? | 507 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/PORS_FSM_FFd2.Q | cnt/PORS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/PORS_FSM_FFd1 | 9505 | ? | 507 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/PORS_FSM_FFd1.Q | cnt/PORS_FSM_FFd1 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/RefCnt<2>.D1 | 10142 | ? | 481 | 0 | cnt/RefCnt<2> | NULL | NULL | cnt/RefCnt<2>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/PORS_FSM_FFd2.D1 | 9927 | ? | 504 | 4096 | cnt/PORS_FSM_FFd2 | NULL | NULL | cnt/PORS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/RefCnt<2>.D2 | 10143 | ? | 481 | 4096 | cnt/RefCnt<2> | NULL | NULL | cnt/RefCnt<2>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | cnt/RefCnt<0> | IV_TRUE | cnt/RefCnt<1> +SIGNAL | NODE | cnt/PORS_FSM_FFd2.D2 | 9928 | ? | 504 | 4096 | cnt/PORS_FSM_FFd2 | NULL | NULL | cnt/PORS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | cnt/LTimer<13> | IV_FALSE | cnt/PORS_FSM_FFd1 +SPPTERM | 2 | IV_FALSE | cnt/LTimer<13> | IV_TRUE | cnt/PORS_FSM_FFd2 -SRFF_INSTANCE | cnt/RefCnt<2>.REG | cnt/RefCnt<2> | 0 | 2 | 1 +SRFF_INSTANCE | cnt/PORS_FSM_FFd2.REG | cnt/PORS_FSM_FFd2 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/RefCnt<2>.D | 10141 | ? | 481 | 0 | cnt/RefCnt<2> | NULL | NULL | cnt/RefCnt<2>.XOR | 0 | 7 | ALU_F +NODE | cnt/PORS_FSM_FFd2.D | 9926 | ? | 504 | 0 | cnt/PORS_FSM_FFd2 | NULL | NULL | cnt/PORS_FSM_FFd2.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | C8M_IBUF/FCLK- | 9437 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/RefCnt<2>.Q | 10144 | ? | 482 | 0 | cnt/RefCnt<2> | NULL | NULL | cnt/RefCnt<2>.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB +NODE | cnt/PORS_FSM_FFd2.Q | 9929 | ? | 507 | 0 | cnt/PORS_FSM_FFd2 | NULL | NULL | cnt/PORS_FSM_FFd2.REG | 0 | 8 | SRFF_Q +TNAME | CLK_IOB -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<4> | WarpSE_COPY_0_COPY_0 | 2155877376 | 5 | 1 +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobs/Load1 | WarpSE_COPY_0_COPY_0 | 2155873536 | 11 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9767 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +NODE | iobs/ALE1 | 9574 | ? | 555 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9772 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +NODE | iobs/Once | 9447 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<3> | 9773 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9775 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +NODE | A_FSB_20_IBUF | 9418 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | iobs/PS_FSM_FFd2 | 9491 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9495 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP24_.EXP | 10265 | ? | 542 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP24_.EXP | EXP24_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP25_.EXP | 10266 | ? | 542 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP25_.EXP | EXP25_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/RefCnt<4> | 9776 | ? | 477 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +NODE | iobs/Load1 | 9508 | ? | 555 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | cnt/RefCnt<4>.SI | cnt/RefCnt<4> | 0 | 4 | 2 +SIGNAL_INSTANCE | iobs/Load1.SI | iobs/Load1 | 0 | 10 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9767 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +NODE | iobs/ALE1 | 9574 | ? | 555 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9772 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +NODE | iobs/Once | 9447 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<3> | 9773 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9775 | ? | 482 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +NODE | A_FSB_20_IBUF | 9418 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9491 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9495 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP24_.EXP | 10265 | ? | 542 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP24_.EXP | EXP24_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP25_.EXP | 10266 | ? | 542 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP25_.EXP | EXP25_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/RefCnt<4>.D1 | 10146 | ? | 482 | 0 | cnt/RefCnt<4> | NULL | NULL | cnt/RefCnt<4>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/Load1.D1 | 9931 | ? | 542 | 4096 | iobs/Load1 | NULL | NULL | iobs/Load1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/RefCnt<4>.D2 | 10147 | ? | 482 | 4096 | cnt/RefCnt<4> | NULL | NULL | cnt/RefCnt<4>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 4 | IV_TRUE | cnt/RefCnt<0> | IV_TRUE | cnt/RefCnt<1> | IV_TRUE | cnt/RefCnt<3> | IV_TRUE | cnt/RefCnt<2> - -SRFF_INSTANCE | cnt/RefCnt<4>.REG | cnt/RefCnt<4> | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/RefCnt<4>.D | 10145 | ? | 482 | 0 | cnt/RefCnt<4> | NULL | NULL | cnt/RefCnt<4>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/RefCnt<4>.Q | 10148 | ? | 477 | 0 | cnt/RefCnt<4> | NULL | NULL | cnt/RefCnt<4>.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobs/Load1 | WarpSE_COPY_0_COPY_0 | 2155873536 | 12 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9850 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9724 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9761 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9765 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_5_OBUF.EXP | 10470 | ? | 483 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.EXP | RA_5_OBUF | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_2_OBUF.EXP | 10471 | ? | 483 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.EXP | RA_2_OBUF | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/Load1 | 9777 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobs/Load1.SI | iobs/Load1 | 0 | 11 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9850 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9724 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9761 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9765 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_5_OBUF.EXP | 10470 | ? | 483 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.EXP | RA_5_OBUF | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_2_OBUF.EXP | 10471 | ? | 483 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.EXP | RA_2_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/Load1.D1 | 10150 | ? | 483 | 4096 | iobs/Load1 | NULL | NULL | iobs/Load1.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/Load1.D2 | 10151 | ? | 483 | 4096 | iobs/Load1 | NULL | NULL | iobs/Load1.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/Load1.D2 | 9932 | ? | 542 | 4096 | iobs/Load1 | NULL | NULL | iobs/Load1.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobs/Once SPPTERM | 1 | IV_FALSE | iobs/ALE1 -SPPTERM | 1 | IV_TRUE | RA_5_OBUF.EXP -SPPTERM | 1 | IV_TRUE | RA_2_OBUF.EXP +SPPTERM | 1 | IV_TRUE | EXP24_.EXP +SPPTERM | 1 | IV_TRUE | EXP25_.EXP +SPPTERM | 2 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_20_IBUF SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf SPPTERM | 2 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 SRFF_INSTANCE | iobs/Load1.REG | iobs/Load1 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/Load1.D | 10149 | ? | 483 | 0 | iobs/Load1 | NULL | NULL | iobs/Load1.XOR | 0 | 7 | ALU_F +NODE | iobs/Load1.D | 9930 | ? | 542 | 0 | iobs/Load1 | NULL | NULL | iobs/Load1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/Load1.Q | 10152 | ? | 503 | 0 | iobs/Load1 | NULL | NULL | iobs/Load1.REG | 0 | 8 | SRFF_Q +NODE | iobs/Load1.Q | 9933 | ? | 555 | 0 | iobs/Load1 | NULL | NULL | iobs/Load1.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | ram/BACTr | WarpSE_COPY_0_COPY_0 | 2155873536 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/BACTr | 9778 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | ram/BACTr | 9509 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM SIGNAL_INSTANCE | ram/BACTr.SI | ram/BACTr | 0 | 2 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/BACTr.D1 | 10154 | ? | 484 | 4096 | ram/BACTr | NULL | NULL | ram/BACTr.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/BACTr.D1 | 9935 | ? | 543 | 4096 | ram/BACTr | NULL | NULL | ram/BACTr.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/BACTr.D2 | 10155 | ? | 484 | 4096 | ram/BACTr | NULL | NULL | ram/BACTr.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/BACTr.D2 | 9936 | ? | 543 | 4096 | ram/BACTr | NULL | NULL | ram/BACTr.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf SRFF_INSTANCE | ram/BACTr.REG | ram/BACTr | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/BACTr.D | 10153 | ? | 484 | 0 | ram/BACTr | NULL | NULL | ram/BACTr.XOR | 0 | 7 | ALU_F +NODE | ram/BACTr.D | 9934 | ? | 543 | 0 | ram/BACTr | NULL | NULL | ram/BACTr.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/BACTr.Q | 10156 | ? | 499 | 0 | ram/BACTr | NULL | NULL | ram/BACTr.REG | 0 | 8 | SRFF_Q +NODE | ram/BACTr.Q | 9937 | ? | 551 | 0 | ram/BACTr | NULL | NULL | ram/BACTr.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB -MACROCELL_INSTANCE | PrldLow+OptxMapped | RESr0 | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 +MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RefReq | WarpSE_COPY_0_COPY_0 | 2155873280 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRES_IBUF | 9728 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 0 | 5 | II_IMUX +NODE | ram/RefDone | 9457 | ? | 544 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | ram/RefReqSync | 9513 | ? | 544 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReqSync.Q | ram/RefReqSync | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | RESr0 | 9779 | ? | 502 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RESr0.Q | RESr0 | 1 | 0 | MC_UIM +NODE | ram/RefReq | 9510 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | RESr0.SI | RESr0 | 0 | 1 | 2 +SIGNAL_INSTANCE | ram/RefReq.SI | ram/RefReq | 0 | 2 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRES_IBUF | 9728 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 0 | 5 | II_IMUX +NODE | ram/RefDone | 9457 | ? | 544 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefReqSync | 9513 | ? | 544 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReqSync.Q | ram/RefReqSync | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RESr0.D1 | 10158 | ? | 485 | 4096 | RESr0 | NULL | NULL | RESr0.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RefReq.D1 | 9939 | ? | 544 | 4096 | ram/RefReq | NULL | NULL | ram/RefReq.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RESr0.D2 | 10159 | ? | 485 | 4096 | RESr0 | NULL | NULL | RESr0.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_FALSE | nRES_IBUF +SIGNAL | NODE | ram/RefReq.D2 | 9940 | ? | 544 | 4096 | ram/RefReq | NULL | NULL | ram/RefReq.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_FALSE | ram/RefDone | IV_TRUE | ram/RefReqSync -SRFF_INSTANCE | RESr0.REG | RESr0 | 0 | 2 | 1 +SRFF_INSTANCE | ram/RefReq.REG | ram/RefReq | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RESr0.D | 10157 | ? | 485 | 0 | RESr0 | NULL | NULL | RESr0.XOR | 0 | 7 | ALU_F +NODE | ram/RefReq.D | 9938 | ? | 544 | 0 | ram/RefReq | NULL | NULL | ram/RefReq.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RESr0.Q | 10160 | ? | 502 | 0 | RESr0 | NULL | NULL | RESr0.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | PrldLow+OptxMapped | RESr1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RESr0 | 9779 | ? | 502 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RESr0.Q | RESr0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | RESr1 | 9780 | ? | 502 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RESr1.Q | RESr1 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | RESr1.SI | RESr1 | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RESr0 | 9779 | ? | 502 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RESr0.Q | RESr0 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RESr1.D1 | 10162 | ? | 486 | 4096 | RESr1 | NULL | NULL | RESr1.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RESr1.D2 | 10163 | ? | 486 | 4096 | RESr1 | NULL | NULL | RESr1.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | RESr0 - -SRFF_INSTANCE | RESr1.REG | RESr1 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RESr1.D | 10161 | ? | 486 | 0 | RESr1 | NULL | NULL | RESr1.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RESr1.Q | 10164 | ? | 502 | 0 | RESr1 | NULL | NULL | RESr1.REG | 0 | 8 | SRFF_Q +NODE | ram/RefReq.Q | 9941 | ? | 551 | 0 | ram/RefReq | NULL | NULL | ram/RefReq.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobm/IOBERR | WarpSE_COPY_0_COPY_0 | 2155877376 | 13 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9757 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9486 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9758 | ? | 534 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 9488 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9759 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 9489 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOBERR | 9781 | ? | 518 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOBERR.Q | iobm/IOBERR | 1 | 0 | MC_UIM +NODE | iobm/IOBERR | 9511 | ? | 572 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOBERR.Q | iobm/IOBERR | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_IOB_IBUF | 9748 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +NODE | C8M_IBUF | 9436 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_IOB_IBUF | 9751 | ? | 525 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nBERR_IOB_IBUF | 9474 | ? | 578 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ETACK | 9787 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM +NODE | iobm/ETACK | 9517 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrf | 9798 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +NODE | iobm/BERRrf | 9524 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrr | 9799 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM +NODE | iobm/BERRrr | 9525 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrf | 9802 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM +NODE | iobm/DTACKrf | 9527 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrr | 9803 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM +NODE | iobm/DTACKrr | 9528 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ETACK.EXP | 10478 | ? | 518 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ETACK.EXP | iobm/ETACK | 4 | 0 | MC_EXPORT +NODE | iobm/nDinLE.EXP | 10243 | ? | 572 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nDinLE.EXP | iobm/nDinLE | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK2X_IOB_IBUF/FCLK | 9707 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9423 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOBERR | 9781 | ? | 518 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOBERR.Q | iobm/IOBERR | 1 | 0 | MC_UIM +NODE | iobm/IOBERR | 9511 | ? | 572 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOBERR.Q | iobm/IOBERR | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/IOBERR.SI | iobm/IOBERR | 0 | 12 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9757 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9486 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9758 | ? | 534 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 9488 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9759 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 9489 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOBERR | 9781 | ? | 518 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOBERR.Q | iobm/IOBERR | 1 | 0 | MC_UIM +NODE | iobm/IOBERR | 9511 | ? | 572 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOBERR.Q | iobm/IOBERR | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_IOB_IBUF | 9748 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +NODE | C8M_IBUF | 9436 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_IOB_IBUF | 9751 | ? | 525 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nBERR_IOB_IBUF | 9474 | ? | 578 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ETACK | 9787 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM +NODE | iobm/ETACK | 9517 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrf | 9798 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +NODE | iobm/BERRrf | 9524 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrr | 9799 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM +NODE | iobm/BERRrr | 9525 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrf | 9802 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM +NODE | iobm/DTACKrf | 9527 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrr | 9803 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM +NODE | iobm/DTACKrr | 9528 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ETACK.EXP | 10478 | ? | 518 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ETACK.EXP | iobm/ETACK | 4 | 0 | MC_EXPORT +NODE | iobm/nDinLE.EXP | 10243 | ? | 572 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nDinLE.EXP | iobm/nDinLE | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOBERR.D1 | 10166 | ? | 518 | 4096 | iobm/IOBERR | NULL | NULL | iobm/IOBERR.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOBERR.D1 | 9943 | ? | 572 | 4096 | iobm/IOBERR | NULL | NULL | iobm/IOBERR.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOBERR.D2 | 10167 | ? | 518 | 4096 | iobm/IOBERR | NULL | NULL | iobm/IOBERR.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | iobm/ETACK.EXP +SIGNAL | NODE | iobm/IOBERR.D2 | 9944 | ? | 572 | 4096 | iobm/IOBERR | NULL | NULL | iobm/IOBERR.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | iobm/nDinLE.EXP SPPTERM | 4 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd1 | IV_FALSE | iobm/IOS_FSM_FFd2 | IV_TRUE | iobm/IOBERR -SPPTERM | 7 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | nBERR_IOB_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_TRUE | iobm/IOBERR | IV_TRUE | iobm/ETACK -SPPTERM | 7 | IV_TRUE | CLK_IOB_IBUF | IV_FALSE | nBERR_IOB_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_FALSE | iobm/IOBERR | IV_TRUE | iobm/ETACK -SPPTERM | 8 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | nBERR_IOB_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_TRUE | iobm/IOBERR | IV_TRUE | iobm/BERRrf | IV_TRUE | iobm/BERRrr -SPPTERM | 8 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | nBERR_IOB_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_TRUE | iobm/IOBERR | IV_TRUE | iobm/DTACKrf | IV_TRUE | iobm/DTACKrr +SPPTERM | 7 | IV_TRUE | C8M_IBUF | IV_TRUE | nBERR_IOB_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_TRUE | iobm/IOBERR | IV_TRUE | iobm/ETACK +SPPTERM | 7 | IV_TRUE | C8M_IBUF | IV_FALSE | nBERR_IOB_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_FALSE | iobm/IOBERR | IV_TRUE | iobm/ETACK +SPPTERM | 8 | IV_TRUE | C8M_IBUF | IV_TRUE | nBERR_IOB_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_TRUE | iobm/IOBERR | IV_TRUE | iobm/BERRrf | IV_TRUE | iobm/BERRrr +SPPTERM | 8 | IV_TRUE | C8M_IBUF | IV_TRUE | nBERR_IOB_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_TRUE | iobm/IOBERR | IV_TRUE | iobm/DTACKrf | IV_TRUE | iobm/DTACKrr SRFF_INSTANCE | iobm/IOBERR.REG | iobm/IOBERR | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOBERR.D | 10165 | ? | 518 | 0 | iobm/IOBERR | NULL | NULL | iobm/IOBERR.XOR | 0 | 7 | ALU_F +NODE | iobm/IOBERR.D | 9942 | ? | 572 | 0 | iobm/IOBERR | NULL | NULL | iobm/IOBERR.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK2X_IOB_IBUF/FCLK | 9707 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9423 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOBERR.Q | 10168 | ? | 518 | 0 | iobm/IOBERR | NULL | NULL | iobm/IOBERR.REG | 0 | 8 | SRFF_Q -TNAME | CLK_IOB | CLK2X_IOB - -INPUT_INSTANCE | 0 | 0 | NULL | nIPL2_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nIPL2 | 9917 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nIPL2_IBUF | 9782 | ? | 487 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nIPL2_IBUF | 0 | 5 | II_IMUX - -MACROCELL_INSTANCE | PrldLow+OptxMapped | IPL2r0 | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nIPL2_IBUF | 9782 | ? | 487 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nIPL2_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | IPL2r0 | 9783 | ? | 502 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IPL2r0.Q | IPL2r0 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | IPL2r0.SI | IPL2r0 | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nIPL2_IBUF | 9782 | ? | 487 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nIPL2_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | IPL2r0.D1 | 10170 | ? | 487 | 4096 | IPL2r0 | NULL | NULL | IPL2r0.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | IPL2r0.D2 | 10171 | ? | 487 | 4096 | IPL2r0 | NULL | NULL | IPL2r0.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_FALSE | nIPL2_IBUF - -SRFF_INSTANCE | IPL2r0.REG | IPL2r0 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | IPL2r0.D | 10169 | ? | 487 | 0 | IPL2r0 | NULL | NULL | IPL2r0.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | IPL2r0.Q | 10172 | ? | 502 | 0 | IPL2r0 | NULL | NULL | IPL2r0.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | PrldLow+OptxMapped | RESr2 | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RESr1 | 9780 | ? | 502 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RESr1.Q | RESr1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | RESr2 | 9784 | ? | 502 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RESr2.Q | RESr2 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | RESr2.SI | RESr2 | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RESr1 | 9780 | ? | 502 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RESr1.Q | RESr1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RESr2.D1 | 10174 | ? | 488 | 4096 | RESr2 | NULL | NULL | RESr2.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RESr2.D2 | 10175 | ? | 488 | 4096 | RESr2 | NULL | NULL | RESr2.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | RESr1 - -SRFF_INSTANCE | RESr2.REG | RESr2 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RESr2.D | 10173 | ? | 488 | 0 | RESr2 | NULL | NULL | RESr2.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RESr2.Q | 10176 | ? | 502 | 0 | RESr2 | NULL | NULL | RESr2.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -INPUT_INSTANCE | 0 | 0 | NULL | nBG_IOB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nBG_IOB | 9918 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nBG_IOB_IBUF | 9785 | ? | 519 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBG_IOB_IBUF | 0 | 5 | II_IMUX - -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/BGr0 | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBG_IOB_IBUF | 9785 | ? | 519 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBG_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK2X_IOB_IBUF/FCLK | 9707 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/BGr0 | 9786 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BGr0.Q | iobm/BGr0 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/BGr0.SI | iobm/BGr0 | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBG_IOB_IBUF | 9785 | ? | 519 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBG_IOB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/BGr0.D1 | 10178 | ? | 519 | 4096 | iobm/BGr0 | NULL | NULL | iobm/BGr0.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/BGr0.D2 | 10179 | ? | 519 | 4096 | iobm/BGr0 | NULL | NULL | iobm/BGr0.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_FALSE | nBG_IOB_IBUF - -SRFF_INSTANCE | iobm/BGr0.REG | iobm/BGr0 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/BGr0.D | 10177 | ? | 519 | 0 | iobm/BGr0 | NULL | NULL | iobm/BGr0.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK2X_IOB_IBUF/FCLK | 9707 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/BGr0.Q | 10180 | ? | 536 | 0 | iobm/BGr0 | NULL | NULL | iobm/BGr0.REG | 0 | 8 | SRFF_Q -TNAME | CLK2X_IOB - -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/ETACK | WarpSE_COPY_0_COPY_0 | 2155873280 | 19 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/nVMA | 9710 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nVMA.Q | iobm/nVMA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9764 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9766 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9769 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9770 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9771 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK2X_IOB_IBUF/FCLK | 9707 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_IOB_IBUF | 9748 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_IOB_IBUF | 9751 | ? | 525 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9757 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9758 | ? | 534 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9759 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOBERR | 9781 | ? | 518 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOBERR.Q | iobm/IOBERR | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrf | 9805 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrr | 9806 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrf | 9798 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrr | 9799 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrf | 9802 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrr | 9803 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/ETACK | 9787 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | iobm/ETACK.EXP | 10478 | ? | 518 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ETACK.EXP | iobm/ETACK | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | iobm/ETACK.SI | iobm/ETACK | 0 | 18 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/nVMA | 9710 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nVMA.Q | iobm/nVMA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9764 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9766 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9769 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9770 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9771 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_IOB_IBUF | 9748 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_IOB_IBUF | 9751 | ? | 525 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9757 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9758 | ? | 534 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9759 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOBERR | 9781 | ? | 518 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOBERR.Q | iobm/IOBERR | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrf | 9805 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrr | 9806 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrf | 9798 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrr | 9799 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrf | 9802 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrr | 9803 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/ETACK.D1 | 10182 | ? | 520 | 4096 | iobm/ETACK | NULL | NULL | iobm/ETACK.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/ETACK.D2 | 10183 | ? | 520 | 4096 | iobm/ETACK | NULL | NULL | iobm/ETACK.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 6 | IV_FALSE | iobm/nVMA | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_TRUE | iobm/ES<4> -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | iobm/ETACK.EXP | 10475 | ? | 518 | 0 | iobm/ETACK | NULL | NULL | iobm/ETACK.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 8 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | nBERR_IOB_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_TRUE | iobm/IOBERR | IV_TRUE | iobm/RESrf | IV_TRUE | iobm/RESrr -SPPTERM | 8 | IV_TRUE | CLK_IOB_IBUF | IV_FALSE | nBERR_IOB_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_FALSE | iobm/IOBERR | IV_TRUE | iobm/BERRrf | IV_TRUE | iobm/BERRrr -SPPTERM | 8 | IV_TRUE | CLK_IOB_IBUF | IV_FALSE | nBERR_IOB_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_FALSE | iobm/IOBERR | IV_TRUE | iobm/DTACKrf | IV_TRUE | iobm/DTACKrr -SPPTERM | 8 | IV_TRUE | CLK_IOB_IBUF | IV_FALSE | nBERR_IOB_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_FALSE | iobm/IOBERR | IV_TRUE | iobm/RESrf | IV_TRUE | iobm/RESrr - -SRFF_INSTANCE | iobm/ETACK.REG | iobm/ETACK | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/ETACK.D | 10181 | ? | 520 | 0 | iobm/ETACK | NULL | NULL | iobm/ETACK.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK2X_IOB_IBUF/FCLK | 9707 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/ETACK.Q | 10184 | ? | 521 | 0 | iobm/ETACK | NULL | NULL | iobm/ETACK.REG | 0 | 8 | SRFF_Q -TNAME | CLK2X_IOB - -INPUT_INSTANCE | 0 | 0 | NULL | E_IOB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | E_IOB | 9919 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | E_IOB_IBUF | 9788 | ? | 434 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IOB_IBUF | 0 | 5 | II_IMUX - -MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/Er | WarpSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IOB_IBUF | 9788 | ? | 434 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_IOB_IBUF/FCLK- | 9749 | ? | 529 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/Er | 9789 | ? | 529 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/Er.SI | iobm/Er | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IOB_IBUF | 9788 | ? | 434 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IOB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/Er.D1 | 10186 | ? | 434 | 4096 | iobm/Er | NULL | NULL | iobm/Er.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/Er.D2 | 10187 | ? | 434 | 4096 | iobm/Er | NULL | NULL | iobm/Er.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | E_IOB_IBUF - -SRFF_INSTANCE | iobm/Er.REG | iobm/Er | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/Er.D | 10185 | ? | 434 | 0 | iobm/Er | NULL | NULL | iobm/Er.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK_IOB_IBUF/FCLK- | 9749 | ? | 529 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/Er.Q | 10188 | ? | 529 | 0 | iobm/Er | NULL | NULL | iobm/Er.REG | 0 | 8 | SRFF_Q -TNAME | CLK_IOB - -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobm/IOACT | WarpSE_COPY_0_COPY_0 | 2155873536 | 12 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9758 | ? | 534 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9759 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9757 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOREQr | 9791 | ? | 523 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BG | 9852 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_IOB_IBUF | 9748 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ETACK | 9787 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrf | 9798 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrr | 9799 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nADoutLE0_OBUF.EXP | 10479 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE0_OBUF.EXP | nADoutLE0_OBUF | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/nDinLE.EXP | 10480 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nDinLE.EXP | iobm/nDinLE | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK2X_IOB_IBUF/FCLK | 9707 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOACT | 9790 | ? | 504 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOACT.Q | iobm/IOACT | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/IOACT.SI | iobm/IOACT | 0 | 11 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9758 | ? | 534 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9759 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9757 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOREQr | 9791 | ? | 523 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BG | 9852 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_IOB_IBUF | 9748 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ETACK | 9787 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrf | 9798 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrr | 9799 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nADoutLE0_OBUF.EXP | 10479 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE0_OBUF.EXP | nADoutLE0_OBUF | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/nDinLE.EXP | 10480 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nDinLE.EXP | iobm/nDinLE | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOACT.D1 | 10190 | ? | 521 | 4096 | iobm/IOACT | NULL | NULL | iobm/IOACT.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOACT.D2 | 10191 | ? | 521 | 4096 | iobm/IOACT | NULL | NULL | iobm/IOACT.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | nADoutLE0_OBUF.EXP -SPPTERM | 1 | IV_TRUE | iobm/nDinLE.EXP -SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_FALSE | iobm/IOS_FSM_FFd2 -SPPTERM | 3 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd2 | IV_FALSE | iobm/IOREQr -SPPTERM | 3 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd2 | IV_TRUE | iobm/BG -SPPTERM | 4 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/ETACK -SPPTERM | 5 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/BERRrf | IV_TRUE | iobm/BERRrr - -SRFF_INSTANCE | iobm/IOACT.REG | iobm/IOACT | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOACT.D | 10189 | ? | 521 | 0 | iobm/IOACT | NULL | NULL | iobm/IOACT.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK2X_IOB_IBUF/FCLK | 9707 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOACT.Q | 10192 | ? | 504 | 0 | iobm/IOACT | NULL | NULL | iobm/IOACT.REG | 0 | 8 | SRFF_Q +NODE | iobm/IOBERR.Q | 9945 | ? | 572 | 0 | iobm/IOBERR | NULL | NULL | iobm/IOBERR.REG | 0 | 8 | SRFF_Q TNAME | CLK_IOB | CLK2X_IOB MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/IOREQr | WarpSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOREQ | 9812 | ? | 522 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOREQ.Q | iobs/IOREQ | 1 | 0 | MC_UIM +NODE | iobs/IOREQ | 9539 | ? | 573 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOREQ.Q | iobs/IOREQ | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK2X_IOB_IBUF/FCLK- | 9708 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 9424 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOREQr | 9791 | ? | 523 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM +NODE | iobm/IOREQr | 9512 | ? | 576 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/IOREQr.SI | iobm/IOREQr | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOREQ | 9812 | ? | 522 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOREQ.Q | iobs/IOREQ | 1 | 0 | MC_UIM +NODE | iobs/IOREQ | 9539 | ? | 573 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOREQ.Q | iobs/IOREQ | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOREQr.D1 | 10194 | ? | 522 | 4096 | iobm/IOREQr | NULL | NULL | iobm/IOREQr.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOREQr.D1 | 9947 | ? | 573 | 4096 | iobm/IOREQr | NULL | NULL | iobm/IOREQr.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOREQr.D2 | 10195 | ? | 522 | 4096 | iobm/IOREQr | NULL | NULL | iobm/IOREQr.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOREQr.D2 | 9948 | ? | 573 | 4096 | iobm/IOREQr | NULL | NULL | iobm/IOREQr.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobs/IOREQ SRFF_INSTANCE | iobm/IOREQr.REG | iobm/IOREQr | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOREQr.D | 10193 | ? | 522 | 0 | iobm/IOREQr | NULL | NULL | iobm/IOREQr.XOR | 0 | 7 | ALU_F +NODE | iobm/IOREQr.D | 9946 | ? | 573 | 0 | iobm/IOREQr | NULL | NULL | iobm/IOREQr.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK2X_IOB_IBUF/FCLK- | 9708 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 9424 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOREQr.Q | 10196 | ? | 523 | 0 | iobm/IOREQr | NULL | NULL | iobm/IOREQr.REG | 0 | 8 | SRFF_Q +NODE | iobm/IOREQr.Q | 9949 | ? | 576 | 0 | iobm/IOREQr | NULL | NULL | iobm/IOREQr.REG | 0 | 8 | SRFF_Q TNAME | CLK2X_IOB -MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RefRAS | WarpSE_COPY_0_COPY_0 | 2155873280 | 3 | 1 +MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RefReqSync | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9754 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/RefReq | 9520 | ? | 545 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefReq.Q | cnt/RefReq | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9756 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RefRAS | 9792 | ? | 437 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefRAS.Q | ram/RefRAS | 1 | 0 | MC_UIM +NODE | ram/RefReqSync | 9513 | ? | 544 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReqSync.Q | ram/RefReqSync | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | ram/RefRAS.SI | ram/RefRAS | 0 | 2 | 2 +SIGNAL_INSTANCE | ram/RefReqSync.SI | ram/RefReqSync | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9754 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9756 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/RefReq | 9520 | ? | 545 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefReq.Q | cnt/RefReq | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RefRAS.D1 | 10198 | ? | 489 | 4096 | ram/RefRAS | NULL | NULL | ram/RefRAS.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RefReqSync.D1 | 9951 | ? | 545 | 4096 | ram/RefReqSync | NULL | NULL | ram/RefReqSync.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RefRAS.D2 | 10199 | ? | 489 | 4096 | ram/RefRAS | NULL | NULL | ram/RefRAS.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd2 +SIGNAL | NODE | ram/RefReqSync.D2 | 9952 | ? | 545 | 4096 | ram/RefReqSync | NULL | NULL | ram/RefReqSync.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | cnt/RefReq -SRFF_INSTANCE | ram/RefRAS.REG | ram/RefRAS | 0 | 2 | 1 +SRFF_INSTANCE | ram/RefReqSync.REG | ram/RefReqSync | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RefRAS.D | 10197 | ? | 489 | 0 | ram/RefRAS | NULL | NULL | ram/RefRAS.XOR | 0 | 7 | ALU_F +NODE | ram/RefReqSync.D | 9950 | ? | 545 | 0 | ram/RefReqSync | NULL | NULL | ram/RefReqSync.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RefRAS.Q | 10200 | ? | 437 | 0 | ram/RefRAS | NULL | NULL | ram/RefRAS.REG | 0 | 8 | SRFF_Q +NODE | ram/RefReqSync.Q | 9953 | ? | 544 | 0 | ram/RefReqSync | NULL | NULL | ram/RefReqSync.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB -MACROCELL_INSTANCE | PrldLow+OptxMapped | RESDone | WarpSE_COPY_0_COPY_0 | 2155873280 | 9 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RESr0 | 9779 | ? | 502 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RESr0.Q | RESr0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RESr1 | 9780 | ? | 502 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RESr1.Q | RESr1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RESr2 | 9784 | ? | 502 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RESr2.Q | RESr2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9719 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_FSB_IBUF | 9745 | ? | 464 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMDIS2 | 9747 | ? | 466 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMDIS1 | 9813 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMDIS1.Q | ram/RAMDIS1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | RESDone | 9793 | ? | 502 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RESDone.Q | RESDone | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | RESDone.EXP | 10523 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RESDone.EXP | RESDone | 4 | 0 | MC_EXPORT +INPUT_INSTANCE | 0 | 0 | NULL | nIPL2_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | nIPL2 | 9642 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | nIPL2_IBUF | 9514 | ? | 506 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nIPL2_IBUF | 0 | 5 | II_IMUX -SIGNAL_INSTANCE | RESDone.SI | RESDone | 0 | 8 | 4 +MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | cnt/IPL2r | WarpSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RESr0 | 9779 | ? | 502 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RESr0.Q | RESr0 | 1 | 0 | MC_UIM +NODE | nIPL2_IBUF | 9514 | ? | 506 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nIPL2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RESr1 | 9780 | ? | 502 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RESr1.Q | RESr1 | 1 | 0 | MC_UIM +NODE | C8M_IBUF/FCLK- | 9437 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/IPL2r | 9515 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IPL2r.Q | cnt/IPL2r | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/IPL2r.SI | cnt/IPL2r | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RESr2 | 9784 | ? | 502 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RESr2.Q | RESr2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9719 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_FSB_IBUF | 9745 | ? | 464 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMDIS2 | 9747 | ? | 466 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMDIS1 | 9813 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMDIS1.Q | ram/RAMDIS1 | 1 | 0 | MC_UIM +NODE | nIPL2_IBUF | 9514 | ? | 506 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nIPL2_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RESDone.D1 | 10202 | ? | 490 | 4096 | RESDone | NULL | NULL | RESDone.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/IPL2r.D1 | 9955 | ? | 506 | 4096 | cnt/IPL2r | NULL | NULL | cnt/IPL2r.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RESDone.D2 | 10203 | ? | 490 | 6144 | RESDone | NULL | NULL | RESDone.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 0 | IV_DC -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | RESDone.EXP | 10510 | ? | 0 | 0 | RESDone | NULL | NULL | RESDone.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 5 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nUDS_FSB_IBUF | IV_FALSE | ram/RAMDIS2 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RAMDIS1 -OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | RESDone.CE | 10204 | ? | 491 | 4096 | RESDone | NULL | NULL | RESDone.SI | 10 | 9 | MC_SI_CE -SPPTERM | 3 | IV_FALSE | RESr0 | IV_FALSE | RESr1 | IV_TRUE | RESr2 +SIGNAL | NODE | cnt/IPL2r.D2 | 9956 | ? | 506 | 4096 | cnt/IPL2r | NULL | NULL | cnt/IPL2r.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | nIPL2_IBUF -SRFF_INSTANCE | RESDone.REG | RESDone | 0 | 3 | 1 +SRFF_INSTANCE | cnt/IPL2r.REG | cnt/IPL2r | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RESDone.D | 10201 | ? | 490 | 0 | RESDone | NULL | NULL | RESDone.XOR | 0 | 7 | ALU_F +NODE | cnt/IPL2r.D | 9954 | ? | 506 | 0 | cnt/IPL2r | NULL | NULL | cnt/IPL2r.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | RESDone.CE | 10204 | ? | 491 | 4096 | RESDone | NULL | NULL | RESDone.SI | 10 | 9 | MC_SI_CE -SPPTERM | 3 | IV_FALSE | RESr0 | IV_FALSE | RESr1 | IV_TRUE | RESr2 +NODE | C8M_IBUF/FCLK- | 9437 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RESDone.Q | 10205 | ? | 502 | 0 | RESDone | NULL | NULL | RESDone.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB +NODE | cnt/IPL2r.Q | 9957 | ? | 503 | 0 | cnt/IPL2r | NULL | NULL | cnt/IPL2r.REG | 0 | 8 | SRFF_Q +TNAME | CLK_IOB -MACROCELL_INSTANCE | PrldLow+OptxMapped | IPL2r1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 +MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | cnt/nRESout | WarpSE_COPY_0_COPY_0 | 2424308736 | 5 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IPL2r0 | 9783 | ? | 502 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IPL2r0.Q | IPL2r0 | 1 | 0 | MC_UIM +NODE | cnt/LTimer<13> | 9439 | ? | 507 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | cnt/PORS_FSM_FFd1 | 9505 | ? | 507 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/PORS_FSM_FFd1.Q | cnt/PORS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/PORS_FSM_FFd2 | 9507 | ? | 507 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/PORS_FSM_FFd2.Q | cnt/PORS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/nRESout | 9516 | ? | 584 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nRESout.Q | cnt/nRESout | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | C8M_IBUF/FCLK- | 9437 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | IPL2r1 | 9794 | ? | 502 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IPL2r1.Q | IPL2r1 | 1 | 0 | MC_UIM +NODE | cnt/nRESout | 9516 | ? | 584 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nRESout.Q | cnt/nRESout | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | IPL2r1.SI | IPL2r1 | 0 | 1 | 2 +SIGNAL_INSTANCE | cnt/nRESout.SI | cnt/nRESout | 0 | 4 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IPL2r0 | 9783 | ? | 502 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IPL2r0.Q | IPL2r0 | 1 | 0 | MC_UIM +NODE | cnt/LTimer<13> | 9439 | ? | 507 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/PORS_FSM_FFd1 | 9505 | ? | 507 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/PORS_FSM_FFd1.Q | cnt/PORS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/PORS_FSM_FFd2 | 9507 | ? | 507 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/PORS_FSM_FFd2.Q | cnt/PORS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/nRESout | 9516 | ? | 584 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nRESout.Q | cnt/nRESout | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | IPL2r1.D1 | 10207 | ? | 492 | 4096 | IPL2r1 | NULL | NULL | IPL2r1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/nRESout.D1 | 9959 | ? | 507 | 4096 | cnt/nRESout | NULL | NULL | cnt/nRESout.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | IPL2r1.D2 | 10208 | ? | 492 | 4096 | IPL2r1 | NULL | NULL | IPL2r1.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | IPL2r0 +SIGNAL | NODE | cnt/nRESout.D2 | 9960 | ? | 507 | 4096 | cnt/nRESout | NULL | NULL | cnt/nRESout.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | cnt/LTimer<13> | IV_TRUE | cnt/PORS_FSM_FFd1 | IV_FALSE | cnt/PORS_FSM_FFd2 +SPPTERM | 3 | IV_TRUE | cnt/PORS_FSM_FFd1 | IV_FALSE | cnt/PORS_FSM_FFd2 | IV_TRUE | cnt/nRESout -SRFF_INSTANCE | IPL2r1.REG | IPL2r1 | 0 | 2 | 1 +SRFF_INSTANCE | cnt/nRESout.REG | cnt/nRESout | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | IPL2r1.D | 10206 | ? | 492 | 0 | IPL2r1 | NULL | NULL | IPL2r1.XOR | 0 | 7 | ALU_F +NODE | cnt/nRESout.D | 9958 | ? | 507 | 0 | cnt/nRESout | NULL | NULL | cnt/nRESout.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | C8M_IBUF/FCLK- | 9437 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | IPL2r1.Q | 10209 | ? | 502 | 0 | IPL2r1 | NULL | NULL | IPL2r1.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB +NODE | cnt/nRESout.Q | 9961 | ? | 584 | 0 | cnt/nRESout | NULL | NULL | cnt/nRESout.REG | 0 | 8 | SRFF_Q +TNAME | CLK_IOB + +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/ETACK | WarpSE_COPY_0_COPY_0 | 2155873280 | 7 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/nVMA | 9426 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nVMA.Q | iobm/nVMA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<0> | 9494 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<1> | 9499 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<2> | 9502 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<3> | 9503 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<4> | 9504 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | C16M_IBUF/FCLK | 9423 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/ETACK | 9517 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/ETACK.SI | iobm/ETACK | 0 | 6 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/nVMA | 9426 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nVMA.Q | iobm/nVMA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<0> | 9494 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<1> | 9499 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<2> | 9502 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<3> | 9503 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<4> | 9504 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/ETACK.D1 | 9963 | ? | 574 | 4096 | iobm/ETACK | NULL | NULL | iobm/ETACK.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/ETACK.D2 | 9964 | ? | 574 | 4096 | iobm/ETACK | NULL | NULL | iobm/ETACK.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 6 | IV_FALSE | iobm/nVMA | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_TRUE | iobm/ES<4> + +SRFF_INSTANCE | iobm/ETACK.REG | iobm/ETACK | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/ETACK.D | 9962 | ? | 574 | 0 | iobm/ETACK | NULL | NULL | iobm/ETACK.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | C16M_IBUF/FCLK | 9423 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/ETACK.Q | 9965 | ? | 575 | 0 | iobm/ETACK | NULL | NULL | iobm/ETACK.REG | 0 | 8 | SRFF_Q +TNAME | CLK2X_IOB + +MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/Er | WarpSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | E_IBUF | 9484 | ? | 553 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | C8M_IBUF/FCLK- | 9437 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/Er | 9518 | ? | 582 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/Er.SI | iobm/Er | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | E_IBUF | 9484 | ? | 553 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/Er.D1 | 9967 | ? | 508 | 4096 | iobm/Er | NULL | NULL | iobm/Er.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/Er.D2 | 9968 | ? | 508 | 4096 | iobm/Er | NULL | NULL | iobm/Er.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | E_IBUF + +SRFF_INSTANCE | iobm/Er.REG | iobm/Er | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/Er.D | 9966 | ? | 508 | 0 | iobm/Er | NULL | NULL | iobm/Er.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | C8M_IBUF/FCLK- | 9437 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/Er.Q | 9969 | ? | 582 | 0 | iobm/Er | NULL | NULL | iobm/Er.REG | 0 | 8 | SRFF_Q +TNAME | CLK_IOB + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobm/IOACT | WarpSE_COPY_0_COPY_0 | 2155873536 | 12 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9488 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9489 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9486 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOREQr | 9512 | ? | 576 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | C8M_IBUF | 9436 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ETACK | 9517 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrf | 9524 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrr | 9525 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrf | 9527 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrr | 9528 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nADoutLE0_OBUF.EXP | 10242 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE0_OBUF.EXP | nADoutLE0_OBUF | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | C16M_IBUF/FCLK | 9423 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/IOACT | 9519 | ? | 556 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOACT.Q | iobm/IOACT | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/IOACT.SI | iobm/IOACT | 0 | 11 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9488 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9489 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9486 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOREQr | 9512 | ? | 576 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | C8M_IBUF | 9436 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ETACK | 9517 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrf | 9524 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrr | 9525 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrf | 9527 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrr | 9528 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nADoutLE0_OBUF.EXP | 10242 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE0_OBUF.EXP | nADoutLE0_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOACT.D1 | 9971 | ? | 575 | 4096 | iobm/IOACT | NULL | NULL | iobm/IOACT.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOACT.D2 | 9972 | ? | 575 | 4096 | iobm/IOACT | NULL | NULL | iobm/IOACT.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | nADoutLE0_OBUF.EXP +SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_FALSE | iobm/IOS_FSM_FFd2 +SPPTERM | 3 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd2 | IV_FALSE | iobm/IOREQr +SPPTERM | 4 | IV_TRUE | C8M_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/ETACK +SPPTERM | 5 | IV_TRUE | C8M_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/BERRrf | IV_TRUE | iobm/BERRrr +SPPTERM | 5 | IV_TRUE | C8M_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/DTACKrf | IV_TRUE | iobm/DTACKrr + +SRFF_INSTANCE | iobm/IOACT.REG | iobm/IOACT | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/IOACT.D | 9970 | ? | 575 | 0 | iobm/IOACT | NULL | NULL | iobm/IOACT.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | C16M_IBUF/FCLK | 9423 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/IOACT.Q | 9973 | ? | 556 | 0 | iobm/IOACT | NULL | NULL | iobm/IOACT.REG | 0 | 8 | SRFF_Q +TNAME | CLK_IOB | CLK2X_IOB + +MACROCELL_INSTANCE | PrldLow+OptxMapped | cnt/RefReq | WarpSE_COPY_0_COPY_0 | 2155873280 | 5 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Timer<1> | 9497 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Timer<3> | 9501 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Timer<2> | 9498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Timer<0> | 9500 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | E_IBUF | 9484 | ? | 553 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/RefReq | 9520 | ? | 545 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefReq.Q | cnt/RefReq | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/RefReq.SI | cnt/RefReq | 0 | 5 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Timer<1> | 9497 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Timer<3> | 9501 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Timer<2> | 9498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Timer<0> | 9500 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | E_IBUF | 9484 | ? | 553 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/RefReq.D1 | 9975 | ? | 0 | 4096 | cnt/RefReq | NULL | NULL | cnt/RefReq.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/RefReq.D2 | 9976 | ? | 0 | 4096 | cnt/RefReq | NULL | NULL | cnt/RefReq.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | cnt/Timer<1> | IV_FALSE | cnt/Timer<3> +SPPTERM | 2 | IV_TRUE | cnt/Timer<2> | IV_FALSE | cnt/Timer<3> +SPPTERM | 4 | IV_FALSE | cnt/Timer<1> | IV_FALSE | cnt/Timer<2> | IV_FALSE | cnt/Timer<0> | IV_TRUE | cnt/Timer<3> +OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF +SIGNAL | NODE | cnt/RefReq.CLKF | 9977 | ? | 545 | 4096 | cnt/RefReq | NULL | NULL | cnt/RefReq.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | E_IBUF + +SRFF_INSTANCE | cnt/RefReq.REG | cnt/RefReq | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/RefReq.D | 9974 | ? | 0 | 0 | cnt/RefReq | NULL | NULL | cnt/RefReq.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +SIGNAL | NODE | cnt/RefReq.CLKF | 9977 | ? | 545 | 4096 | cnt/RefReq | NULL | NULL | cnt/RefReq.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | E_IBUF +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/RefReq.Q | 9978 | ? | 545 | 0 | cnt/RefReq | NULL | NULL | cnt/RefReq.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | cnt/RefUrgent | WarpSE_COPY_0_COPY_0 | 2155873280 | 5 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Timer<1> | 9497 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Timer<2> | 9498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Timer<3> | 9501 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Timer<0> | 9500 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | E_IBUF | 9484 | ? | 553 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/RefUrgent | 9521 | ? | 553 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefUrgent.Q | cnt/RefUrgent | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/RefUrgent.SI | cnt/RefUrgent | 0 | 5 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Timer<1> | 9497 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Timer<2> | 9498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Timer<3> | 9501 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Timer<0> | 9500 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | E_IBUF | 9484 | ? | 553 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/RefUrgent.D1 | 9980 | ? | 0 | 4096 | cnt/RefUrgent | NULL | NULL | cnt/RefUrgent.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/RefUrgent.D2 | 9981 | ? | 0 | 4096 | cnt/RefUrgent | NULL | NULL | cnt/RefUrgent.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | cnt/Timer<1> | IV_TRUE | cnt/Timer<2> | IV_FALSE | cnt/Timer<3> +SPPTERM | 4 | IV_FALSE | cnt/Timer<1> | IV_FALSE | cnt/Timer<2> | IV_FALSE | cnt/Timer<0> | IV_TRUE | cnt/Timer<3> +OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF +SIGNAL | NODE | cnt/RefUrgent.CLKF | 9982 | ? | 553 | 4096 | cnt/RefUrgent | NULL | NULL | cnt/RefUrgent.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | E_IBUF + +SRFF_INSTANCE | cnt/RefUrgent.REG | cnt/RefUrgent | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/RefUrgent.D | 9979 | ? | 0 | 0 | cnt/RefUrgent | NULL | NULL | cnt/RefUrgent.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +SIGNAL | NODE | cnt/RefUrgent.CLKF | 9982 | ? | 553 | 4096 | cnt/RefUrgent | NULL | NULL | cnt/RefUrgent.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | E_IBUF +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/RefUrgent.Q | 9983 | ? | 553 | 0 | cnt/RefUrgent | NULL | NULL | cnt/RefUrgent.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | fsb/ASrf | WarpSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_FSB_IBUF/FCLK- | 9721 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK_IBUF/FCLK- | 9445 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM SIGNAL_INSTANCE | fsb/ASrf.SI | fsb/ASrf | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | fsb/ASrf.D1 | 10211 | ? | 493 | 4096 | fsb/ASrf | NULL | NULL | fsb/ASrf.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | fsb/ASrf.D1 | 9985 | ? | 546 | 4096 | fsb/ASrf | NULL | NULL | fsb/ASrf.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | fsb/ASrf.D2 | 10212 | ? | 493 | 4096 | fsb/ASrf | NULL | NULL | fsb/ASrf.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | fsb/ASrf.D2 | 9986 | ? | 546 | 4096 | fsb/ASrf | NULL | NULL | fsb/ASrf.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | nAS_FSB_IBUF SRFF_INSTANCE | fsb/ASrf.REG | fsb/ASrf | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | fsb/ASrf.D | 10210 | ? | 493 | 0 | fsb/ASrf | NULL | NULL | fsb/ASrf.XOR | 0 | 7 | ALU_F +NODE | fsb/ASrf.D | 9984 | ? | 546 | 0 | fsb/ASrf | NULL | NULL | fsb/ASrf.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK_FSB_IBUF/FCLK- | 9721 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK_IBUF/FCLK- | 9445 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | fsb/ASrf.Q | 10213 | ? | 499 | 0 | fsb/ASrf | NULL | NULL | fsb/ASrf.REG | 0 | 8 | SRFF_Q +NODE | fsb/ASrf.Q | 9987 | ? | 551 | 0 | fsb/ASrf | NULL | NULL | fsb/ASrf.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/ALE0 | WarpSE_COPY_0_COPY_0 | 2155873280 | 6 | 1 +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobm/ALE0 | WarpSE_COPY_0_COPY_0 | 2155873536 | 5 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9759 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 9488 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9757 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 9489 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9758 | ? | 534 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9486 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOREQr | 9791 | ? | 523 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM +NODE | iobm/IOREQr | 9512 | ? | 576 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BG | 9852 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK2X_IOB_IBUF/FCLK | 9707 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9423 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/ALE0 | 9797 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ALE0.Q | iobm/ALE0 | 1 | 0 | MC_UIM +NODE | iobm/ALE0 | 9523 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ALE0.Q | iobm/ALE0 | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | iobm/ALE0.SI | iobm/ALE0 | 0 | 5 | 2 +SIGNAL_INSTANCE | iobm/ALE0.SI | iobm/ALE0 | 0 | 4 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9759 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 9488 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9757 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 9489 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9758 | ? | 534 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9486 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOREQr | 9791 | ? | 523 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BG | 9852 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | iobm/IOREQr | 9512 | ? | 576 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/ALE0.D1 | 10215 | ? | 523 | 4096 | iobm/ALE0 | NULL | NULL | iobm/ALE0.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/ALE0.D1 | 9989 | ? | 576 | 4096 | iobm/ALE0 | NULL | NULL | iobm/ALE0.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/ALE0.D2 | 10216 | ? | 523 | 4096 | iobm/ALE0 | NULL | NULL | iobm/ALE0.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | iobm/IOS_FSM_FFd2 -SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd1 -SPPTERM | 3 | IV_FALSE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOREQr | IV_FALSE | iobm/BG +SIGNAL | NODE | iobm/ALE0.D2 | 9990 | ? | 576 | 4096 | iobm/ALE0 | NULL | NULL | iobm/ALE0.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_FALSE | iobm/IOS_FSM_FFd2 +SPPTERM | 3 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd2 | IV_FALSE | iobm/IOREQr SRFF_INSTANCE | iobm/ALE0.REG | iobm/ALE0 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/ALE0.D | 10214 | ? | 523 | 0 | iobm/ALE0 | NULL | NULL | iobm/ALE0.XOR | 0 | 7 | ALU_F +NODE | iobm/ALE0.D | 9988 | ? | 576 | 0 | iobm/ALE0 | NULL | NULL | iobm/ALE0.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK2X_IOB_IBUF/FCLK | 9707 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9423 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/ALE0.Q | 10217 | ? | 0 | 0 | iobm/ALE0 | NULL | NULL | iobm/ALE0.REG | 0 | 8 | SRFF_Q +NODE | iobm/ALE0.Q | 9991 | ? | 0 | 0 | iobm/ALE0 | NULL | NULL | iobm/ALE0.REG | 0 | 8 | SRFF_Q TNAME | CLK2X_IOB MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/BERRrf | WarpSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_IOB_IBUF | 9751 | ? | 525 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nBERR_IOB_IBUF | 9474 | ? | 578 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK2X_IOB_IBUF/FCLK- | 9708 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 9424 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/BERRrf | 9798 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +NODE | iobm/BERRrf | 9524 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/BERRrf.SI | iobm/BERRrf | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_IOB_IBUF | 9751 | ? | 525 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nBERR_IOB_IBUF | 9474 | ? | 578 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/BERRrf.D1 | 10219 | ? | 524 | 4096 | iobm/BERRrf | NULL | NULL | iobm/BERRrf.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/BERRrf.D1 | 9993 | ? | 577 | 4096 | iobm/BERRrf | NULL | NULL | iobm/BERRrf.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/BERRrf.D2 | 10220 | ? | 524 | 4096 | iobm/BERRrf | NULL | NULL | iobm/BERRrf.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/BERRrf.D2 | 9994 | ? | 577 | 4096 | iobm/BERRrf | NULL | NULL | iobm/BERRrf.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | nBERR_IOB_IBUF SRFF_INSTANCE | iobm/BERRrf.REG | iobm/BERRrf | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/BERRrf.D | 10218 | ? | 524 | 0 | iobm/BERRrf | NULL | NULL | iobm/BERRrf.XOR | 0 | 7 | ALU_F +NODE | iobm/BERRrf.D | 9992 | ? | 577 | 0 | iobm/BERRrf | NULL | NULL | iobm/BERRrf.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK2X_IOB_IBUF/FCLK- | 9708 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 9424 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/BERRrf.Q | 10221 | ? | 521 | 0 | iobm/BERRrf | NULL | NULL | iobm/BERRrf.REG | 0 | 8 | SRFF_Q +NODE | iobm/BERRrf.Q | 9995 | ? | 575 | 0 | iobm/BERRrf | NULL | NULL | iobm/BERRrf.REG | 0 | 8 | SRFF_Q TNAME | CLK2X_IOB MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/BERRrr | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_IOB_IBUF | 9751 | ? | 525 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nBERR_IOB_IBUF | 9474 | ? | 578 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK2X_IOB_IBUF/FCLK | 9707 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9423 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/BERRrr | 9799 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM +NODE | iobm/BERRrr | 9525 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/BERRrr.SI | iobm/BERRrr | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_IOB_IBUF | 9751 | ? | 525 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nBERR_IOB_IBUF | 9474 | ? | 578 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/BERRrr.D1 | 10223 | ? | 525 | 4096 | iobm/BERRrr | NULL | NULL | iobm/BERRrr.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/BERRrr.D1 | 9997 | ? | 578 | 4096 | iobm/BERRrr | NULL | NULL | iobm/BERRrr.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/BERRrr.D2 | 10224 | ? | 525 | 4096 | iobm/BERRrr | NULL | NULL | iobm/BERRrr.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/BERRrr.D2 | 9998 | ? | 578 | 4096 | iobm/BERRrr | NULL | NULL | iobm/BERRrr.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | nBERR_IOB_IBUF SRFF_INSTANCE | iobm/BERRrr.REG | iobm/BERRrr | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/BERRrr.D | 10222 | ? | 525 | 0 | iobm/BERRrr | NULL | NULL | iobm/BERRrr.XOR | 0 | 7 | ALU_F +NODE | iobm/BERRrr.D | 9996 | ? | 578 | 0 | iobm/BERRrr | NULL | NULL | iobm/BERRrr.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK2X_IOB_IBUF/FCLK | 9707 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9423 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/BERRrr.Q | 10225 | ? | 521 | 0 | iobm/BERRrr | NULL | NULL | iobm/BERRrr.REG | 0 | 8 | SRFF_Q -TNAME | CLK2X_IOB - -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/BGr1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BGr0 | 9786 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BGr0.Q | iobm/BGr0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK2X_IOB_IBUF/FCLK | 9707 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/BGr1 | 9800 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BGr1.Q | iobm/BGr1 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/BGr1.SI | iobm/BGr1 | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BGr0 | 9786 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BGr0.Q | iobm/BGr0 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/BGr1.D1 | 10227 | ? | 526 | 4096 | iobm/BGr1 | NULL | NULL | iobm/BGr1.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/BGr1.D2 | 10228 | ? | 526 | 4096 | iobm/BGr1 | NULL | NULL | iobm/BGr1.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | iobm/BGr0 - -SRFF_INSTANCE | iobm/BGr1.REG | iobm/BGr1 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/BGr1.D | 10226 | ? | 526 | 0 | iobm/BGr1 | NULL | NULL | iobm/BGr1.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK2X_IOB_IBUF/FCLK | 9707 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/BGr1.Q | 10229 | ? | 536 | 0 | iobm/BGr1 | NULL | NULL | iobm/BGr1.REG | 0 | 8 | SRFF_Q +NODE | iobm/BERRrr.Q | 9999 | ? | 575 | 0 | iobm/BERRrr | NULL | NULL | iobm/BERRrr.REG | 0 | 8 | SRFF_Q TNAME | CLK2X_IOB INPUT_INSTANCE | 0 | 0 | NULL | nDTACK_IOB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nDTACK_IOB | 9921 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | nDTACK_IOB | 9643 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nDTACK_IOB_IBUF | 9801 | ? | 528 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nDTACK_IOB_IBUF | 9526 | ? | 580 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/DTACKrf | WarpSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_IOB_IBUF | 9801 | ? | 528 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nDTACK_IOB_IBUF | 9526 | ? | 580 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK2X_IOB_IBUF/FCLK- | 9708 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 9424 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/DTACKrf | 9802 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM +NODE | iobm/DTACKrf | 9527 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/DTACKrf.SI | iobm/DTACKrf | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_IOB_IBUF | 9801 | ? | 528 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nDTACK_IOB_IBUF | 9526 | ? | 580 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/DTACKrf.D1 | 10231 | ? | 527 | 4096 | iobm/DTACKrf | NULL | NULL | iobm/DTACKrf.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/DTACKrf.D1 | 10001 | ? | 579 | 4096 | iobm/DTACKrf | NULL | NULL | iobm/DTACKrf.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/DTACKrf.D2 | 10232 | ? | 527 | 4096 | iobm/DTACKrf | NULL | NULL | iobm/DTACKrf.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/DTACKrf.D2 | 10002 | ? | 579 | 4096 | iobm/DTACKrf | NULL | NULL | iobm/DTACKrf.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | nDTACK_IOB_IBUF SRFF_INSTANCE | iobm/DTACKrf.REG | iobm/DTACKrf | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/DTACKrf.D | 10230 | ? | 527 | 0 | iobm/DTACKrf | NULL | NULL | iobm/DTACKrf.XOR | 0 | 7 | ALU_F +NODE | iobm/DTACKrf.D | 10000 | ? | 579 | 0 | iobm/DTACKrf | NULL | NULL | iobm/DTACKrf.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK2X_IOB_IBUF/FCLK- | 9708 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 9424 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/DTACKrf.Q | 10233 | ? | 521 | 0 | iobm/DTACKrf | NULL | NULL | iobm/DTACKrf.REG | 0 | 8 | SRFF_Q +NODE | iobm/DTACKrf.Q | 10003 | ? | 575 | 0 | iobm/DTACKrf | NULL | NULL | iobm/DTACKrf.REG | 0 | 8 | SRFF_Q TNAME | CLK2X_IOB MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/DTACKrr | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_IOB_IBUF | 9801 | ? | 528 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nDTACK_IOB_IBUF | 9526 | ? | 580 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK2X_IOB_IBUF/FCLK | 9707 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9423 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/DTACKrr | 9803 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM +NODE | iobm/DTACKrr | 9528 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/DTACKrr.SI | iobm/DTACKrr | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_IOB_IBUF | 9801 | ? | 528 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nDTACK_IOB_IBUF | 9526 | ? | 580 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/DTACKrr.D1 | 10235 | ? | 528 | 4096 | iobm/DTACKrr | NULL | NULL | iobm/DTACKrr.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/DTACKrr.D1 | 10005 | ? | 580 | 4096 | iobm/DTACKrr | NULL | NULL | iobm/DTACKrr.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/DTACKrr.D2 | 10236 | ? | 528 | 4096 | iobm/DTACKrr | NULL | NULL | iobm/DTACKrr.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/DTACKrr.D2 | 10006 | ? | 580 | 4096 | iobm/DTACKrr | NULL | NULL | iobm/DTACKrr.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | nDTACK_IOB_IBUF SRFF_INSTANCE | iobm/DTACKrr.REG | iobm/DTACKrr | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/DTACKrr.D | 10234 | ? | 528 | 0 | iobm/DTACKrr | NULL | NULL | iobm/DTACKrr.XOR | 0 | 7 | ALU_F +NODE | iobm/DTACKrr.D | 10004 | ? | 580 | 0 | iobm/DTACKrr | NULL | NULL | iobm/DTACKrr.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK2X_IOB_IBUF/FCLK | 9707 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9423 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/DTACKrr.Q | 10237 | ? | 521 | 0 | iobm/DTACKrr | NULL | NULL | iobm/DTACKrr.REG | 0 | 8 | SRFF_Q +NODE | iobm/DTACKrr.Q | 10007 | ? | 575 | 0 | iobm/DTACKrr | NULL | NULL | iobm/DTACKrr.REG | 0 | 8 | SRFF_Q +TNAME | CLK2X_IOB + +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/DoutOE | WarpSE_COPY_0_COPY_0 | 2155873280 | 4 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IORW0 | 9456 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW0.Q | iobs/IORW0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9489 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9486 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | C16M_IBUF/FCLK | 9423 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/DoutOE | 9529 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.Q | iobm/DoutOE | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/DoutOE.SI | iobm/DoutOE | 0 | 3 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IORW0 | 9456 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW0.Q | iobs/IORW0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9489 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9486 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/DoutOE.D1 | 10009 | ? | 581 | 4096 | iobm/DoutOE | NULL | NULL | iobm/DoutOE.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/DoutOE.D2 | 10010 | ? | 581 | 4096 | iobm/DoutOE | NULL | NULL | iobm/DoutOE.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | iobs/IORW0 | IV_TRUE | iobm/IOS_FSM_FFd3 +SPPTERM | 2 | IV_TRUE | iobs/IORW0 | IV_TRUE | iobm/IOS_FSM_FFd2 + +SRFF_INSTANCE | iobm/DoutOE.REG | iobm/DoutOE | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/DoutOE.D | 10008 | ? | 581 | 0 | iobm/DoutOE | NULL | NULL | iobm/DoutOE.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | C16M_IBUF/FCLK | 9423 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/DoutOE.Q | 10011 | ? | 0 | 0 | iobm/DoutOE | NULL | NULL | iobm/DoutOE.REG | 0 | 8 | SRFF_Q TNAME | CLK2X_IOB MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/Er2 | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9789 | ? | 529 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 9518 | ? | 582 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK2X_IOB_IBUF/FCLK | 9707 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9423 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/Er2 | 9804 | ? | 517 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +NODE | iobm/Er2 | 9530 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/Er2.SI | iobm/Er2 | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9789 | ? | 529 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 9518 | ? | 582 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/Er2.D1 | 10239 | ? | 529 | 4096 | iobm/Er2 | NULL | NULL | iobm/Er2.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/Er2.D1 | 10013 | ? | 582 | 4096 | iobm/Er2 | NULL | NULL | iobm/Er2.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/Er2.D2 | 10240 | ? | 529 | 4096 | iobm/Er2 | NULL | NULL | iobm/Er2.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/Er2.D2 | 10014 | ? | 582 | 4096 | iobm/Er2 | NULL | NULL | iobm/Er2.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobm/Er SRFF_INSTANCE | iobm/Er2.REG | iobm/Er2 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/Er2.D | 10238 | ? | 529 | 0 | iobm/Er2 | NULL | NULL | iobm/Er2.XOR | 0 | 7 | ALU_F +NODE | iobm/Er2.D | 10012 | ? | 582 | 0 | iobm/Er2 | NULL | NULL | iobm/Er2.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK2X_IOB_IBUF/FCLK | 9707 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9423 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/Er2.Q | 10241 | ? | 517 | 0 | iobm/Er2 | NULL | NULL | iobm/Er2.REG | 0 | 8 | SRFF_Q +NODE | iobm/Er2.Q | 10015 | ? | 571 | 0 | iobm/Er2 | NULL | NULL | iobm/Er2.REG | 0 | 8 | SRFF_Q TNAME | CLK2X_IOB MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/RESrf | WarpSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRES_IBUF | 9728 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 0 | 5 | II_IMUX +NODE | N01 | 9458 | ? | 584 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK2X_IOB_IBUF/FCLK- | 9708 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 9424 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/RESrf | 9805 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM +NODE | iobm/RESrf | 9531 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/RESrf.SI | iobm/RESrf | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRES_IBUF | 9728 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 0 | 5 | II_IMUX +NODE | N01 | 9458 | ? | 584 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/RESrf.D1 | 10243 | ? | 530 | 4096 | iobm/RESrf | NULL | NULL | iobm/RESrf.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/RESrf.D1 | 10017 | ? | 583 | 4096 | iobm/RESrf | NULL | NULL | iobm/RESrf.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/RESrf.D2 | 10244 | ? | 530 | 4096 | iobm/RESrf | NULL | NULL | iobm/RESrf.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_FALSE | nRES_IBUF +SIGNAL | NODE | iobm/RESrf.D2 | 10018 | ? | 583 | 4096 | iobm/RESrf | NULL | NULL | iobm/RESrf.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | N01 SRFF_INSTANCE | iobm/RESrf.REG | iobm/RESrf | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/RESrf.D | 10242 | ? | 530 | 0 | iobm/RESrf | NULL | NULL | iobm/RESrf.XOR | 0 | 7 | ALU_F +NODE | iobm/RESrf.D | 10016 | ? | 583 | 0 | iobm/RESrf | NULL | NULL | iobm/RESrf.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK2X_IOB_IBUF/FCLK- | 9708 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 9424 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/RESrf.Q | 10245 | ? | 521 | 0 | iobm/RESrf | NULL | NULL | iobm/RESrf.REG | 0 | 8 | SRFF_Q +NODE | iobm/RESrf.Q | 10019 | ? | 575 | 0 | iobm/RESrf | NULL | NULL | iobm/RESrf.REG | 0 | 8 | SRFF_Q TNAME | CLK2X_IOB MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/RESrr | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRES_IBUF | 9728 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 0 | 5 | II_IMUX +NODE | N01 | 9458 | ? | 584 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK2X_IOB_IBUF/FCLK | 9707 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9423 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/RESrr | 9806 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM +NODE | iobm/RESrr | 9532 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/RESrr.SI | iobm/RESrr | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRES_IBUF | 9728 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 0 | 5 | II_IMUX +NODE | N01 | 9458 | ? | 584 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/RESrr.D1 | 10247 | ? | 531 | 4096 | iobm/RESrr | NULL | NULL | iobm/RESrr.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/RESrr.D1 | 10021 | ? | 584 | 4096 | iobm/RESrr | NULL | NULL | iobm/RESrr.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/RESrr.D2 | 10248 | ? | 531 | 4096 | iobm/RESrr | NULL | NULL | iobm/RESrr.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_FALSE | nRES_IBUF +SIGNAL | NODE | iobm/RESrr.D2 | 10022 | ? | 584 | 4096 | iobm/RESrr | NULL | NULL | iobm/RESrr.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | N01 SRFF_INSTANCE | iobm/RESrr.REG | iobm/RESrr | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/RESrr.D | 10246 | ? | 531 | 0 | iobm/RESrr | NULL | NULL | iobm/RESrr.XOR | 0 | 7 | ALU_F +NODE | iobm/RESrr.D | 10020 | ? | 584 | 0 | iobm/RESrr | NULL | NULL | iobm/RESrr.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK2X_IOB_IBUF/FCLK | 9707 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9423 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/RESrr.Q | 10249 | ? | 521 | 0 | iobm/RESrr | NULL | NULL | iobm/RESrr.REG | 0 | 8 | SRFF_Q +NODE | iobm/RESrr.Q | 10023 | ? | 575 | 0 | iobm/RESrr | NULL | NULL | iobm/RESrr.REG | 0 | 8 | SRFF_Q TNAME | CLK2X_IOB INPUT_INSTANCE | 0 | 0 | NULL | nVPA_IOB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nVPA_IOB | 9922 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | nVPA_IOB | 9644 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nVPA_IOB_IBUF | 9807 | ? | 533 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nVPA_IOB_IBUF | 9533 | ? | 586 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/VPArf | WarpSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVPA_IOB_IBUF | 9807 | ? | 533 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nVPA_IOB_IBUF | 9533 | ? | 586 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK2X_IOB_IBUF/FCLK- | 9708 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 9424 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/VPArf | 9808 | ? | 504 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/VPArf.Q | iobm/VPArf | 1 | 0 | MC_UIM +NODE | iobm/VPArf | 9534 | ? | 556 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/VPArf.Q | iobm/VPArf | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/VPArf.SI | iobm/VPArf | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVPA_IOB_IBUF | 9807 | ? | 533 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nVPA_IOB_IBUF | 9533 | ? | 586 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/VPArf.D1 | 10251 | ? | 532 | 4096 | iobm/VPArf | NULL | NULL | iobm/VPArf.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/VPArf.D1 | 10025 | ? | 585 | 4096 | iobm/VPArf | NULL | NULL | iobm/VPArf.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/VPArf.D2 | 10252 | ? | 532 | 4096 | iobm/VPArf | NULL | NULL | iobm/VPArf.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/VPArf.D2 | 10026 | ? | 585 | 4096 | iobm/VPArf | NULL | NULL | iobm/VPArf.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | nVPA_IOB_IBUF SRFF_INSTANCE | iobm/VPArf.REG | iobm/VPArf | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/VPArf.D | 10250 | ? | 532 | 0 | iobm/VPArf | NULL | NULL | iobm/VPArf.XOR | 0 | 7 | ALU_F +NODE | iobm/VPArf.D | 10024 | ? | 585 | 0 | iobm/VPArf | NULL | NULL | iobm/VPArf.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK2X_IOB_IBUF/FCLK- | 9708 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 9424 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/VPArf.Q | 10253 | ? | 504 | 0 | iobm/VPArf | NULL | NULL | iobm/VPArf.REG | 0 | 8 | SRFF_Q +NODE | iobm/VPArf.Q | 10027 | ? | 556 | 0 | iobm/VPArf | NULL | NULL | iobm/VPArf.REG | 0 | 8 | SRFF_Q TNAME | CLK2X_IOB MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/VPArr | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVPA_IOB_IBUF | 9807 | ? | 533 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nVPA_IOB_IBUF | 9533 | ? | 586 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK2X_IOB_IBUF/FCLK | 9707 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9423 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/VPArr | 9809 | ? | 504 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/VPArr.Q | iobm/VPArr | 1 | 0 | MC_UIM +NODE | iobm/VPArr | 9535 | ? | 556 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/VPArr.Q | iobm/VPArr | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/VPArr.SI | iobm/VPArr | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVPA_IOB_IBUF | 9807 | ? | 533 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nVPA_IOB_IBUF | 9533 | ? | 586 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/VPArr.D1 | 10255 | ? | 533 | 4096 | iobm/VPArr | NULL | NULL | iobm/VPArr.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/VPArr.D1 | 10029 | ? | 586 | 4096 | iobm/VPArr | NULL | NULL | iobm/VPArr.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/VPArr.D2 | 10256 | ? | 533 | 4096 | iobm/VPArr | NULL | NULL | iobm/VPArr.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/VPArr.D2 | 10030 | ? | 586 | 4096 | iobm/VPArr | NULL | NULL | iobm/VPArr.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | nVPA_IOB_IBUF SRFF_INSTANCE | iobm/VPArr.REG | iobm/VPArr | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/VPArr.D | 10254 | ? | 533 | 0 | iobm/VPArr | NULL | NULL | iobm/VPArr.XOR | 0 | 7 | ALU_F +NODE | iobm/VPArr.D | 10028 | ? | 586 | 0 | iobm/VPArr | NULL | NULL | iobm/VPArr.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK2X_IOB_IBUF/FCLK | 9707 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9423 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/VPArr.Q | 10257 | ? | 504 | 0 | iobm/VPArr | NULL | NULL | iobm/VPArr.REG | 0 | 8 | SRFF_Q +NODE | iobm/VPArr.Q | 10031 | ? | 556 | 0 | iobm/VPArr | NULL | NULL | iobm/VPArr.REG | 0 | 8 | SRFF_Q +TNAME | CLK2X_IOB + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobm/nASr | WarpSE_COPY_0_COPY_0 | 2155873536 | 3 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/nASout | 9429 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nASout.Q | iobm/nASout | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/AoutOE | 9480 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/AoutOE.Q | cnt/AoutOE | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | C16M_IBUF/FCLK | 9423 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/nASr | 9536 | ? | 563 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nASr.Q | iobm/nASr | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/nASr.SI | iobm/nASr | 0 | 2 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/nASout | 9429 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nASout.Q | iobm/nASout | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/AoutOE | 9480 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/AoutOE.Q | cnt/AoutOE | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/nASr.D1 | 10033 | ? | 587 | 4096 | iobm/nASr | NULL | NULL | iobm/nASr.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/nASr.D2 | 10034 | ? | 587 | 4096 | iobm/nASr | NULL | NULL | iobm/nASr.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_FALSE | iobm/nASout | IV_TRUE | cnt/AoutOE + +SRFF_INSTANCE | iobm/nASr.REG | iobm/nASr | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/nASr.D | 10032 | ? | 587 | 0 | iobm/nASr | NULL | NULL | iobm/nASr.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | C16M_IBUF/FCLK | 9423 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/nASr.Q | 10035 | ? | 563 | 0 | iobm/nASr | NULL | NULL | iobm/nASr.REG | 0 | 8 | SRFF_Q TNAME | CLK2X_IOB MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/ALE0 | WarpSE_COPY_0_COPY_0 | 2155873280 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9761 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9491 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9765 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9495 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/ALE0 | 9810 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/ALE0.Q | iobs/ALE0 | 1 | 0 | MC_UIM +NODE | iobs/ALE0 | 9537 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/ALE0.Q | iobs/ALE0 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobs/ALE0.SI | iobs/ALE0 | 0 | 2 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9761 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9491 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9765 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9495 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/ALE0.D1 | 10259 | ? | 495 | 4096 | iobs/ALE0 | NULL | NULL | iobs/ALE0.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/ALE0.D1 | 10037 | ? | 548 | 4096 | iobs/ALE0 | NULL | NULL | iobs/ALE0.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/ALE0.D2 | 10260 | ? | 495 | 4096 | iobs/ALE0 | NULL | NULL | iobs/ALE0.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/ALE0.D2 | 10038 | ? | 548 | 4096 | iobs/ALE0 | NULL | NULL | iobs/ALE0.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 SRFF_INSTANCE | iobs/ALE0.REG | iobs/ALE0 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/ALE0.D | 10258 | ? | 495 | 0 | iobs/ALE0 | NULL | NULL | iobs/ALE0.XOR | 0 | 7 | ALU_F +NODE | iobs/ALE0.D | 10036 | ? | 548 | 0 | iobs/ALE0 | NULL | NULL | iobs/ALE0.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/ALE0.Q | 10261 | ? | 0 | 0 | iobs/ALE0 | NULL | NULL | iobs/ALE0.REG | 0 | 8 | SRFF_Q +NODE | iobs/ALE0.Q | 10039 | ? | 0 | 0 | iobs/ALE0 | NULL | NULL | iobs/ALE0.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/Clear1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 17 | 2 +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/Clear1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 6 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9761 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9491 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9765 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9495 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9850 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | iobs/ALE1 | 9574 | ? | 555 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9722 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9723 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9754 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9756 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9762 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9763 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9768 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/Clear1 | 9811 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM +NODE | iobs/Clear1 | 9538 | ? | 555 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | iobs/Clear1.EXP | 10449 | ? | 468 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.EXP | iobs/Clear1 | 4 | 0 | MC_EXPORT +NODE | iobs/Clear1.EXP | 10204 | ? | 518 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.EXP | iobs/Clear1 | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | iobs/Clear1.SI | iobs/Clear1 | 0 | 16 | 3 +SIGNAL_INSTANCE | iobs/Clear1.SI | iobs/Clear1 | 0 | 5 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9761 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9491 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9765 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9495 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9850 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | iobs/ALE1 | 9574 | ? | 555 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9722 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9723 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9754 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9756 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9762 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9763 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9768 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/Clear1.D1 | 10263 | ? | 496 | 4096 | iobs/Clear1 | NULL | NULL | iobs/Clear1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/Clear1.D1 | 10041 | ? | 549 | 4096 | iobs/Clear1 | NULL | NULL | iobs/Clear1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/Clear1.D2 | 10264 | ? | 496 | 4096 | iobs/Clear1 | NULL | NULL | iobs/Clear1.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/Clear1.D2 | 10042 | ? | 549 | 4096 | iobs/Clear1 | NULL | NULL | iobs/Clear1.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_FALSE | iobs/ALE1 OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | iobs/Clear1.EXP | 10447 | ? | 468 | 0 | iobs/Clear1 | NULL | NULL | iobs/Clear1.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 12 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cnt/RefDone | IV_TRUE | ram/Once | IV_FALSE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> -SPPTERM | 12 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cnt/RefDone | IV_TRUE | ram/Once | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_TRUE | fsb/ASrf +SIGNAL | NODE | iobs/Clear1.EXP | 10190 | ? | 518 | 0 | iobs/Clear1 | NULL | NULL | iobs/Clear1.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf SRFF_INSTANCE | iobs/Clear1.REG | iobs/Clear1 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/Clear1.D | 10262 | ? | 496 | 0 | iobs/Clear1 | NULL | NULL | iobs/Clear1.XOR | 0 | 7 | ALU_F +NODE | iobs/Clear1.D | 10040 | ? | 549 | 0 | iobs/Clear1 | NULL | NULL | iobs/Clear1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/Clear1.Q | 10265 | ? | 503 | 0 | iobs/Clear1 | NULL | NULL | iobs/Clear1.REG | 0 | 8 | SRFF_Q +NODE | iobs/Clear1.Q | 10043 | ? | 555 | 0 | iobs/Clear1 | NULL | NULL | iobs/Clear1.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobs/IOREQ | WarpSE_COPY_0_COPY_0 | 2155873536 | 13 | 1 +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobs/IOREQ | WarpSE_COPY_0_COPY_0 | 2155873536 | 12 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9761 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9491 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9765 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9495 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9774 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +NODE | iobs/IOACTr | 9506 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9724 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | iobs/Once | 9447 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9850 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | iobs/ALE1 | 9574 | ? | 555 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | A_FSB_20_IBUF | 9418 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | EXP26_.EXP | 10268 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP26_.EXP | EXP26_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRAMUWE_OBUF.EXP | 10524 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.EXP | nRAMUWE_OBUF | 4 | 0 | MC_EXPORT +NODE | cnt/nBR_IOB.EXP | 10269 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nBR_IOB.EXP | cnt/nBR_IOB | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP34_.EXP | 10525 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP34_.EXP | EXP34_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/IOREQ | 9812 | ? | 522 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOREQ.Q | iobs/IOREQ | 1 | 0 | MC_UIM +NODE | iobs/IOREQ | 9539 | ? | 573 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOREQ.Q | iobs/IOREQ | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | iobs/IOREQ.SI | iobs/IOREQ | 0 | 12 | 2 +SIGNAL_INSTANCE | iobs/IOREQ.SI | iobs/IOREQ | 0 | 11 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9761 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9491 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9765 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9495 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9774 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +NODE | iobs/IOACTr | 9506 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9724 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | iobs/Once | 9447 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9850 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | iobs/ALE1 | 9574 | ? | 555 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | A_FSB_20_IBUF | 9418 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | EXP26_.EXP | 10268 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP26_.EXP | EXP26_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRAMUWE_OBUF.EXP | 10524 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.EXP | nRAMUWE_OBUF | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP34_.EXP | 10525 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP34_.EXP | EXP34_ | 4 | 0 | MC_EXPORT +NODE | cnt/nBR_IOB.EXP | 10269 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nBR_IOB.EXP | cnt/nBR_IOB | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/IOREQ.D1 | 10267 | ? | 497 | 4096 | iobs/IOREQ | NULL | NULL | iobs/IOREQ.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/IOREQ.D1 | 10045 | ? | 550 | 4096 | iobs/IOREQ | NULL | NULL | iobs/IOREQ.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/IOREQ.D2 | 10268 | ? | 497 | 4096 | iobs/IOREQ | NULL | NULL | iobs/IOREQ.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | nRAMUWE_OBUF.EXP -SPPTERM | 1 | IV_TRUE | EXP34_.EXP +SIGNAL | NODE | iobs/IOREQ.D2 | 10046 | ? | 550 | 4096 | iobs/IOREQ | NULL | NULL | iobs/IOREQ.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP26_.EXP +SPPTERM | 1 | IV_TRUE | cnt/nBR_IOB.EXP SPPTERM | 2 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | iobs/PS_FSM_FFd1 SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/IOACTr SPPTERM | 3 | IV_TRUE | iobs/Once | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | iobs/ALE1 +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | iobs/ALE1 SPPTERM | 4 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | fsb/ASrf | IV_TRUE | iobs/ALE1 -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | iobs/ALE1 SRFF_INSTANCE | iobs/IOREQ.REG | iobs/IOREQ | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/IOREQ.D | 10266 | ? | 497 | 0 | iobs/IOREQ | NULL | NULL | iobs/IOREQ.XOR | 0 | 7 | ALU_F +NODE | iobs/IOREQ.D | 10044 | ? | 550 | 0 | iobs/IOREQ | NULL | NULL | iobs/IOREQ.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/IOREQ.Q | 10269 | ? | 522 | 0 | iobs/IOREQ | NULL | NULL | iobs/IOREQ.REG | 0 | 8 | SRFF_Q +NODE | iobs/IOREQ.Q | 10047 | ? | 573 | 0 | iobs/IOREQ | NULL | NULL | iobs/IOREQ.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB -MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RAMDIS1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 14 | 1 +MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RAMReady | WarpSE_COPY_0_COPY_0 | 2155873280 | 14 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9754 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 9487 | ? | 552 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9760 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 9490 | ? | 552 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9756 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RefUrgent | 9492 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrgent.Q | ram/RefUrgent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd3 | 9493 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9722 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9778 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | ram/BACTr | 9509 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9762 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9763 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9768 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP28_.EXP | 10493 | ? | 498 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP28_.EXP | EXP28_ | 4 | 0 | MC_EXPORT +NODE | ram/RAMEN | 9496 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP31_.EXP | 10504 | ? | 498 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP31_.EXP | EXP31_ | 4 | 0 | MC_EXPORT +NODE | EXP22_.EXP | 10237 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP22_.EXP | EXP22_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RAMDIS1 | 9813 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMDIS1.Q | ram/RAMDIS1 | 1 | 0 | MC_UIM +NODE | ram/RAMReady | 9540 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | ram/RAMReady.EXP | 10238 | ? | 533 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMReady.EXP | ram/RAMReady | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | ram/RAMDIS1.SI | ram/RAMDIS1 | 0 | 13 | 2 +SIGNAL_INSTANCE | ram/RAMReady.SI | ram/RAMReady | 0 | 13 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9754 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 9487 | ? | 552 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9760 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 9490 | ? | 552 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9756 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RefUrgent | 9492 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrgent.Q | ram/RefUrgent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd3 | 9493 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9722 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9778 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | ram/BACTr | 9509 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9762 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9763 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9768 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP28_.EXP | 10493 | ? | 498 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP28_.EXP | EXP28_ | 4 | 0 | MC_EXPORT +NODE | ram/RAMEN | 9496 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP31_.EXP | 10504 | ? | 498 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP31_.EXP | EXP31_ | 4 | 0 | MC_EXPORT +NODE | EXP22_.EXP | 10237 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP22_.EXP | EXP22_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RAMDIS1.D1 | 10271 | ? | 498 | 4096 | ram/RAMDIS1 | NULL | NULL | ram/RAMDIS1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RAMReady.D1 | 10049 | ? | 551 | 4096 | ram/RAMReady | NULL | NULL | ram/RAMReady.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RAMDIS1.D2 | 10272 | ? | 498 | 4096 | ram/RAMDIS1 | NULL | NULL | ram/RAMDIS1.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP28_.EXP -SPPTERM | 1 | IV_TRUE | EXP31_.EXP -SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd3 -SPPTERM | 2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd2 -SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/BACTr -SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf -SPPTERM | 6 | IV_FALSE | cnt/RefDone | IV_TRUE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> - -SRFF_INSTANCE | ram/RAMDIS1.REG | ram/RAMDIS1 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RAMDIS1.D | 10270 | ? | 498 | 0 | ram/RAMDIS1 | NULL | NULL | ram/RAMDIS1.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RAMDIS1.Q | 10273 | ? | 0 | 0 | ram/RAMDIS1 | NULL | NULL | ram/RAMDIS1.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | ram/RAMReady | WarpSE_COPY_0_COPY_0 | 2155873536 | 14 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9760 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9756 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9722 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9762 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9763 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9768 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9754 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9778 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK20EN_OBUF$BUF0.EXP | 10502 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | CLK20EN_OBUF$BUF0.EXP | CLK20EN_OBUF$BUF0 | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP30_.EXP | 10503 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP30_.EXP | EXP30_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RAMReady | 9814 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | ram/RAMReady.SI | ram/RAMReady | 0 | 13 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9760 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9756 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9722 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9762 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9763 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9768 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9754 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9778 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK20EN_OBUF$BUF0.EXP | 10502 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | CLK20EN_OBUF$BUF0.EXP | CLK20EN_OBUF$BUF0 | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP30_.EXP | 10503 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP30_.EXP | EXP30_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RAMReady.D1 | 10275 | ? | 499 | 4096 | ram/RAMReady | NULL | NULL | ram/RAMReady.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RAMReady.D2 | 10276 | ? | 499 | 4096 | ram/RAMReady | NULL | NULL | ram/RAMReady.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd2 -SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd3 -SPPTERM | 1 | IV_TRUE | CLK20EN_OBUF$BUF0.EXP -SPPTERM | 1 | IV_TRUE | EXP30_.EXP -SPPTERM | 4 | IV_FALSE | cnt/RefDone | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> -SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr -SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf +SIGNAL | NODE | ram/RAMReady.D2 | 10050 | ? | 551 | 4096 | ram/RAMReady | NULL | NULL | ram/RAMReady.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP22_.EXP +SPPTERM | 4 | IV_TRUE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RefUrgent | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RefUrgent | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | ram/BACTr +SPPTERM | 5 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RefUrgent | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | fsb/ASrf +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | ram/RAMReady.EXP | 10226 | ? | 533 | 0 | ram/RAMReady | NULL | NULL | ram/RAMReady.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RAMEN +SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RAMEN | IV_TRUE | fsb/ASrf SRFF_INSTANCE | ram/RAMReady.REG | ram/RAMReady | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RAMReady.D | 10274 | ? | 499 | 0 | ram/RAMReady | NULL | NULL | ram/RAMReady.XOR | 0 | 7 | ALU_F +NODE | ram/RAMReady.D | 10048 | ? | 551 | 0 | ram/RAMReady | NULL | NULL | ram/RAMReady.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RAMReady.Q | 10277 | ? | 467 | 0 | ram/RAMReady | NULL | NULL | ram/RAMReady.REG | 0 | 8 | SRFF_Q +NODE | ram/RAMReady.Q | 10051 | ? | 531 | 0 | ram/RAMReady | NULL | NULL | ram/RAMReady.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_1_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<1> | 9923 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<1> | 9645 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_1_IBUF | 9815 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_1_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_1_IBUF | 9541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_1_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_2_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<2> | 9924 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<2> | 9646 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_2_IBUF | 9816 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_2_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_2_IBUF | 9542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_2_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_3_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<3> | 9925 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<3> | 9647 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_3_IBUF | 9817 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_3_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_3_IBUF | 9543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_3_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_4_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<4> | 9926 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<4> | 9648 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_4_IBUF | 9818 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_4_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_4_IBUF | 9544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_4_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_5_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<5> | 9927 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<5> | 9649 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_5_IBUF | 9819 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_5_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_5_IBUF | 9545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_5_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_6_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<6> | 9928 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<6> | 9650 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_6_IBUF | 9820 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_6_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_6_IBUF | 9546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_6_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_7_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<7> | 9929 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<7> | 9651 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_7_IBUF | 9821 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_7_IBUF | 9547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX + +MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RefRAS | WarpSE_COPY_0_COPY_0 | 2155873280 | 3 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9487 | ? | 552 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9490 | ? | 552 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ram/RefRAS | 9548 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefRAS.Q | ram/RefRAS | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | ram/RefRAS.SI | ram/RefRAS | 0 | 2 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9487 | ? | 552 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9490 | ? | 552 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RefRAS.D1 | 10053 | ? | 552 | 4096 | ram/RefRAS | NULL | NULL | ram/RefRAS.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RefRAS.D2 | 10054 | ? | 552 | 4096 | ram/RefRAS | NULL | NULL | ram/RefRAS.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd2 + +SRFF_INSTANCE | ram/RefRAS.REG | ram/RefRAS | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ram/RefRAS.D | 10052 | ? | 552 | 0 | ram/RefRAS | NULL | NULL | ram/RefRAS.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ram/RefRAS.Q | 10055 | ? | 0 | 0 | ram/RefRAS | NULL | NULL | ram/RefRAS.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RegUrgentSync | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefUrgent | 9521 | ? | 553 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefUrgent.Q | cnt/RefUrgent | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ram/RegUrgentSync | 9549 | ? | 537 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RegUrgentSync.Q | ram/RegUrgentSync | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | ram/RegUrgentSync.SI | ram/RegUrgentSync | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefUrgent | 9521 | ? | 553 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefUrgent.Q | cnt/RefUrgent | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RegUrgentSync.D1 | 10057 | ? | 553 | 4096 | ram/RegUrgentSync | NULL | NULL | ram/RegUrgentSync.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RegUrgentSync.D2 | 10058 | ? | 553 | 4096 | ram/RegUrgentSync | NULL | NULL | ram/RegUrgentSync.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | cnt/RefUrgent + +SRFF_INSTANCE | ram/RegUrgentSync.REG | ram/RegUrgentSync | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ram/RegUrgentSync.D | 10056 | ? | 553 | 0 | ram/RegUrgentSync | NULL | NULL | ram/RegUrgentSync.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ram/RegUrgentSync.Q | 10059 | ? | 537 | 0 | ram/RegUrgentSync | NULL | NULL | ram/RegUrgentSync.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB MACROCELL_INSTANCE | OptxMapped | RA_0_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9698 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_10_IBUF | 9414 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9755 | ? | 500 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 9483 | ? | 554 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_1_IBUF | 9815 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_1_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_1_IBUF | 9541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_1_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_0_OBUF | 9822 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_0_OBUF.Q | RA_0_OBUF | 0 | 0 | MC_Q +NODE | RA_0_OBUF | 9550 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_0_OBUF.Q | RA_0_OBUF | 0 | 0 | MC_Q SIGNAL_INSTANCE | RA_0_OBUF.SI | RA_0_OBUF | 0 | 3 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9698 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_10_IBUF | 9414 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9755 | ? | 500 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 9483 | ? | 554 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_1_IBUF | 9815 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_1_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_1_IBUF | 9541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_1_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_0_OBUF.D1 | 10279 | ? | 0 | 4096 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_0_OBUF.D1 | 10061 | ? | 0 | 4096 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_0_OBUF.D2 | 10280 | ? | 0 | 4096 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_0_OBUF.D2 | 10062 | ? | 0 | 4096 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | ram/RASEL SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_1_IBUF SRFF_INSTANCE | RA_0_OBUF.REG | RA_0_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_0_OBUF.D | 10278 | ? | 0 | 0 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.XOR | 0 | 7 | ALU_F +NODE | RA_0_OBUF.D | 10060 | ? | 0 | 0 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_0_OBUF.Q | 10281 | ? | 0 | 0 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.REG | 0 | 8 | SRFF_Q +NODE | RA_0_OBUF.Q | 10063 | ? | 0 | 0 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | OptxMapped | RA_1_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 11 | 2 +MACROCELL_INSTANCE | OptxMapped | RA_1_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9697 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_11_IBUF | 9413 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9755 | ? | 500 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 9483 | ? | 554 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_2_IBUF | 9816 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_2_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9722 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9754 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9760 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9762 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9763 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9768 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +NODE | A_FSB_2_IBUF | 9542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_2_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_1_OBUF | 9823 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.Q | RA_1_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | RA_1_OBUF.EXP | 10494 | ? | 498 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.EXP | RA_1_OBUF | 4 | 0 | MC_EXPORT +NODE | RA_1_OBUF | 9551 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.Q | RA_1_OBUF | 0 | 0 | MC_Q -SIGNAL_INSTANCE | RA_1_OBUF.SI | RA_1_OBUF | 0 | 11 | 3 +SIGNAL_INSTANCE | RA_1_OBUF.SI | RA_1_OBUF | 0 | 3 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9697 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_11_IBUF | 9413 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9755 | ? | 500 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 9483 | ? | 554 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_2_IBUF | 9816 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_2_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9722 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9754 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9760 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9762 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9763 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9768 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +NODE | A_FSB_2_IBUF | 9542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_2_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_1_OBUF.D1 | 10283 | ? | 0 | 4096 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_1_OBUF.D1 | 10065 | ? | 0 | 4096 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_1_OBUF.D2 | 10284 | ? | 0 | 4096 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_1_OBUF.D2 | 10066 | ? | 0 | 4096 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | A_FSB_11_IBUF | IV_FALSE | ram/RASEL SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_2_IBUF -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | RA_1_OBUF.EXP | 10482 | ? | 498 | 0 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 8 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | cnt/RefDone | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> -SPPTERM | 8 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> SRFF_INSTANCE | RA_1_OBUF.REG | RA_1_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_1_OBUF.D | 10282 | ? | 0 | 0 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.XOR | 0 | 7 | ALU_F +NODE | RA_1_OBUF.D | 10064 | ? | 0 | 0 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_1_OBUF.Q | 10285 | ? | 0 | 0 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.REG | 0 | 8 | SRFF_Q +NODE | RA_1_OBUF.Q | 10067 | ? | 0 | 0 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | OptxMapped | RA_2_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 11 | 2 +MACROCELL_INSTANCE | OptxMapped | RA_2_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 4 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_12_IBUF | 9412 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | ram/RASEL | 9483 | ? | 554 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9704 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_3_IBUF | 9543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_3_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9719 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | SW_1_IBUF | 9795 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | SW_1_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9694 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9695 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_6_OBUF.EXP | 10472 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.EXP | RA_6_OBUF | 4 | 0 | MC_EXPORT +NODE | A_FSB_7_IBUF | 9547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_2_OBUF | 9824 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.Q | RA_2_OBUF | 0 | 0 | MC_Q +NODE | RA_2_OBUF | 9552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.Q | RA_2_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | RA_2_OBUF.EXP | 10471 | ? | 483 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.EXP | RA_2_OBUF | 4 | 0 | MC_EXPORT +NODE | RA_2_OBUF.EXP | 10235 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.EXP | RA_2_OBUF | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | RA_2_OBUF.SI | RA_2_OBUF | 0 | 11 | 3 +SIGNAL_INSTANCE | RA_2_OBUF.SI | RA_2_OBUF | 0 | 4 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_12_IBUF | 9412 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | ram/RASEL | 9483 | ? | 554 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9704 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_3_IBUF | 9543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_3_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9719 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | SW_1_IBUF | 9795 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | SW_1_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9694 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9695 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_6_OBUF.EXP | 10472 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.EXP | RA_6_OBUF | 4 | 0 | MC_EXPORT +NODE | A_FSB_7_IBUF | 9547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_2_OBUF.D1 | 10287 | ? | 0 | 4096 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_2_OBUF.D1 | 10069 | ? | 0 | 4096 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_2_OBUF.D2 | 10288 | ? | 0 | 4096 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | RA_6_OBUF.EXP +SIGNAL | NODE | RA_2_OBUF.D2 | 10070 | ? | 0 | 4096 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | A_FSB_12_IBUF | IV_FALSE | ram/RASEL +SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_3_IBUF OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | RA_2_OBUF.EXP | 10459 | ? | 483 | 0 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_18_IBUF -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | nWE_FSB_IBUF -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_TRUE | SW_1_IBUF -SPPTERM | 4 | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 +SIGNAL | NODE | RA_2_OBUF.EXP | 10223 | ? | 0 | 0 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_7_IBUF SRFF_INSTANCE | RA_2_OBUF.REG | RA_2_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_2_OBUF.D | 10286 | ? | 0 | 0 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.XOR | 0 | 7 | ALU_F +NODE | RA_2_OBUF.D | 10068 | ? | 0 | 0 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_2_OBUF.Q | 10289 | ? | 0 | 0 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.REG | 0 | 8 | SRFF_Q +NODE | RA_2_OBUF.Q | 10071 | ? | 0 | 0 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | OptxMapped | RA_3_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 5 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9695 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 9411 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9755 | ? | 500 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 9483 | ? | 554 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_4_IBUF | 9818 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_4_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_4_IBUF | 9544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_4_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9693 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_15_IBUF | 9409 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_6_IBUF | 9820 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_6_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_6_IBUF | 9546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_6_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_3_OBUF | 9825 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_3_OBUF.Q | RA_3_OBUF | 0 | 0 | MC_Q +NODE | RA_3_OBUF | 9553 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_3_OBUF.Q | RA_3_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | RA_3_OBUF.EXP | 10469 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_3_OBUF.EXP | RA_3_OBUF | 4 | 0 | MC_EXPORT +NODE | RA_3_OBUF.EXP | 10233 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_3_OBUF.EXP | RA_3_OBUF | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | RA_3_OBUF.SI | RA_3_OBUF | 0 | 5 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9695 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 9411 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9755 | ? | 500 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 9483 | ? | 554 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_4_IBUF | 9818 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_4_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_4_IBUF | 9544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_4_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9693 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_15_IBUF | 9409 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_6_IBUF | 9820 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_6_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_6_IBUF | 9546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_6_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_3_OBUF.D1 | 10291 | ? | 0 | 4096 | RA_3_OBUF | NULL | NULL | RA_3_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_3_OBUF.D1 | 10073 | ? | 0 | 4096 | RA_3_OBUF | NULL | NULL | RA_3_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_3_OBUF.D2 | 10292 | ? | 0 | 4096 | RA_3_OBUF | NULL | NULL | RA_3_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_3_OBUF.D2 | 10074 | ? | 0 | 4096 | RA_3_OBUF | NULL | NULL | RA_3_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | ram/RASEL SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_4_IBUF OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | RA_3_OBUF.EXP | 10457 | ? | 0 | 0 | RA_3_OBUF | NULL | NULL | RA_3_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | RA_3_OBUF.EXP | 10221 | ? | 0 | 0 | RA_3_OBUF | NULL | NULL | RA_3_OBUF.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 2 | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | ram/RASEL SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_6_IBUF SRFF_INSTANCE | RA_3_OBUF.REG | RA_3_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_3_OBUF.D | 10290 | ? | 0 | 0 | RA_3_OBUF | NULL | NULL | RA_3_OBUF.XOR | 0 | 7 | ALU_F +NODE | RA_3_OBUF.D | 10072 | ? | 0 | 0 | RA_3_OBUF | NULL | NULL | RA_3_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_3_OBUF.Q | 10293 | ? | 0 | 0 | RA_3_OBUF | NULL | NULL | RA_3_OBUF.REG | 0 | 8 | SRFF_Q +NODE | RA_3_OBUF.Q | 10075 | ? | 0 | 0 | RA_3_OBUF | NULL | NULL | RA_3_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | OptxMapped | RA_4_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 11 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9694 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 9410 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9755 | ? | 500 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 9483 | ? | 554 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_5_IBUF | 9819 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_5_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9724 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | SW_1_IBUF | 9795 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | SW_1_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd1 | 9487 | ? | 552 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9695 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | ram/RAMEN | 9496 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | cs/nOverlay0.EXP | 10231 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.EXP | cs/nOverlay0 | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_4_OBUF | 9826 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.Q | RA_4_OBUF | 0 | 0 | MC_Q +NODE | RA_4_OBUF | 9554 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.Q | RA_4_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | RA_4_OBUF.EXP | 10468 | ? | 440 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.EXP | RA_4_OBUF | 4 | 0 | MC_EXPORT +NODE | RA_4_OBUF.EXP | 10232 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.EXP | RA_4_OBUF | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | RA_4_OBUF.SI | RA_4_OBUF | 0 | 11 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9694 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 9410 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9755 | ? | 500 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 9483 | ? | 554 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_5_IBUF | 9819 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_5_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9724 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | SW_1_IBUF | 9795 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | SW_1_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd1 | 9487 | ? | 552 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9695 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | ram/RAMEN | 9496 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | cs/nOverlay0.EXP | 10231 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.EXP | cs/nOverlay0 | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_4_OBUF.D1 | 10295 | ? | 0 | 4096 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_4_OBUF.D1 | 10077 | ? | 0 | 4096 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_4_OBUF.D2 | 10296 | ? | 0 | 4096 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_4_OBUF.D2 | 10078 | ? | 0 | 4096 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | cs/nOverlay0.EXP SPPTERM | 2 | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | ram/RASEL -SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_5_IBUF OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | RA_4_OBUF.EXP | 10456 | ? | 440 | 0 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | iobs/Once | IV_TRUE | SW_1_IBUF -SPPTERM | 5 | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/Once -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/Once | IV_TRUE | cs/nOverlay1 +SIGNAL | NODE | RA_4_OBUF.EXP | 10220 | ? | 540 | 0 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RAMEN +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RAMEN | IV_TRUE | fsb/ASrf +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RAMEN +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RAMEN | IV_TRUE | fsb/ASrf SRFF_INSTANCE | RA_4_OBUF.REG | RA_4_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_4_OBUF.D | 10294 | ? | 0 | 0 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.XOR | 0 | 7 | ALU_F +NODE | RA_4_OBUF.D | 10076 | ? | 0 | 0 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_4_OBUF.Q | 10297 | ? | 0 | 0 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.REG | 0 | 8 | SRFF_Q +NODE | RA_4_OBUF.Q | 10079 | ? | 0 | 0 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | OptxMapped | RA_5_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 8 | 2 +MACROCELL_INSTANCE | OptxMapped | RA_5_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 10 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd2 | 9490 | ? | 552 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | ram/RefUrgent | 9492 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrgent.Q | ram/RefUrgent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd3 | 9493 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9703 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9705 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9706 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_3_OBUF.EXP | 10469 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_3_OBUF.EXP | RA_3_OBUF | 4 | 0 | MC_EXPORT +NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RA_3_OBUF.EXP | 10233 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_3_OBUF.EXP | RA_3_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_5_OBUF | 9827 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.Q | RA_5_OBUF | 0 | 0 | MC_Q +NODE | RA_5_OBUF | 9555 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.Q | RA_5_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | RA_5_OBUF.EXP | 10470 | ? | 483 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.EXP | RA_5_OBUF | 4 | 0 | MC_EXPORT +NODE | RA_5_OBUF.EXP | 10234 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.EXP | RA_5_OBUF | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | RA_5_OBUF.SI | RA_5_OBUF | 0 | 8 | 3 +SIGNAL_INSTANCE | RA_5_OBUF.SI | RA_5_OBUF | 0 | 10 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd2 | 9490 | ? | 552 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | ram/RefUrgent | 9492 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrgent.Q | ram/RefUrgent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd3 | 9493 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9703 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9705 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9706 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_3_OBUF.EXP | 10469 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_3_OBUF.EXP | RA_3_OBUF | 4 | 0 | MC_EXPORT +NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RA_3_OBUF.EXP | 10233 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_3_OBUF.EXP | RA_3_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_5_OBUF.D1 | 10299 | ? | 0 | 4096 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_5_OBUF.D1 | 10081 | ? | 0 | 4096 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_5_OBUF.D2 | 10300 | ? | 0 | 4096 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_5_OBUF.D2 | 10082 | ? | 0 | 4096 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | RA_3_OBUF.EXP OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | RA_5_OBUF.EXP | 10458 | ? | 483 | 0 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_19_IBUF -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_17_IBUF -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_16_IBUF +SIGNAL | NODE | RA_5_OBUF.EXP | 10222 | ? | 535 | 0 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 5 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RefUrgent | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | fsb/ASrf +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | fsb/ASrf +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | fsb/ASrf SRFF_INSTANCE | RA_5_OBUF.REG | RA_5_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_5_OBUF.D | 10298 | ? | 0 | 0 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.XOR | 0 | 7 | ALU_F +NODE | RA_5_OBUF.D | 10080 | ? | 0 | 0 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_5_OBUF.Q | 10301 | ? | 0 | 0 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.REG | 0 | 8 | SRFF_Q +NODE | RA_5_OBUF.Q | 10083 | ? | 0 | 0 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | OptxMapped | RA_6_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 5 | 2 +MACROCELL_INSTANCE | OptxMapped | RA_6_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 12 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9706 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 9422 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9755 | ? | 500 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 9483 | ? | 554 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_7_IBUF | 9821 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9696 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_3_IBUF | 9817 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_3_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd2 | 9490 | ? | 552 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefUrgent | 9492 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrgent.Q | ram/RefUrgent | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9493 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefReq | 9510 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMEN | 9496 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RA_2_OBUF.EXP | 10235 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.EXP | RA_2_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_6_OBUF | 9828 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.Q | RA_6_OBUF | 0 | 0 | MC_Q +NODE | RA_6_OBUF | 9556 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.Q | RA_6_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | RA_6_OBUF.EXP | 10472 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.EXP | RA_6_OBUF | 4 | 0 | MC_EXPORT +NODE | RA_6_OBUF.EXP | 10236 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.EXP | RA_6_OBUF | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | RA_6_OBUF.SI | RA_6_OBUF | 0 | 5 | 3 +SIGNAL_INSTANCE | RA_6_OBUF.SI | RA_6_OBUF | 0 | 12 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9706 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 9422 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9755 | ? | 500 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 9483 | ? | 554 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_7_IBUF | 9821 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9696 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_3_IBUF | 9817 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_3_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd2 | 9490 | ? | 552 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefUrgent | 9492 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrgent.Q | ram/RefUrgent | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9493 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefReq | 9510 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMEN | 9496 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RA_2_OBUF.EXP | 10235 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.EXP | RA_2_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_6_OBUF.D1 | 10303 | ? | 0 | 4096 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_6_OBUF.D1 | 10085 | ? | 0 | 4096 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_6_OBUF.D2 | 10304 | ? | 0 | 4096 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_6_OBUF.D2 | 10086 | ? | 0 | 4096 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | RA_2_OBUF.EXP SPPTERM | 2 | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | ram/RASEL -SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_7_IBUF OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | RA_6_OBUF.EXP | 10460 | ? | 0 | 0 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 2 | IV_TRUE | A_FSB_12_IBUF | IV_FALSE | ram/RASEL -SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_3_IBUF +SIGNAL | NODE | RA_6_OBUF.EXP | 10224 | ? | 551 | 0 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RefUrgent | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefReq +SPPTERM | 6 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RefUrgent | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefReq +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RefUrgent | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RAMEN +SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RefUrgent | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RAMEN SRFF_INSTANCE | RA_6_OBUF.REG | RA_6_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_6_OBUF.D | 10302 | ? | 0 | 0 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.XOR | 0 | 7 | ALU_F +NODE | RA_6_OBUF.D | 10084 | ? | 0 | 0 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_6_OBUF.Q | 10305 | ? | 0 | 0 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.REG | 0 | 8 | SRFF_Q +NODE | RA_6_OBUF.Q | 10087 | ? | 0 | 0 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | OptxMapped | RA_7_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 14 | 2 +MACROCELL_INSTANCE | OptxMapped | RA_7_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9705 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 9421 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9755 | ? | 500 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 9483 | ? | 554 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9692 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9722 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9754 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9760 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9762 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9763 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9768 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | A_FSB_8_IBUF | 9408 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_7_OBUF | 9829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_7_OBUF.Q | RA_7_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | RA_7_OBUF.EXP | 10496 | ? | 471 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_7_OBUF.EXP | RA_7_OBUF | 4 | 0 | MC_EXPORT +NODE | RA_7_OBUF | 9557 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_7_OBUF.Q | RA_7_OBUF | 0 | 0 | MC_Q -SIGNAL_INSTANCE | RA_7_OBUF.SI | RA_7_OBUF | 0 | 14 | 3 +SIGNAL_INSTANCE | RA_7_OBUF.SI | RA_7_OBUF | 0 | 3 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9705 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 9421 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9755 | ? | 500 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 9483 | ? | 554 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9692 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9722 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9754 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9760 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9762 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9763 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9768 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | A_FSB_8_IBUF | 9408 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_7_OBUF.D1 | 10307 | ? | 0 | 4096 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_7_OBUF.D1 | 10089 | ? | 0 | 4096 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_7_OBUF.D2 | 10308 | ? | 0 | 4096 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_7_OBUF.D2 | 10090 | ? | 0 | 4096 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | ram/RASEL SPPTERM | 2 | IV_TRUE | A_FSB_17_IBUF | IV_FALSE | ram/RASEL -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | RA_7_OBUF.EXP | 10484 | ? | 471 | 0 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 11 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_TRUE | fsb/ASrf SRFF_INSTANCE | RA_7_OBUF.REG | RA_7_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_7_OBUF.D | 10306 | ? | 0 | 0 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.XOR | 0 | 7 | ALU_F +NODE | RA_7_OBUF.D | 10088 | ? | 0 | 0 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_7_OBUF.Q | 10309 | ? | 0 | 0 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.REG | 0 | 8 | SRFF_Q +NODE | RA_7_OBUF.Q | 10091 | ? | 0 | 0 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | OptxMapped | RA_8_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 7 | 1 +MACROCELL_INSTANCE | OptxMapped | RA_8_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 8 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9704 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 9420 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9755 | ? | 500 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 9483 | ? | 554 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimeoutBPre.EXP | 10497 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimeoutBPre.EXP | cnt/TimeoutBPre | 4 | 0 | MC_EXPORT +NODE | cnt/LTimer<7>.EXP | 10246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.EXP | cnt/LTimer<7> | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF$BUF0.EXP | 10247 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_21_IBUF$BUF0.EXP | A_FSB_21_IBUF$BUF0 | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_8_OBUF | 9830 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_8_OBUF.Q | RA_8_OBUF | 0 | 0 | MC_Q +NODE | RA_8_OBUF | 9558 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_8_OBUF.Q | RA_8_OBUF | 0 | 0 | MC_Q -SIGNAL_INSTANCE | RA_8_OBUF.SI | RA_8_OBUF | 0 | 7 | 2 +SIGNAL_INSTANCE | RA_8_OBUF.SI | RA_8_OBUF | 0 | 8 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9704 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 9420 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9755 | ? | 500 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 9483 | ? | 554 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimeoutBPre.EXP | 10497 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimeoutBPre.EXP | cnt/TimeoutBPre | 4 | 0 | MC_EXPORT +NODE | cnt/LTimer<7>.EXP | 10246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.EXP | cnt/LTimer<7> | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF$BUF0.EXP | 10247 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_21_IBUF$BUF0.EXP | A_FSB_21_IBUF$BUF0 | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_8_OBUF.D1 | 10311 | ? | 0 | 4096 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_8_OBUF.D1 | 10093 | ? | 0 | 4096 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_8_OBUF.D2 | 10312 | ? | 0 | 4096 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | cnt/TimeoutBPre.EXP +SIGNAL | NODE | RA_8_OBUF.D2 | 10094 | ? | 0 | 4096 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | cnt/LTimer<7>.EXP +SPPTERM | 1 | IV_TRUE | A_FSB_21_IBUF$BUF0.EXP SPPTERM | 2 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_18_IBUF SPPTERM | 2 | IV_TRUE | A_FSB_18_IBUF | IV_FALSE | ram/RASEL SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_18_IBUF @@ -5455,3212 +5677,2259 @@ SPPTERM | 3 | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_FALSE | cs SRFF_INSTANCE | RA_8_OBUF.REG | RA_8_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_8_OBUF.D | 10310 | ? | 0 | 0 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.XOR | 0 | 7 | ALU_F +NODE | RA_8_OBUF.D | 10092 | ? | 0 | 0 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_8_OBUF.Q | 10313 | ? | 0 | 0 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.REG | 0 | 8 | SRFF_Q +NODE | RA_8_OBUF.Q | 10095 | ? | 0 | 0 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | OptxMapped | RA_9_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 10 | 2 +MACROCELL_INSTANCE | OptxMapped | RA_9_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 9419 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9756 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RASEL | 9483 | ? | 554 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9760 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9763 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK25EN_OBUF.EXP | 10500 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | CLK25EN_OBUF.EXP | CLK25EN_OBUF | 4 | 0 | MC_EXPORT +NODE | A_FSB_20_IBUF | 9418 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_9_OBUF | 9831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_9_OBUF.Q | RA_9_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | RA_9_OBUF.EXP | 10499 | ? | 470 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_9_OBUF.EXP | RA_9_OBUF | 4 | 0 | MC_EXPORT +NODE | RA_9_OBUF | 9559 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_9_OBUF.Q | RA_9_OBUF | 0 | 0 | MC_Q -SIGNAL_INSTANCE | RA_9_OBUF.SI | RA_9_OBUF | 0 | 10 | 3 +SIGNAL_INSTANCE | RA_9_OBUF.SI | RA_9_OBUF | 0 | 3 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 9419 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9756 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RASEL | 9483 | ? | 554 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9760 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9763 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK25EN_OBUF.EXP | 10500 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | CLK25EN_OBUF.EXP | CLK25EN_OBUF | 4 | 0 | MC_EXPORT +NODE | A_FSB_20_IBUF | 9418 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_9_OBUF.D1 | 10315 | ? | 0 | 4096 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_9_OBUF.D1 | 10097 | ? | 0 | 4096 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_9_OBUF.D2 | 10316 | ? | 0 | 4096 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | CLK25EN_OBUF.EXP -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | RA_9_OBUF.EXP | 10487 | ? | 470 | 0 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 5 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | cnt/RefCnt<6> | IV_FALSE | fsb/ASrf -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | fsb/ASrf -SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 -SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | fsb/ASrf +SIGNAL | NODE | RA_9_OBUF.D2 | 10098 | ? | 0 | 4096 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | ram/RASEL +SPPTERM | 2 | IV_TRUE | A_FSB_19_IBUF | IV_FALSE | ram/RASEL SRFF_INSTANCE | RA_9_OBUF.REG | RA_9_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_9_OBUF.D | 10314 | ? | 0 | 0 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.XOR | 0 | 7 | ALU_F +NODE | RA_9_OBUF.D | 10096 | ? | 0 | 0 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_9_OBUF.Q | 10317 | ? | 0 | 0 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.REG | 0 | 8 | SRFF_Q +NODE | RA_9_OBUF.Q | 10099 | ? | 0 | 0 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | OptxMapped | nBERR_FSB_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 14 | 2 +MACROCELL_INSTANCE | Inv+OptxMapped | nDoutOE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | cnt/AoutOE | 9480 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/AoutOE.Q | cnt/AoutOE | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/BERR | 9726 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/BERR.Q | iobs/BERR | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimeoutB | 9731 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimeoutB.Q | cnt/TimeoutB | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR0r | 9733 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR1r | 9734 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | SW_1_IBUF | 9795 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | SW_1_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9694 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9695 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP35_.EXP | 10526 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP35_.EXP | EXP35_ | 4 | 0 | MC_EXPORT +NODE | iobm/DoutOE | 9529 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.Q | iobm/DoutOE | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nBERR_FSB_OBUF | 9832 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nBERR_FSB_OBUF.EXP | 10527 | ? | 460 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.EXP | nBERR_FSB_OBUF | 4 | 0 | MC_EXPORT +NODE | nDoutOE_OBUF | 9560 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDoutOE_OBUF.Q | nDoutOE_OBUF | 0 | 0 | MC_Q -SIGNAL_INSTANCE | nBERR_FSB_OBUF.SI | nBERR_FSB_OBUF | 0 | 14 | 3 +SIGNAL_INSTANCE | nDoutOE_OBUF.SI | nDoutOE_OBUF | 0 | 2 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | cnt/AoutOE | 9480 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/AoutOE.Q | cnt/AoutOE | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/BERR | 9726 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/BERR.Q | iobs/BERR | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimeoutB | 9731 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimeoutB.Q | cnt/TimeoutB | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR0r | 9733 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR1r | 9734 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | SW_1_IBUF | 9795 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | SW_1_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9694 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9695 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP35_.EXP | 10526 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP35_.EXP | EXP35_ | 4 | 0 | MC_EXPORT +NODE | iobm/DoutOE | 9529 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.Q | iobm/DoutOE | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nBERR_FSB_OBUF.D1 | 10319 | ? | 0 | 4096 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nDoutOE_OBUF.D1 | 10101 | ? | 0 | 4096 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nBERR_FSB_OBUF.D2 | 10320 | ? | 0 | 4096 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | nAS_FSB_IBUF -SPPTERM | 1 | IV_TRUE | EXP35_.EXP -SPPTERM | 4 | IV_FALSE | iobs/BERR | IV_FALSE | cnt/TimeoutB | IV_FALSE | fsb/BERR0r | IV_FALSE | fsb/BERR1r -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nBERR_FSB_OBUF.EXP | 10514 | ? | 460 | 0 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_TRUE | SW_1_IBUF -SPPTERM | 4 | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 +SIGNAL | NODE | nDoutOE_OBUF.D2 | 10102 | ? | 0 | 4096 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_FALSE | cnt/AoutOE | IV_TRUE | iobm/DoutOE -SRFF_INSTANCE | nBERR_FSB_OBUF.REG | nBERR_FSB_OBUF | 0 | 1 | 1 +SRFF_INSTANCE | nDoutOE_OBUF.REG | nDoutOE_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nBERR_FSB_OBUF.D | 10318 | ? | 0 | 0 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.XOR | 0 | 7 | ALU_F +NODE | nDoutOE_OBUF.D | 10100 | ? | 0 | 0 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nBERR_FSB_OBUF.Q | 10321 | ? | 0 | 0 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nDoutOE_OBUF.Q | 10103 | ? | 0 | 0 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+OptxMapped | nOE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 9 | 2 +MACROCELL_INSTANCE | Inv+OptxMapped | nOE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 11 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 9435 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9724 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9765 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9850 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd1 | 9487 | ? | 552 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 9490 | ? | 552 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | ram/RefUrgent | 9492 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrgent.Q | ram/RefUrgent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/nCAS.EXP | 10465 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/nCAS.EXP | ram/nCAS | 4 | 0 | MC_EXPORT +NODE | ram/RAMEN | 9496 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nOE_OBUF | 9833 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nOE_OBUF.Q | nOE_OBUF | 0 | 0 | MC_Q +NODE | nOE_OBUF | 9561 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nOE_OBUF.Q | nOE_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nOE_OBUF.EXP | 10466 | ? | 440 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nOE_OBUF.EXP | nOE_OBUF | 4 | 0 | MC_EXPORT +NODE | nOE_OBUF.EXP | 10230 | ? | 534 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nOE_OBUF.EXP | nOE_OBUF | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | nOE_OBUF.SI | nOE_OBUF | 0 | 9 | 3 +SIGNAL_INSTANCE | nOE_OBUF.SI | nOE_OBUF | 0 | 11 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 9435 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9724 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9765 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9850 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd1 | 9487 | ? | 552 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 9490 | ? | 552 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | ram/RefUrgent | 9492 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrgent.Q | ram/RefUrgent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/nCAS.EXP | 10465 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/nCAS.EXP | ram/nCAS | 4 | 0 | MC_EXPORT +NODE | ram/RAMEN | 9496 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nOE_OBUF.D1 | 10323 | ? | 0 | 4096 | nOE_OBUF | NULL | NULL | nOE_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nOE_OBUF.D1 | 10105 | ? | 0 | 4096 | nOE_OBUF | NULL | NULL | nOE_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nOE_OBUF.D2 | 10324 | ? | 0 | 4096 | nOE_OBUF | NULL | NULL | nOE_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | ram/nCAS.EXP +SIGNAL | NODE | nOE_OBUF.D2 | 10106 | ? | 0 | 4096 | nOE_OBUF | NULL | NULL | nOE_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nOE_OBUF.EXP | 10454 | ? | 440 | 0 | nOE_OBUF | NULL | NULL | nOE_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 3 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Once | IV_TRUE | iobs/PS_FSM_FFd1 -SPPTERM | 3 | IV_FALSE | iobs/Once | IV_TRUE | iobs/PS_FSM_FFd1 | IV_FALSE | iobs/ALE1 -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | iobs/Once -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | iobs/Once | IV_FALSE | cs/nOverlay1 -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | iobs/Once +SIGNAL | NODE | nOE_OBUF.EXP | 10218 | ? | 534 | 0 | nOE_OBUF | NULL | NULL | nOE_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RefUrgent | IV_FALSE | ram/RAMEN | IV_TRUE | fsb/ASrf +SPPTERM | 9 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RefUrgent | IV_FALSE | ram/RAMEN +SPPTERM | 9 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RefUrgent | IV_FALSE | ram/RAMEN | IV_TRUE | fsb/ASrf SRFF_INSTANCE | nOE_OBUF.REG | nOE_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nOE_OBUF.D | 10322 | ? | 0 | 0 | nOE_OBUF | NULL | NULL | nOE_OBUF.XOR | 0 | 7 | ALU_F +NODE | nOE_OBUF.D | 10104 | ? | 0 | 0 | nOE_OBUF | NULL | NULL | nOE_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nOE_OBUF.Q | 10325 | ? | 0 | 0 | nOE_OBUF | NULL | NULL | nOE_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nOE_OBUF.Q | 10107 | ? | 0 | 0 | nOE_OBUF | NULL | NULL | nOE_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+OptxMapped | nROMWE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 26 | 2 +MACROCELL_INSTANCE | Inv+OptxMapped | nROMWE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 18 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9719 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 9435 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9694 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 9410 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9418 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9703 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 9419 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9704 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 9420 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9705 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 9421 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9706 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 9422 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9736 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +NODE | iobs/Once | 9447 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA | 9738 | ? | 455 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +NODE | iobs/IORW0 | 9456 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW0.Q | iobs/IORW0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9743 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9491 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9850 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9495 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$FX_DC$591.UIM | 9891 | ? | 455 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$591.Q | $OpTx$FX_DC$591 | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9695 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | iobs/ALE1 | 9574 | ? | 555 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9691 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9693 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9696 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9697 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9698 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimeoutA | 9730 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimeoutA.Q | cnt/TimeoutA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready2r | 9737 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9692 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 9411 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nROMWE_OBUF | 9834 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.Q | nROMWE_OBUF | 0 | 0 | MC_Q +NODE | nROMWE_OBUF | 9562 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.Q | nROMWE_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nROMWE_OBUF.EXP | 10445 | ? | 455 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.EXP | nROMWE_OBUF | 4 | 0 | MC_EXPORT +NODE | nROMWE_OBUF.EXP | 10214 | ? | 514 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.EXP | nROMWE_OBUF | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | nROMWE_OBUF.SI | nROMWE_OBUF | 0 | 26 | 3 +SIGNAL_INSTANCE | nROMWE_OBUF.SI | nROMWE_OBUF | 0 | 18 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9719 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 9435 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9694 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 9410 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9418 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9703 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 9419 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9704 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 9420 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9705 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 9421 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9706 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 9422 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9736 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +NODE | iobs/Once | 9447 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA | 9738 | ? | 455 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +NODE | iobs/IORW0 | 9456 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW0.Q | iobs/IORW0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9743 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9491 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9850 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9495 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$FX_DC$591.UIM | 9891 | ? | 455 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$591.Q | $OpTx$FX_DC$591 | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9695 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | iobs/ALE1 | 9574 | ? | 555 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9691 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9693 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9696 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9697 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9698 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimeoutA | 9730 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimeoutA.Q | cnt/TimeoutA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready2r | 9737 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9692 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 9411 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nROMWE_OBUF.D1 | 10327 | ? | 0 | 4096 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nROMWE_OBUF.D1 | 10109 | ? | 0 | 4096 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nROMWE_OBUF.D2 | 10328 | ? | 0 | 4096 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nROMWE_OBUF.D2 | 10110 | ? | 0 | 4096 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nROMWE_OBUF.EXP | 10430 | ? | 455 | 0 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 15 | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | iobs/IOReady | IV_FALSE | iobs/ALE1 | IV_FALSE | $OpTx$FX_DC$591.UIM -SPPTERM | 15 | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | iobs/IOReady | IV_FALSE | iobs/ALE1 | IV_FALSE | $OpTx$FX_DC$591.UIM -SPPTERM | 21 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | cnt/TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$FX_DC$591.UIM -SPPTERM | 21 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | cnt/TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$FX_DC$591.UIM +SIGNAL | NODE | nROMWE_OBUF.EXP | 10200 | ? | 514 | 0 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 16 | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_TRUE | iobs/IORW0 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | iobs/ALE1 +SPPTERM | 16 | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_TRUE | iobs/IORW0 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/ALE1 +SPPTERM | 16 | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_TRUE | iobs/IORW0 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | iobs/ALE1 SRFF_INSTANCE | nROMWE_OBUF.REG | nROMWE_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nROMWE_OBUF.D | 10326 | ? | 0 | 0 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.XOR | 0 | 7 | ALU_F +NODE | nROMWE_OBUF.D | 10108 | ? | 0 | 0 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nROMWE_OBUF.Q | 10329 | ? | 0 | 0 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nROMWE_OBUF.Q | 10111 | ? | 0 | 0 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+OptxMapped | nVPA_FSB_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA | 9738 | ? | 455 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +NODE | fsb/VPA | 9462 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nVPA_FSB_OBUF | 9835 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVPA_FSB_OBUF.Q | nVPA_FSB_OBUF | 0 | 0 | MC_Q +NODE | nVPA_FSB_OBUF | 9563 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVPA_FSB_OBUF.Q | nVPA_FSB_OBUF | 0 | 0 | MC_Q SIGNAL_INSTANCE | nVPA_FSB_OBUF.SI | nVPA_FSB_OBUF | 0 | 2 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA | 9738 | ? | 455 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +NODE | fsb/VPA | 9462 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nVPA_FSB_OBUF.D1 | 10331 | ? | 0 | 4096 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nVPA_FSB_OBUF.D1 | 10113 | ? | 0 | 4096 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nVPA_FSB_OBUF.D2 | 10332 | ? | 0 | 4096 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nVPA_FSB_OBUF.D2 | 10114 | ? | 0 | 4096 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | fsb/VPA | IV_FALSE | nAS_FSB_IBUF SRFF_INSTANCE | nVPA_FSB_OBUF.REG | nVPA_FSB_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nVPA_FSB_OBUF.D | 10330 | ? | 0 | 0 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.XOR | 0 | 7 | ALU_F +NODE | nVPA_FSB_OBUF.D | 10112 | ? | 0 | 0 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nVPA_FSB_OBUF.Q | 10333 | ? | 0 | 0 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nVPA_FSB_OBUF.Q | 10115 | ? | 0 | 0 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/nDinLE | WarpSE_COPY_0_COPY_0 | 2424308736 | 7 | 2 +MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/nDinLE | WarpSE_COPY_0_COPY_0 | 2424308736 | 13 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9758 | ? | 534 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 9488 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9759 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 9489 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK2X_IOB_IBUF/FCLK- | 9708 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 9424 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_IOB_IBUF | 9748 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +NODE | C8M_IBUF | 9436 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9757 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | nBERR_IOB_IBUF | 9474 | ? | 578 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrf | 9805 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9486 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrr | 9806 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM +NODE | iobm/IOBERR | 9511 | ? | 572 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOBERR.Q | iobm/IOBERR | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrf | 9531 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrr | 9532 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrf | 9524 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrr | 9525 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrf | 9527 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrr | 9528 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | iobm/nDinLE | 9836 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nDinLE.Q | iobm/nDinLE | 0 | 0 | MC_Q +NODE | iobm/nDinLE | 9564 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nDinLE.Q | iobm/nDinLE | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | iobm/nDinLE.EXP | 10480 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nDinLE.EXP | iobm/nDinLE | 4 | 0 | MC_EXPORT +NODE | iobm/nDinLE.EXP | 10243 | ? | 572 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nDinLE.EXP | iobm/nDinLE | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | iobm/nDinLE.SI | iobm/nDinLE | 0 | 6 | 3 +SIGNAL_INSTANCE | iobm/nDinLE.SI | iobm/nDinLE | 0 | 12 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9758 | ? | 534 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 9488 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9759 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 9489 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_IOB_IBUF | 9748 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +NODE | C8M_IBUF | 9436 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9757 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | nBERR_IOB_IBUF | 9474 | ? | 578 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrf | 9805 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9486 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrr | 9806 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM +NODE | iobm/IOBERR | 9511 | ? | 572 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOBERR.Q | iobm/IOBERR | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrf | 9531 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrr | 9532 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrf | 9524 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrr | 9525 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrf | 9527 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrr | 9528 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/nDinLE.D1 | 10335 | ? | 534 | 4096 | iobm/nDinLE | NULL | NULL | iobm/nDinLE.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/nDinLE.D1 | 10117 | ? | 588 | 4096 | iobm/nDinLE | NULL | NULL | iobm/nDinLE.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/nDinLE.D2 | 10336 | ? | 534 | 4096 | iobm/nDinLE | NULL | NULL | iobm/nDinLE.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/nDinLE.D2 | 10118 | ? | 588 | 4096 | iobm/nDinLE | NULL | NULL | iobm/nDinLE.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | iobm/nDinLE.EXP | 10477 | ? | 521 | 0 | iobm/nDinLE | NULL | NULL | iobm/nDinLE.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 5 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/RESrf | IV_TRUE | iobm/RESrr +SIGNAL | NODE | iobm/nDinLE.EXP | 10241 | ? | 572 | 0 | iobm/nDinLE | NULL | NULL | iobm/nDinLE.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 8 | IV_TRUE | C8M_IBUF | IV_TRUE | nBERR_IOB_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_TRUE | iobm/IOBERR | IV_TRUE | iobm/RESrf | IV_TRUE | iobm/RESrr +SPPTERM | 8 | IV_TRUE | C8M_IBUF | IV_FALSE | nBERR_IOB_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_FALSE | iobm/IOBERR | IV_TRUE | iobm/BERRrf | IV_TRUE | iobm/BERRrr +SPPTERM | 8 | IV_TRUE | C8M_IBUF | IV_FALSE | nBERR_IOB_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_FALSE | iobm/IOBERR | IV_TRUE | iobm/DTACKrf | IV_TRUE | iobm/DTACKrr +SPPTERM | 8 | IV_TRUE | C8M_IBUF | IV_FALSE | nBERR_IOB_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_FALSE | iobm/IOBERR | IV_TRUE | iobm/RESrf | IV_TRUE | iobm/RESrr SRFF_INSTANCE | iobm/nDinLE.REG | iobm/nDinLE | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/nDinLE.D | 10334 | ? | 534 | 0 | iobm/nDinLE | NULL | NULL | iobm/nDinLE.XOR | 0 | 7 | ALU_F +NODE | iobm/nDinLE.D | 10116 | ? | 588 | 0 | iobm/nDinLE | NULL | NULL | iobm/nDinLE.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK2X_IOB_IBUF/FCLK- | 9708 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 9424 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/nDinLE.Q | 10337 | ? | 0 | 0 | iobm/nDinLE | NULL | NULL | iobm/nDinLE.REG | 0 | 8 | SRFF_Q -TNAME | CLK2X_IOB - -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/nDoutOE | WarpSE_COPY_0_COPY_0 | 2155873280 | 4 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW0 | 9727 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW0.Q | iobs/IORW0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9757 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9759 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK2X_IOB_IBUF/FCLK | 9707 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | iobm/nDoutOE | 9837 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nDoutOE.Q | iobm/nDoutOE | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | iobm/nDoutOE.SI | iobm/nDoutOE | 0 | 3 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW0 | 9727 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW0.Q | iobs/IORW0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9757 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9759 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/nDoutOE.D1 | 10339 | ? | 535 | 4096 | iobm/nDoutOE | NULL | NULL | iobm/nDoutOE.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/nDoutOE.D2 | 10340 | ? | 535 | 4096 | iobm/nDoutOE | NULL | NULL | iobm/nDoutOE.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_FALSE | iobs/IORW0 -SPPTERM | 2 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd2 - -SRFF_INSTANCE | iobm/nDoutOE.REG | iobm/nDoutOE | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/nDoutOE.D | 10338 | ? | 535 | 0 | iobm/nDoutOE | NULL | NULL | iobm/nDoutOE.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK2X_IOB_IBUF/FCLK | 9707 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/nDoutOE.Q | 10341 | ? | 0 | 0 | iobm/nDoutOE | NULL | NULL | iobm/nDoutOE.REG | 0 | 8 | SRFF_Q +NODE | iobm/nDinLE.Q | 10119 | ? | 0 | 0 | iobm/nDinLE | NULL | NULL | iobm/nDinLE.REG | 0 | 8 | SRFF_Q TNAME | CLK2X_IOB MACROCELL_INSTANCE | OptxMapped | nADoutLE0_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 7 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ALE0 | 9797 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ALE0.Q | iobm/ALE0 | 1 | 0 | MC_UIM +NODE | iobm/ALE0 | 9523 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ALE0.Q | iobm/ALE0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE0 | 9810 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/ALE0.Q | iobs/ALE0 | 1 | 0 | MC_UIM +NODE | iobs/ALE0 | 9537 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/ALE0.Q | iobs/ALE0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_IOB_IBUF | 9748 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +NODE | C8M_IBUF | 9436 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9757 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9486 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9758 | ? | 534 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 9488 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrf | 9802 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM +NODE | iobm/RESrf | 9531 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrr | 9803 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM +NODE | iobm/RESrr | 9532 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nADoutLE0_OBUF | 9838 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE0_OBUF.Q | nADoutLE0_OBUF | 0 | 0 | MC_Q +NODE | nADoutLE0_OBUF | 9565 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE0_OBUF.Q | nADoutLE0_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nADoutLE0_OBUF.EXP | 10479 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE0_OBUF.EXP | nADoutLE0_OBUF | 4 | 0 | MC_EXPORT +NODE | nADoutLE0_OBUF.EXP | 10242 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE0_OBUF.EXP | nADoutLE0_OBUF | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | nADoutLE0_OBUF.SI | nADoutLE0_OBUF | 0 | 7 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ALE0 | 9797 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ALE0.Q | iobm/ALE0 | 1 | 0 | MC_UIM +NODE | iobm/ALE0 | 9523 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ALE0.Q | iobm/ALE0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE0 | 9810 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/ALE0.Q | iobs/ALE0 | 1 | 0 | MC_UIM +NODE | iobs/ALE0 | 9537 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/ALE0.Q | iobs/ALE0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_IOB_IBUF | 9748 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +NODE | C8M_IBUF | 9436 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9757 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9486 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9758 | ? | 534 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 9488 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrf | 9802 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM +NODE | iobm/RESrf | 9531 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrr | 9803 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM +NODE | iobm/RESrr | 9532 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nADoutLE0_OBUF.D1 | 10343 | ? | 0 | 4096 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nADoutLE0_OBUF.D1 | 10121 | ? | 0 | 4096 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nADoutLE0_OBUF.D2 | 10344 | ? | 0 | 4096 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nADoutLE0_OBUF.D2 | 10122 | ? | 0 | 4096 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_FALSE | iobm/ALE0 | IV_FALSE | iobs/ALE0 OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nADoutLE0_OBUF.EXP | 10476 | ? | 521 | 0 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 5 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/DTACKrf | IV_TRUE | iobm/DTACKrr +SIGNAL | NODE | nADoutLE0_OBUF.EXP | 10240 | ? | 575 | 0 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 5 | IV_TRUE | C8M_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/RESrf | IV_TRUE | iobm/RESrr SRFF_INSTANCE | nADoutLE0_OBUF.REG | nADoutLE0_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nADoutLE0_OBUF.D | 10342 | ? | 0 | 0 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.XOR | 0 | 7 | ALU_F +NODE | nADoutLE0_OBUF.D | 10120 | ? | 0 | 0 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nADoutLE0_OBUF.Q | 10345 | ? | 0 | 0 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nADoutLE0_OBUF.Q | 10123 | ? | 0 | 0 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | OptxMapped | nDinOE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 7 | 1 +MACROCELL_INSTANCE | OptxMapped | nDinOE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 6 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9719 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 9435 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | SW_1_IBUF | 9795 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | SW_1_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9418 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nDinOE_OBUF | 9839 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.Q | nDinOE_OBUF | 0 | 0 | MC_Q +NODE | nDinOE_OBUF | 9566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.Q | nDinOE_OBUF | 0 | 0 | MC_Q -SIGNAL_INSTANCE | nDinOE_OBUF.SI | nDinOE_OBUF | 0 | 7 | 2 +SIGNAL_INSTANCE | nDinOE_OBUF.SI | nDinOE_OBUF | 0 | 6 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9719 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 9435 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | SW_1_IBUF | 9795 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | SW_1_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9418 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nDinOE_OBUF.D1 | 10347 | ? | 0 | 4096 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nDinOE_OBUF.D1 | 10125 | ? | 0 | 4096 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nDinOE_OBUF.D2 | 10348 | ? | 0 | 4096 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nDinOE_OBUF.D2 | 10126 | ? | 0 | 4096 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF -SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | SW_1_IBUF SRFF_INSTANCE | nDinOE_OBUF.REG | nDinOE_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nDinOE_OBUF.D | 10346 | ? | 0 | 0 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.XOR | 0 | 7 | ALU_F +NODE | nDinOE_OBUF.D | 10124 | ? | 0 | 0 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nDinOE_OBUF.Q | 10349 | ? | 0 | 0 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nDinOE_OBUF.Q | 10127 | ? | 0 | 0 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+OptxMapped | nRAS_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 14 | 2 +MACROCELL_INSTANCE | Inv+OptxMapped | nRAS_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 9 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | ram/RefRAS | 9548 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefRAS.Q | ram/RefRAS | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9704 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9761 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9765 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9850 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ram/RAMEN | 9496 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9719 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 9435 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | SW_1_IBUF | 9795 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | SW_1_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9694 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9695 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRAMLWE_OBUF.EXP | 10522 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.EXP | nRAMLWE_OBUF | 4 | 0 | MC_EXPORT +NODE | nLDS_FSB_IBUF | 9466 | ? | 523 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nRAS_OBUF | 9840 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.Q | nRAS_OBUF | 0 | 0 | MC_Q +NODE | nRAS_OBUF | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.Q | nRAS_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nRAS_OBUF.EXP | 10521 | ? | 472 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.EXP | nRAS_OBUF | 4 | 0 | MC_EXPORT +NODE | nRAS_OBUF.EXP | 10262 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.EXP | nRAS_OBUF | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | nRAS_OBUF.SI | nRAS_OBUF | 0 | 14 | 3 +SIGNAL_INSTANCE | nRAS_OBUF.SI | nRAS_OBUF | 0 | 9 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | ram/RefRAS | 9548 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefRAS.Q | ram/RefRAS | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9704 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9761 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9765 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9850 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ram/RAMEN | 9496 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9719 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 9435 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | SW_1_IBUF | 9795 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | SW_1_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9694 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9695 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRAMLWE_OBUF.EXP | 10522 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.EXP | nRAMLWE_OBUF | 4 | 0 | MC_EXPORT +NODE | nLDS_FSB_IBUF | 9466 | ? | 523 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nRAS_OBUF.D1 | 10351 | ? | 0 | 4096 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nRAS_OBUF.D1 | 10129 | ? | 0 | 4096 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nRAS_OBUF.D2 | 10352 | ? | 0 | 4096 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | nRAMLWE_OBUF.EXP +SIGNAL | NODE | nRAS_OBUF.D2 | 10130 | ? | 0 | 4096 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | ram/RefRAS +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RAMEN +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RAMEN OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nRAS_OBUF.EXP | 10508 | ? | 472 | 0 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_18_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/ALE1 -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/ALE1 -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | SW_1_IBUF | IV_TRUE | iobs/ALE1 -SPPTERM | 7 | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/ALE1 -SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/ALE1 +SIGNAL | NODE | nRAS_OBUF.EXP | 10250 | ? | 0 | 0 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 4 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nLDS_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RAMEN SRFF_INSTANCE | nRAS_OBUF.REG | nRAS_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nRAS_OBUF.D | 10350 | ? | 0 | 0 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.XOR | 0 | 7 | ALU_F +NODE | nRAS_OBUF.D | 10128 | ? | 0 | 0 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nRAS_OBUF.Q | 10353 | ? | 0 | 0 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nRAS_OBUF.Q | 10131 | ? | 0 | 0 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | ram/nCAS | WarpSE_COPY_0_COPY_0 | 2424308736 | 4 | 2 +MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | ram/nCAS | WarpSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9755 | ? | 500 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 9483 | ? | 554 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_FSB_IBUF/FCLK- | 9721 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 7 | 5 | II_FCLKINV -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9719 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | FCLK_IBUF/FCLK- | 9445 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | ram/nCAS | 9841 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/nCAS.Q | ram/nCAS | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | ram/nCAS.EXP | 10465 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/nCAS.EXP | ram/nCAS | 4 | 0 | MC_EXPORT +NODE | ram/nCAS | 9568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/nCAS.Q | ram/nCAS | 0 | 0 | MC_Q -SIGNAL_INSTANCE | ram/nCAS.SI | ram/nCAS | 0 | 3 | 3 +SIGNAL_INSTANCE | ram/nCAS.SI | ram/nCAS | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9755 | ? | 500 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9719 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | ram/RASEL | 9483 | ? | 554 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/nCAS.D1 | 10355 | ? | 500 | 4096 | ram/nCAS | NULL | NULL | ram/nCAS.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/nCAS.D1 | 10133 | ? | 554 | 4096 | ram/nCAS | NULL | NULL | ram/nCAS.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/nCAS.D2 | 10356 | ? | 500 | 4096 | ram/nCAS | NULL | NULL | ram/nCAS.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/nCAS.D2 | 10134 | ? | 554 | 4096 | ram/nCAS | NULL | NULL | ram/nCAS.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | ram/RASEL -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | ram/nCAS.EXP | 10453 | ? | 0 | 0 | ram/nCAS | NULL | NULL | ram/nCAS.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 2 | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF SRFF_INSTANCE | ram/nCAS.REG | ram/nCAS | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/nCAS.D | 10354 | ? | 500 | 0 | ram/nCAS | NULL | NULL | ram/nCAS.XOR | 0 | 7 | ALU_F +NODE | ram/nCAS.D | 10132 | ? | 554 | 0 | ram/nCAS | NULL | NULL | ram/nCAS.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK_FSB_IBUF/FCLK- | 9721 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK_IBUF/FCLK- | 9445 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/nCAS.Q | 10357 | ? | 0 | 0 | ram/nCAS | NULL | NULL | ram/nCAS.REG | 0 | 8 | SRFF_Q +NODE | ram/nCAS.Q | 10135 | ? | 0 | 0 | ram/nCAS | NULL | NULL | ram/nCAS.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB -MACROCELL_INSTANCE | OptxMapped | A_FSB_19_IBUF$BUF0 | WarpSE_COPY_0_COPY_0 | 2155872256 | 7 | 2 +MACROCELL_INSTANCE | FbkInv+PinTrst+Merge+OptxMapped | N0 | WarpSE_COPY_0_COPY_0 | 2155923456 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9703 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9761 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9765 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9724 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9850 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9719 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW0 | 9727 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW0.Q | iobs/IORW0 | 1 | 0 | MC_UIM +NODE | cnt/nRESout | 9516 | ? | 584 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nRESout.Q | cnt/nRESout | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | A_FSB_19_IBUF$BUF0 | 9842 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_19_IBUF$BUF0.Q | A_FSB_19_IBUF$BUF0 | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | A_FSB_19_IBUF$BUF0.EXP | 10519 | ? | 444 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_19_IBUF$BUF0.EXP | A_FSB_19_IBUF$BUF0 | 4 | 0 | MC_EXPORT +NODE | N0$Q | 9569 | ? | 584 | 0 | WarpSE_COPY_0_COPY_0 | NULL | N0.Q | N0 | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 2 | 0 | MC_OE +NODE | N0$OE | 9570 | ? | 584 | 0 | WarpSE_COPY_0_COPY_0 | NULL | N0.BUFOE.OUT | N0 | 2 | 0 | MC_OE -SIGNAL_INSTANCE | A_FSB_19_IBUF$BUF0.SI | A_FSB_19_IBUF$BUF0 | 0 | 7 | 3 +SIGNAL_INSTANCE | N0.SI | N0 | 0 | 1 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9703 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9761 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9765 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9724 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9850 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9719 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW0 | 9727 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW0.Q | iobs/IORW0 | 1 | 0 | MC_UIM +NODE | cnt/nRESout | 9516 | ? | 584 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nRESout.Q | cnt/nRESout | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | A_FSB_19_IBUF$BUF0.D1 | 10359 | ? | 0 | 4096 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | N0.D1 | 10137 | ? | 584 | 4096 | N0 | NULL | NULL | N0.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | A_FSB_19_IBUF$BUF0.D2 | 10360 | ? | 0 | 4096 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | N0.D2 | 10138 | ? | 584 | 4096 | N0 | NULL | NULL | N0.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | N0.TRST | 10140 | ? | 584 | 4096 | N0 | NULL | NULL | N0.SI | 4 | 9 | MC_SI_TRST +SPPTERM | 1 | IV_FALSE | cnt/nRESout + +SRFF_INSTANCE | N0.REG | N0 | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | N0.D | 10136 | ? | 584 | 0 | N0 | NULL | NULL | N0.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | N0.Q | 10141 | ? | 584 | 0 | N0 | NULL | NULL | N0.REG | 0 | 8 | SRFF_Q + +BUF_INSTANCE | N0.BUFOE | N0 | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 10 | CTOR_UNKNOWN +SIGNAL | NODE | N0.TRST | 10140 | ? | 584 | 4096 | N0 | NULL | NULL | N0.SI | 4 | 9 | MC_SI_TRST +SPPTERM | 1 | IV_FALSE | cnt/nRESout +OUTPUT_NODE_TYPE | 0 | 10 | BUF_OUT +NODE | N0.BUFOE.OUT | 10139 | ? | 584 | 0 | N0 | NULL | NULL | N0.BUFOE | 0 | 10 | BUF_OUT + +MACROCELL_INSTANCE | OptxMapped | A_FSB_19_IBUF$BUF0 | WarpSE_COPY_0_COPY_0 | 2155872256 | 8 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9419 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9487 | ? | 552 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9490 | ? | 552 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9493 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefUrgent | 9492 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrgent.Q | ram/RefUrgent | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | A_FSB_19_IBUF$BUF0 | 9571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_19_IBUF$BUF0.Q | A_FSB_19_IBUF$BUF0 | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | A_FSB_19_IBUF$BUF0.EXP | 10261 | ? | 538 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_19_IBUF$BUF0.EXP | A_FSB_19_IBUF$BUF0 | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | A_FSB_19_IBUF$BUF0.SI | A_FSB_19_IBUF$BUF0 | 0 | 8 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9419 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9487 | ? | 552 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9490 | ? | 552 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9493 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefUrgent | 9492 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrgent.Q | ram/RefUrgent | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | A_FSB_19_IBUF$BUF0.D1 | 10143 | ? | 0 | 4096 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | A_FSB_19_IBUF$BUF0.D2 | 10144 | ? | 0 | 4096 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | A_FSB_19_IBUF OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | A_FSB_19_IBUF$BUF0.EXP | 10506 | ? | 444 | 0 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | iobs/PS_FSM_FFd2 -SPPTERM | 1 | IV_TRUE | iobs/PS_FSM_FFd1 -SPPTERM | 2 | IV_TRUE | iobs/Once | IV_TRUE | iobs/ALE1 -SPPTERM | 3 | IV_TRUE | nWE_FSB_IBUF | IV_TRUE | iobs/IORW0 | IV_TRUE | iobs/ALE1 +SIGNAL | NODE | A_FSB_19_IBUF$BUF0.EXP | 10249 | ? | 538 | 0 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 3 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 3 | IV_TRUE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RefUrgent | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 4 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 4 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | fsb/ASrf SRFF_INSTANCE | A_FSB_19_IBUF$BUF0.REG | A_FSB_19_IBUF$BUF0 | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | A_FSB_19_IBUF$BUF0.D | 10358 | ? | 0 | 0 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.XOR | 0 | 7 | ALU_F +NODE | A_FSB_19_IBUF$BUF0.D | 10142 | ? | 0 | 0 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | A_FSB_19_IBUF$BUF0.Q | 10361 | ? | 0 | 0 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.REG | 0 | 8 | SRFF_Q +NODE | A_FSB_19_IBUF$BUF0.Q | 10145 | ? | 0 | 0 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | OptxMapped | A_FSB_21_IBUF$BUF0 | WarpSE_COPY_0_COPY_0 | 2155872256 | 9 | 2 +MACROCELL_INSTANCE | OptxMapped | A_FSB_21_IBUF$BUF0 | WarpSE_COPY_0_COPY_0 | 2155872256 | 6 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9756 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | A_FSB_9_IBUF | 9407 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9760 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9762 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9778 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9763 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9768 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +NODE | ram/RASEL | 9483 | ? | 554 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | A_FSB_21_IBUF$BUF0 | 9843 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_21_IBUF$BUF0.Q | A_FSB_21_IBUF$BUF0 | 0 | 0 | MC_Q +NODE | A_FSB_21_IBUF$BUF0 | 9572 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_21_IBUF$BUF0.Q | A_FSB_21_IBUF$BUF0 | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | A_FSB_21_IBUF$BUF0.EXP | 10498 | ? | 470 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_21_IBUF$BUF0.EXP | A_FSB_21_IBUF$BUF0 | 4 | 0 | MC_EXPORT +NODE | A_FSB_21_IBUF$BUF0.EXP | 10247 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_21_IBUF$BUF0.EXP | A_FSB_21_IBUF$BUF0 | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | A_FSB_21_IBUF$BUF0.SI | A_FSB_21_IBUF$BUF0 | 0 | 9 | 3 +SIGNAL_INSTANCE | A_FSB_21_IBUF$BUF0.SI | A_FSB_21_IBUF$BUF0 | 0 | 6 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9756 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | A_FSB_9_IBUF | 9407 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9760 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9762 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9778 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9763 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9768 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +NODE | ram/RASEL | 9483 | ? | 554 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | A_FSB_21_IBUF$BUF0.D1 | 10363 | ? | 0 | 4096 | A_FSB_21_IBUF$BUF0 | NULL | NULL | A_FSB_21_IBUF$BUF0.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | A_FSB_21_IBUF$BUF0.D1 | 10147 | ? | 0 | 4096 | A_FSB_21_IBUF$BUF0 | NULL | NULL | A_FSB_21_IBUF$BUF0.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | A_FSB_21_IBUF$BUF0.D2 | 10364 | ? | 0 | 4096 | A_FSB_21_IBUF$BUF0 | NULL | NULL | A_FSB_21_IBUF$BUF0.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | A_FSB_21_IBUF$BUF0.D2 | 10148 | ? | 0 | 4096 | A_FSB_21_IBUF$BUF0 | NULL | NULL | A_FSB_21_IBUF$BUF0.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | A_FSB_21_IBUF OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | A_FSB_21_IBUF$BUF0.EXP | 10486 | ? | 470 | 0 | A_FSB_21_IBUF$BUF0 | NULL | NULL | A_FSB_21_IBUF$BUF0.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 4 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | cnt/RefCnt<5> | IV_TRUE | ram/BACTr -SPPTERM | 4 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | cnt/RefCnt<6> | IV_TRUE | ram/BACTr -SPPTERM | 5 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | cnt/RefCnt<5> | IV_FALSE | fsb/ASrf -SPPTERM | 5 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | cnt/RefCnt<7> | IV_FALSE | fsb/ASrf +SIGNAL | NODE | A_FSB_21_IBUF$BUF0.EXP | 10245 | ? | 0 | 0 | A_FSB_21_IBUF$BUF0 | NULL | NULL | A_FSB_21_IBUF$BUF0.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 6 | IV_TRUE | A_FSB_9_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_TRUE | ram/RASEL SRFF_INSTANCE | A_FSB_21_IBUF$BUF0.REG | A_FSB_21_IBUF$BUF0 | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | A_FSB_21_IBUF$BUF0.D | 10362 | ? | 0 | 0 | A_FSB_21_IBUF$BUF0 | NULL | NULL | A_FSB_21_IBUF$BUF0.XOR | 0 | 7 | ALU_F +NODE | A_FSB_21_IBUF$BUF0.D | 10146 | ? | 0 | 0 | A_FSB_21_IBUF$BUF0 | NULL | NULL | A_FSB_21_IBUF$BUF0.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | A_FSB_21_IBUF$BUF0.Q | 10365 | ? | 0 | 0 | A_FSB_21_IBUF$BUF0 | NULL | NULL | A_FSB_21_IBUF$BUF0.REG | 0 | 8 | SRFF_Q - -INPUT_INSTANCE | 0 | 0 | NULL | CLK20EN_OBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | SW<0> | 9930 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | CLK20EN_OBUF | 9844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK20EN_OBUF | 0 | 5 | II_IMUX - -MACROCELL_INSTANCE | OptxMapped | CLK20EN_OBUF$BUF0 | WarpSE_COPY_0_COPY_0 | 2155872256 | 11 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9723 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9754 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9722 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9778 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimeoutB.EXP | 10501 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimeoutB.EXP | cnt/TimeoutB | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | CLK20EN_OBUF$BUF0 | 9845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | CLK20EN_OBUF$BUF0.Q | CLK20EN_OBUF$BUF0 | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | CLK20EN_OBUF$BUF0.EXP | 10502 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | CLK20EN_OBUF$BUF0.EXP | CLK20EN_OBUF$BUF0 | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | CLK20EN_OBUF$BUF0.SI | CLK20EN_OBUF$BUF0 | 0 | 11 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9723 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9754 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9722 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9778 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimeoutB.EXP | 10501 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimeoutB.EXP | cnt/TimeoutB | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | CLK20EN_OBUF$BUF0.D1 | 10367 | ? | 0 | 4096 | CLK20EN_OBUF$BUF0 | NULL | NULL | CLK20EN_OBUF$BUF0.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | CLK20EN_OBUF$BUF0.D2 | 10368 | ? | 0 | 4096 | CLK20EN_OBUF$BUF0 | NULL | NULL | CLK20EN_OBUF$BUF0.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | cnt/TimeoutB.EXP -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | CLK20EN_OBUF$BUF0.EXP | 10490 | ? | 499 | 0 | CLK20EN_OBUF$BUF0 | NULL | NULL | CLK20EN_OBUF$BUF0.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | ram/Once | IV_TRUE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | ram/Once | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | fsb/ASrf -SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr -SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | cnt/RefDone | IV_TRUE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr -SPPTERM | 6 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr - -SRFF_INSTANCE | CLK20EN_OBUF$BUF0.REG | CLK20EN_OBUF$BUF0 | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | CLK20EN_OBUF$BUF0.D | 10366 | ? | 0 | 0 | CLK20EN_OBUF$BUF0 | NULL | NULL | CLK20EN_OBUF$BUF0.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | CLK20EN_OBUF$BUF0.Q | 10369 | ? | 0 | 0 | CLK20EN_OBUF$BUF0 | NULL | NULL | CLK20EN_OBUF$BUF0.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | OptxMapped | CLK25EN_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 4 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK20EN_OBUF | 9844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK20EN_OBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9755 | ? | 500 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9703 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | CLK25EN_OBUF | 9846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | CLK25EN_OBUF.Q | CLK25EN_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | CLK25EN_OBUF.EXP | 10500 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | CLK25EN_OBUF.EXP | CLK25EN_OBUF | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | CLK25EN_OBUF.SI | CLK25EN_OBUF | 0 | 4 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK20EN_OBUF | 9844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK20EN_OBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9755 | ? | 500 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9703 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | CLK25EN_OBUF.D1 | 10371 | ? | 0 | 4096 | CLK25EN_OBUF | NULL | NULL | CLK25EN_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | CLK25EN_OBUF.D2 | 10372 | ? | 0 | 4096 | CLK25EN_OBUF | NULL | NULL | CLK25EN_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_FALSE | CLK20EN_OBUF -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | CLK25EN_OBUF.EXP | 10488 | ? | 0 | 0 | CLK25EN_OBUF | NULL | NULL | CLK25EN_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 2 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | ram/RASEL -SPPTERM | 2 | IV_TRUE | A_FSB_19_IBUF | IV_FALSE | ram/RASEL - -SRFF_INSTANCE | CLK25EN_OBUF.REG | CLK25EN_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | CLK25EN_OBUF.D | 10370 | ? | 0 | 0 | CLK25EN_OBUF | NULL | NULL | CLK25EN_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | CLK25EN_OBUF.Q | 10373 | ? | 0 | 0 | CLK25EN_OBUF | NULL | NULL | CLK25EN_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldHigh+OptxMapped | Park | WarpSE_COPY_0_COPY_0 | 2155872768 | 13 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RESr0 | 9779 | ? | 502 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RESr0.Q | RESr0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RESr1 | 9780 | ? | 502 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RESr1.Q | RESr1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IPL2r0 | 9783 | ? | 502 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IPL2r0.Q | IPL2r0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RESr2 | 9784 | ? | 502 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RESr2.Q | RESr2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RESDone | 9793 | ? | 502 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RESDone.Q | RESDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IPL2r1 | 9794 | ? | 502 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IPL2r1.Q | IPL2r1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9703 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9704 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9705 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9706 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | Park | 9847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | Park.Q | Park | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | Disable | 9848 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | Park.Q | Park | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | Park.EXP | 10529 | ? | 460 | 0 | WarpSE_COPY_0_COPY_0 | NULL | Park.EXP | Park | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | Park.SI | Park | 0 | 12 | 4 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RESr0 | 9779 | ? | 502 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RESr0.Q | RESr0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RESr1 | 9780 | ? | 502 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RESr1.Q | RESr1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IPL2r0 | 9783 | ? | 502 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IPL2r0.Q | IPL2r0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RESr2 | 9784 | ? | 502 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RESr2.Q | RESr2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RESDone | 9793 | ? | 502 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RESDone.Q | RESDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IPL2r1 | 9794 | ? | 502 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IPL2r1.Q | IPL2r1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9703 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9704 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9705 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9706 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | Park.D1 | 10375 | ? | 501 | 4096 | Park | NULL | NULL | Park.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | Park.D2 | 10376 | ? | 501 | 6144 | Park | NULL | NULL | Park.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | Park.EXP | 10516 | ? | 460 | 0 | Park | NULL | NULL | Park.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_19_IBUF -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_18_IBUF -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_17_IBUF -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_16_IBUF -OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | Park.CE | 10377 | ? | 502 | 4096 | Park | NULL | NULL | Park.SI | 10 | 9 | MC_SI_CE -SPPTERM | 6 | IV_TRUE | RESr0 | IV_TRUE | RESr1 | IV_TRUE | IPL2r0 | IV_TRUE | RESr2 | IV_FALSE | RESDone | IV_TRUE | IPL2r1 - -SRFF_INSTANCE | Park.REG | Park | 0 | 3 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | Park.D | 10374 | ? | 501 | 0 | Park | NULL | NULL | Park.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | Park.CE | 10377 | ? | 502 | 4096 | Park | NULL | NULL | Park.SI | 10 | 9 | MC_SI_CE -SPPTERM | 6 | IV_TRUE | RESr0 | IV_TRUE | RESr1 | IV_TRUE | IPL2r0 | IV_TRUE | RESr2 | IV_FALSE | RESDone | IV_TRUE | IPL2r1 -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | Park.Q | 10378 | ? | 467 | 0 | Park | NULL | NULL | Park.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB +NODE | A_FSB_21_IBUF$BUF0.Q | 10149 | ? | 0 | 0 | A_FSB_21_IBUF$BUF0 | NULL | NULL | A_FSB_21_IBUF$BUF0.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldHigh+OptxMapped | nADoutLE1_OBUF | WarpSE_COPY_0_COPY_0 | 2155873024 | 4 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Clear1 | 9811 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM +NODE | iobs/Clear1 | 9538 | ? | 555 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9850 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | iobs/ALE1 | 9574 | ? | 555 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Load1 | 9777 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +NODE | iobs/Load1 | 9508 | ? | 555 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nADoutLE1_OBUF | 9849 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 0 | 0 | MC_Q +NODE | nADoutLE1_OBUF | 9573 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/ALE1 | 9850 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | iobs/ALE1 | 9574 | ? | 555 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM SIGNAL_INSTANCE | nADoutLE1_OBUF.SI | nADoutLE1_OBUF | 0 | 3 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Clear1 | 9811 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM +NODE | iobs/Clear1 | 9538 | ? | 555 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9850 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | iobs/ALE1 | 9574 | ? | 555 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Load1 | 9777 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +NODE | iobs/Load1 | 9508 | ? | 555 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nADoutLE1_OBUF.D1 | 10380 | ? | 503 | 4096 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nADoutLE1_OBUF.D1 | 10151 | ? | 555 | 4096 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nADoutLE1_OBUF.D2 | 10381 | ? | 503 | 4096 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nADoutLE1_OBUF.D2 | 10152 | ? | 555 | 4096 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobs/Load1 SPPTERM | 2 | IV_FALSE | iobs/Clear1 | IV_FALSE | iobs/ALE1 SRFF_INSTANCE | nADoutLE1_OBUF.REG | nADoutLE1_OBUF | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nADoutLE1_OBUF.D | 10379 | ? | 503 | 0 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.XOR | 0 | 7 | ALU_F +NODE | nADoutLE1_OBUF.D | 10150 | ? | 555 | 0 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK_FSB_IBUF/FCLK | 9720 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nADoutLE1_OBUF.Q | 10382 | ? | 503 | 0 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nADoutLE1_OBUF.Q | 10153 | ? | 555 | 0 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB -MACROCELL_INSTANCE | PrldHigh+OptxMapped | nAoutOE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872768 | 5 | 2 +MACROCELL_INSTANCE | Inv+OptxMapped | nRAMLWE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 11 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BGr1 | 9800 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BGr1.Q | iobm/BGr1 | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BG | 9852 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BGr0 | 9786 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BGr0.Q | iobm/BGr0 | 1 | 0 | MC_UIM +NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/nASout | 9713 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nASout.Q | iobm/nASout | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9491 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK2X_IOB_IBUF/FCLK | 9707 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | iobs/PS_FSM_FFd1 | 9495 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/ALE1 | 9574 | ? | 555 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9419 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9422 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9435 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nRAS_OBUF.EXP | 10262 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.EXP | nRAS_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nAoutOE_OBUF | 9851 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/BG | 9852 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | nAoutOE_OBUF.SI | nAoutOE_OBUF | 0 | 4 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BGr1 | 9800 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BGr1.Q | iobm/BGr1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BG | 9852 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BGr0 | 9786 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BGr0.Q | iobm/BGr0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/nASout | 9713 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nASout.Q | iobm/nASout | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nAoutOE_OBUF.D1 | 10384 | ? | 536 | 4096 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nAoutOE_OBUF.D2 | 10385 | ? | 536 | 4096 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_FALSE | iobm/BGr0 | IV_FALSE | iobm/BGr1 -SPPTERM | 2 | IV_FALSE | iobm/BGr1 | IV_TRUE | iobm/BG -SPPTERM | 3 | IV_FALSE | iobm/nASout | IV_FALSE | iobm/BGr0 | IV_FALSE | iobm/BG - -SRFF_INSTANCE | nAoutOE_OBUF.REG | nAoutOE_OBUF | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nAoutOE_OBUF.D | 10383 | ? | 536 | 0 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | CLK2X_IOB_IBUF/FCLK | 9707 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nAoutOE_OBUF.Q | 10386 | ? | 536 | 0 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.REG | 0 | 8 | SRFF_Q -TNAME | CLK2X_IOB - -MACROCELL_INSTANCE | Inv+OptxMapped | nRAMLWE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 10 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9719 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_FSB_IBUF | 9740 | ? | 458 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMDIS2 | 9747 | ? | 466 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMDIS1 | 9813 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMDIS1.Q | ram/RAMDIS1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefRAS | 9792 | ? | 437 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefRAS.Q | ram/RefRAS | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nRAMLWE_OBUF | 9853 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.Q | nRAMLWE_OBUF | 0 | 0 | MC_Q +NODE | nRAMLWE_OBUF | 9575 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.Q | nRAMLWE_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nRAMLWE_OBUF.EXP | 10522 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.EXP | nRAMLWE_OBUF | 4 | 0 | MC_EXPORT +NODE | nRAMLWE_OBUF.EXP | 10263 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.EXP | nRAMLWE_OBUF | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | nRAMLWE_OBUF.SI | nRAMLWE_OBUF | 0 | 10 | 3 +SIGNAL_INSTANCE | nRAMLWE_OBUF.SI | nRAMLWE_OBUF | 0 | 11 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9719 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_FSB_IBUF | 9740 | ? | 458 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMDIS2 | 9747 | ? | 466 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM +NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | iobs/PS_FSM_FFd2 | 9491 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMDIS1 | 9813 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMDIS1.Q | ram/RAMDIS1 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9495 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefRAS | 9792 | ? | 437 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefRAS.Q | ram/RefRAS | 1 | 0 | MC_UIM +NODE | iobs/ALE1 | 9574 | ? | 555 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 9419 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | A_FSB_16_IBUF | 9422 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 9435 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nRAS_OBUF.EXP | 10262 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.EXP | nRAS_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nRAMLWE_OBUF.D1 | 10388 | ? | 0 | 4096 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nRAMLWE_OBUF.D1 | 10155 | ? | 0 | 4096 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nRAMLWE_OBUF.D2 | 10389 | ? | 0 | 4096 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 5 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nLDS_FSB_IBUF | IV_FALSE | ram/RAMDIS2 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RAMDIS1 +SIGNAL | NODE | nRAMLWE_OBUF.D2 | 10156 | ? | 0 | 4096 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | nRAS_OBUF.EXP OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nRAMLWE_OBUF.EXP | 10509 | ? | 0 | 0 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | ram/RefRAS -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/RAMDIS2 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RAMDIS1 -SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/RAMDIS2 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RAMDIS1 +SIGNAL | NODE | nRAMLWE_OBUF.EXP | 10251 | ? | 536 | 0 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/ALE1 +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/ALE1 +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_19_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/ALE1 +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_16_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/ALE1 +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/ALE1 SRFF_INSTANCE | nRAMLWE_OBUF.REG | nRAMLWE_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nRAMLWE_OBUF.D | 10387 | ? | 0 | 0 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.XOR | 0 | 7 | ALU_F +NODE | nRAMLWE_OBUF.D | 10154 | ? | 0 | 0 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nRAMLWE_OBUF.Q | 10390 | ? | 0 | 0 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nRAMLWE_OBUF.Q | 10157 | ? | 0 | 0 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+OptxMapped | nRAMUWE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 10 | 2 +MACROCELL_INSTANCE | Inv+OptxMapped | nRAMUWE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 15 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 9435 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | nUDS_FSB_IBUF | 9471 | ? | 529 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9761 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RAMEN | 9496 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9850 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9703 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 9420 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9705 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | iobs/PS_FSM_FFd2 | 9491 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9706 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | iobs/PS_FSM_FFd1 | 9495 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RESDone.EXP | 10523 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RESDone.EXP | RESDone | 4 | 0 | MC_EXPORT +NODE | iobs/ALE1 | 9574 | ? | 555 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9421 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9410 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9411 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nRAMUWE_OBUF | 9854 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.Q | nRAMUWE_OBUF | 0 | 0 | MC_Q +NODE | nRAMUWE_OBUF | 9576 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.Q | nRAMUWE_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nRAMUWE_OBUF.EXP | 10524 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.EXP | nRAMUWE_OBUF | 4 | 0 | MC_EXPORT +NODE | nRAMUWE_OBUF.EXP | 10264 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.EXP | nRAMUWE_OBUF | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | nRAMUWE_OBUF.SI | nRAMUWE_OBUF | 0 | 10 | 3 +SIGNAL_INSTANCE | nRAMUWE_OBUF.SI | nRAMUWE_OBUF | 0 | 15 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 9435 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | nUDS_FSB_IBUF | 9471 | ? | 529 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9761 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RAMEN | 9496 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9850 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9703 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 9420 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9705 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | iobs/PS_FSM_FFd2 | 9491 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9706 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | iobs/PS_FSM_FFd1 | 9495 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RESDone.EXP | 10523 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RESDone.EXP | RESDone | 4 | 0 | MC_EXPORT +NODE | iobs/ALE1 | 9574 | ? | 555 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9421 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9410 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9411 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nRAMUWE_OBUF.D1 | 10392 | ? | 0 | 4096 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nRAMUWE_OBUF.D1 | 10159 | ? | 0 | 4096 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nRAMUWE_OBUF.D2 | 10393 | ? | 0 | 4096 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | RESDone.EXP +SIGNAL | NODE | nRAMUWE_OBUF.D2 | 10160 | ? | 0 | 4096 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 4 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nUDS_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RAMEN OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nRAMUWE_OBUF.EXP | 10511 | ? | 497 | 0 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | iobs/ALE1 -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | iobs/ALE1 -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_19_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | iobs/ALE1 -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_17_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | iobs/ALE1 -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_16_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | iobs/ALE1 +SIGNAL | NODE | nRAMUWE_OBUF.EXP | 10252 | ? | 536 | 0 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_18_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/ALE1 +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_17_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/ALE1 +SPPTERM | 7 | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/ALE1 +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/ALE1 SRFF_INSTANCE | nRAMUWE_OBUF.REG | nRAMUWE_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nRAMUWE_OBUF.D | 10391 | ? | 0 | 0 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.XOR | 0 | 7 | ALU_F +NODE | nRAMUWE_OBUF.D | 10158 | ? | 0 | 0 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nRAMUWE_OBUF.Q | 10394 | ? | 0 | 0 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nRAMUWE_OBUF.Q | 10161 | ? | 0 | 0 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+OptxMapped | nROMCS_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 16 | 2 +MACROCELL_INSTANCE | Inv+OptxMapped | nROMCS_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 12 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd2 | 9490 | ? | 552 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | ram/RefUrgent | 9492 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrgent.Q | ram/RefUrgent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | SW_1_IBUF | 9795 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | SW_1_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd3 | 9493 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | ram/RefReq | 9510 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9722 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9723 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMDIS2 | 9747 | ? | 466 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | ram/RAMEN | 9496 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9756 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9760 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9762 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9763 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9768 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | ram/RefDone.EXP | 10229 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.EXP | ram/RefDone | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nROMCS_OBUF | 9855 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.Q | nROMCS_OBUF | 0 | 0 | MC_Q +NODE | nROMCS_OBUF | 9577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.Q | nROMCS_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nROMCS_OBUF.EXP | 10464 | ? | 466 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.EXP | nROMCS_OBUF | 4 | 0 | MC_EXPORT +NODE | nROMCS_OBUF.EXP | 10228 | ? | 533 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.EXP | nROMCS_OBUF | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | nROMCS_OBUF.SI | nROMCS_OBUF | 0 | 16 | 3 +SIGNAL_INSTANCE | nROMCS_OBUF.SI | nROMCS_OBUF | 0 | 12 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd2 | 9490 | ? | 552 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | ram/RefUrgent | 9492 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrgent.Q | ram/RefUrgent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | SW_1_IBUF | 9795 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | SW_1_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd3 | 9493 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | ram/RefReq | 9510 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9722 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9723 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMDIS2 | 9747 | ? | 466 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | ram/RAMEN | 9496 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9756 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9760 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9762 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9763 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9768 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | ram/RefDone.EXP | 10229 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.EXP | ram/RefDone | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nROMCS_OBUF.D1 | 10396 | ? | 0 | 4096 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nROMCS_OBUF.D1 | 10163 | ? | 0 | 4096 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nROMCS_OBUF.D2 | 10397 | ? | 0 | 4096 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | SW_1_IBUF -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_TRUE | SW_1_IBUF -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | cs/nOverlay1 +SIGNAL | NODE | nROMCS_OBUF.D2 | 10164 | ? | 0 | 4096 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | ram/RefDone.EXP OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nROMCS_OBUF.EXP | 10452 | ? | 466 | 0 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 13 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cnt/RefDone | IV_TRUE | ram/Once | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/RAMDIS2 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> -SPPTERM | 13 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cnt/RefDone | IV_TRUE | ram/Once | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/RAMDIS2 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_TRUE | fsb/ASrf +SIGNAL | NODE | nROMCS_OBUF.EXP | 10216 | ? | 533 | 0 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RefUrgent | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefReq +SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RefUrgent | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefReq +SPPTERM | 6 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RefUrgent | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefReq +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RAMEN +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RAMEN | IV_TRUE | fsb/ASrf SRFF_INSTANCE | nROMCS_OBUF.REG | nROMCS_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nROMCS_OBUF.D | 10395 | ? | 0 | 0 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.XOR | 0 | 7 | ALU_F +NODE | nROMCS_OBUF.D | 10162 | ? | 0 | 0 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nROMCS_OBUF.Q | 10398 | ? | 0 | 0 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nROMCS_OBUF.Q | 10165 | ? | 0 | 0 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | FbkInv+Merge+OptxMapped | N1 | WarpSE_COPY_0_COPY_0 | 2155907072 | 0 | 1 +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | N1$Q | 9578 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | N1.Q | N1 | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | N1.SI | N1 | 0 | 0 | 2 +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | N1.D1 | 10167 | ? | 0 | 4096 | N1 | NULL | NULL | N1.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | N1.D2 | 10168 | ? | 0 | 4096 | N1 | NULL | NULL | N1.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 0 | IV_DC + +SRFF_INSTANCE | N1.REG | N1 | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | N1.D | 10166 | ? | 0 | 0 | N1 | NULL | NULL | N1.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | N1.Q | 10169 | ? | 0 | 0 | N1 | NULL | NULL | N1.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | N1$BUF0 | WarpSE_COPY_0_COPY_0 | 2155872256 | 0 | 1 +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | N1$BUF0 | 9579 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | N1$BUF0.Q | N1$BUF0 | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | N1$BUF0.SI | N1$BUF0 | 0 | 0 | 2 +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | N1$BUF0.D1 | 10171 | ? | 0 | 4096 | N1$BUF0 | NULL | NULL | N1$BUF0.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | N1$BUF0.D2 | 10172 | ? | 0 | 6144 | N1$BUF0 | NULL | NULL | N1$BUF0.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 0 | IV_DC + +SRFF_INSTANCE | N1$BUF0.REG | N1$BUF0 | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | N1$BUF0.D | 10170 | ? | 0 | 0 | N1$BUF0 | NULL | NULL | N1$BUF0.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | N1$BUF0.Q | 10173 | ? | 0 | 0 | N1$BUF0 | NULL | NULL | N1$BUF0.REG | 0 | 8 | SRFF_Q OUTPUT_INSTANCE | 0 | nVMA_IOB | WarpSE_COPY_0_COPY_0 | 7 | 2 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | iobm/nVMA$Q | 9709 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nVMA.Q | iobm/nVMA | 0 | 0 | MC_Q +NODE | iobm/nVMA$Q | 9425 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nVMA.Q | iobm/nVMA | 0 | 0 | MC_Q INPUT_NODE_TYPE | 2 | 6 | OI_OE -NODE | iobm/nVMA$OE | 9711 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nVMA.BUFOE.OUT | iobm/nVMA | 2 | 0 | MC_OE +NODE | iobm/nVMA$OE | 9427 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nVMA.BUFOE.OUT | iobm/nVMA | 2 | 0 | MC_OE OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nVMA_IOB | 9856 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVMA_IOB | 0 | 6 | OI_OUT +NODE | nVMA_IOB | 9580 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVMA_IOB | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nAS_IOB | WarpSE_COPY_0_COPY_0 | 7 | 2 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | iobm/nASout$Q | 9712 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nASout.Q | iobm/nASout | 0 | 0 | MC_Q +NODE | iobm/nASout$Q | 9428 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nASout.Q | iobm/nASout | 0 | 0 | MC_Q INPUT_NODE_TYPE | 2 | 6 | OI_OE -NODE | iobm/nASout$OE | 9714 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nASout.BUFOE.OUT | iobm/nASout | 2 | 0 | MC_OE +NODE | iobm/nASout$OE | 9430 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nASout.BUFOE.OUT | iobm/nASout | 2 | 0 | MC_OE OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nAS_IOB | 9857 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_IOB | 0 | 6 | OI_OUT +NODE | nAS_IOB | 9581 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_IOB | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nLDS_IOB | WarpSE_COPY_0_COPY_0 | 7 | 2 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | iobm/nLDS | 9715 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nLDS.Q | iobm/nLDS | 0 | 0 | MC_Q +NODE | iobm/nLDS | 9431 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nLDS.Q | iobm/nLDS | 0 | 0 | MC_Q INPUT_NODE_TYPE | 2 | 6 | OI_OE -NODE | iobm/nLDS$OE | 9716 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nLDS.BUFOE.OUT | iobm/nLDS | 2 | 0 | MC_OE +NODE | iobm/nLDS$OE | 9432 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nLDS.BUFOE.OUT | iobm/nLDS | 2 | 0 | MC_OE OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nLDS_IOB | 9858 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_IOB | 0 | 6 | OI_OUT +NODE | nLDS_IOB | 9582 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_IOB | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nUDS_IOB | WarpSE_COPY_0_COPY_0 | 7 | 2 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | iobm/nUDS | 9717 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nUDS.Q | iobm/nUDS | 0 | 0 | MC_Q +NODE | iobm/nUDS | 9433 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nUDS.Q | iobm/nUDS | 0 | 0 | MC_Q INPUT_NODE_TYPE | 2 | 6 | OI_OE -NODE | iobm/nUDS$OE | 9718 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nUDS.BUFOE.OUT | iobm/nUDS | 2 | 0 | MC_OE +NODE | iobm/nUDS$OE | 9434 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nUDS.BUFOE.OUT | iobm/nUDS | 2 | 0 | MC_OE OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nUDS_IOB | 9859 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_IOB | 0 | 6 | OI_OUT +NODE | nUDS_IOB | 9583 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_IOB | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nDTACK_FSB | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | fsb/nDTACK | 9752 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/nDTACK.Q | fsb/nDTACK | 0 | 0 | MC_Q +NODE | fsb/nDTACK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/nDTACK.Q | fsb/nDTACK | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nDTACK_FSB | 9860 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_FSB | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<0> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_0_OBUF | 9822 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_0_OBUF.Q | RA_0_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<0> | 9861 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<0> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<1> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_1_OBUF | 9823 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.Q | RA_1_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<1> | 9862 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<1> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<2> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_2_OBUF | 9824 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.Q | RA_2_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<2> | 9863 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<2> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<3> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_3_OBUF | 9825 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_3_OBUF.Q | RA_3_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<3> | 9864 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<3> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<4> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_4_OBUF | 9826 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.Q | RA_4_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<4> | 9865 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<4> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<5> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_5_OBUF | 9827 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.Q | RA_5_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<5> | 9866 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<5> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<6> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_6_OBUF | 9828 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.Q | RA_6_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<6> | 9867 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<6> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<7> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_7_OBUF | 9829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_7_OBUF.Q | RA_7_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<7> | 9868 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<7> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<8> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_8_OBUF | 9830 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_8_OBUF.Q | RA_8_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<8> | 9869 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<8> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<9> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_9_OBUF | 9831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_9_OBUF.Q | RA_9_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<9> | 9870 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<9> | 0 | 6 | OI_OUT +NODE | nDTACK_FSB | 9584 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_FSB | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nBERR_FSB | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nBERR_FSB_OBUF | 9832 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 0 | 0 | MC_Q +NODE | iobs/nBERR_FSB | 9477 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/nBERR_FSB.Q | iobs/nBERR_FSB | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nBERR_FSB | 9871 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_FSB | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nOE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nOE_OBUF | 9833 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nOE_OBUF.Q | nOE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nOE | 9872 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nOE | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nROMWE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nROMWE_OBUF | 9834 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.Q | nROMWE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nROMWE | 9873 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nROMWE | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nVPA_FSB | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nVPA_FSB_OBUF | 9835 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVPA_FSB_OBUF.Q | nVPA_FSB_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nVPA_FSB | 9874 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_FSB | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nDinLE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | iobm/nDinLE | 9836 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nDinLE.Q | iobm/nDinLE | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nDinLE | 9875 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDinLE | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nDoutOE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | iobm/nDoutOE | 9837 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nDoutOE.Q | iobm/nDoutOE | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nDoutOE | 9876 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDoutOE | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nADoutLE0 | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nADoutLE0_OBUF | 9838 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE0_OBUF.Q | nADoutLE0_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nADoutLE0 | 9877 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nADoutLE0 | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nDinOE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nDinOE_OBUF | 9839 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.Q | nDinOE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nDinOE | 9878 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDinOE | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nRAS | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nRAS_OBUF | 9840 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.Q | nRAS_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nRAS | 9879 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRAS | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nCAS | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | ram/nCAS | 9841 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/nCAS.Q | ram/nCAS | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nCAS | 9880 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nCAS | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<11> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | A_FSB_19_IBUF$BUF0 | 9842 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_19_IBUF$BUF0.Q | A_FSB_19_IBUF$BUF0 | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<11> | 9881 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<11> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<10> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | A_FSB_21_IBUF$BUF0 | 9843 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_21_IBUF$BUF0.Q | A_FSB_21_IBUF$BUF0 | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<10> | 9882 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<10> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | CLK20EN | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | CLK20EN_OBUF$BUF0 | 9845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | CLK20EN_OBUF$BUF0.Q | CLK20EN_OBUF$BUF0 | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | CLK20EN | 9883 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK20EN | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | CLK25EN | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | CLK25EN_OBUF | 9846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | CLK25EN_OBUF.Q | CLK25EN_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | CLK25EN | 9884 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | CLK25EN | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nBR_IOB | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | Park | 9847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | Park.Q | Park | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nBR_IOB | 9885 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBR_IOB | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nADoutLE1 | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nADoutLE1_OBUF | 9849 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nADoutLE1 | 9886 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nADoutLE1 | 0 | 6 | OI_OUT +NODE | nBERR_FSB | 9585 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_FSB | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nAoutOE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nAoutOE_OBUF | 9851 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 0 | 0 | MC_Q +NODE | cnt/AoutOE$Q | 9479 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/AoutOE.Q | cnt/AoutOE | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nAoutOE | 9887 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAoutOE | 0 | 6 | OI_OUT +NODE | nAoutOE | 9586 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAoutOE | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nBR_IOB | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | cnt/nBR_IOB$Q | 9481 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nBR_IOB.Q | cnt/nBR_IOB | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nBR_IOB | 9587 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBR_IOB | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<0> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_0_OBUF | 9550 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_0_OBUF.Q | RA_0_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<0> | 9588 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<0> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<1> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_1_OBUF | 9551 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.Q | RA_1_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<1> | 9589 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<1> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<2> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_2_OBUF | 9552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.Q | RA_2_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<2> | 9590 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<2> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<3> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_3_OBUF | 9553 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_3_OBUF.Q | RA_3_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<3> | 9591 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<3> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<4> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_4_OBUF | 9554 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.Q | RA_4_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<4> | 9592 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<4> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<5> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_5_OBUF | 9555 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.Q | RA_5_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<5> | 9593 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<5> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<6> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_6_OBUF | 9556 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.Q | RA_6_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<6> | 9594 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<6> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<7> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_7_OBUF | 9557 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_7_OBUF.Q | RA_7_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<7> | 9595 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<7> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<8> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_8_OBUF | 9558 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_8_OBUF.Q | RA_8_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<8> | 9596 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<8> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<9> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_9_OBUF | 9559 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_9_OBUF.Q | RA_9_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<9> | 9597 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<9> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nDoutOE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nDoutOE_OBUF | 9560 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDoutOE_OBUF.Q | nDoutOE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nDoutOE | 9598 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDoutOE | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nOE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nOE_OBUF | 9561 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nOE_OBUF.Q | nOE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nOE | 9599 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nOE | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nROMWE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nROMWE_OBUF | 9562 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.Q | nROMWE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nROMWE | 9600 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nROMWE | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nVPA_FSB | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nVPA_FSB_OBUF | 9563 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVPA_FSB_OBUF.Q | nVPA_FSB_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nVPA_FSB | 9601 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_FSB | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nDinLE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | iobm/nDinLE | 9564 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nDinLE.Q | iobm/nDinLE | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nDinLE | 9602 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDinLE | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nADoutLE0 | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nADoutLE0_OBUF | 9565 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE0_OBUF.Q | nADoutLE0_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nADoutLE0 | 9603 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nADoutLE0 | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nDinOE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nDinOE_OBUF | 9566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.Q | nDinOE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nDinOE | 9604 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDinOE | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nRAS | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nRAS_OBUF | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.Q | nRAS_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nRAS | 9605 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRAS | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nCAS | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | ram/nCAS | 9568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/nCAS.Q | ram/nCAS | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nCAS | 9606 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nCAS | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nRES | WarpSE_COPY_0_COPY_0 | 7 | 2 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | N0$Q | 9569 | ? | 584 | 0 | WarpSE_COPY_0_COPY_0 | NULL | N0.Q | N0 | 0 | 0 | MC_Q +INPUT_NODE_TYPE | 2 | 6 | OI_OE +NODE | N0$OE | 9570 | ? | 584 | 0 | WarpSE_COPY_0_COPY_0 | NULL | N0.BUFOE.OUT | N0 | 2 | 0 | MC_OE +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nRES | 9607 | PIPO | 584 | 64 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRES | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<11> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | A_FSB_19_IBUF$BUF0 | 9571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_19_IBUF$BUF0.Q | A_FSB_19_IBUF$BUF0 | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<11> | 9608 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<11> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<10> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | A_FSB_21_IBUF$BUF0 | 9572 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_21_IBUF$BUF0.Q | A_FSB_21_IBUF$BUF0 | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<10> | 9609 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<10> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nADoutLE1 | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nADoutLE1_OBUF | 9573 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nADoutLE1 | 9610 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nADoutLE1 | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nRAMLWE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nRAMLWE_OBUF | 9853 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.Q | nRAMLWE_OBUF | 0 | 0 | MC_Q +NODE | nRAMLWE_OBUF | 9575 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.Q | nRAMLWE_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nRAMLWE | 9888 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRAMLWE | 0 | 6 | OI_OUT +NODE | nRAMLWE | 9611 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRAMLWE | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nRAMUWE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nRAMUWE_OBUF | 9854 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.Q | nRAMUWE_OBUF | 0 | 0 | MC_Q +NODE | nRAMUWE_OBUF | 9576 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.Q | nRAMUWE_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nRAMUWE | 9889 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRAMUWE | 0 | 6 | OI_OUT +NODE | nRAMUWE | 9612 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRAMUWE | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nROMCS | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nROMCS_OBUF | 9855 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.Q | nROMCS_OBUF | 0 | 0 | MC_Q +NODE | nROMCS_OBUF | 9577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.Q | nROMCS_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nROMCS | 9890 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nROMCS | 0 | 6 | OI_OUT +NODE | nROMCS | 9613 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nROMCS | 0 | 6 | OI_OUT -MACROCELL_INSTANCE | SoftPfbk | $OpTx$FX_DC$591 | WarpSE_COPY_0_COPY_0 | 2181038080 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | $OpTx$FX_DC$591.UIM | 9891 | ? | 455 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$591.Q | $OpTx$FX_DC$591 | 1 | 0 | MC_UIM +OUTPUT_INSTANCE | 0 | C25MEN | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | N1$Q | 9578 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | N1.Q | N1 | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | C25MEN | 9614 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C25MEN | 0 | 6 | OI_OUT -SIGNAL_INSTANCE | $OpTx$FX_DC$591.SI | $OpTx$FX_DC$591 | 0 | 2 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | $OpTx$FX_DC$591.D1 | 10400 | ? | 455 | 4096 | $OpTx$FX_DC$591 | NULL | NULL | $OpTx$FX_DC$591.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | $OpTx$FX_DC$591.D2 | 10401 | ? | 455 | 4096 | $OpTx$FX_DC$591 | NULL | NULL | $OpTx$FX_DC$591.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf - -SRFF_INSTANCE | $OpTx$FX_DC$591.REG | $OpTx$FX_DC$591 | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | $OpTx$FX_DC$591.D | 10399 | ? | 455 | 0 | $OpTx$FX_DC$591 | NULL | NULL | $OpTx$FX_DC$591.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | $OpTx$FX_DC$591.Q | 10402 | ? | 455 | 0 | $OpTx$FX_DC$591 | NULL | NULL | $OpTx$FX_DC$591.REG | 0 | 8 | SRFF_Q +OUTPUT_INSTANCE | 0 | C20MEN | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | N1$BUF0 | 9579 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | N1$BUF0.Q | N1$BUF0 | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | C20MEN | 9615 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C20MEN | 0 | 6 | OI_OUT MACROCELL_INSTANCE | NULL | EXP14_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 20 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready0r | 9735 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM +NODE | fsb/Ready0r | 9460 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA | 9738 | ? | 455 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +NODE | fsb/VPA | 9462 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMReady | 9814 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$FX_DC$591.UIM | 9891 | ? | 455 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$591.Q | $OpTx$FX_DC$591 | 1 | 0 | MC_UIM +NODE | ram/RAMReady | 9540 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9736 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9743 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +NODE | A_FSB_14_IBUF | 9410 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | SW_1_IBUF | 9795 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | SW_1_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9418 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9694 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 9419 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 9420 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9703 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 9421 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9704 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 9422 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9705 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 9435 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9706 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | fsb/Ready1r | 9461 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9719 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | iobs/IOReady | 9469 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9695 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 9411 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP15_.EXP | 10433 | ? | 455 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP15_.EXP | EXP15_ | 4 | 0 | MC_EXPORT +NODE | EXP21_.EXP | 10215 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP21_.EXP | EXP21_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP14_.EXP | 10432 | ? | 455 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP14_.EXP | EXP14_ | 4 | 0 | MC_EXPORT +NODE | EXP14_.EXP | 10202 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP14_.EXP | EXP14_ | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | EXP14_.SI | EXP14_ | 0 | 20 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready0r | 9735 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM +NODE | fsb/Ready0r | 9460 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA | 9738 | ? | 455 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +NODE | fsb/VPA | 9462 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMReady | 9814 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$FX_DC$591.UIM | 9891 | ? | 455 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$591.Q | $OpTx$FX_DC$591 | 1 | 0 | MC_UIM +NODE | ram/RAMReady | 9540 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9736 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9743 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +NODE | A_FSB_14_IBUF | 9410 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | SW_1_IBUF | 9795 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | SW_1_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9418 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9694 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 9419 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 9420 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9703 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 9421 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9704 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 9422 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9705 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 9435 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9706 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | fsb/Ready1r | 9461 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9719 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | iobs/IOReady | 9469 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9695 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 9411 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP15_.EXP | 10433 | ? | 455 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP15_.EXP | EXP15_ | 4 | 0 | MC_EXPORT +NODE | EXP21_.EXP | 10215 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP21_.EXP | EXP21_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP14_.EXP | 10417 | ? | 455 | 0 | EXP14_ | NULL | NULL | EXP14_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | EXP15_.EXP -SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_TRUE | fsb/VPA | IV_FALSE | ram/RAMReady | IV_FALSE | $OpTx$FX_DC$591.UIM -SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | iobs/IOReady | IV_FALSE | SW_1_IBUF | IV_FALSE | $OpTx$FX_DC$591.UIM -SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_TRUE | fsb/VPA | IV_FALSE | ram/RAMReady | IV_FALSE | $OpTx$FX_DC$591.UIM -SPPTERM | 13 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | iobs/IOReady | IV_FALSE | $OpTx$FX_DC$591.UIM -SPPTERM | 13 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | iobs/IOReady | IV_FALSE | $OpTx$FX_DC$591.UIM +SIGNAL | NODE | EXP14_.EXP | 10188 | ? | 520 | 0 | EXP14_ | NULL | NULL | EXP14_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | EXP21_.EXP +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_TRUE | fsb/VPA | IV_TRUE | fsb/ASrf | IV_FALSE | ram/RAMReady +SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_TRUE | fsb/VPA | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RAMReady +SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_TRUE | fsb/VPA | IV_TRUE | fsb/ASrf | IV_FALSE | ram/RAMReady +SPPTERM | 13 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | iobs/IOReady | IV_FALSE | nAS_FSB_IBUF +SPPTERM | 13 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | iobs/IOReady | IV_FALSE | nAS_FSB_IBUF -MACROCELL_INSTANCE | NULL | EXP15_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 23 | 1 +MACROCELL_INSTANCE | NULL | EXP15_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 17 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9691 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 9410 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9693 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9694 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9418 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9695 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 9419 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9696 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 9420 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9697 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 9421 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9698 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 9422 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 9435 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | fsb/Ready1r | 9461 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | fsb/VPA | 9462 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9703 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | iobs/IOReady | 9469 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9704 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9705 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 9411 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9706 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9719 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimeoutA | 9730 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimeoutA.Q | cnt/TimeoutA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready2r | 9737 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA | 9738 | ? | 455 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$FX_DC$591.UIM | 9891 | ? | 455 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$591.Q | $OpTx$FX_DC$591 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9692 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready0r.EXP | 10434 | ? | 455 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.EXP | fsb/Ready0r | 4 | 0 | MC_EXPORT +NODE | iobs/ALE1 | 9574 | ? | 555 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP15_.EXP | 10433 | ? | 455 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP15_.EXP | EXP15_ | 4 | 0 | MC_EXPORT +NODE | EXP15_.EXP | 10203 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP15_.EXP | EXP15_ | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | EXP15_.SI | EXP15_ | 0 | 23 | 1 +SIGNAL_INSTANCE | EXP15_.SI | EXP15_ | 0 | 17 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9691 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 9410 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9693 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9694 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9418 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9695 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 9419 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9696 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 9420 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9697 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 9421 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9698 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 9422 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 9435 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | fsb/Ready1r | 9461 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | fsb/VPA | 9462 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9703 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | iobs/IOReady | 9469 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9704 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9705 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 9411 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9706 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9719 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimeoutA | 9730 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimeoutA.Q | cnt/TimeoutA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready2r | 9737 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA | 9738 | ? | 455 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$FX_DC$591.UIM | 9891 | ? | 455 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$591.Q | $OpTx$FX_DC$591 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9692 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready0r.EXP | 10434 | ? | 455 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.EXP | fsb/Ready0r | 4 | 0 | MC_EXPORT +NODE | iobs/ALE1 | 9574 | ? | 555 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP15_.EXP | 10418 | ? | 455 | 0 | EXP15_ | NULL | NULL | EXP15_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | fsb/Ready0r.EXP -SPPTERM | 21 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$FX_DC$591.UIM -SPPTERM | 21 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$FX_DC$591.UIM -SPPTERM | 21 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | cnt/TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$FX_DC$591.UIM -SPPTERM | 21 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$FX_DC$591.UIM -SPPTERM | 21 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | cnt/TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$FX_DC$591.UIM +SIGNAL | NODE | EXP15_.EXP | 10189 | ? | 520 | 0 | EXP15_ | NULL | NULL | EXP15_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 13 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | iobs/IOReady | IV_TRUE | fsb/ASrf +SPPTERM | 13 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | iobs/IOReady | IV_TRUE | fsb/ASrf +SPPTERM | 15 | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | iobs/IOReady | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/ALE1 +SPPTERM | 15 | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | iobs/IOReady | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/ALE1 +SPPTERM | 15 | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | iobs/IOReady | IV_TRUE | fsb/ASrf | IV_FALSE | iobs/ALE1 -MACROCELL_INSTANCE | NULL | EXP16_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 2 | 1 +MACROCELL_INSTANCE | NULL | EXP16_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 18 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready0r | 9460 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/nDTACK.UIM | 9476 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/nDTACK.Q | fsb/nDTACK | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMReady | 9540 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9418 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9461 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9469 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9410 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9419 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9420 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9421 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9422 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9435 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9411 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady.EXP | 10206 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.EXP | iobs/IOReady | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP16_.EXP | 10435 | ? | 452 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP16_.EXP | EXP16_ | 4 | 0 | MC_EXPORT +NODE | EXP16_.EXP | 10207 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP16_.EXP | EXP16_ | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | EXP16_.SI | EXP16_ | 0 | 2 | 1 +SIGNAL_INSTANCE | EXP16_.SI | EXP16_ | 0 | 18 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready0r | 9460 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/nDTACK.UIM | 9476 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/nDTACK.Q | fsb/nDTACK | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMReady | 9540 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9418 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9461 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9469 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9410 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9419 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9420 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9421 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9422 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9435 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9411 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady.EXP | 10206 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.EXP | iobs/IOReady | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP16_.EXP | 10420 | ? | 452 | 0 | EXP16_ | NULL | NULL | EXP16_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf - -MACROCELL_INSTANCE | NULL | EXP17_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 24 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9691 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9692 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9693 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9694 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9695 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9696 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9697 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9698 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9703 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9704 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9705 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9706 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/BERR | 9726 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/BERR.Q | iobs/BERR | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimeoutB | 9731 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimeoutB.Q | cnt/TimeoutB | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR0r | 9733 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR1r | 9734 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9743 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Disable | 9848 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | Park.Q | Park | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP17_.EXP | 10436 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP17_.EXP | EXP17_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP17_.SI | EXP17_ | 0 | 24 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9691 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9692 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9693 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9694 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9695 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9696 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9697 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9698 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9703 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9704 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9705 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9706 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/BERR | 9726 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/BERR.Q | iobs/BERR | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimeoutB | 9731 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimeoutB.Q | cnt/TimeoutB | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR0r | 9733 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR1r | 9734 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9743 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Disable | 9848 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | Park.Q | Park | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP17_.EXP | 10421 | ? | 467 | 0 | EXP17_ | NULL | NULL | EXP17_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 22 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/BERR | IV_FALSE | cnt/TimeoutB | IV_FALSE | fsb/BERR0r | IV_FALSE | fsb/BERR1r | IV_TRUE | iobs/IOReady | IV_TRUE | Disable - -MACROCELL_INSTANCE | NULL | EXP18_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 11 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimeoutB | 9731 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimeoutB.Q | cnt/TimeoutB | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/nDTACK.UIM | 9753 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/nDTACK.Q | fsb/nDTACK | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9736 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9743 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready0r | 9735 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMReady | 9814 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP17_.EXP | 10436 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP17_.EXP | EXP17_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP18_.EXP | 10437 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP18_.EXP | EXP18_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP18_.SI | EXP18_ | 0 | 11 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimeoutB | 9731 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimeoutB.Q | cnt/TimeoutB | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/nDTACK.UIM | 9753 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/nDTACK.Q | fsb/nDTACK | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9736 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9743 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready0r | 9735 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMReady | 9814 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP17_.EXP | 10436 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP17_.EXP | EXP17_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP18_.EXP | 10422 | ? | 467 | 0 | EXP18_ | NULL | NULL | EXP18_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | EXP17_.EXP -SPPTERM | 3 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | cnt/TimeoutB | IV_TRUE | fsb/nDTACK.UIM -SPPTERM | 3 | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cnt/TimeoutB | IV_TRUE | fsb/nDTACK.UIM -SPPTERM | 3 | IV_FALSE | A_FSB_20_IBUF | IV_TRUE | cnt/TimeoutB | IV_TRUE | fsb/nDTACK.UIM -SPPTERM | 4 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_TRUE | fsb/nDTACK.UIM +SIGNAL | NODE | EXP16_.EXP | 10193 | ? | 531 | 0 | EXP16_ | NULL | NULL | EXP16_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | iobs/IOReady.EXP SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_TRUE | fsb/nDTACK.UIM | IV_FALSE | ram/RAMReady - -MACROCELL_INSTANCE | NULL | EXP19_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 24 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9694 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9703 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9704 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9705 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9706 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9719 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9736 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9743 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/nDTACK.UIM | 9753 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/nDTACK.Q | fsb/nDTACK | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9850 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9692 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9693 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9695 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9696 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9697 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9698 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimeoutA | 9730 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimeoutA.Q | cnt/TimeoutA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready2r | 9737 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP18_.EXP | 10437 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP18_.EXP | EXP18_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP19_.EXP | 10438 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP19_.EXP | EXP19_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP19_.SI | EXP19_ | 0 | 24 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9694 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9703 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9704 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9705 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9706 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9719 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9736 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9743 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/nDTACK.UIM | 9753 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/nDTACK.Q | fsb/nDTACK | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9850 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9692 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9693 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9695 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9696 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9697 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9698 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimeoutA | 9730 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimeoutA.Q | cnt/TimeoutA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready2r | 9737 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP18_.EXP | 10437 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP18_.EXP | EXP18_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP19_.EXP | 10423 | ? | 467 | 0 | EXP19_ | NULL | NULL | EXP19_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | EXP18_.EXP +SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_TRUE | fsb/nDTACK.UIM +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_TRUE | fsb/nDTACK.UIM | IV_FALSE | ram/RAMReady SPPTERM | 12 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_TRUE | fsb/nDTACK.UIM -SPPTERM | 14 | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_TRUE | fsb/nDTACK.UIM | IV_FALSE | iobs/ALE1 -SPPTERM | 20 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | fsb/nDTACK.UIM -SPPTERM | 20 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | cnt/TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | fsb/nDTACK.UIM -SPPTERM | 20 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | fsb/nDTACK.UIM +SPPTERM | 12 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_TRUE | fsb/nDTACK.UIM + +MACROCELL_INSTANCE | NULL | EXP17_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 11 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9435 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IORW1 | 9468 | ? | 525 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9491 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9495 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r.EXP | 10209 | ? | 525 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.EXP | fsb/Ready1r | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP17_.EXP | 10210 | ? | 525 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP17_.EXP | EXP17_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP17_.SI | EXP17_ | 0 | 11 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9435 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IORW1 | 9468 | ? | 525 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9491 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9495 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r.EXP | 10209 | ? | 525 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.EXP | fsb/Ready1r | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP17_.EXP | 10196 | ? | 525 | 0 | EXP17_ | NULL | NULL | EXP17_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | fsb/Ready1r.EXP +SPPTERM | 2 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/IORW1 +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 2 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 + +MACROCELL_INSTANCE | NULL | EXP18_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9419 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9420 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9421 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9422 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP18_.EXP | 10211 | ? | 525 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP18_.EXP | EXP18_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP18_.SI | EXP18_ | 0 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9419 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9420 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9421 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9422 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP18_.EXP | 10197 | ? | 525 | 0 | EXP18_ | NULL | NULL | EXP18_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_19_IBUF +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_18_IBUF +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_17_IBUF +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_16_IBUF +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 + +MACROCELL_INSTANCE | NULL | EXP19_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 12 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9435 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9447 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IORW0 | 9456 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW0.Q | iobs/IORW0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9491 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9495 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/ALE1 | 9574 | ? | 555 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9418 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP19_.EXP | 10212 | ? | 514 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP19_.EXP | EXP19_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP19_.SI | EXP19_ | 0 | 12 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9435 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9447 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IORW0 | 9456 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW0.Q | iobs/IORW0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9491 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9495 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/ALE1 | 9574 | ? | 555 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9418 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP19_.EXP | 10198 | ? | 514 | 0 | EXP19_ | NULL | NULL | EXP19_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 8 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | iobs/Once | IV_FALSE | iobs/IORW0 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | iobs/ALE1 +SPPTERM | 10 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | iobs/Once | IV_FALSE | iobs/IORW0 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/ALE1 +SPPTERM | 10 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | iobs/Once | IV_FALSE | iobs/IORW0 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | iobs/ALE1 +SPPTERM | 10 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/Once | IV_TRUE | iobs/IORW0 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/ALE1 +SPPTERM | 10 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/Once | IV_TRUE | iobs/IORW0 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | iobs/ALE1 MACROCELL_INSTANCE | NULL | EXP20_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 19 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 9410 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9418 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9736 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +NODE | A_FSB_19_IBUF | 9419 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9743 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +NODE | A_FSB_18_IBUF | 9420 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/nDTACK.UIM | 9753 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/nDTACK.Q | fsb/nDTACK | 1 | 0 | MC_UIM +NODE | A_FSB_17_IBUF | 9421 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | SW_1_IBUF | 9795 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | SW_1_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 9422 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 9435 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready0r | 9735 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM +NODE | iobs/Once | 9447 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMReady | 9814 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM +NODE | iobs/IORW0 | 9456 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW0.Q | iobs/IORW0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9695 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9703 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | iobs/PS_FSM_FFd2 | 9491 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9704 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | iobs/PS_FSM_FFd1 | 9495 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9705 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | iobs/ALE1 | 9574 | ? | 555 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9706 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9719 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 9411 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9850 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP21_.EXP | 10440 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP21_.EXP | EXP21_ | 4 | 0 | MC_EXPORT +NODE | nROMWE_OBUF.EXP | 10214 | ? | 514 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.EXP | nROMWE_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP20_.EXP | 10439 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP20_.EXP | EXP20_ | 4 | 0 | MC_EXPORT +NODE | EXP20_.EXP | 10213 | ? | 514 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP20_.EXP | EXP20_ | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | EXP20_.SI | EXP20_ | 0 | 19 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 9410 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9418 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9736 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +NODE | A_FSB_19_IBUF | 9419 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9743 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +NODE | A_FSB_18_IBUF | 9420 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/nDTACK.UIM | 9753 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/nDTACK.Q | fsb/nDTACK | 1 | 0 | MC_UIM +NODE | A_FSB_17_IBUF | 9421 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | SW_1_IBUF | 9795 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | SW_1_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 9422 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 9435 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready0r | 9735 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM +NODE | iobs/Once | 9447 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMReady | 9814 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM +NODE | iobs/IORW0 | 9456 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW0.Q | iobs/IORW0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9695 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9703 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | iobs/PS_FSM_FFd2 | 9491 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9704 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | iobs/PS_FSM_FFd1 | 9495 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9705 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | iobs/ALE1 | 9574 | ? | 555 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9706 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9719 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 9411 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9850 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP21_.EXP | 10440 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP21_.EXP | EXP21_ | 4 | 0 | MC_EXPORT +NODE | nROMWE_OBUF.EXP | 10214 | ? | 514 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.EXP | nROMWE_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP20_.EXP | 10424 | ? | 467 | 0 | EXP20_ | NULL | NULL | EXP20_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | EXP21_.EXP -SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_TRUE | fsb/nDTACK.UIM -SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_TRUE | fsb/nDTACK.UIM | IV_FALSE | SW_1_IBUF -SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_TRUE | fsb/nDTACK.UIM | IV_FALSE | ram/RAMReady -SPPTERM | 12 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_TRUE | fsb/nDTACK.UIM -SPPTERM | 14 | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_TRUE | fsb/nDTACK.UIM | IV_FALSE | iobs/ALE1 +SIGNAL | NODE | EXP20_.EXP | 10199 | ? | 514 | 0 | EXP20_ | NULL | NULL | EXP20_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | nROMWE_OBUF.EXP +SPPTERM | 15 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_TRUE | iobs/IORW0 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/ALE1 +SPPTERM | 15 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_TRUE | iobs/IORW0 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | iobs/ALE1 +SPPTERM | 15 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_TRUE | iobs/IORW0 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/ALE1 +SPPTERM | 15 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_TRUE | iobs/IORW0 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | iobs/ALE1 +SPPTERM | 16 | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_TRUE | iobs/IORW0 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/ALE1 -MACROCELL_INSTANCE | NULL | EXP21_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 8 | 1 +MACROCELL_INSTANCE | NULL | EXP21_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 24 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/BERR | 9726 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/BERR.Q | iobs/BERR | 1 | 0 | MC_UIM +NODE | A_FSB_14_IBUF | 9410 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/nDTACK.UIM | 9753 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/nDTACK.Q | fsb/nDTACK | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR0r | 9733 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR1r | 9734 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +NODE | A_FSB_20_IBUF | 9418 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Disable | 9848 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | Park.Q | Park | 1 | 0 | MC_UIM +NODE | A_FSB_19_IBUF | 9419 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 9420 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimeoutB | 9731 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimeoutB.Q | cnt/TimeoutB | 1 | 0 | MC_UIM +NODE | A_FSB_17_IBUF | 9421 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR0r.EXP | 10441 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.EXP | fsb/BERR0r | 4 | 0 | MC_EXPORT +NODE | A_FSB_16_IBUF | 9422 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9435 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9461 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/VPA | 9462 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9469 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/ALE1 | 9574 | ? | 555 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 9407 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9408 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9409 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9411 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9412 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9413 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9414 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP21_.EXP | 10440 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP21_.EXP | EXP21_ | 4 | 0 | MC_EXPORT +NODE | EXP21_.EXP | 10215 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP21_.EXP | EXP21_ | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | EXP21_.SI | EXP21_ | 0 | 8 | 1 +SIGNAL_INSTANCE | EXP21_.SI | EXP21_ | 0 | 24 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/BERR | 9726 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/BERR.Q | iobs/BERR | 1 | 0 | MC_UIM +NODE | A_FSB_14_IBUF | 9410 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/nDTACK.UIM | 9753 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/nDTACK.Q | fsb/nDTACK | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR0r | 9733 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR1r | 9734 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +NODE | A_FSB_20_IBUF | 9418 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Disable | 9848 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | Park.Q | Park | 1 | 0 | MC_UIM +NODE | A_FSB_19_IBUF | 9419 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 9420 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimeoutB | 9731 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimeoutB.Q | cnt/TimeoutB | 1 | 0 | MC_UIM +NODE | A_FSB_17_IBUF | 9421 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR0r.EXP | 10441 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.EXP | fsb/BERR0r | 4 | 0 | MC_EXPORT +NODE | A_FSB_16_IBUF | 9422 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9435 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9461 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/VPA | 9462 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9469 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/ALE1 | 9574 | ? | 555 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 9407 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9408 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9409 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9411 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9412 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9413 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9414 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP21_.EXP | 10425 | ? | 467 | 0 | EXP21_ | NULL | NULL | EXP21_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | fsb/BERR0r.EXP -SPPTERM | 2 | IV_TRUE | iobs/BERR | IV_TRUE | fsb/nDTACK.UIM -SPPTERM | 2 | IV_TRUE | fsb/BERR0r | IV_TRUE | fsb/nDTACK.UIM -SPPTERM | 2 | IV_TRUE | fsb/BERR1r | IV_TRUE | fsb/nDTACK.UIM -SPPTERM | 2 | IV_TRUE | fsb/nDTACK.UIM | IV_FALSE | Disable -SPPTERM | 3 | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cnt/TimeoutB | IV_TRUE | fsb/nDTACK.UIM +SIGNAL | NODE | EXP21_.EXP | 10201 | ? | 520 | 0 | EXP21_ | NULL | NULL | EXP21_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 15 | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | iobs/IOReady | IV_TRUE | fsb/ASrf | IV_FALSE | iobs/ALE1 +SPPTERM | 18 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | fsb/Ready1r | IV_FALSE | nAS_FSB_IBUF +SPPTERM | 18 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | fsb/Ready1r | IV_TRUE | fsb/ASrf +SPPTERM | 18 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | iobs/IOReady | IV_FALSE | nAS_FSB_IBUF +SPPTERM | 18 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | iobs/IOReady | IV_TRUE | fsb/ASrf -MACROCELL_INSTANCE | NULL | EXP22_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 16 | 1 +MACROCELL_INSTANCE | NULL | EXP22_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 10 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9736 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 9490 | ? | 552 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9743 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +NODE | ram/RefUrgent | 9492 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrgent.Q | ram/RefUrgent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd3 | 9493 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | ram/RefReq | 9510 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | SW_1_IBUF | 9795 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | SW_1_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9694 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | ram/BACTr | 9509 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9703 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9704 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9705 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9706 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9719 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9695 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay0.EXP | 10442 | ? | 453 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.EXP | cs/nOverlay0 | 4 | 0 | MC_EXPORT +NODE | RA_6_OBUF.EXP | 10236 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.EXP | RA_6_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP22_.EXP | 10443 | ? | 453 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP22_.EXP | EXP22_ | 4 | 0 | MC_EXPORT +NODE | EXP22_.EXP | 10237 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP22_.EXP | EXP22_ | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | EXP22_.SI | EXP22_ | 0 | 16 | 1 +SIGNAL_INSTANCE | EXP22_.SI | EXP22_ | 0 | 10 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9736 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 9490 | ? | 552 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9743 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +NODE | ram/RefUrgent | 9492 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrgent.Q | ram/RefUrgent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd3 | 9493 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | ram/RefReq | 9510 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | SW_1_IBUF | 9795 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | SW_1_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9694 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | ram/BACTr | 9509 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9703 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9704 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9705 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9706 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9719 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9695 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay0.EXP | 10442 | ? | 453 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.EXP | cs/nOverlay0 | 4 | 0 | MC_EXPORT +NODE | RA_6_OBUF.EXP | 10236 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.EXP | RA_6_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP22_.EXP | 10428 | ? | 453 | 0 | EXP22_ | NULL | NULL | EXP22_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | cs/nOverlay0.EXP -SPPTERM | 3 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady -SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady -SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_FALSE | SW_1_IBUF -SPPTERM | 11 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady -SPPTERM | 11 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady +SIGNAL | NODE | EXP22_.EXP | 10225 | ? | 551 | 0 | EXP22_ | NULL | NULL | EXP22_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | RA_6_OBUF.EXP +SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RefUrgent | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefReq +SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RefUrgent | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | ram/BACTr +SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RefUrgent | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefReq +SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RefUrgent | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | ram/BACTr +SPPTERM | 6 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RefUrgent | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | ram/BACTr MACROCELL_INSTANCE | NULL | EXP23_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 10 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimeoutB | 9731 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimeoutB.Q | cnt/TimeoutB | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 9490 | ? | 552 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA | 9738 | ? | 455 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +NODE | ram/RefUrgent | 9492 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrgent.Q | ram/RefUrgent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$FX_DC$591.UIM | 9891 | ? | 455 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$591.Q | $OpTx$FX_DC$591 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 9493 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | ram/BACTr | 9509 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | ram/RefReq | 9510 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9736 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9743 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nROMWE_OBUF.EXP | 10445 | ? | 455 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.EXP | nROMWE_OBUF | 4 | 0 | MC_EXPORT +NODE | ram/RAMReady.EXP | 10238 | ? | 533 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMReady.EXP | ram/RAMReady | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP23_.EXP | 10446 | ? | 455 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP23_.EXP | EXP23_ | 4 | 0 | MC_EXPORT +NODE | EXP23_.EXP | 10239 | ? | 533 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP23_.EXP | EXP23_ | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | EXP23_.SI | EXP23_ | 0 | 10 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimeoutB | 9731 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimeoutB.Q | cnt/TimeoutB | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 9490 | ? | 552 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA | 9738 | ? | 455 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +NODE | ram/RefUrgent | 9492 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrgent.Q | ram/RefUrgent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$FX_DC$591.UIM | 9891 | ? | 455 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$591.Q | $OpTx$FX_DC$591 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 9493 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | ram/BACTr | 9509 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | ram/RefReq | 9510 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9736 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9743 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nROMWE_OBUF.EXP | 10445 | ? | 455 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.EXP | nROMWE_OBUF | 4 | 0 | MC_EXPORT +NODE | ram/RAMReady.EXP | 10238 | ? | 533 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMReady.EXP | ram/RAMReady | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP23_.EXP | 10431 | ? | 455 | 0 | EXP23_ | NULL | NULL | EXP23_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | nROMWE_OBUF.EXP -SPPTERM | 4 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | cnt/TimeoutB | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$FX_DC$591.UIM -SPPTERM | 4 | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cnt/TimeoutB | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$FX_DC$591.UIM -SPPTERM | 4 | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cnt/TimeoutB | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$FX_DC$591.UIM -SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | iobs/IOReady | IV_FALSE | $OpTx$FX_DC$591.UIM -SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | iobs/IOReady | IV_FALSE | $OpTx$FX_DC$591.UIM +SIGNAL | NODE | EXP23_.EXP | 10227 | ? | 533 | 0 | EXP23_ | NULL | NULL | EXP23_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | ram/RAMReady.EXP +SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RefUrgent | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | ram/BACTr +SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RefUrgent | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefReq +SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RefUrgent | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | ram/BACTr +SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RefUrgent | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | ram/BACTr +SPPTERM | 6 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RefUrgent | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | ram/BACTr -MACROCELL_INSTANCE | NULL | EXP24_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 12 | 1 +MACROCELL_INSTANCE | NULL | EXP24_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 6 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9722 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9754 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | A_FSB_19_IBUF | 9419 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9756 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9778 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9762 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9763 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9768 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +NODE | nWE_FSB_IBUF | 9435 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP24_.EXP | 10463 | ? | 469 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP24_.EXP | EXP24_ | 4 | 0 | MC_EXPORT +NODE | EXP24_.EXP | 10265 | ? | 542 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP24_.EXP | EXP24_ | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | EXP24_.SI | EXP24_ | 0 | 12 | 1 +SIGNAL_INSTANCE | EXP24_.SI | EXP24_ | 0 | 6 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9722 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9754 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | A_FSB_19_IBUF | 9419 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9756 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9778 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9762 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9763 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9768 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +NODE | nWE_FSB_IBUF | 9435 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP24_.EXP | 10451 | ? | 469 | 0 | EXP24_ | NULL | NULL | EXP24_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | cnt/RefDone | IV_TRUE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/BACTr -SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | cnt/RefDone | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf -SPPTERM | 7 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/BACTr -SPPTERM | 7 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf -SPPTERM | 8 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> +SIGNAL | NODE | EXP24_.EXP | 10253 | ? | 542 | 0 | EXP24_ | NULL | NULL | EXP24_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_19_IBUF +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | nWE_FSB_IBUF MACROCELL_INSTANCE | NULL | EXP25_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 9 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9703 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 9420 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9724 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | A_FSB_17_IBUF | 9421 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9704 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 9422 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9705 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 9410 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9706 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 9411 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9719 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_4_OBUF.EXP | 10468 | ? | 440 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.EXP | RA_4_OBUF | 4 | 0 | MC_EXPORT +NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP25_.EXP | 10467 | ? | 440 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP25_.EXP | EXP25_ | 4 | 0 | MC_EXPORT +NODE | EXP25_.EXP | 10266 | ? | 542 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP25_.EXP | EXP25_ | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | EXP25_.SI | EXP25_ | 0 | 9 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9703 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 9420 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9724 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | A_FSB_17_IBUF | 9421 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9704 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 9422 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9705 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 9410 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9706 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 9411 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9719 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_4_OBUF.EXP | 10468 | ? | 440 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.EXP | RA_4_OBUF | 4 | 0 | MC_EXPORT +NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP25_.EXP | 10455 | ? | 440 | 0 | EXP25_ | NULL | NULL | EXP25_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | RA_4_OBUF.EXP +SIGNAL | NODE | EXP25_.EXP | 10254 | ? | 542 | 0 | EXP25_ | NULL | NULL | EXP25_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_18_IBUF +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_17_IBUF +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_16_IBUF +SPPTERM | 4 | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 + +MACROCELL_INSTANCE | NULL | EXP26_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 10 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9491 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/ALE1 | 9574 | ? | 555 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9419 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9422 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9435 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/nBERR_FSB.EXP | 10267 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/nBERR_FSB.EXP | iobs/nBERR_FSB | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP26_.EXP | 10268 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP26_.EXP | EXP26_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP26_.SI | EXP26_ | 0 | 10 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9491 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/ALE1 | 9574 | ? | 555 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9419 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9422 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9435 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/nBERR_FSB.EXP | 10267 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/nBERR_FSB.EXP | iobs/nBERR_FSB | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP26_.EXP | 10256 | ? | 550 | 0 | EXP26_ | NULL | NULL | EXP26_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | iobs/nBERR_FSB.EXP +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | iobs/ALE1 +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | iobs/ALE1 +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_19_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | iobs/ALE1 +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_16_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | iobs/ALE1 +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | iobs/ALE1 + +MACROCELL_INSTANCE | NULL | EXP27_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9447 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9495 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9491 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/ALE1 | 9574 | ? | 555 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP27_.EXP | 10270 | ? | 513 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP27_.EXP | EXP27_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP27_.SI | EXP27_ | 0 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9447 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9495 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9491 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/ALE1 | 9574 | ? | 555 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP27_.EXP | 10258 | ? | 513 | 0 | EXP27_ | NULL | NULL | EXP27_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 3 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Once | IV_TRUE | iobs/PS_FSM_FFd1 +SPPTERM | 3 | IV_FALSE | iobs/Once | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/ALE1 +SPPTERM | 3 | IV_FALSE | iobs/Once | IV_TRUE | iobs/PS_FSM_FFd1 | IV_FALSE | iobs/ALE1 +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | iobs/Once +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | iobs/Once + +MACROCELL_INSTANCE | NULL | EXP28_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 9 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9419 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9447 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9420 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9421 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9422 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9435 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/PORS_FSM_FFd1.EXP | 10260 | ? | 513 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/PORS_FSM_FFd1.EXP | cnt/PORS_FSM_FFd1 | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP28_.EXP | 10271 | ? | 513 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP28_.EXP | EXP28_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP28_.SI | EXP28_ | 0 | 9 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9419 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9447 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9420 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9421 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9422 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9435 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/PORS_FSM_FFd1.EXP | 10260 | ? | 513 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/PORS_FSM_FFd1.EXP | cnt/PORS_FSM_FFd1 | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP28_.EXP | 10259 | ? | 513 | 0 | EXP28_ | NULL | NULL | EXP28_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | cnt/PORS_FSM_FFd1.EXP SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_19_IBUF | IV_FALSE | iobs/Once SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_18_IBUF | IV_FALSE | iobs/Once SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_17_IBUF | IV_FALSE | iobs/Once SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_16_IBUF | IV_FALSE | iobs/Once SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | iobs/Once -MACROCELL_INSTANCE | NULL | EXP26_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 13 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9723 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9754 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9756 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9722 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9762 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9763 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9768 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP26_.EXP | 10473 | ? | 469 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP26_.EXP | EXP26_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP26_.SI | EXP26_ | 0 | 13 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9723 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9754 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9756 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9722 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9762 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9763 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9768 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP26_.EXP | 10461 | ? | 469 | 0 | EXP26_ | NULL | NULL | EXP26_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | ram/Once | IV_FALSE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 -SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | ram/Once | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_TRUE | fsb/ASrf -SPPTERM | 8 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | cnt/RefDone | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> -SPPTERM | 8 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> - -MACROCELL_INSTANCE | NULL | EXP27_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 15 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9722 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9754 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9756 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9762 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9763 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9768 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9723 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9778 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP26_.EXP | 10473 | ? | 469 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP26_.EXP | EXP26_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP27_.EXP | 10474 | ? | 469 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP27_.EXP | EXP27_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP27_.SI | EXP27_ | 0 | 15 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9722 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9754 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9756 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9762 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9763 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9768 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9723 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9778 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP26_.EXP | 10473 | ? | 469 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP26_.EXP | EXP26_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP27_.EXP | 10462 | ? | 469 | 0 | EXP27_ | NULL | NULL | EXP27_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | EXP26_.EXP -SPPTERM | 7 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> -SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | ram/Once | IV_TRUE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 -SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | ram/Once | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_TRUE | fsb/ASrf -SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/BACTr -SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf - -MACROCELL_INSTANCE | NULL | EXP28_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 15 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9722 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9754 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9760 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9762 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9763 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9768 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9778 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9723 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_1_OBUF.EXP | 10494 | ? | 498 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.EXP | RA_1_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP28_.EXP | 10493 | ? | 498 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP28_.EXP | EXP28_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP28_.SI | EXP28_ | 0 | 15 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9722 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9754 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9760 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9762 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9763 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9768 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9778 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9723 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_1_OBUF.EXP | 10494 | ? | 498 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.EXP | RA_1_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP28_.EXP | 10481 | ? | 498 | 0 | EXP28_ | NULL | NULL | EXP28_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | RA_1_OBUF.EXP -SPPTERM | 7 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> -SPPTERM | 7 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf -SPPTERM | 7 | IV_FALSE | cnt/RefDone | IV_TRUE | ram/Once | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> -SPPTERM | 8 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> -SPPTERM | 8 | IV_FALSE | cnt/RefDone | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_FALSE | fsb/ASrf - -MACROCELL_INSTANCE | NULL | EXP29_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 13 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9722 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9754 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9756 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9760 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9762 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9763 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9768 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP29_.EXP | 10495 | ? | 471 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP29_.EXP | EXP29_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP29_.SI | EXP29_ | 0 | 13 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9722 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9754 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9756 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9760 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9762 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9763 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9768 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP29_.EXP | 10483 | ? | 471 | 0 | EXP29_ | NULL | NULL | EXP29_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 8 | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> -SPPTERM | 8 | IV_FALSE | cnt/RefDone | IV_TRUE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_TRUE | fsb/ASrf -SPPTERM | 10 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cnt/RefDone | IV_TRUE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> -SPPTERM | 10 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cnt/RefDone | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_TRUE | fsb/ASrf -SPPTERM | 11 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> - -MACROCELL_INSTANCE | NULL | EXP30_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 10 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9722 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9754 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9778 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9723 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP30_.EXP | 10503 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP30_.EXP | EXP30_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP30_.SI | EXP30_ | 0 | 10 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9722 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9754 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9778 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9723 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP30_.EXP | 10491 | ? | 499 | 0 | EXP30_ | NULL | NULL | EXP30_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf -SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | cnt/RefDone | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf -SPPTERM | 6 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf -SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | ram/Once | IV_FALSE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 -SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | ram/Once | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | fsb/ASrf - -MACROCELL_INSTANCE | NULL | EXP31_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 9 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9722 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9754 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9760 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9778 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP31_.EXP | 10504 | ? | 498 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP31_.EXP | EXP31_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP31_.SI | EXP31_ | 0 | 9 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9722 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9754 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9760 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9778 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP31_.EXP | 10492 | ? | 498 | 0 | EXP31_ | NULL | NULL | EXP31_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/BACTr -SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf -SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | cnt/RefDone | IV_TRUE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/BACTr -SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | cnt/RefDone | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf -SPPTERM | 7 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/BACTr - -MACROCELL_INSTANCE | NULL | EXP32_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 9 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW0 | 9727 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW0.Q | iobs/IORW0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1 | 9742 | ? | 460 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9850 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF$BUF0.EXP | 10519 | ? | 444 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_19_IBUF$BUF0.EXP | A_FSB_19_IBUF$BUF0 | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP32_.EXP | 10518 | ? | 444 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP32_.EXP | EXP32_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP32_.SI | EXP32_ | 0 | 9 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW0 | 9727 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW0.Q | iobs/IORW0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1 | 9742 | ? | 460 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9850 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF$BUF0.EXP | 10519 | ? | 444 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_19_IBUF$BUF0.EXP | A_FSB_19_IBUF$BUF0 | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP32_.EXP | 10505 | ? | 444 | 0 | EXP32_ | NULL | NULL | EXP32_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | A_FSB_19_IBUF$BUF0.EXP -SPPTERM | 3 | IV_TRUE | iobs/IORW0 | IV_TRUE | iobs/IORW1 | IV_FALSE | iobs/ALE1 -SPPTERM | 3 | IV_FALSE | iobs/IORW0 | IV_FALSE | iobs/IORW1 | IV_FALSE | iobs/ALE1 -SPPTERM | 3 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf | IV_TRUE | iobs/ALE1 -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | iobs/ALE1 -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/IORW0 | IV_TRUE | iobs/ALE1 - -MACROCELL_INSTANCE | NULL | EXP33_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 10 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9761 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9765 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9850 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9703 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9705 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9706 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP33_.EXP | 10520 | ? | 472 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP33_.EXP | EXP33_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP33_.SI | EXP33_ | 0 | 10 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9761 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9765 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9850 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9703 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9705 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9706 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP33_.EXP | 10507 | ? | 472 | 0 | EXP33_ | NULL | NULL | EXP33_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/ALE1 -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/ALE1 -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_19_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/ALE1 -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_17_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/ALE1 -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_16_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/ALE1 - -MACROCELL_INSTANCE | NULL | EXP34_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 12 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9704 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9761 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9850 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9719 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | SW_1_IBUF | 9795 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | SW_1_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9694 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9695 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP34_.EXP | 10525 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP34_.EXP | EXP34_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP34_.SI | EXP34_ | 0 | 12 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9704 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9761 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9850 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9719 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | SW_1_IBUF | 9795 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | SW_1_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9694 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9695 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP34_.EXP | 10512 | ? | 497 | 0 | EXP34_ | NULL | NULL | EXP34_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_18_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | iobs/ALE1 -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | iobs/ALE1 -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | SW_1_IBUF | IV_TRUE | iobs/ALE1 -SPPTERM | 6 | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | iobs/ALE1 -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | iobs/ALE1 - -MACROCELL_INSTANCE | NULL | EXP35_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 7 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/BERR | 9726 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/BERR.Q | iobs/BERR | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR0r | 9733 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR1r | 9734 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP35_.EXP | 10526 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP35_.EXP | EXP35_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP35_.SI | EXP35_ | 0 | 7 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/BERR | 9726 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/BERR.Q | iobs/BERR | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR0r | 9733 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR1r | 9734 | ? | 467 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP35_.EXP | 10513 | ? | 0 | 0 | EXP35_ | NULL | NULL | EXP35_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | iobs/BERR | IV_FALSE | fsb/BERR0r | IV_FALSE | fsb/BERR1r - -MACROCELL_INSTANCE | NULL | EXP36_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 10 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9761 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9765 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_FSB_OBUF.EXP | 10527 | ? | 460 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.EXP | nBERR_FSB_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP36_.EXP | 10528 | ? | 460 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP36_.EXP | EXP36_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP36_.SI | EXP36_ | 0 | 10 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9750 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9796 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9761 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9765 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9700 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9725 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_FSB_OBUF.EXP | 10527 | ? | 460 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.EXP | nBERR_FSB_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP36_.EXP | 10515 | ? | 460 | 0 | EXP36_ | NULL | NULL | EXP36_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | nBERR_FSB_OBUF.EXP -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 2 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF - -MACROCELL_INSTANCE | NULL | EXP37_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 9 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9703 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9850 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9704 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9705 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9706 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | SW_1_IBUF | 9795 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | SW_1_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP37_.EXP | 10530 | ? | 444 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP37_.EXP | EXP37_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP37_.SI | EXP37_ | 0 | 9 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9699 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9701 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9703 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9850 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9704 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9705 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9706 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9702 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | SW_1_IBUF | 9795 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | SW_1_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP37_.EXP | 10517 | ? | 444 | 0 | EXP37_ | NULL | NULL | EXP37_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_19_IBUF | IV_TRUE | iobs/ALE1 -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_18_IBUF | IV_TRUE | iobs/ALE1 -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_17_IBUF | IV_TRUE | iobs/ALE1 -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_16_IBUF | IV_TRUE | iobs/ALE1 -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_TRUE | SW_1_IBUF | IV_TRUE | iobs/ALE1 - FB_INSTANCE | FOOBAR1_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 1 | ram/RefRAS | 1 | NULL | 0 | NULL | 0 -FBPIN | 2 | ram/BACTr | 1 | A_FSB_13_IBUF | 1 | NULL | 0 | 11 | 49152 -FBPIN | 3 | iobm/RESrr | 1 | A_FSB_14_IBUF | 1 | NULL | 0 | 12 | 49152 -FBPIN | 4 | iobm/RESrf | 1 | NULL | 0 | NULL | 0 -FBPIN | 5 | iobm/BERRrf | 1 | A_FSB_15_IBUF | 1 | NULL | 0 | 13 | 49152 -FBPIN | 6 | fsb/ASrf | 1 | A_FSB_16_IBUF | 1 | NULL | 0 | 14 | 49152 -FBPIN | 7 | cnt/RefCnt<1> | 1 | NULL | 0 | NULL | 0 -FBPIN | 8 | RESr2 | 1 | A_FSB_17_IBUF | 1 | NULL | 0 | 15 | 49152 -FBPIN | 9 | RESr1 | 1 | A_FSB_18_IBUF | 1 | NULL | 0 | 16 | 49152 -FBPIN | 10 | RESr0 | 1 | NULL | 0 | NULL | 0 -FBPIN | 11 | IPL2r1 | 1 | A_FSB_19_IBUF | 1 | NULL | 0 | 17 | 49152 -FBPIN | 12 | IPL2r0 | 1 | A_FSB_20_IBUF | 1 | NULL | 0 | 18 | 49152 -FBPIN | 13 | $OpTx$FX_DC$591 | 1 | NULL | 0 | NULL | 0 -FBPIN | 14 | iobs/IOU1 | 1 | A_FSB_21_IBUF | 1 | NULL | 0 | 19 | 49152 -FBPIN | 15 | iobs/IOL1 | 1 | A_FSB_22_IBUF | 1 | NULL | 0 | 20 | 49152 -FBPIN | 16 | iobm/IOS_FSM_FFd1 | 1 | NULL | 0 | NULL | 0 -FBPIN | 17 | fsb/BERR1r | 1 | CLK2X_IOB_IBUF | 0 | NULL | 0 | 22 | 57344 -FBPIN | 18 | cs/nOverlay1 | 1 | NULL | 0 | NULL | 0 +FBPIN | 1 | iobm/nASr | 1 | NULL | 0 | NULL | 0 +FBPIN | 2 | iobm/VPArr | 1 | A_FSB_13_IBUF | 1 | NULL | 0 | 11 | 49152 +FBPIN | 3 | iobm/VPArf | 1 | A_FSB_14_IBUF | 1 | NULL | 0 | 12 | 49152 +FBPIN | 4 | iobm/RESrr | 1 | NULL | 0 | NULL | 0 +FBPIN | 5 | iobm/RESrf | 1 | A_FSB_15_IBUF | 1 | NULL | 0 | 13 | 49152 +FBPIN | 6 | iobm/IOREQr | 1 | A_FSB_16_IBUF | 1 | NULL | 0 | 14 | 49152 +FBPIN | 7 | iobm/Er2 | 1 | NULL | 0 | NULL | 0 +FBPIN | 8 | iobm/Er | 1 | A_FSB_17_IBUF | 1 | NULL | 0 | 15 | 49152 +FBPIN | 9 | iobm/DTACKrr | 1 | A_FSB_18_IBUF | 1 | NULL | 0 | 16 | 49152 +FBPIN | 10 | iobm/DTACKrf | 1 | NULL | 0 | NULL | 0 +FBPIN | 11 | iobm/BERRrr | 1 | A_FSB_19_IBUF | 1 | NULL | 0 | 17 | 49152 +FBPIN | 12 | iobm/BERRrf | 1 | A_FSB_20_IBUF | 1 | NULL | 0 | 18 | 49152 +FBPIN | 13 | cnt/IPL2r | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | iobm/IOS_FSM_FFd1 | 1 | A_FSB_21_IBUF | 1 | NULL | 0 | 19 | 49152 +FBPIN | 15 | iobm/BG | 1 | A_FSB_22_IBUF | 1 | NULL | 0 | 20 | 49152 +FBPIN | 16 | iobs/IOU0 | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | iobs/IOL0 | 1 | C16M_IBUF | 0 | NULL | 0 | 22 | 57344 +FBPIN | 18 | iobm/IOS_FSM_FFd3 | 1 | NULL | 0 | NULL | 0 FB_INSTANCE | FOOBAR2_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 FBPIN | 6 | NULL | 0 | A_FSB_5_IBUF | 1 | NULL | 0 | 2 | 53248 -FBPIN | 7 | iobs/IOACTr | 1 | NULL | 0 | NULL | 0 -FBPIN | 8 | iobm/VPArr | 1 | A_FSB_6_IBUF | 1 | NULL | 0 | 3 | 53248 -FBPIN | 9 | iobm/VPArf | 1 | A_FSB_7_IBUF | 1 | NULL | 0 | 4 | 53248 -FBPIN | 10 | iobm/IOREQr | 1 | NULL | 0 | NULL | 0 -FBPIN | 11 | iobm/Er2 | 1 | A_FSB_8_IBUF | 1 | NULL | 0 | 6 | 49152 -FBPIN | 12 | iobm/Er | 1 | A_FSB_9_IBUF | 1 | NULL | 0 | 7 | 49152 -FBPIN | 13 | iobm/DTACKrr | 1 | NULL | 0 | NULL | 0 -FBPIN | 14 | iobm/DTACKrf | 1 | A_FSB_10_IBUF | 1 | NULL | 0 | 8 | 49152 -FBPIN | 15 | iobm/BGr1 | 1 | A_FSB_11_IBUF | 1 | NULL | 0 | 9 | 49152 -FBPIN | 16 | iobm/BGr0 | 1 | NULL | 0 | NULL | 0 -FBPIN | 17 | iobm/BERRrr | 1 | A_FSB_12_IBUF | 1 | NULL | 0 | 10 | 49152 -FBPIN | 18 | cnt/RefCnt<0> | 1 | NULL | 0 | NULL | 0 +FBPIN | 7 | ram/RegUrgentSync | 1 | NULL | 0 | NULL | 0 +FBPIN | 8 | ram/RefUrgent | 1 | A_FSB_6_IBUF | 1 | NULL | 0 | 3 | 53248 +FBPIN | 9 | ram/RefReqSync | 1 | A_FSB_7_IBUF | 1 | NULL | 0 | 4 | 53248 +FBPIN | 10 | ram/RefReq | 1 | NULL | 0 | NULL | 0 +FBPIN | 11 | ram/RefRAS | 1 | A_FSB_8_IBUF | 1 | NULL | 0 | 6 | 49152 +FBPIN | 12 | ram/BACTr | 1 | A_FSB_9_IBUF | 1 | NULL | 0 | 7 | 49152 +FBPIN | 13 | iobs/IOACTr | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | iobs/ALE0 | 1 | A_FSB_10_IBUF | 1 | NULL | 0 | 8 | 49152 +FBPIN | 15 | fsb/ASrf | 1 | A_FSB_11_IBUF | 1 | NULL | 0 | 9 | 49152 +FBPIN | 16 | iobs/PS_FSM_FFd1 | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | iobs/IOU1 | 1 | A_FSB_12_IBUF | 1 | NULL | 0 | 10 | 49152 +FBPIN | 18 | iobs/IOL1 | 1 | NULL | 0 | NULL | 0 FB_INSTANCE | FOOBAR3_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 1 | fsb/VPA | 1 | NULL | 0 | NULL | 0 -FBPIN | 2 | EXP14_ | 1 | CLK_IOB_IBUF | 1 | NULL | 0 | 23 | 57344 +FBPIN | 1 | EXP14_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 2 | fsb/VPA | 1 | C8M_IBUF | 1 | NULL | 0 | 23 | 57344 FBPIN | 3 | EXP15_ | 1 | NULL | 0 | NULL | 0 -FBPIN | 4 | fsb/Ready0r | 1 | NULL | 0 | NULL | 0 -FBPIN | 5 | EXP16_ | 1 | A_FSB_23_IBUF | 1 | NULL | 0 | 24 | 49152 -FBPIN | 6 | EXP17_ | 1 | E_IOB_IBUF | 1 | NULL | 0 | 25 | 49152 -FBPIN | 7 | EXP18_ | 1 | NULL | 0 | NULL | 0 -FBPIN | 8 | EXP19_ | 1 | CLK_FSB_IBUF | 0 | NULL | 0 | 27 | 57344 +FBPIN | 5 | iobs/Clear1 | 1 | A_FSB_23_IBUF | 1 | NULL | 0 | 24 | 49152 +FBPIN | 6 | fsb/Ready0r | 1 | E_IBUF | 1 | NULL | 0 | 25 | 49152 +FBPIN | 7 | iobs/IOReady | 1 | NULL | 0 | NULL | 0 +FBPIN | 8 | EXP16_ | 1 | FCLK_IBUF | 0 | NULL | 0 | 27 | 57344 FBPIN | 9 | fsb/nDTACK | 1 | NULL | 0 | nDTACK_FSB | 1 | 28 | 49152 -FBPIN | 10 | EXP20_ | 1 | NULL | 0 | NULL | 0 -FBPIN | 11 | EXP21_ | 1 | nWE_FSB_IBUF | 1 | NULL | 0 | 29 | 49152 -FBPIN | 12 | fsb/BERR0r | 1 | nLDS_FSB_IBUF | 1 | NULL | 0 | 30 | 49152 -FBPIN | 13 | cs/nOverlay0 | 1 | NULL | 0 | NULL | 0 -FBPIN | 14 | EXP22_ | 1 | nAS_FSB_IBUF | 1 | NULL | 0 | 32 | 49152 -FBPIN | 15 | fsb/Ready1r | 1 | nUDS_FSB_IBUF | 1 | NULL | 0 | 33 | 49152 -FBPIN | 16 | fsb/Ready2r | 1 | NULL | 0 | NULL | 0 +FBPIN | 10 | fsb/Ready1r | 1 | NULL | 0 | NULL | 0 +FBPIN | 11 | EXP17_ | 1 | nWE_FSB_IBUF | 1 | NULL | 0 | 29 | 49152 +FBPIN | 12 | iobs/IORW1 | 1 | nLDS_FSB_IBUF | 1 | NULL | 0 | 30 | 49152 +FBPIN | 13 | EXP18_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | EXP19_ | 1 | nAS_FSB_IBUF | 1 | NULL | 0 | 32 | 49152 +FBPIN | 15 | iobs/IORW0 | 1 | nUDS_FSB_IBUF | 1 | NULL | 0 | 33 | 49152 +FBPIN | 16 | EXP20_ | 1 | NULL | 0 | NULL | 0 FBPIN | 17 | nROMWE_OBUF | 1 | NULL | 0 | nROMWE | 1 | 34 | 49152 -FBPIN | 18 | EXP23_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 18 | EXP21_ | 1 | NULL | 0 | NULL | 0 FB_INSTANCE | FOOBAR4_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 1 | iobs/Clear1 | 1 | NULL | 0 | NULL | 0 -FBPIN | 2 | nAoutOE_OBUF | 1 | NULL | 0 | nAoutOE | 1 | 87 | 49152 -FBPIN | 3 | iobs/ALE0 | 1 | NULL | 0 | NULL | 0 -FBPIN | 4 | cnt/RefCnt<7> | 1 | NULL | 0 | NULL | 0 -FBPIN | 5 | iobm/nDoutOE | 1 | NULL | 0 | nDoutOE | 1 | 89 | 49152 +FBPIN | 1 | cnt/nRESout | 1 | NULL | 0 | NULL | 0 +FBPIN | 2 | cnt/AoutOE | 1 | NULL | 0 | nAoutOE | 1 | 87 | 49152 +FBPIN | 3 | cnt/TimerTC | 1 | NULL | 0 | NULL | 0 +FBPIN | 4 | cnt/Timer<0> | 1 | NULL | 0 | NULL | 0 +FBPIN | 5 | nDoutOE_OBUF | 1 | NULL | 0 | nDoutOE | 1 | 89 | 49152 FBPIN | 6 | nDinOE_OBUF | 1 | NULL | 0 | nDinOE | 1 | 90 | 49152 -FBPIN | 7 | cnt/RefCnt<6> | 1 | NULL | 0 | NULL | 0 -FBPIN | 8 | cnt/RefCnt<5> | 1 | nRES_IBUF | 1 | NULL | 0 | 91 | 49152 -FBPIN | 9 | cnt/RefCnt<4> | 1 | nIPL2_IBUF | 1 | NULL | 0 | 92 | 49152 -FBPIN | 10 | cnt/RefCnt<3> | 1 | NULL | 0 | NULL | 0 +FBPIN | 7 | cnt/PORS_FSM_FFd2 | 1 | NULL | 0 | NULL | 0 +FBPIN | 8 | N0 | 1 | N01 | 1 | nRES | 1 | 91 | 49152 +FBPIN | 9 | cnt/LTimer<0> | 1 | nIPL2_IBUF | 1 | NULL | 0 | 92 | 49152 +FBPIN | 10 | cnt/Timer<3> | 1 | NULL | 0 | NULL | 0 FBPIN | 11 | nVPA_FSB_OBUF | 1 | NULL | 0 | nVPA_FSB | 1 | 93 | 49152 -FBPIN | 12 | cnt/RefCnt<2> | 1 | A_FSB_1_IBUF | 1 | NULL | 0 | 94 | 49152 -FBPIN | 13 | iobs/PS_FSM_FFd1 | 1 | NULL | 0 | NULL | 0 -FBPIN | 14 | cnt/RefDone | 1 | A_FSB_2_IBUF | 1 | NULL | 0 | 95 | 49152 -FBPIN | 15 | iobs/IOU0 | 1 | A_FSB_3_IBUF | 1 | NULL | 0 | 96 | 49152 -FBPIN | 16 | cnt/TimeoutA | 1 | NULL | 0 | NULL | 0 -FBPIN | 17 | iobs/IOReady | 1 | A_FSB_4_IBUF | 1 | NULL | 0 | 97 | 49152 -FBPIN | 18 | ram/RS_FSM_FFd1 | 1 | NULL | 0 | NULL | 0 +FBPIN | 12 | cnt/Timer<2> | 1 | A_FSB_1_IBUF | 1 | NULL | 0 | 94 | 49152 +FBPIN | 13 | cnt/Timer<1> | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | cnt/RefUrgent | 1 | A_FSB_2_IBUF | 1 | NULL | 0 | 95 | 49152 +FBPIN | 15 | cnt/RefReq | 1 | A_FSB_3_IBUF | 1 | NULL | 0 | 96 | 49152 +FBPIN | 16 | cnt/LTimer<3> | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | cnt/LTimer<2> | 1 | A_FSB_4_IBUF | 1 | NULL | 0 | 97 | 49152 +FBPIN | 18 | cnt/LTimer<1> | 1 | NULL | 0 | NULL | 0 FB_INSTANCE | FOOBAR5_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 1 | EXP24_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 1 | ram/RASEL | 1 | NULL | 0 | NULL | 0 FBPIN | 2 | nROMCS_OBUF | 1 | NULL | 0 | nROMCS | 1 | 35 | 49152 -FBPIN | 3 | ram/RAMDIS2 | 1 | NULL | 0 | NULL | 0 -FBPIN | 4 | iobs/IOL0 | 1 | NULL | 0 | NULL | 0 +FBPIN | 3 | ram/RefDone | 1 | NULL | 0 | NULL | 0 +FBPIN | 4 | cs/nOverlay1 | 1 | NULL | 0 | NULL | 0 FBPIN | 5 | ram/nCAS | 1 | NULL | 0 | nCAS | 1 | 36 | 49152 FBPIN | 6 | nOE_OBUF | 1 | NULL | 0 | nOE | 1 | 37 | 49152 -FBPIN | 7 | iobs/Once | 1 | NULL | 0 | NULL | 0 -FBPIN | 8 | EXP25_ | 1 | NULL | 0 | NULL | 0 | 39 | 49152 +FBPIN | 7 | ram/RS_FSM_FFd1 | 1 | NULL | 0 | NULL | 0 +FBPIN | 8 | cs/nOverlay0 | 1 | NULL | 0 | NULL | 0 | 39 | 49152 FBPIN | 9 | RA_4_OBUF | 1 | NULL | 0 | RA<4> | 1 | 40 | 49152 -FBPIN | 10 | ram/Once | 1 | NULL | 0 | NULL | 0 +FBPIN | 10 | ram/RAMEN | 1 | NULL | 0 | NULL | 0 FBPIN | 11 | RA_3_OBUF | 1 | NULL | 0 | RA<3> | 1 | 41 | 49152 FBPIN | 12 | RA_5_OBUF | 1 | NULL | 0 | RA<5> | 1 | 42 | 49152 -FBPIN | 13 | iobs/Load1 | 1 | NULL | 0 | NULL | 0 +FBPIN | 13 | ram/RS_FSM_FFd2 | 1 | NULL | 0 | NULL | 0 FBPIN | 14 | RA_2_OBUF | 1 | NULL | 0 | RA<2> | 1 | 43 | 49152 FBPIN | 15 | RA_6_OBUF | 1 | NULL | 0 | RA<6> | 1 | 46 | 49152 -FBPIN | 16 | EXP26_ | 1 | NULL | 0 | NULL | 0 -FBPIN | 17 | EXP27_ | 1 | NULL | 0 | NULL | 0 | 49 | 49152 -FBPIN | 18 | ram/RASEL | 1 | NULL | 0 | NULL | 0 +FBPIN | 16 | EXP22_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | ram/RAMReady | 1 | NULL | 0 | NULL | 0 | 49 | 49152 +FBPIN | 18 | EXP23_ | 1 | NULL | 0 | NULL | 0 FB_INSTANCE | FOOBAR6_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 FBPIN | 1 | iobm/ETACK | 1 | NULL | 0 | NULL | 0 FBPIN | 2 | iobm/nVMA | 1 | NULL | 0 | nVMA_IOB | 1 | 74 | 49152 -FBPIN | 3 | iobm/IOS_FSM_FFd3 | 1 | NULL | 0 | NULL | 0 -FBPIN | 4 | iobm/ES<3> | 1 | NULL | 0 | NULL | 0 -FBPIN | 5 | iobm/ES<1> | 1 | nBERR_IOB_IBUF | 1 | NULL | 0 | 76 | 49152 -FBPIN | 6 | iobm/ES<0> | 1 | nVPA_IOB_IBUF | 1 | NULL | 0 | 77 | 49152 -FBPIN | 7 | iobm/ALE0 | 1 | NULL | 0 | NULL | 0 +FBPIN | 3 | iobm/DoutOE | 1 | NULL | 0 | NULL | 0 +FBPIN | 4 | iobm/ALE0 | 1 | NULL | 0 | NULL | 0 +FBPIN | 5 | iobm/ES<3> | 1 | nBERR_IOB_IBUF | 1 | NULL | 0 | 76 | 49152 +FBPIN | 6 | iobm/ES<1> | 1 | nVPA_IOB_IBUF | 1 | NULL | 0 | 77 | 49152 +FBPIN | 7 | iobm/ES<0> | 1 | NULL | 0 | NULL | 0 FBPIN | 8 | iobm/ES<4> | 1 | nDTACK_IOB_IBUF | 1 | NULL | 0 | 78 | 49152 FBPIN | 9 | iobm/nLDS | 1 | NULL | 0 | nLDS_IOB | 1 | 79 | 49152 FBPIN | 10 | iobm/IOS_FSM_FFd2 | 1 | NULL | 0 | NULL | 0 @@ -8674,137 +7943,130 @@ FBPIN | 17 | iobm/nDinLE | 1 | NULL | 0 | nDinLE | 1 | 86 | 49152 FBPIN | 18 | iobm/IOBERR | 1 | NULL | 0 | NULL | 0 FB_INSTANCE | FOOBAR7_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 1 | EXP28_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 1 | cnt/LTimer<13> | 1 | NULL | 0 | NULL | 0 FBPIN | 2 | RA_1_OBUF | 1 | NULL | 0 | RA<1> | 1 | 50 | 49152 -FBPIN | 3 | EXP29_ | 1 | NULL | 0 | NULL | 0 -FBPIN | 4 | ram/RS_FSM_FFd3 | 1 | NULL | 0 | NULL | 0 +FBPIN | 3 | cnt/LTimer<9> | 1 | NULL | 0 | NULL | 0 +FBPIN | 4 | cnt/LTimer<8> | 1 | NULL | 0 | NULL | 0 FBPIN | 5 | RA_7_OBUF | 1 | NULL | 0 | RA<7> | 1 | 52 | 49152 FBPIN | 6 | RA_0_OBUF | 1 | NULL | 0 | RA<0> | 1 | 53 | 49152 -FBPIN | 7 | cnt/TimeoutBPre | 1 | NULL | 0 | NULL | 0 +FBPIN | 7 | cnt/LTimer<7> | 1 | NULL | 0 | NULL | 0 FBPIN | 8 | RA_8_OBUF | 1 | NULL | 0 | RA<8> | 1 | 54 | 49152 FBPIN | 9 | A_FSB_21_IBUF$BUF0 | 1 | NULL | 0 | RA<10> | 1 | 55 | 49152 -FBPIN | 10 | ram/RS_FSM_FFd2 | 1 | NULL | 0 | NULL | 0 +FBPIN | 10 | cnt/LTimer<6> | 1 | NULL | 0 | NULL | 0 FBPIN | 11 | RA_9_OBUF | 1 | NULL | 0 | RA<9> | 1 | 56 | 49152 -FBPIN | 12 | CLK25EN_OBUF | 1 | NULL | 0 | CLK25EN | 1 | 58 | 49152 -FBPIN | 13 | cnt/TimeoutB | 1 | NULL | 0 | NULL | 0 -FBPIN | 14 | CLK20EN_OBUF$BUF0 | 1 | NULL | 0 | CLK20EN | 1 | 59 | 49152 -FBPIN | 15 | ram/RAMReady | 1 | SW_1_IBUF | 1 | NULL | 0 | 60 | 49152 -FBPIN | 16 | EXP30_ | 1 | NULL | 0 | NULL | 0 -FBPIN | 17 | EXP31_ | 1 | CLK20EN_OBUF | 1 | NULL | 0 | 61 | 49152 -FBPIN | 18 | ram/RAMDIS1 | 1 | NULL | 0 | NULL | 0 +FBPIN | 12 | N1 | 1 | NULL | 0 | C25MEN | 1 | 58 | 49152 +FBPIN | 13 | cnt/LTimer<5> | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | N1$BUF0 | 1 | NULL | 0 | C20MEN | 1 | 59 | 49152 +FBPIN | 15 | cnt/LTimer<4> | 1 | NULL | 0 | NULL | 0 | 60 | 49152 +FBPIN | 16 | cnt/LTimer<12> | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | cnt/LTimer<11> | 1 | NULL | 0 | NULL | 0 | 61 | 49152 +FBPIN | 18 | cnt/LTimer<10> | 1 | NULL | 0 | NULL | 0 FB_INSTANCE | FOOBAR8_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 1 | EXP32_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 1 | cnt/PORS_FSM_FFd1 | 1 | NULL | 0 | NULL | 0 FBPIN | 2 | A_FSB_19_IBUF$BUF0 | 1 | NULL | 0 | RA<11> | 1 | 63 | 49152 -FBPIN | 3 | EXP33_ | 1 | NULL | 0 | NULL | 0 -FBPIN | 4 | iobs/PS_FSM_FFd2 | 1 | NULL | 0 | NULL | 0 +FBPIN | 3 | ram/RS_FSM_FFd3 | 1 | NULL | 0 | NULL | 0 FBPIN | 5 | nRAS_OBUF | 1 | NULL | 0 | nRAS | 1 | 64 | 49152 FBPIN | 6 | nRAMLWE_OBUF | 1 | NULL | 0 | nRAMLWE | 1 | 65 | 49152 -FBPIN | 7 | RESDone | 1 | NULL | 0 | NULL | 0 +FBPIN | 7 | iobs/PS_FSM_FFd2 | 1 | NULL | 0 | NULL | 0 FBPIN | 8 | nRAMUWE_OBUF | 1 | NULL | 0 | nRAMUWE | 1 | 66 | 49152 -FBPIN | 9 | iobs/IOREQ | 1 | NULL | 0 | NULL | 0 | 67 | 49152 -FBPIN | 10 | EXP34_ | 1 | NULL | 0 | NULL | 0 -FBPIN | 11 | EXP35_ | 1 | NULL | 0 | NULL | 0 | 68 | 49152 -FBPIN | 12 | nBERR_FSB_OBUF | 1 | NULL | 0 | nBERR_FSB | 1 | 70 | 49152 -FBPIN | 13 | EXP36_ | 1 | NULL | 0 | NULL | 0 -FBPIN | 14 | iobs/IORW1 | 1 | NULL | 0 | NULL | 0 | 71 | 49152 -FBPIN | 15 | Park | 1 | NULL | 0 | nBR_IOB | 1 | 72 | 49152 -FBPIN | 16 | iobs/BERR | 1 | NULL | 0 | NULL | 0 -FBPIN | 17 | EXP37_ | 1 | nBG_IOB_IBUF | 1 | NULL | 0 | 73 | 49152 -FBPIN | 18 | iobs/IORW0 | 1 | NULL | 0 | NULL | 0 +FBPIN | 9 | EXP24_ | 1 | NULL | 0 | NULL | 0 | 67 | 49152 +FBPIN | 10 | iobs/Load1 | 1 | NULL | 0 | NULL | 0 +FBPIN | 11 | EXP25_ | 1 | NULL | 0 | NULL | 0 | 68 | 49152 +FBPIN | 12 | iobs/nBERR_FSB | 1 | NULL | 0 | nBERR_FSB | 1 | 70 | 49152 +FBPIN | 13 | EXP26_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | iobs/IOREQ | 1 | NULL | 0 | NULL | 0 | 71 | 49152 +FBPIN | 15 | cnt/nBR_IOB | 1 | NULL | 0 | nBR_IOB | 1 | 72 | 49152 +FBPIN | 16 | EXP27_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | iobs/Once | 1 | nBG_IOB_IBUF | 1 | NULL | 0 | 73 | 49152 +FBPIN | 18 | EXP28_ | 1 | NULL | 0 | NULL | 0 FB_INSTANCE | INPUTPINS_FOOBAR9_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 BUSINFO | A_FSB<23:1> | 23 | 0 | 0 | A_FSB<10> | 13 | A_FSB<11> | 12 | A_FSB<12> | 11 | A_FSB<13> | 10 | A_FSB<14> | 9 | A_FSB<15> | 8 | A_FSB<16> | 7 | A_FSB<17> | 6 | A_FSB<18> | 5 | A_FSB<19> | 4 | A_FSB<1> | 22 | A_FSB<20> | 3 | A_FSB<21> | 2 | A_FSB<22> | 1 | A_FSB<23> | 0 | A_FSB<2> | 21 | A_FSB<3> | 20 | A_FSB<4> | 19 | A_FSB<5> | 18 | A_FSB<6> | 17 | A_FSB<7> | 16 | A_FSB<8> | 15 | A_FSB<9> | 14 BUSINFO | RA<11:0> | 12 | 0 | 1 | RA<0> | 11 | RA<10> | 1 | RA<11> | 0 | RA<1> | 10 | RA<2> | 9 | RA<3> | 8 | RA<4> | 7 | RA<5> | 6 | RA<6> | 5 | RA<7> | 4 | RA<8> | 3 | RA<9> | 2 -BUSINFO | SW<2:0> | 3 | 0 | 0 | SW<0> | 2 | SW<1> | 1 | SW<2> | 0 +BUSINFO | SW<3:1> | 3 | 0 | 0 | SW<1> | 2 | SW<2> | 1 | SW<3> | 0 -FB_ORDER_OF_INPUTS | FOOBAR1_ | 0 | nLDS_FSB | 30 | 4 | nRES | 91 | 6 | nIPL2 | 92 | 8 | nAS_FSB | 32 | 9 | iobm/IOS_FSM_FFd2 | NULL -FB_ORDER_OF_INPUTS | FOOBAR1_ | 12 | cs/nOverlay0 | NULL | 15 | iobs/BERR | NULL | 16 | fsb/BERR1r | NULL | 17 | cnt/RefCnt<0> | NULL | 23 | nBERR_IOB | 76 -FB_ORDER_OF_INPUTS | FOOBAR1_ | 27 | nUDS_FSB | 33 | 30 | iobm/IOS_FSM_FFd3 | NULL | 33 | RESr1 | NULL | 37 | iobs/Load1 | NULL | 41 | IPL2r0 | NULL -FB_ORDER_OF_INPUTS | FOOBAR1_ | 44 | fsb/ASrf | NULL | 47 | ram/RS_FSM_FFd1 | NULL | 49 | ram/RS_FSM_FFd2 | NULL | 50 | iobm/IOS_FSM_FFd1 | NULL | 51 | RESr0 | NULL +FB_ORDER_OF_INPUTS | FOOBAR1_ | 0 | iobm/nASr | NULL | 1 | cnt/AoutOE | NULL | 6 | iobs/PS_FSM_FFd2 | NULL | 7 | nDTACK_IOB | 78 | 9 | iobm/IOS_FSM_FFd2 | NULL +FB_ORDER_OF_INPUTS | FOOBAR1_ | 11 | iobm/nASout | NULL | 12 | C8M | 23 | 13 | iobs/IOREQ | NULL | 14 | iobm/BG | NULL | 15 | iobs/PS_FSM_FFd1 | NULL +FB_ORDER_OF_INPUTS | FOOBAR1_ | 16 | iobs/IOU1 | NULL | 17 | iobs/IOL1 | NULL | 20 | nIPL2 | 92 | 27 | nUDS_FSB | 33 | 29 | nLDS_FSB | 30 +FB_ORDER_OF_INPUTS | FOOBAR1_ | 34 | iobm/IOS_FSM_FFd3 | NULL | 43 | nBG_IOB | 73 | 44 | iobm/IOREQr | NULL | 45 | nVPA_IOB | 77 | 46 | nBERR_IOB | 76 +FB_ORDER_OF_INPUTS | FOOBAR1_ | 48 | iobm/IOS_FSM_FFd1 | NULL | 49 | iobs/ALE1 | NULL | 51 | nRES | 91 | 52 | E | 25 | 53 | iobm/Er | NULL -FB_IMUX_INDEX | FOOBAR1_ | 260 | -1 | -1 | -1 | 148 | -1 | 150 | -1 | 268 | 99 | -1 | -1 | 48 | -1 | -1 | 141 | 16 | 35 | -1 | -1 | -1 | -1 | -1 | 175 | -1 | -1 | -1 | 272 | -1 | -1 | 92 | -1 | -1 | 8 | -1 | -1 | -1 | 84 | -1 | -1 | -1 | 11 | -1 | -1 | 5 | -1 | -1 | 71 | -1 | 117 | 15 | 9 | -1 | -1 +FB_IMUX_INDEX | FOOBAR1_ | 0 | 55 | -1 | -1 | -1 | -1 | 132 | 167 | -1 | 99 | -1 | 101 | 238 | 139 | 14 | 33 | 34 | 35 | -1 | -1 | 150 | -1 | -1 | -1 | -1 | -1 | -1 | 272 | -1 | 260 | -1 | -1 | -1 | -1 | 17 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 183 | 5 | 171 | 175 | -1 | 13 | 103 | -1 | 148 | 242 | 7 -FB_ORDER_OF_INPUTS | FOOBAR2_ | 7 | nDTACK_IOB | 78 | 8 | iobs/IOREQ | NULL | 11 | nVPA_IOB | 77 | 15 | iobm/IOACT | NULL | 16 | E_IOB | 25 -FB_ORDER_OF_INPUTS | FOOBAR2_ | 23 | nBERR_IOB | 76 | 41 | nBG_IOB | 73 | 43 | iobm/Er | NULL | 52 | iobm/BGr0 | NULL +FB_ORDER_OF_INPUTS | FOOBAR2_ | 0 | nLDS_FSB | 30 | 6 | ram/RegUrgentSync | NULL | 8 | nAS_FSB | 32 | 9 | iobs/Load1 | NULL | 12 | iobs/IOACTr | NULL +FB_ORDER_OF_INPUTS | FOOBAR2_ | 13 | cnt/RefUrgent | NULL | 14 | cnt/RefReq | NULL | 15 | iobm/IOACT | NULL | 19 | ram/RS_FSM_FFd2 | NULL | 27 | nUDS_FSB | 33 +FB_ORDER_OF_INPUTS | FOOBAR2_ | 33 | ram/RefReqSync | NULL | 37 | fsb/ASrf | NULL | 39 | ram/RefDone | NULL | 50 | ram/RS_FSM_FFd1 | NULL | 51 | iobs/PS_FSM_FFd2 | NULL +FB_ORDER_OF_INPUTS | FOOBAR2_ | 52 | iobs/PS_FSM_FFd1 | NULL -FB_IMUX_INDEX | FOOBAR2_ | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 167 | 134 | -1 | -1 | 171 | -1 | -1 | -1 | 105 | 242 | -1 | -1 | -1 | -1 | -1 | -1 | 175 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 183 | -1 | 29 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 33 | -1 +FB_IMUX_INDEX | FOOBAR2_ | 260 | -1 | -1 | -1 | -1 | -1 | 24 | -1 | 268 | 135 | -1 | -1 | 30 | 67 | 68 | 105 | -1 | -1 | -1 | 84 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 272 | -1 | -1 | -1 | -1 | -1 | 26 | -1 | -1 | -1 | 32 | -1 | 74 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 78 | 132 | 33 | -1 -FB_ORDER_OF_INPUTS | FOOBAR3_ | 0 | fsb/VPA | NULL | 1 | A_FSB<14> | 12 | 2 | A_FSB<8> | 6 | 3 | A_FSB<15> | 13 | 4 | nRES | 91 -FB_ORDER_OF_INPUTS | FOOBAR3_ | 5 | fsb/ASrf | NULL | 6 | A_FSB<10> | 8 | 8 | fsb/nDTACK.UIM | NULL | 9 | A_FSB<18> | 16 | 10 | A_FSB<11> | 9 -FB_ORDER_OF_INPUTS | FOOBAR3_ | 11 | fsb/BERR0r | NULL | 12 | $OpTx$FX_DC$591.UIM | NULL | 13 | A_FSB<19> | 17 | 14 | fsb/Ready1r | NULL | 15 | fsb/Ready2r | NULL -FB_ORDER_OF_INPUTS | FOOBAR3_ | 16 | fsb/BERR1r | NULL | 17 | cs/nOverlay1 | NULL | 19 | A_FSB<20> | 18 | 20 | A_FSB<16> | 14 | 22 | A_FSB<12> | 10 -FB_ORDER_OF_INPUTS | FOOBAR3_ | 23 | SW<1> | 60 | 24 | cs/nOverlay0 | NULL | 25 | ram/RAMReady | NULL | 28 | nWE_FSB | 29 | 30 | iobs/BERR | NULL -FB_ORDER_OF_INPUTS | FOOBAR3_ | 33 | nAS_FSB | 32 | 36 | A_FSB<23> | 24 | 37 | iobs/IOReady | NULL | 38 | fsb/Ready0r | NULL | 39 | A_FSB<22> | 20 -FB_ORDER_OF_INPUTS | FOOBAR3_ | 42 | Disable | NULL | 44 | A_FSB<13> | 11 | 46 | iobs/ALE1 | NULL | 47 | A_FSB<21> | 19 | 48 | cnt/TimeoutB | NULL -FB_ORDER_OF_INPUTS | FOOBAR3_ | 49 | A_FSB<17> | 15 | 50 | cnt/TimeoutA | NULL | 53 | A_FSB<9> | 7 +FB_ORDER_OF_INPUTS | FOOBAR3_ | 0 | A_FSB<20> | 18 | 1 | fsb/VPA | NULL | 2 | A_FSB<8> | 6 | 3 | cs/nOverlay1 | NULL | 4 | A_FSB<9> | 7 +FB_ORDER_OF_INPUTS | FOOBAR3_ | 5 | fsb/Ready0r | NULL | 6 | iobs/IOReady | NULL | 7 | A_FSB<17> | 15 | 8 | fsb/nDTACK.UIM | NULL | 9 | fsb/Ready1r | NULL +FB_ORDER_OF_INPUTS | FOOBAR3_ | 10 | A_FSB<11> | 9 | 11 | iobs/IORW1 | NULL | 12 | iobs/IOACTr | NULL | 13 | iobs/ALE1 | NULL | 14 | fsb/ASrf | NULL +FB_ORDER_OF_INPUTS | FOOBAR3_ | 15 | iobs/PS_FSM_FFd1 | NULL | 16 | ram/RAMReady | NULL | 17 | iobm/IOBERR | NULL | 21 | A_FSB<19> | 17 | 22 | A_FSB<12> | 10 +FB_ORDER_OF_INPUTS | FOOBAR3_ | 28 | nWE_FSB | 29 | 33 | nAS_FSB | 32 | 35 | A_FSB<14> | 12 | 36 | A_FSB<23> | 24 | 39 | A_FSB<22> | 20 +FB_ORDER_OF_INPUTS | FOOBAR3_ | 42 | iobs/IORW0 | NULL | 43 | A_FSB<10> | 8 | 44 | A_FSB<13> | 11 | 46 | A_FSB<15> | 13 | 47 | A_FSB<21> | 19 +FB_ORDER_OF_INPUTS | FOOBAR3_ | 50 | A_FSB<18> | 16 | 51 | iobs/PS_FSM_FFd2 | NULL | 52 | iobs/Once | NULL | 53 | A_FSB<16> | 14 -FB_IMUX_INDEX | FOOBAR3_ | 36 | 210 | 194 | 212 | 148 | 5 | 198 | -1 | 44 | 218 | 202 | 47 | 12 | 222 | 50 | 51 | 16 | 17 | -1 | 226 | 214 | -1 | 206 | 215 | 48 | 122 | -1 | -1 | 256 | -1 | 141 | -1 | -1 | 268 | -1 | -1 | 240 | 70 | 39 | 230 | -1 | -1 | 140 | -1 | 208 | -1 | 103 | 228 | 120 | 216 | 69 | -1 | -1 | 196 +FB_IMUX_INDEX | FOOBAR3_ | 226 | 37 | 194 | 75 | 196 | 41 | 42 | 216 | 44 | 45 | 202 | 47 | 30 | 103 | 32 | 33 | 88 | 107 | -1 | -1 | -1 | 222 | 206 | -1 | -1 | -1 | -1 | -1 | 256 | -1 | -1 | -1 | -1 | 268 | -1 | 210 | 240 | -1 | -1 | 230 | -1 | -1 | 50 | 198 | 208 | -1 | 212 | 228 | -1 | -1 | 218 | 132 | 142 | 214 -FB_ORDER_OF_INPUTS | FOOBAR4_ | 0 | ram/RefRAS | NULL | 1 | iobm/BG | NULL | 2 | iobm/IOS_FSM_FFd3 | NULL | 3 | cnt/RefCnt<7> | NULL | 4 | A_FSB<22> | 20 -FB_ORDER_OF_INPUTS | FOOBAR4_ | 5 | fsb/ASrf | NULL | 6 | cnt/RefCnt<1> | NULL | 7 | cnt/RefCnt<5> | NULL | 8 | cnt/RefCnt<4> | NULL | 9 | cnt/RefCnt<3> | NULL -FB_ORDER_OF_INPUTS | FOOBAR4_ | 11 | cnt/RefCnt<2> | NULL | 12 | iobs/PS_FSM_FFd1 | NULL | 13 | iobs/IOU1 | NULL | 14 | iobm/BGr1 | NULL | 15 | iobm/BGr0 | NULL -FB_ORDER_OF_INPUTS | FOOBAR4_ | 16 | iobs/IOReady | NULL | 17 | cs/nOverlay1 | NULL | 19 | A_FSB<20> | 18 | 20 | cnt/RefCnt<0> | NULL | 21 | iobs/IORW0 | NULL -FB_ORDER_OF_INPUTS | FOOBAR4_ | 24 | iobs/Once | NULL | 27 | nUDS_FSB | 33 | 28 | nWE_FSB | 29 | 30 | ram/Once | NULL | 33 | nAS_FSB | 32 -FB_ORDER_OF_INPUTS | FOOBAR4_ | 34 | iobs/PS_FSM_FFd2 | NULL | 35 | cnt/RefCnt<6> | NULL | 36 | A_FSB<23> | 24 | 39 | SW<1> | 60 | 40 | iobm/IOS_FSM_FFd2 | NULL -FB_ORDER_OF_INPUTS | FOOBAR4_ | 41 | cnt/RefDone | NULL | 42 | iobs/IOACTr | NULL | 44 | fsb/VPA | NULL | 45 | A_FSB<21> | 19 | 46 | iobs/ALE1 | NULL -FB_ORDER_OF_INPUTS | FOOBAR4_ | 47 | ram/RS_FSM_FFd1 | NULL | 49 | ram/RS_FSM_FFd2 | NULL | 50 | cnt/TimeoutA | NULL | 51 | ram/RS_FSM_FFd3 | NULL | 52 | iobm/nASout | NULL -FB_ORDER_OF_INPUTS | FOOBAR4_ | 53 | iobm/IOBERR | NULL +FB_ORDER_OF_INPUTS | FOOBAR4_ | 0 | cnt/LTimer<13> | NULL | 2 | cnt/TimerTC | NULL | 3 | cnt/Timer<0> | NULL | 8 | nAS_FSB | 32 | 9 | cnt/Timer<3> | NULL +FB_ORDER_OF_INPUTS | FOOBAR4_ | 11 | cnt/Timer<2> | NULL | 12 | cnt/Timer<1> | NULL | 14 | A_FSB<23> | 24 | 16 | E | 25 | 19 | A_FSB<20> | 18 +FB_ORDER_OF_INPUTS | FOOBAR4_ | 20 | cnt/PORS_FSM_FFd1 | NULL | 24 | A_FSB<22> | 20 | 27 | fsb/VPA | NULL | 28 | nWE_FSB | 29 | 35 | cnt/PORS_FSM_FFd2 | NULL +FB_ORDER_OF_INPUTS | FOOBAR4_ | 37 | cnt/LTimer<2> | NULL | 38 | cnt/LTimer<0> | NULL | 39 | cnt/AoutOE | NULL | 42 | cnt/nBR_IOB | NULL | 45 | A_FSB<21> | 19 +FB_ORDER_OF_INPUTS | FOOBAR4_ | 47 | cnt/LTimer<1> | NULL | 50 | cnt/LTimer<3> | NULL | 51 | iobm/DoutOE | NULL | 52 | cnt/nRESout | NULL -FB_IMUX_INDEX | FOOBAR4_ | 0 | 55 | 92 | 57 | 230 | 5 | 6 | 61 | 62 | 63 | -1 | 65 | 66 | 13 | 32 | 33 | 70 | 17 | -1 | 226 | 35 | 143 | -1 | -1 | 78 | -1 | -1 | 272 | 256 | -1 | 81 | -1 | -1 | 268 | 129 | 60 | 240 | -1 | -1 | 215 | 99 | 67 | 24 | -1 | 36 | 228 | 103 | 71 | -1 | 117 | 69 | 111 | 101 | 107 +FB_IMUX_INDEX | FOOBAR4_ | 108 | -1 | 56 | 57 | -1 | -1 | -1 | -1 | 268 | 63 | -1 | 65 | 66 | -1 | 240 | -1 | 242 | -1 | -1 | 226 | 126 | -1 | -1 | -1 | 230 | -1 | -1 | 37 | 256 | -1 | -1 | -1 | -1 | -1 | -1 | 60 | -1 | 70 | 62 | 55 | -1 | -1 | 140 | -1 | -1 | 228 | -1 | 71 | -1 | -1 | 69 | 92 | 54 | -1 -FB_ORDER_OF_INPUTS | FOOBAR5_ | 0 | A_FSB<20> | 18 | 1 | ram/BACTr | NULL | 2 | ram/RAMDIS2 | NULL | 3 | A_FSB<15> | 13 | 4 | A_FSB<22> | 20 -FB_ORDER_OF_INPUTS | FOOBAR5_ | 5 | A_FSB<16> | 14 | 6 | cnt/RefCnt<6> | NULL | 7 | A_FSB<17> | 15 | 8 | A_FSB<3> | 96 | 9 | ram/RS_FSM_FFd2 | NULL -FB_ORDER_OF_INPUTS | FOOBAR5_ | 10 | A_FSB<6> | 3 | 12 | A_FSB<4> | 97 | 13 | iobs/ALE1 | NULL | 14 | iobs/IOL1 | NULL | 16 | A_FSB<13> | 11 -FB_ORDER_OF_INPUTS | FOOBAR5_ | 17 | ram/RS_FSM_FFd1 | NULL | 21 | A_FSB<19> | 17 | 22 | A_FSB<12> | 10 | 23 | SW<1> | 60 | 24 | iobs/Once | NULL -FB_ORDER_OF_INPUTS | FOOBAR5_ | 28 | nWE_FSB | 29 | 30 | ram/Once | NULL | 32 | cnt/RefCnt<5> | NULL | 33 | nAS_FSB | 32 | 34 | cs/nOverlay1 | NULL -FB_ORDER_OF_INPUTS | FOOBAR5_ | 35 | A_FSB<14> | 12 | 36 | A_FSB<23> | 24 | 37 | nLDS_FSB | 30 | 39 | A_FSB<5> | 2 | 40 | A_FSB<7> | 4 -FB_ORDER_OF_INPUTS | FOOBAR5_ | 41 | cnt/RefDone | NULL | 42 | cnt/RefCnt<7> | NULL | 44 | fsb/ASrf | NULL | 45 | iobs/PS_FSM_FFd2 | NULL | 46 | ram/RASEL | NULL -FB_ORDER_OF_INPUTS | FOOBAR5_ | 47 | A_FSB<21> | 19 | 49 | iobs/PS_FSM_FFd1 | NULL | 50 | A_FSB<18> | 16 | 51 | ram/RS_FSM_FFd3 | NULL +FB_ORDER_OF_INPUTS | FOOBAR5_ | 0 | ram/RASEL | NULL | 2 | ram/RefDone | NULL | 3 | A_FSB<15> | 13 | 4 | nRES | 91 | 6 | ram/RS_FSM_FFd1 | NULL +FB_ORDER_OF_INPUTS | FOOBAR5_ | 7 | cs/nOverlay0 | NULL | 8 | ram/RefReqSync | NULL | 9 | ram/RefReq | NULL | 10 | A_FSB<6> | 3 | 11 | ram/BACTr | NULL +FB_ORDER_OF_INPUTS | FOOBAR5_ | 12 | ram/RS_FSM_FFd2 | NULL | 13 | nWE_FSB | 29 | 14 | fsb/ASrf | NULL | 16 | A_FSB<13> | 11 | 19 | A_FSB<20> | 18 +FB_ORDER_OF_INPUTS | FOOBAR5_ | 22 | A_FSB<12> | 10 | 23 | A_FSB<23> | 24 | 24 | A_FSB<22> | 20 | 30 | ram/RAMEN | NULL | 33 | nAS_FSB | 32 +FB_ORDER_OF_INPUTS | FOOBAR5_ | 34 | ram/RefUrgent | NULL | 35 | A_FSB<14> | 12 | 36 | A_FSB<4> | 97 | 37 | ram/RS_FSM_FFd3 | NULL | 39 | A_FSB<5> | 2 +FB_ORDER_OF_INPUTS | FOOBAR5_ | 40 | A_FSB<7> | 4 | 42 | A_FSB<3> | 96 | 47 | A_FSB<21> | 19 | 52 | cs/nOverlay1 | NULL | 53 | A_FSB<16> | 14 -FB_IMUX_INDEX | FOOBAR5_ | 226 | 1 | 74 | 212 | 230 | 214 | 60 | 216 | 168 | 117 | 186 | -1 | 172 | 103 | 14 | -1 | 208 | 71 | -1 | -1 | -1 | 222 | 206 | 215 | 78 | -1 | -1 | -1 | 256 | -1 | 81 | -1 | 61 | 268 | 17 | 210 | 240 | 260 | -1 | 182 | 190 | 67 | 57 | -1 | 5 | 129 | 89 | 228 | -1 | 66 | 218 | 111 | -1 | -1 +FB_IMUX_INDEX | FOOBAR5_ | 72 | -1 | 74 | 212 | 148 | -1 | 78 | 79 | 26 | 27 | 186 | 29 | 84 | 256 | 32 | -1 | 208 | -1 | -1 | 226 | -1 | -1 | 206 | 240 | 230 | -1 | -1 | -1 | -1 | -1 | 81 | -1 | -1 | 268 | 25 | 210 | 172 | 128 | -1 | 182 | 190 | -1 | 168 | -1 | -1 | -1 | -1 | 228 | -1 | -1 | -1 | -1 | 75 | 214 -FB_ORDER_OF_INPUTS | FOOBAR6_ | 0 | iobs/Clear1 | NULL | 1 | iobm/nVMA | NULL | 2 | iobm/RESrr | NULL | 3 | iobm/RESrf | NULL | 4 | iobm/BERRrf | NULL -FB_ORDER_OF_INPUTS | FOOBAR6_ | 5 | iobm/ES<0> | NULL | 6 | iobm/ALE0 | NULL | 7 | iobm/VPArr | NULL | 8 | iobm/VPArf | NULL | 9 | iobm/IOREQr | NULL -FB_ORDER_OF_INPUTS | FOOBAR6_ | 10 | iobm/Er2 | NULL | 11 | iobm/Er | NULL | 12 | iobm/DTACKrr | NULL | 13 | iobm/DTACKrf | NULL | 14 | iobs/IOU0 | NULL -FB_ORDER_OF_INPUTS | FOOBAR6_ | 15 | iobm/IOS_FSM_FFd1 | NULL | 16 | iobm/BERRrr | NULL | 17 | iobs/IORW0 | NULL | 20 | iobm/ES<3> | NULL | 23 | nBERR_IOB | 76 -FB_ORDER_OF_INPUTS | FOOBAR6_ | 24 | iobm/ETACK | NULL | 28 | iobm/ES<1> | NULL | 30 | iobm/IOS_FSM_FFd3 | NULL | 33 | iobs/ALE0 | NULL | 37 | iobs/Load1 | NULL -FB_ORDER_OF_INPUTS | FOOBAR6_ | 38 | iobm/IOACT | NULL | 40 | iobm/IOS_FSM_FFd2 | NULL | 42 | iobm/ES<4> | NULL | 43 | iobm/ES<2> | NULL | 46 | iobs/ALE1 | NULL -FB_ORDER_OF_INPUTS | FOOBAR6_ | 48 | CLK_IOB | 23 | 51 | iobm/BG | NULL | 52 | iobs/IOL0 | NULL | 53 | iobm/IOBERR | NULL +FB_ORDER_OF_INPUTS | FOOBAR6_ | 0 | iobm/ETACK | NULL | 1 | iobm/VPArr | NULL | 2 | iobm/VPArf | NULL | 3 | iobm/RESrr | NULL | 4 | iobm/RESrf | NULL +FB_ORDER_OF_INPUTS | FOOBAR6_ | 5 | iobm/IOREQr | NULL | 6 | iobm/Er2 | NULL | 7 | iobm/Er | NULL | 8 | iobm/DTACKrr | NULL | 9 | iobm/DTACKrf | NULL +FB_ORDER_OF_INPUTS | FOOBAR6_ | 10 | iobm/BERRrr | NULL | 11 | iobm/BERRrf | NULL | 12 | iobm/ES<2> | NULL | 13 | iobm/IOS_FSM_FFd1 | NULL | 14 | iobs/IORW0 | NULL +FB_ORDER_OF_INPUTS | FOOBAR6_ | 15 | iobs/IOU0 | NULL | 16 | iobs/IOL0 | NULL | 17 | iobm/IOS_FSM_FFd3 | NULL | 26 | iobm/ES<1> | NULL | 28 | iobm/ES<3> | NULL +FB_ORDER_OF_INPUTS | FOOBAR6_ | 32 | iobm/ES<0> | NULL | 33 | iobm/ALE0 | NULL | 38 | iobm/IOACT | NULL | 39 | cnt/AoutOE | NULL | 40 | iobs/Load1 | NULL +FB_ORDER_OF_INPUTS | FOOBAR6_ | 42 | iobm/ES<4> | NULL | 44 | iobm/nVMA | NULL | 46 | nBERR_IOB | 76 | 47 | iobm/IOS_FSM_FFd2 | NULL | 48 | C8M | 23 +FB_ORDER_OF_INPUTS | FOOBAR6_ | 49 | iobs/ALE1 | NULL | 50 | iobs/ALE0 | NULL | 51 | iobs/Clear1 | NULL | 53 | iobm/IOBERR | NULL -FB_IMUX_INDEX | FOOBAR6_ | 54 | 91 | 2 | 3 | 4 | 95 | 96 | 25 | 26 | 27 | 28 | 29 | 30 | 31 | 68 | 15 | 34 | 143 | -1 | -1 | 93 | -1 | -1 | 175 | 90 | -1 | -1 | -1 | 94 | -1 | 92 | -1 | -1 | 56 | -1 | -1 | -1 | 84 | 105 | -1 | 99 | -1 | 97 | 102 | -1 | -1 | 103 | -1 | 238 | -1 | -1 | 55 | 75 | 107 +FB_IMUX_INDEX | FOOBAR6_ | 90 | 1 | 2 | 3 | 4 | 5 | 6 | 7 | 8 | 9 | 10 | 11 | 102 | 13 | 50 | 15 | 16 | 17 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 95 | -1 | 94 | -1 | -1 | -1 | 96 | 93 | -1 | -1 | -1 | -1 | 105 | 55 | 135 | -1 | 97 | -1 | 91 | -1 | 175 | 99 | 238 | 103 | 31 | 40 | -1 | 107 -FB_ORDER_OF_INPUTS | FOOBAR7_ | 2 | A_FSB<21> | 19 | 3 | cnt/RefCnt<7> | NULL | 4 | SW<0> | 61 | 5 | fsb/ASrf | NULL | 6 | cnt/TimeoutBPre | NULL -FB_ORDER_OF_INPUTS | FOOBAR7_ | 7 | A_FSB<17> | 15 | 8 | nAS_FSB | 32 | 9 | ram/Once | NULL | 12 | cnt/TimeoutB | NULL | 13 | cnt/RefDone | NULL -FB_ORDER_OF_INPUTS | FOOBAR7_ | 14 | A_FSB<23> | 24 | 17 | cs/nOverlay1 | NULL | 19 | A_FSB<20> | 18 | 20 | cnt/RefCnt<0> | NULL | 21 | A_FSB<19> | 17 -FB_ORDER_OF_INPUTS | FOOBAR7_ | 22 | ram/BACTr | NULL | 26 | A_FSB<9> | 7 | 31 | A_FSB<10> | 8 | 34 | cnt/RefCnt<5> | NULL | 35 | cnt/RefCnt<6> | NULL -FB_ORDER_OF_INPUTS | FOOBAR7_ | 36 | cnt/RefCnt<3> | NULL | 38 | cnt/RefCnt<4> | NULL | 39 | A_FSB<22> | 20 | 40 | A_FSB<8> | 6 | 43 | cnt/RefCnt<1> | NULL -FB_ORDER_OF_INPUTS | FOOBAR7_ | 45 | A_FSB<1> | 94 | 46 | ram/RASEL | NULL | 47 | ram/RS_FSM_FFd1 | NULL | 48 | A_FSB<2> | 95 | 49 | ram/RS_FSM_FFd2 | NULL -FB_ORDER_OF_INPUTS | FOOBAR7_ | 50 | A_FSB<18> | 16 | 51 | ram/RS_FSM_FFd3 | NULL | 52 | A_FSB<11> | 9 | 53 | cnt/RefCnt<2> | NULL +FB_ORDER_OF_INPUTS | FOOBAR7_ | 0 | A_FSB<20> | 18 | 2 | A_FSB<21> | 19 | 3 | cs/nOverlay1 | NULL | 4 | A_FSB<2> | 95 | 6 | cnt/LTimer<7> | NULL +FB_ORDER_OF_INPUTS | FOOBAR7_ | 7 | A_FSB<17> | 15 | 8 | cnt/LTimer<0> | NULL | 9 | A_FSB<18> | 16 | 12 | cnt/LTimer<5> | NULL | 13 | A_FSB<19> | 17 +FB_ORDER_OF_INPUTS | FOOBAR7_ | 14 | cnt/LTimer<4> | NULL | 15 | cnt/LTimer<3> | NULL | 16 | cnt/LTimer<2> | NULL | 17 | cnt/LTimer<1> | NULL | 19 | cnt/LTimer<12> | NULL +FB_ORDER_OF_INPUTS | FOOBAR7_ | 23 | A_FSB<23> | 24 | 24 | cnt/LTimer<6> | NULL | 29 | cnt/LTimer<11> | NULL | 33 | cnt/TimerTC | NULL | 39 | A_FSB<22> | 20 +FB_ORDER_OF_INPUTS | FOOBAR7_ | 40 | cnt/LTimer<10> | NULL | 43 | A_FSB<10> | 8 | 44 | cnt/LTimer<9> | NULL | 45 | A_FSB<1> | 94 | 47 | ram/RASEL | NULL +FB_ORDER_OF_INPUTS | FOOBAR7_ | 49 | A_FSB<8> | 6 | 50 | cnt/LTimer<13> | NULL | 51 | cnt/LTimer<8> | NULL | 52 | A_FSB<11> | 9 | 53 | A_FSB<9> | 7 -FB_IMUX_INDEX | FOOBAR7_ | -1 | -1 | 228 | 57 | 213 | 5 | 114 | 216 | 268 | 81 | -1 | -1 | 120 | 67 | 240 | -1 | -1 | 17 | -1 | 226 | 35 | 222 | 1 | -1 | -1 | -1 | 196 | -1 | -1 | -1 | -1 | 198 | -1 | -1 | 61 | 60 | 63 | -1 | 62 | 230 | 194 | -1 | -1 | 6 | -1 | 156 | 89 | 71 | 164 | 117 | 218 | 111 | 202 | 65 +FB_IMUX_INDEX | FOOBAR7_ | 226 | -1 | 228 | 75 | 164 | -1 | 114 | 216 | 62 | 218 | -1 | -1 | 120 | 222 | 122 | 69 | 70 | 71 | -1 | 123 | -1 | -1 | -1 | 240 | 117 | -1 | -1 | -1 | -1 | 124 | -1 | -1 | -1 | 56 | -1 | -1 | -1 | -1 | -1 | 230 | 125 | -1 | -1 | 198 | 110 | 156 | -1 | 72 | -1 | 194 | 108 | 111 | 202 | 196 -FB_ORDER_OF_INPUTS | FOOBAR8_ | 0 | A_FSB<20> | 18 | 2 | A_FSB<21> | 19 | 3 | iobs/PS_FSM_FFd2 | NULL | 4 | A_FSB<22> | 20 | 6 | iobs/IOACTr | NULL -FB_ORDER_OF_INPUTS | FOOBAR8_ | 7 | RESr2 | NULL | 8 | RESr1 | NULL | 9 | RESr0 | NULL | 10 | IPL2r1 | NULL | 11 | IPL2r0 | NULL -FB_ORDER_OF_INPUTS | FOOBAR8_ | 12 | iobs/PS_FSM_FFd1 | NULL | 13 | iobs/ALE1 | NULL | 14 | A_FSB<23> | 24 | 15 | iobs/BERR | NULL | 16 | A_FSB<13> | 11 -FB_ORDER_OF_INPUTS | FOOBAR8_ | 17 | iobm/IOBERR | NULL | 18 | A_FSB<19> | 17 | 21 | iobs/IORW0 | NULL | 23 | SW<1> | 60 | 24 | iobs/Once | NULL -FB_ORDER_OF_INPUTS | FOOBAR8_ | 27 | nUDS_FSB | 33 | 28 | nWE_FSB | 29 | 32 | cnt/TimeoutB | NULL | 33 | nAS_FSB | 32 | 34 | cs/nOverlay1 | NULL -FB_ORDER_OF_INPUTS | FOOBAR8_ | 35 | A_FSB<14> | 12 | 37 | nLDS_FSB | 30 | 39 | ram/RAMDIS2 | NULL | 40 | ram/RAMDIS1 | NULL | 42 | ram/RefRAS | NULL -FB_ORDER_OF_INPUTS | FOOBAR8_ | 43 | iobs/IORW1 | NULL | 44 | fsb/ASrf | NULL | 45 | fsb/BERR1r | NULL | 48 | fsb/BERR0r | NULL | 49 | A_FSB<17> | 15 -FB_ORDER_OF_INPUTS | FOOBAR8_ | 50 | A_FSB<18> | 16 | 51 | RESDone | NULL | 53 | A_FSB<16> | 14 +FB_ORDER_OF_INPUTS | FOOBAR8_ | 0 | cnt/LTimer<13> | NULL | 1 | A_FSB<14> | 12 | 2 | A_FSB<21> | 19 | 3 | cs/nOverlay1 | NULL | 4 | A_FSB<22> | 20 +FB_ORDER_OF_INPUTS | FOOBAR8_ | 5 | A_FSB<16> | 14 | 6 | cnt/PORS_FSM_FFd2 | NULL | 7 | ram/RefUrgent | NULL | 8 | nAS_FSB | 32 | 9 | ram/RAMEN | NULL +FB_ORDER_OF_INPUTS | FOOBAR8_ | 10 | ram/RefRAS | NULL | 11 | iobs/nBERR_FSB.UIM | NULL | 12 | cnt/IPL2r | NULL | 13 | iobs/ALE1 | NULL | 14 | cnt/nBR_IOB | NULL +FB_ORDER_OF_INPUTS | FOOBAR8_ | 16 | iobs/Once | NULL | 17 | iobm/IOBERR | NULL | 19 | ram/RS_FSM_FFd2 | NULL | 20 | cnt/PORS_FSM_FFd1 | NULL | 21 | A_FSB<19> | 17 +FB_ORDER_OF_INPUTS | FOOBAR8_ | 27 | nUDS_FSB | 33 | 28 | nWE_FSB | 29 | 29 | nLDS_FSB | 30 | 30 | A_FSB<20> | 18 | 33 | ram/RS_FSM_FFd3 | NULL +FB_ORDER_OF_INPUTS | FOOBAR8_ | 36 | A_FSB<23> | 24 | 37 | fsb/ASrf | NULL | 44 | A_FSB<13> | 11 | 45 | A_FSB<18> | 16 | 47 | iobs/IOACTr | NULL +FB_ORDER_OF_INPUTS | FOOBAR8_ | 49 | A_FSB<17> | 15 | 50 | ram/RS_FSM_FFd1 | NULL | 51 | iobs/PS_FSM_FFd2 | NULL | 52 | iobs/PS_FSM_FFd1 | NULL -FB_IMUX_INDEX | FOOBAR8_ | 226 | -1 | 228 | 129 | 230 | -1 | 24 | 7 | 8 | 9 | 10 | 11 | 66 | 103 | 240 | 141 | 208 | 107 | 222 | -1 | -1 | 143 | -1 | 215 | 78 | -1 | -1 | 272 | 256 | -1 | -1 | -1 | 120 | 268 | 17 | 210 | -1 | 260 | -1 | 74 | 125 | -1 | 0 | 139 | 5 | 16 | -1 | -1 | 47 | 216 | 218 | 132 | -1 | 214 +FB_IMUX_INDEX | FOOBAR8_ | 108 | 210 | 228 | 75 | 230 | 214 | 60 | 25 | 268 | 81 | 28 | 137 | 12 | 103 | 140 | -1 | 142 | 107 | -1 | 84 | 126 | 222 | -1 | -1 | -1 | -1 | -1 | 272 | 256 | 260 | 226 | -1 | -1 | 128 | -1 | -1 | 240 | 32 | -1 | -1 | -1 | -1 | -1 | -1 | 208 | 218 | -1 | 30 | -1 | 216 | 78 | 132 | 33 | -1 -GLOBAL_FCLK | CLK2X_IOB | 0 | 0 | CLK_IOB | 1 | 1 | CLK_FSB | 2 | 2 +GLOBAL_FCLK | C16M | 0 | 0 | C8M | 1 | 1 | FCLK | 2 | 2 TIME_TSPEC | TS_CLK_IOB | PERIOD:CLK_IOB:142.857nS:HIGH:71.428nS | CLK_IOB | 10003 | 1428 | 714 | NULL diff --git a/cpld/XC95144XL/WarpSE.xml b/cpld/XC95144XL/WarpSE.xml index 2b78aa1..b70f7e2 100644 --- a/cpld/XC95144XL/WarpSE.xml +++ b/cpld/XC95144XL/WarpSE.xml @@ -1,3 +1,3 @@ -WarpSE.rptC:/Xilinx/14.7/ISE_DS/ISE/xc9500xl/data/xc95144xl.chpWarpSE.mfd
Cpld - Unable to retrieve the path to the iSE Project Repository. Will use the default filename of 'WarpSE.ise'.INFO:Cpld - Inferring BUFG constraint for signal 'CLK2X_IOB' based upon the LOC constraint 'P22'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored.INFO:Cpld - Inferring BUFG constraint for signal 'CLK_FSB' based upon the LOC constraint 'P27'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored.INFO:Cpld - Inferring BUFG constraint for signal 'CLK_IOB' based upon the LOC constraint 'P23'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored.Cpld:1007 - Removing unused input(s) 'SW<2>'. The input(s) are unused after optimization. Please verify functionality via simulation. +WarpSE.rptC:/Xilinx/14.7/ISE_DS/ISE/xc9500xl/data/xc95144xl.chpWarpSE.mfd
Cpld - Unable to retrieve the path to the iSE Project Repository. Will use the default filename of 'WarpSE.ise'.INFO:Cpld - Inferring BUFG constraint for signal 'C16M' based upon the LOC constraint 'P22'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored.INFO:Cpld - Inferring BUFG constraint for signal 'C8M' based upon the LOC constraint 'P23'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored.INFO:Cpld - Inferring BUFG constraint for signal 'FCLK' based upon the LOC constraint 'P27'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored.Cpld:1007 - Removing unused input(s) 'SW<1>'. The input(s) are unused after optimization. Please verify functionality via simulation.Cpld:1007 - Removing unused input(s) 'SW<2>'. The input(s) are unused after optimization. Please verify functionality via simulation.Cpld:1007 - Removing unused input(s) 'SW<3>'. The input(s) are unused after optimization. Please verify functionality via simulation. diff --git a/cpld/XC95144XL/WarpSE_build.xml b/cpld/XC95144XL/WarpSE_build.xml index 017b652..32b4f37 100644 --- a/cpld/XC95144XL/WarpSE_build.xml +++ b/cpld/XC95144XL/WarpSE_build.xml @@ -5,7 +5,7 @@ - + diff --git a/cpld/XC95144XL/WarpSE_envsettings.html b/cpld/XC95144XL/WarpSE_envsettings.html index a438b05..e588217 100644 --- a/cpld/XC95144XL/WarpSE_envsettings.html +++ b/cpld/XC95144XL/WarpSE_envsettings.html @@ -18,8 +18,8 @@ Path -C:\Xilinx\14.7\ISE_DS\ISE\\lib\nt;
C:\Xilinx\14.7\ISE_DS\ISE\\bin\nt;
C:\Xilinx\14.7\ISE_DS\ISE\bin\nt;
C:\Xilinx\14.7\ISE_DS\ISE\lib\nt;
C:\Xilinx\14.7\ISE_DS\ISE\..\..\..\DocNav;
C:\Xilinx\14.7\ISE_DS\PlanAhead\bin;
C:\Xilinx\14.7\ISE_DS\EDK\bin\nt;
C:\Xilinx\14.7\ISE_DS\EDK\lib\nt;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\powerpc-eabi\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnuwin\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\arm\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt_be\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt_le\bin;
C:\Xilinx\14.7\ISE_DS\common\bin\nt;
C:\Xilinx\14.7\ISE_DS\common\lib\nt;
C:\Windows\system32;
C:\Windows;
C:\Windows\System32\Wbem;
C:\Windows\System32\WindowsPowerShell\v1.0\;
C:\altera\13.0sp1\modelsim_ase\win32aloem -C:\Xilinx\14.7\ISE_DS\ISE\\lib\nt;
C:\Xilinx\14.7\ISE_DS\ISE\\bin\nt;
C:\Xilinx\14.7\ISE_DS\ISE\bin\nt;
C:\Xilinx\14.7\ISE_DS\ISE\lib\nt;
C:\Xilinx\14.7\ISE_DS\ISE\..\..\..\DocNav;
C:\Xilinx\14.7\ISE_DS\PlanAhead\bin;
C:\Xilinx\14.7\ISE_DS\EDK\bin\nt;
C:\Xilinx\14.7\ISE_DS\EDK\lib\nt;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\powerpc-eabi\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnuwin\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\arm\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt_be\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt_le\bin;
C:\Xilinx\14.7\ISE_DS\common\bin\nt;
C:\Xilinx\14.7\ISE_DS\common\lib\nt;
C:\Windows\system32;
C:\Windows;
C:\Windows\System32\Wbem;
C:\Windows\System32\WindowsPowerShell\v1.0\;
C:\altera\13.0sp1\modelsim_ase\win32aloem +C:\Xilinx\14.7\ISE_DS\ISE\\lib\nt64;
C:\Xilinx\14.7\ISE_DS\ISE\\bin\nt64;
C:\Xilinx\14.7\ISE_DS\ISE\bin\nt64;
C:\Xilinx\14.7\ISE_DS\ISE\lib\nt64;
C:\Xilinx\14.7\ISE_DS\ISE\..\..\..\DocNav;
C:\Xilinx\14.7\ISE_DS\PlanAhead\bin;
C:\Xilinx\14.7\ISE_DS\EDK\bin\nt64;
C:\Xilinx\14.7\ISE_DS\EDK\lib\nt64;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\powerpc-eabi\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnuwin\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\arm\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt64_be\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt64_le\bin;
C:\Xilinx\14.7\ISE_DS\common\bin\nt64;
C:\Xilinx\14.7\ISE_DS\common\lib\nt64;
C:\Program Files (x86)\AMD APP\bin\x86_64;
C:\Program Files (x86)\AMD APP\bin\x86;
C:\Windows\system32;
C:\Windows;
C:\Windows\System32\Wbem;
C:\Windows\System32\WindowsPowerShell\v1.0\;
C:\intelFPGA_lite\19.1\modelsim_ase\win32aloem;
C:\altera\13.0sp1\modelsim_ase\win32aloem;
C:\Users\Wolf\AppData\Local\GitHubDesktop\bin +C:\Xilinx\14.7\ISE_DS\ISE\\lib\nt64;
C:\Xilinx\14.7\ISE_DS\ISE\\bin\nt64;
C:\Xilinx\14.7\ISE_DS\ISE\bin\nt64;
C:\Xilinx\14.7\ISE_DS\ISE\lib\nt64;
C:\Xilinx\14.7\ISE_DS\ISE\..\..\..\DocNav;
C:\Xilinx\14.7\ISE_DS\PlanAhead\bin;
C:\Xilinx\14.7\ISE_DS\EDK\bin\nt64;
C:\Xilinx\14.7\ISE_DS\EDK\lib\nt64;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\powerpc-eabi\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnuwin\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\arm\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt64_be\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt64_le\bin;
C:\Xilinx\14.7\ISE_DS\common\bin\nt64;
C:\Xilinx\14.7\ISE_DS\common\lib\nt64;
C:\Program Files (x86)\AMD APP\bin\x86_64;
C:\Program Files (x86)\AMD APP\bin\x86;
C:\Windows\system32;
C:\Windows;
C:\Windows\System32\Wbem;
C:\Windows\System32\WindowsPowerShell\v1.0\;
C:\intelFPGA_lite\19.1\modelsim_ase\win32aloem;
C:\altera\13.0sp1\modelsim_ase\win32aloem;
C:\Users\Wolf\AppData\Local\GitHubDesktop\bin XILINX @@ -206,7 +206,7 @@ -uc   -Z:/Warp-SE/cpld/WarpSE-XC95144XL.ucf +C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf None @@ -222,18 +222,18 @@ CPU Architecture/Speed -QEMU Virtual CPU version 2.5+/1000 MHz -QEMU Virtual CPU version 2.5+/1000 MHz +AMD FX(tm)-8320 Eight-Core Processor /3792 MHz +AMD FX(tm)-8320 Eight-Core Processor /3792 MHz Host -ZaneMacWin7 -ZaneMacWin7 +LabWin7 +LabWin7 OS Name -Microsoft Windows 7 , 32-bit -Microsoft Windows 7 , 32-bit +Microsoft Windows 7 , 64-bit +Microsoft Windows 7 , 64-bit OS Release diff --git a/cpld/XC95144XL/WarpSE_html/fit/ascii.htm b/cpld/XC95144XL/WarpSE_html/fit/ascii.htm index 9e28b5b..771db51 100644 --- a/cpld/XC95144XL/WarpSE_html/fit/ascii.htm +++ b/cpld/XC95144XL/WarpSE_html/fit/ascii.htm @@ -3,7 +3,7 @@ cpldfit: version P.20131013 Xilinx Inc. Fitter Report -Design Name: WarpSE Date: 3-28-2022, 9:46AM +Design Name: WarpSE Date: 3-20-2023, 11:54PM Device Used: XC95144XL-10-TQ100 Fitting Status: Successful @@ -11,30 +11,30 @@ Fitting Status: Successful Macrocells Product Terms Function Block Registers Pins Used/Tot Used/Tot Inps Used/Tot Used/Tot Used/Tot -114/144 ( 79%) 463 /720 ( 64%) 253/432 ( 59%) 89 /144 ( 62%) 74 /81 ( 91%) +121/144 ( 84%) 440 /720 ( 61%) 227/432 ( 53%) 96 /144 ( 67%) 72 /81 ( 89%) ** Function Block Resources ** Function Mcells FB Inps Pterms IO Block Used/Tot Used/Tot Used/Tot Used/Tot -FB1 18/18* 20/54 23/90 11/11* -FB2 12/18 9/54 11/90 8/10 -FB3 8/18 38/54 82/90 10/10* -FB4 18/18* 41/54 39/90 10/10* -FB5 14/18 39/54 82/90 8/10 -FB6 18/18* 34/54 64/90 10/10* -FB7 14/18 34/54 81/90 10/10* -FB8 12/18 38/54 81/90 7/10 +FB1 18/18* 25/54 26/90 11/11* +FB2 12/18 16/54 15/90 8/10 +FB3 9/18 34/54 81/90 10/10* +FB4 18/18* 24/54 44/90 10/10* +FB5 16/18 30/54 77/90 8/10 +FB6 18/18* 34/54 61/90 10/10* +FB7 18/18* 30/54 55/90 8/10 +FB8 12/18 34/54 81/90 7/10 ----- ----- ----- ----- - 114/144 253/432 463/720 74/81 + 121/144 227/432 440/720 72/81 * - Resource is exhausted ** Global Control Resources ** -Signal 'CLK2X_IOB' mapped onto global clock net GCK1. -Signal 'CLK_IOB' mapped onto global clock net GCK2. -Signal 'CLK_FSB' mapped onto global clock net GCK3. +Signal 'C16M' mapped onto global clock net GCK1. +Signal 'C8M' mapped onto global clock net GCK2. +Signal 'FCLK' mapped onto global clock net GCK3. Global output enable net(s) unused. Global set/reset net(s) unused. @@ -42,54 +42,59 @@ Global set/reset net(s) unused. Signal Type Required Mapped | Pin Type Used Total ------------------------------------|------------------------------------ -Input : 36 36 | I/O : 68 73 +Input : 33 33 | I/O : 66 73 Output : 35 35 | GCK/IO : 3 3 -Bidirectional : 0 0 | GTS/IO : 3 4 +Bidirectional : 1 1 | GTS/IO : 3 4 GCK : 3 3 | GSR/IO : 0 1 GTS : 0 0 | GSR : 0 0 | ---- ---- - Total 74 74 + Total 72 72 ** Power Data ** -There are 114 macrocells in high performance mode (MCHP). +There are 121 macrocells in high performance mode (MCHP). There are 0 macrocells in low power mode (MCLP). End of Mapped Resource Summary ************************** Errors and Warnings *************************** WARNING:Cpld - Unable to retrieve the path to the iSE Project Repository. Will use the default filename of 'WarpSE.ise'. -INFO:Cpld - Inferring BUFG constraint for signal 'CLK2X_IOB' based upon the LOC +INFO:Cpld - Inferring BUFG constraint for signal 'C16M' based upon the LOC constraint 'P22'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored. -INFO:Cpld - Inferring BUFG constraint for signal 'CLK_FSB' based upon the LOC - constraint 'P27'. It is recommended that you declare this BUFG explicitedly - in your design. Note that for certain device families the output of a BUFG - constraint can not drive a gated clock, and the BUFG constraint will be - ignored. -INFO:Cpld - Inferring BUFG constraint for signal 'CLK_IOB' based upon the LOC +INFO:Cpld - Inferring BUFG constraint for signal 'C8M' based upon the LOC constraint 'P23'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored. +INFO:Cpld - Inferring BUFG constraint for signal 'FCLK' based upon the LOC + constraint 'P27'. It is recommended that you declare this BUFG explicitedly + in your design. Note that for certain device families the output of a BUFG + constraint can not drive a gated clock, and the BUFG constraint will be + ignored. +WARNING:Cpld:1007 - Removing unused input(s) 'SW<1>'. The input(s) are unused + after optimization. Please verify functionality via simulation. WARNING:Cpld:1007 - Removing unused input(s) 'SW<2>'. The input(s) are unused after optimization. Please verify functionality via simulation. +WARNING:Cpld:1007 - Removing unused input(s) 'SW<3>'. The input(s) are unused + after optimization. Please verify functionality via simulation. ************************* Summary of Mapped Logic ************************ -** 35 Outputs ** +** 36 Outputs ** Signal Total Total Loc Pin Pin Pin Pwr Slew Reg Init Name Pts Inps No. Type Use Mode Rate State -nDTACK_FSB 28 34 FB3_9 28 I/O O STD FAST RESET +nDTACK_FSB 11 26 FB3_9 28 I/O O STD FAST RESET nROMWE 1 2 FB3_17 34 I/O O STD FAST -nAoutOE 3 4 FB4_2 87 I/O O STD FAST SET -nDoutOE 2 3 FB4_5 89 I/O O STD FAST RESET -nDinOE 3 7 FB4_6 90 I/O O STD FAST +nAoutOE 1 3 FB4_2 87 I/O O STD FAST RESET +nDoutOE 1 2 FB4_5 89 I/O O STD FAST +nDinOE 2 6 FB4_6 90 I/O O STD FAST +nRES 1 1 FB4_8 91 I/O I/O STD FAST nVPA_FSB 1 2 FB4_11 93 I/O O STD FAST -nROMCS 3 6 FB5_2 35 I/O O STD FAST +nROMCS 2 5 FB5_2 35 I/O O STD FAST nCAS 1 1 FB5_5 36 I/O O STD FAST RESET nOE 1 2 FB5_6 37 I/O O STD FAST RA<4> 2 3 FB5_9 40 I/O O STD FAST @@ -110,103 +115,112 @@ RA<0> 2 3 FB7_6 53 I/O O STD FAST RA<8> 7 7 FB7_8 54 I/O O STD FAST RA<10> 1 1 FB7_9 55 I/O O STD FAST RA<9> 2 3 FB7_11 56 I/O O STD FAST -CLK25EN 1 1 FB7_12 58 I/O O STD FAST -CLK20EN 1 1 FB7_14 59 I/O O STD FAST +C25MEN 0 0 FB7_12 58 I/O O STD FAST +C20MEN 0 0 FB7_14 59 I/O O STD FAST RA<11> 1 1 FB8_2 63 I/O O STD FAST -nRAS 3 8 FB8_5 64 I/O O STD FAST -nRAMLWE 1 5 FB8_6 65 I/O O STD FAST -nRAMUWE 1 5 FB8_8 66 I/O O STD FAST -nBERR_FSB 3 9 FB8_12 70 I/O O STD FAST -nBR_IOB 1 6 FB8_15 72 I/O O STD FAST SET +nRAS 3 7 FB8_5 64 I/O O STD FAST +nRAMLWE 1 4 FB8_6 65 I/O O STD FAST +nRAMUWE 1 4 FB8_8 66 I/O O STD FAST +nBERR_FSB 4 8 FB8_12 70 I/O O STD FAST RESET +nBR_IOB 2 4 FB8_15 72 I/O O STD FAST RESET -** 79 Buried Nodes ** +** 85 Buried Nodes ** Signal Total Total Loc Pwr Reg Init Name Pts Inps Mode State -ram/RefRAS 1 2 FB1_1 STD RESET -ram/BACTr 1 2 FB1_2 STD RESET -iobm/RESrr 1 1 FB1_3 STD RESET -iobm/RESrf 1 1 FB1_4 STD RESET -iobm/BERRrf 1 1 FB1_5 STD RESET -fsb/ASrf 1 1 FB1_6 STD RESET -cnt/RefCnt<1> 1 1 FB1_7 STD RESET -RESr2 1 1 FB1_8 STD RESET -RESr1 1 1 FB1_9 STD RESET -RESr0 1 1 FB1_10 STD RESET -IPL2r1 1 1 FB1_11 STD RESET -IPL2r0 1 1 FB1_12 STD RESET -$OpTx$FX_DC$591 1 2 FB1_13 STD -iobs/IOU1 2 2 FB1_14 STD RESET -iobs/IOL1 2 2 FB1_15 STD RESET -iobm/IOS_FSM_FFd1 2 3 FB1_16 STD RESET -fsb/BERR1r 2 4 FB1_17 STD RESET -cs/nOverlay1 2 3 FB1_18 STD RESET -iobs/IOACTr 1 1 FB2_7 STD RESET -iobm/VPArr 1 1 FB2_8 STD RESET -iobm/VPArf 1 1 FB2_9 STD RESET -iobm/IOREQr 1 1 FB2_10 STD RESET -iobm/Er2 1 1 FB2_11 STD RESET -iobm/Er 1 1 FB2_12 STD RESET -iobm/DTACKrr 1 1 FB2_13 STD RESET -iobm/DTACKrf 1 1 FB2_14 STD RESET -iobm/BGr1 1 1 FB2_15 STD RESET -iobm/BGr0 1 1 FB2_16 STD RESET -iobm/BERRrr 1 1 FB2_17 STD RESET -cnt/RefCnt<0> 0 0 FB2_18 STD RESET -fsb/VPA 27 33 FB3_1 STD RESET -fsb/Ready0r 3 8 FB3_4 STD RESET -fsb/BERR0r 3 8 FB3_12 STD RESET -cs/nOverlay0 3 8 FB3_13 STD RESET -fsb/Ready1r 8 18 FB3_15 STD RESET -fsb/Ready2r 9 22 FB3_16 STD RESET -iobs/Clear1 1 3 FB4_1 STD RESET -iobs/ALE0 1 2 FB4_3 STD RESET -cnt/RefCnt<7> 1 7 FB4_4 STD RESET -cnt/RefCnt<6> 1 6 FB4_7 STD RESET +iobm/nASr 1 2 FB1_1 STD RESET +iobm/VPArr 1 1 FB1_2 STD RESET +iobm/VPArf 1 1 FB1_3 STD RESET +iobm/RESrr 1 1 FB1_4 STD RESET +iobm/RESrf 1 1 FB1_5 STD RESET +iobm/IOREQr 1 1 FB1_6 STD RESET +iobm/Er2 1 1 FB1_7 STD RESET +iobm/Er 1 1 FB1_8 STD RESET +iobm/DTACKrr 1 1 FB1_9 STD RESET +iobm/DTACKrf 1 1 FB1_10 STD RESET +iobm/BERRrr 1 1 FB1_11 STD RESET +iobm/BERRrf 1 1 FB1_12 STD RESET +cnt/IPL2r 1 1 FB1_13 STD RESET +iobm/IOS_FSM_FFd1 2 3 FB1_14 STD RESET +iobm/BG 2 2 FB1_15 STD RESET +iobs/IOU0 3 5 FB1_16 STD RESET +iobs/IOL0 3 5 FB1_17 STD RESET +iobm/IOS_FSM_FFd3 3 6 FB1_18 STD RESET +ram/RegUrgentSync 1 1 FB2_7 STD RESET +ram/RefUrgent 1 2 FB2_8 STD RESET +ram/RefReqSync 1 1 FB2_9 STD RESET +ram/RefReq 1 2 FB2_10 STD RESET +ram/RefRAS 1 2 FB2_11 STD RESET +ram/BACTr 1 2 FB2_12 STD RESET +iobs/IOACTr 1 1 FB2_13 STD RESET +iobs/ALE0 1 2 FB2_14 STD RESET +fsb/ASrf 1 1 FB2_15 STD RESET +iobs/PS_FSM_FFd1 2 3 FB2_16 STD RESET +iobs/IOU1 2 2 FB2_17 STD RESET +iobs/IOL1 2 2 FB2_18 STD RESET +fsb/VPA 20 26 FB3_2 STD RESET +iobs/Clear1 1 3 FB3_5 STD RESET +fsb/Ready0r 3 8 FB3_6 STD RESET +iobs/IOReady 4 8 FB3_7 STD RESET +fsb/Ready1r 7 17 FB3_10 STD RESET +iobs/IORW1 16 19 FB3_12 STD RESET +iobs/IORW0 18 20 FB3_15 STD RESET +cnt/nRESout 2 4 FB4_1 STD RESET +cnt/TimerTC 2 5 FB4_3 STD RESET +cnt/Timer<0> 2 3 FB4_4 STD RESET Signal Total Total Loc Pwr Reg Init Name Pts Inps Mode State -cnt/RefCnt<5> 1 5 FB4_8 STD RESET -cnt/RefCnt<4> 1 4 FB4_9 STD RESET -cnt/RefCnt<3> 1 3 FB4_10 STD RESET -cnt/RefCnt<2> 1 2 FB4_12 STD RESET -iobs/PS_FSM_FFd1 2 3 FB4_13 STD RESET -cnt/RefDone 2 10 FB4_14 STD RESET -iobs/IOU0 3 5 FB4_15 STD RESET -cnt/TimeoutA 3 10 FB4_16 STD RESET -iobs/IOReady 4 8 FB4_17 STD RESET -ram/RS_FSM_FFd1 8 14 FB4_18 STD RESET -ram/RAMDIS2 7 15 FB5_3 STD RESET -iobs/IOL0 3 5 FB5_4 STD RESET -iobs/Once 18 19 FB5_7 STD RESET -ram/Once 5 10 FB5_10 STD RESET -iobs/Load1 15 19 FB5_13 STD RESET -ram/RASEL 19 15 FB5_18 STD RESET +cnt/PORS_FSM_FFd2 2 3 FB4_7 STD RESET +cnt/LTimer<0> 2 3 FB4_9 STD RESET +cnt/Timer<3> 3 6 FB4_10 STD RESET +cnt/Timer<2> 3 5 FB4_12 STD RESET +cnt/Timer<1> 3 4 FB4_13 STD RESET +cnt/RefUrgent 3 5 FB4_14 STD RESET +cnt/RefReq 4 5 FB4_15 STD RESET +cnt/LTimer<3> 4 6 FB4_16 STD RESET +cnt/LTimer<2> 4 5 FB4_17 STD RESET +cnt/LTimer<1> 4 4 FB4_18 STD RESET +ram/RASEL 17 13 FB5_1 STD RESET +ram/RefDone 2 4 FB5_3 STD RESET +cs/nOverlay1 2 3 FB5_4 STD RESET +ram/RS_FSM_FFd1 8 11 FB5_7 STD RESET +cs/nOverlay0 3 8 FB5_8 STD RESET +ram/RAMEN 9 13 FB5_10 STD RESET +ram/RS_FSM_FFd2 10 12 FB5_13 STD RESET +ram/RAMReady 12 13 FB5_17 STD RESET iobm/ETACK 1 6 FB6_1 STD RESET -iobm/IOS_FSM_FFd3 3 6 FB6_3 STD RESET -iobm/ES<3> 3 6 FB6_4 STD RESET -iobm/ES<1> 3 4 FB6_5 STD RESET -iobm/ES<0> 3 7 FB6_6 STD RESET -iobm/ALE0 3 5 FB6_7 STD RESET +iobm/DoutOE 2 3 FB6_3 STD RESET +iobm/ALE0 2 4 FB6_4 STD RESET +iobm/ES<3> 3 6 FB6_5 STD RESET +iobm/ES<1> 3 4 FB6_6 STD RESET +iobm/ES<0> 3 7 FB6_7 STD RESET iobm/ES<4> 4 7 FB6_8 STD RESET iobm/IOS_FSM_FFd2 5 11 FB6_10 STD RESET iobm/ES<2> 5 7 FB6_13 STD RESET -iobm/IOACT 7 13 FB6_16 STD RESET +iobm/IOACT 6 12 FB6_16 STD RESET iobm/IOBERR 9 13 FB6_18 STD RESET -ram/RS_FSM_FFd3 11 14 FB7_4 STD RESET -cnt/TimeoutBPre 3 11 FB7_7 STD RESET -ram/RS_FSM_FFd2 14 14 FB7_10 STD RESET -cnt/TimeoutB 3 12 FB7_13 STD RESET -ram/RAMReady 15 15 FB7_15 STD RESET -ram/RAMDIS1 17 15 FB7_18 STD RESET -iobs/PS_FSM_FFd2 15 20 FB8_4 STD RESET -RESDone 1 3 FB8_7 STD RESET -iobs/IOREQ 15 20 FB8_9 STD RESET -iobs/IORW1 17 20 FB8_14 STD RESET -iobs/BERR 4 8 FB8_16 STD RESET -iobs/IORW0 19 21 FB8_18 STD RESET +cnt/LTimer<13> 3 15 FB7_1 STD RESET +cnt/LTimer<9> 4 12 FB7_3 STD RESET +cnt/LTimer<8> 4 11 FB7_4 STD RESET +cnt/LTimer<7> 4 10 FB7_7 STD RESET +cnt/LTimer<6> 4 9 FB7_10 STD RESET +cnt/LTimer<5> 4 8 FB7_13 STD RESET +cnt/LTimer<4> 4 7 FB7_15 STD RESET +cnt/LTimer<12> 4 15 FB7_16 STD RESET +cnt/LTimer<11> 4 14 FB7_17 STD RESET +cnt/LTimer<10> 4 13 FB7_18 STD RESET +cnt/PORS_FSM_FFd1 1 4 FB8_1 STD RESET -** 39 Inputs ** +Signal Total Total Loc Pwr Reg Init +Name Pts Inps Mode State +ram/RS_FSM_FFd3 9 11 FB8_3 STD RESET +iobs/PS_FSM_FFd2 14 19 FB8_7 STD RESET +iobs/Load1 14 18 FB8_10 STD RESET +iobs/IOREQ 14 19 FB8_14 STD RESET +iobs/Once 17 18 FB8_17 STD RESET + +** 36 Inputs ** Signal Loc Pin Pin Pin Name No. Type Use @@ -220,7 +234,7 @@ A_FSB<19> FB1_11 17 I/O I A_FSB<20> FB1_12 18 I/O I A_FSB<21> FB1_14 19 I/O I A_FSB<22> FB1_15 20 I/O I -CLK2X_IOB FB1_17 22 GCK/I/O GCK +C16M FB1_17 22 GCK/I/O GCK A_FSB<5> FB2_6 2 GTS/I/O I A_FSB<6> FB2_8 3 GTS/I/O I A_FSB<7> FB2_9 4 GTS/I/O I @@ -229,15 +243,14 @@ A_FSB<9> FB2_12 7 I/O I A_FSB<10> FB2_14 8 I/O I A_FSB<11> FB2_15 9 I/O I A_FSB<12> FB2_17 10 I/O I -CLK_IOB FB3_2 23 GCK/I/O GCK/I +C8M FB3_2 23 GCK/I/O GCK/I A_FSB<23> FB3_5 24 I/O I -E_IOB FB3_6 25 I/O I -CLK_FSB FB3_8 27 GCK/I/O GCK +E FB3_6 25 I/O I +FCLK FB3_8 27 GCK/I/O GCK nWE_FSB FB3_11 29 I/O I nLDS_FSB FB3_12 30 I/O I nAS_FSB FB3_14 32 I/O I nUDS_FSB FB3_15 33 I/O I -nRES FB4_8 91 I/O I nIPL2 FB4_9 92 I/O I A_FSB<1> FB4_12 94 I/O I A_FSB<2> FB4_14 95 I/O I @@ -246,8 +259,6 @@ A_FSB<4> FB4_17 97 I/O I nBERR_IOB FB6_5 76 I/O I nVPA_IOB FB6_6 77 I/O I nDTACK_IOB FB6_8 78 I/O I -SW<1> FB7_15 60 I/O I -SW<0> FB7_17 61 I/O I nBG_IOB FB8_17 73 I/O I Legend: @@ -266,63 +277,65 @@ Pin Type/Use - I - Input GCK - Global Clock X - Signal used as input to the macrocell logic. Pin No. - ~ - User Assigned *********************************** FB1 *********************************** -Number of function block inputs used/remaining: 20/34 -Number of signals used by logic mapping into function block: 20 +Number of function block inputs used/remaining: 25/29 +Number of signals used by logic mapping into function block: 25 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -ram/RefRAS 1 0 0 4 FB1_1 (b) (b) -ram/BACTr 1 0 0 4 FB1_2 11 I/O I -iobm/RESrr 1 0 0 4 FB1_3 12 I/O I -iobm/RESrf 1 0 0 4 FB1_4 (b) (b) -iobm/BERRrf 1 0 0 4 FB1_5 13 I/O I -fsb/ASrf 1 0 0 4 FB1_6 14 I/O I -cnt/RefCnt<1> 1 0 0 4 FB1_7 (b) (b) -RESr2 1 0 0 4 FB1_8 15 I/O I -RESr1 1 0 0 4 FB1_9 16 I/O I -RESr0 1 0 0 4 FB1_10 (b) (b) -IPL2r1 1 0 0 4 FB1_11 17 I/O I -IPL2r0 1 0 0 4 FB1_12 18 I/O I -$OpTx$FX_DC$591 1 0 0 4 FB1_13 (b) (b) -iobs/IOU1 2 0 0 3 FB1_14 19 I/O I -iobs/IOL1 2 0 0 3 FB1_15 20 I/O I -iobm/IOS_FSM_FFd1 2 0 0 3 FB1_16 (b) (b) -fsb/BERR1r 2 0 0 3 FB1_17 22 GCK/I/O GCK -cs/nOverlay1 2 0 0 3 FB1_18 (b) (b) +iobm/nASr 1 0 0 4 FB1_1 (b) (b) +iobm/VPArr 1 0 0 4 FB1_2 11 I/O I +iobm/VPArf 1 0 0 4 FB1_3 12 I/O I +iobm/RESrr 1 0 0 4 FB1_4 (b) (b) +iobm/RESrf 1 0 0 4 FB1_5 13 I/O I +iobm/IOREQr 1 0 0 4 FB1_6 14 I/O I +iobm/Er2 1 0 0 4 FB1_7 (b) (b) +iobm/Er 1 0 0 4 FB1_8 15 I/O I +iobm/DTACKrr 1 0 0 4 FB1_9 16 I/O I +iobm/DTACKrf 1 0 0 4 FB1_10 (b) (b) +iobm/BERRrr 1 0 0 4 FB1_11 17 I/O I +iobm/BERRrf 1 0 0 4 FB1_12 18 I/O I +cnt/IPL2r 1 0 0 4 FB1_13 (b) (b) +iobm/IOS_FSM_FFd1 2 0 0 3 FB1_14 19 I/O I +iobm/BG 2 0 0 3 FB1_15 20 I/O I +iobs/IOU0 3 0 0 2 FB1_16 (b) (b) +iobs/IOL0 3 0 0 2 FB1_17 22 GCK/I/O GCK +iobm/IOS_FSM_FFd3 3 0 0 2 FB1_18 (b) (b) Signals Used by Logic in Function Block - 1: IPL2r0 8: iobm/IOS_FSM_FFd1 15: nIPL2 - 2: RESr0 9: iobm/IOS_FSM_FFd2 16: nLDS_FSB - 3: RESr1 10: iobm/IOS_FSM_FFd3 17: nRES - 4: cnt/RefCnt<0> 11: iobs/BERR 18: nUDS_FSB - 5: cs/nOverlay0 12: iobs/Load1 19: ram/RS_FSM_FFd1 - 6: fsb/ASrf 13: nAS_FSB 20: ram/RS_FSM_FFd2 - 7: fsb/BERR1r 14: nBERR_IOB + 1: C8M 10: iobm/nASr 18: nAoutOE + 2: E 11: iobs/IOL1 19: nBERR_IOB + 3: nRES.PIN 12: iobs/IOREQ 20: nBG_IOB + 4: iobm/BG 13: iobs/IOU1 21: nDTACK_IOB + 5: iobm/Er 14: iobs/PS_FSM_FFd1 22: nIPL2 + 6: iobm/IOREQr 15: iobs/PS_FSM_FFd2 23: nLDS_FSB + 7: iobm/IOS_FSM_FFd1 16: nADoutLE1 24: nUDS_FSB + 8: iobm/IOS_FSM_FFd2 17: nAS_IOB 25: nVPA_IOB + 9: iobm/IOS_FSM_FFd3 Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -ram/RefRAS ..................XX.................... 2 -ram/BACTr .....X......X........................... 2 -iobm/RESrr ................X....................... 1 -iobm/RESrf ................X....................... 1 -iobm/BERRrf .............X.......................... 1 -fsb/ASrf ............X........................... 1 -cnt/RefCnt<1> ...X.................................... 1 -RESr2 ..X..................................... 1 -RESr1 .X...................................... 1 -RESr0 ................X....................... 1 -IPL2r1 X....................................... 1 -IPL2r0 ..............X......................... 1 -$OpTx$FX_DC$591 .....X......X........................... 2 -iobs/IOU1 ...........X.....X...................... 2 -iobs/IOL1 ...........X...X........................ 2 -iobm/IOS_FSM_FFd1 .......XXX.............................. 3 -fsb/BERR1r .....XX...X.X........................... 4 -cs/nOverlay1 ....XX......X........................... 3 +iobm/nASr ................XX...................... 2 +iobm/VPArr ........................X............... 1 +iobm/VPArf ........................X............... 1 +iobm/RESrr ..X..................................... 1 +iobm/RESrf ..X..................................... 1 +iobm/IOREQr ...........X............................ 1 +iobm/Er2 ....X................................... 1 +iobm/Er .X...................................... 1 +iobm/DTACKrr ....................X................... 1 +iobm/DTACKrf ....................X................... 1 +iobm/BERRrr ..................X..................... 1 +iobm/BERRrf ..................X..................... 1 +cnt/IPL2r .....................X.................. 1 +iobm/IOS_FSM_FFd1 ......XXX............................... 3 +iobm/BG .........X.........X.................... 2 +iobs/IOU0 ............XXXX.......X................ 5 +iobs/IOL0 ..........X..XXX......X................. 5 +iobm/IOS_FSM_FFd3 X..X.XXXX............................... 6 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB2 *********************************** -Number of function block inputs used/remaining: 9/45 -Number of signals used by logic mapping into function block: 9 +Number of function block inputs used/remaining: 16/38 +Number of signals used by logic mapping into function block: 16 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use (unused) 0 0 0 5 FB2_1 (b) @@ -331,208 +344,204 @@ Name Pt Pt Pt Pt # Type Use (unused) 0 0 0 5 FB2_4 (b) (unused) 0 0 0 5 FB2_5 1 GTS/I/O (unused) 0 0 0 5 FB2_6 2 GTS/I/O I -iobs/IOACTr 1 0 0 4 FB2_7 (b) (b) -iobm/VPArr 1 0 0 4 FB2_8 3 GTS/I/O I -iobm/VPArf 1 0 0 4 FB2_9 4 GTS/I/O I -iobm/IOREQr 1 0 0 4 FB2_10 (b) (b) -iobm/Er2 1 0 0 4 FB2_11 6 I/O I -iobm/Er 1 0 0 4 FB2_12 7 I/O I -iobm/DTACKrr 1 0 0 4 FB2_13 (b) (b) -iobm/DTACKrf 1 0 0 4 FB2_14 8 I/O I -iobm/BGr1 1 0 0 4 FB2_15 9 I/O I -iobm/BGr0 1 0 0 4 FB2_16 (b) (b) -iobm/BERRrr 1 0 0 4 FB2_17 10 I/O I -cnt/RefCnt<0> 0 0 0 5 FB2_18 (b) (b) +ram/RegUrgentSync 1 0 0 4 FB2_7 (b) (b) +ram/RefUrgent 1 0 0 4 FB2_8 3 GTS/I/O I +ram/RefReqSync 1 0 0 4 FB2_9 4 GTS/I/O I +ram/RefReq 1 0 0 4 FB2_10 (b) (b) +ram/RefRAS 1 0 0 4 FB2_11 6 I/O I +ram/BACTr 1 0 0 4 FB2_12 7 I/O I +iobs/IOACTr 1 0 0 4 FB2_13 (b) (b) +iobs/ALE0 1 0 0 4 FB2_14 8 I/O I +fsb/ASrf 1 0 0 4 FB2_15 9 I/O I +iobs/PS_FSM_FFd1 2 0 0 3 FB2_16 (b) (b) +iobs/IOU1 2 0 0 3 FB2_17 10 I/O I +iobs/IOL1 2 0 0 3 FB2_18 (b) (b) Signals Used by Logic in Function Block - 1: E_IOB 4: iobm/IOACT 7: nBG_IOB - 2: iobm/BGr0 5: iobs/IOREQ 8: nDTACK_IOB - 3: iobm/Er 6: nBERR_IOB 9: nVPA_IOB + 1: cnt/RefReq 7: iobs/PS_FSM_FFd1 12: ram/RS_FSM_FFd1 + 2: cnt/RefUrgent 8: iobs/PS_FSM_FFd2 13: ram/RS_FSM_FFd2 + 3: fsb/ASrf 9: nAS_FSB 14: ram/RefDone + 4: iobm/IOACT 10: nLDS_FSB 15: ram/RefReqSync + 5: iobs/IOACTr 11: nUDS_FSB 16: ram/RegUrgentSync + 6: iobs/Load1 Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs +ram/RegUrgentSync .X...................................... 1 +ram/RefUrgent .............X.X........................ 2 +ram/RefReqSync X....................................... 1 +ram/RefReq .............XX......................... 2 +ram/RefRAS ...........XX........................... 2 +ram/BACTr ..X.....X............................... 2 iobs/IOACTr ...X.................................... 1 -iobm/VPArr ........X............................... 1 -iobm/VPArf ........X............................... 1 -iobm/IOREQr ....X................................... 1 -iobm/Er2 ..X..................................... 1 -iobm/Er X....................................... 1 -iobm/DTACKrr .......X................................ 1 -iobm/DTACKrf .......X................................ 1 -iobm/BGr1 .X...................................... 1 -iobm/BGr0 ......X................................. 1 -iobm/BERRrr .....X.................................. 1 -cnt/RefCnt<0> ........................................ 0 +iobs/ALE0 ......XX................................ 2 +fsb/ASrf ........X............................... 1 +iobs/PS_FSM_FFd1 ....X.XX................................ 3 +iobs/IOU1 .....X....X............................. 2 +iobs/IOL1 .....X...X.............................. 2 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB3 *********************************** -Number of function block inputs used/remaining: 38/16 -Number of signals used by logic mapping into function block: 38 +Number of function block inputs used/remaining: 34/20 +Number of signals used by logic mapping into function block: 34 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -fsb/VPA 27 22<- 0 0 FB3_1 (b) (b) -(unused) 0 0 /\5 0 FB3_2 23 GCK/I/O GCK/I +(unused) 0 0 \/5 0 FB3_1 (b) (b) +fsb/VPA 20 15<- 0 0 FB3_2 23 GCK/I/O GCK/I (unused) 0 0 /\5 0 FB3_3 (b) (b) -fsb/Ready0r 3 1<- /\3 0 FB3_4 (b) (b) -(unused) 0 0 /\1 4 FB3_5 24 I/O I -(unused) 0 0 \/2 3 FB3_6 25 I/O I -(unused) 0 0 \/5 0 FB3_7 (b) (b) +(unused) 0 0 0 5 FB3_4 (b) +iobs/Clear1 1 0 \/1 3 FB3_5 24 I/O I +fsb/Ready0r 3 1<- \/3 0 FB3_6 25 I/O I +iobs/IOReady 4 3<- \/4 0 FB3_7 (b) (b) (unused) 0 0 \/5 0 FB3_8 27 GCK/I/O GCK -nDTACK_FSB 28 23<- 0 0 FB3_9 28 I/O O -(unused) 0 0 /\5 0 FB3_10 (b) (b) -(unused) 0 0 /\5 0 FB3_11 29 I/O I -fsb/BERR0r 3 0 /\1 1 FB3_12 30 I/O I -cs/nOverlay0 3 0 \/2 0 FB3_13 (b) (b) +nDTACK_FSB 11 9<- \/3 0 FB3_9 28 I/O O +fsb/Ready1r 7 3<- \/1 0 FB3_10 (b) (b) +(unused) 0 0 \/5 0 FB3_11 29 I/O I +iobs/IORW1 16 11<- 0 0 FB3_12 30 I/O I +(unused) 0 0 /\5 0 FB3_13 (b) (b) (unused) 0 0 \/5 0 FB3_14 32 I/O I -fsb/Ready1r 8 7<- \/4 0 FB3_15 33 I/O I -fsb/Ready2r 9 4<- 0 0 FB3_16 (b) (b) -nROMWE 1 0 \/4 0 FB3_17 34 I/O O +iobs/IORW0 18 13<- 0 0 FB3_15 33 I/O I +(unused) 0 0 /\5 0 FB3_16 (b) (b) +nROMWE 1 0 /\3 1 FB3_17 34 I/O O (unused) 0 0 \/5 0 FB3_18 (b) (b) Signals Used by Logic in Function Block - 1: $OpTx$FX_DC$591 14: A_FSB<22> 27: fsb/Ready1r - 2: A_FSB<10> 15: A_FSB<23> 28: fsb/Ready2r - 3: A_FSB<11> 16: A_FSB<8> 29: fsb/VPA - 4: A_FSB<12> 17: A_FSB<9> 30: iobs/BERR - 5: A_FSB<13> 18: SW<1> 31: iobs/IOReady - 6: A_FSB<14> 19: cnt/TimeoutA 32: nADoutLE1 - 7: A_FSB<15> 20: cnt/TimeoutB 33: nAS_FSB - 8: A_FSB<16> 21: cs/nOverlay0 34: nBR_IOB - 9: A_FSB<17> 22: cs/nOverlay1 35: nDTACK_FSB - 10: A_FSB<18> 23: fsb/ASrf 36: nRES - 11: A_FSB<19> 24: fsb/BERR0r 37: nWE_FSB - 12: A_FSB<20> 25: fsb/BERR1r 38: ram/RAMReady - 13: A_FSB<21> 26: fsb/Ready0r + 1: A_FSB<10> 13: A_FSB<22> 24: iobs/IORW0 + 2: A_FSB<11> 14: A_FSB<23> 25: iobs/IORW1 + 3: A_FSB<12> 15: A_FSB<8> 26: iobs/IOReady + 4: A_FSB<13> 16: A_FSB<9> 27: iobs/Once + 5: A_FSB<14> 17: cs/nOverlay1 28: iobs/PS_FSM_FFd1 + 6: A_FSB<15> 18: fsb/ASrf 29: iobs/PS_FSM_FFd2 + 7: A_FSB<16> 19: fsb/Ready0r 30: nADoutLE1 + 8: A_FSB<17> 20: fsb/Ready1r 31: nAS_FSB + 9: A_FSB<18> 21: fsb/VPA 32: nDTACK_FSB + 10: A_FSB<19> 22: iobm/IOBERR 33: nWE_FSB + 11: A_FSB<20> 23: iobs/IOACTr 34: ram/RAMReady + 12: A_FSB<21> Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -fsb/VPA XXXXXXXXXXXXXXXXXXXX.X.XXXXXXXXX.X..XX.. 33 -fsb/Ready0r ............XXX......XX..X......X....X.. 8 -nDTACK_FSB .XXXXXXXXXXXXXXXXXXX.XXXXXXX.XXXXXX.XX.. 34 -fsb/BERR0r ...........XXXX....X..XX........X....... 8 -cs/nOverlay0 ...........XXXX.....X.X.........X..X.... 8 -fsb/Ready1r ....XX.XXXXXXXX..X...XX...X...XXX...X... 18 -fsb/Ready2r .XXXXXXXXXXXXXXXX.X..XX....X....X...X... 22 -nROMWE ................................X...X... 2 +fsb/VPA XXXXXXXXXXXXXXXXXXXXX....X...XX.XX...... 26 +iobs/Clear1 ...........................XXX.......... 3 +fsb/Ready0r ...........XXX..XXX...........X..X...... 8 +iobs/IOReady .................X...XX..XX.XXX......... 8 +nDTACK_FSB XXXXXXXXXXXXXXXXXXXX.....X...XXXXX...... 26 +fsb/Ready1r ...XX.XXXXXXXX..XX.X.....X...XX.X....... 17 +iobs/IORW1 ...XX.XXXXXXXX..XX......X.XXXXX.X....... 19 +iobs/IORW0 ...XX.XXXXXXXX..XX.....XX.XXXXX.X....... 20 +nROMWE ..............................X.X....... 2 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB4 *********************************** -Number of function block inputs used/remaining: 41/13 -Number of signals used by logic mapping into function block: 41 +Number of function block inputs used/remaining: 24/30 +Number of signals used by logic mapping into function block: 24 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -iobs/Clear1 1 0 /\2 2 FB4_1 (b) (b) -nAoutOE 3 0 0 2 FB4_2 87 I/O O -iobs/ALE0 1 0 0 4 FB4_3 (b) (b) -cnt/RefCnt<7> 1 0 0 4 FB4_4 (b) (b) -nDoutOE 2 0 0 3 FB4_5 89 I/O O -nDinOE 3 0 0 2 FB4_6 90 I/O O -cnt/RefCnt<6> 1 0 0 4 FB4_7 (b) (b) -cnt/RefCnt<5> 1 0 0 4 FB4_8 91 I/O I -cnt/RefCnt<4> 1 0 0 4 FB4_9 92 I/O I -cnt/RefCnt<3> 1 0 0 4 FB4_10 (b) (b) +cnt/nRESout 2 0 0 3 FB4_1 (b) (b) +nAoutOE 1 0 0 4 FB4_2 87 I/O O +cnt/TimerTC 2 0 0 3 FB4_3 (b) (b) +cnt/Timer<0> 2 0 0 3 FB4_4 (b) (b) +nDoutOE 1 0 0 4 FB4_5 89 I/O O +nDinOE 2 0 0 3 FB4_6 90 I/O O +cnt/PORS_FSM_FFd2 2 0 0 3 FB4_7 (b) (b) +nRES 1 0 0 4 FB4_8 91 I/O I/O +cnt/LTimer<0> 2 0 0 3 FB4_9 92 I/O I +cnt/Timer<3> 3 0 0 2 FB4_10 (b) (b) nVPA_FSB 1 0 0 4 FB4_11 93 I/O O -cnt/RefCnt<2> 1 0 0 4 FB4_12 94 I/O I -iobs/PS_FSM_FFd1 2 0 0 3 FB4_13 (b) (b) -cnt/RefDone 2 0 0 3 FB4_14 95 I/O I -iobs/IOU0 3 0 0 2 FB4_15 96 I/O I -cnt/TimeoutA 3 0 0 2 FB4_16 (b) (b) -iobs/IOReady 4 0 \/1 0 FB4_17 97 I/O I -ram/RS_FSM_FFd1 8 3<- 0 0 FB4_18 (b) (b) +cnt/Timer<2> 3 0 0 2 FB4_12 94 I/O I +cnt/Timer<1> 3 0 0 2 FB4_13 (b) (b) +cnt/RefUrgent 3 0 0 2 FB4_14 95 I/O I +cnt/RefReq 4 0 0 1 FB4_15 96 I/O I +cnt/LTimer<3> 4 0 0 1 FB4_16 (b) (b) +cnt/LTimer<2> 4 0 0 1 FB4_17 97 I/O I +cnt/LTimer<1> 4 0 0 1 FB4_18 (b) (b) Signals Used by Logic in Function Block - 1: A_FSB<20> 15: cnt/TimeoutA 29: iobs/PS_FSM_FFd1 - 2: A_FSB<21> 16: cs/nOverlay1 30: iobs/PS_FSM_FFd2 - 3: A_FSB<22> 17: fsb/ASrf 31: nADoutLE1 - 4: A_FSB<23> 18: fsb/VPA 32: nAS_FSB - 5: SW<1> 19: iobm/BGr0 33: nAS_IOB - 6: cnt/RefCnt<0> 20: iobm/BGr1 34: nAoutOE - 7: cnt/RefCnt<1> 21: iobm/IOBERR 35: nUDS_FSB - 8: cnt/RefCnt<2> 22: iobm/IOS_FSM_FFd2 36: nWE_FSB - 9: cnt/RefCnt<3> 23: iobm/IOS_FSM_FFd3 37: ram/Once - 10: cnt/RefCnt<4> 24: iobs/IOACTr 38: ram/RS_FSM_FFd1 - 11: cnt/RefCnt<5> 25: iobs/IORW0 39: ram/RS_FSM_FFd2 - 12: cnt/RefCnt<6> 26: iobs/IOReady 40: ram/RS_FSM_FFd3 - 13: cnt/RefCnt<7> 27: iobs/IOU1 41: ram/RefRAS - 14: cnt/RefDone 28: iobs/Once - -Signal 1 2 3 4 5 FB -Name 0----+----0----+----0----+----0----+----0----+----0 Inputs -iobs/Clear1 ............................XXX................... 3 -nAoutOE ..................XX............XX................ 4 -iobs/ALE0 ............................XX.................... 2 -cnt/RefCnt<7> .....XXXXXXX...................................... 7 -nDoutOE .....................XX.X......................... 3 -nDinOE XXXXX..........................X...X.............. 7 -cnt/RefCnt<6> .....XXXXXX....................................... 6 -cnt/RefCnt<5> .....XXXXX........................................ 5 -cnt/RefCnt<4> .....XXXX......................................... 4 -cnt/RefCnt<3> .....XXX.......................................... 3 -nVPA_FSB .................X.............X.................. 2 -cnt/RefCnt<2> .....XX........................................... 2 -iobs/PS_FSM_FFd1 .......................X....XX.................... 3 -cnt/RefDone .....XXXXXXXXX..........................X......... 10 -iobs/IOU0 ..........................X.XXX...X............... 5 -cnt/TimeoutA .....XXXXXXX..X.X..............X.................. 10 -iobs/IOReady ................X...X..X.X.X.XXX.................. 8 -ram/RS_FSM_FFd1 .XXX......XXXX.XX..............X....XXXX.......... 14 - 0----+----1----+----2----+----3----+----4----+----5 - 0 0 0 0 0 - *********************************** FB5 *********************************** -Number of function block inputs used/remaining: 39/15 -Number of signals used by logic mapping into function block: 39 -Signal Total Imp Exp Unused Loc Pin Pin Pin -Name Pt Pt Pt Pt # Type Use -(unused) 0 0 /\5 0 FB5_1 (b) (b) -nROMCS 3 0 \/2 0 FB5_2 35 I/O O -ram/RAMDIS2 7 2<- 0 0 FB5_3 (b) (b) -iobs/IOL0 3 0 0 2 FB5_4 (b) (b) -nCAS 1 0 \/1 3 FB5_5 36 I/O O -nOE 1 1<- \/5 0 FB5_6 37 I/O O -iobs/Once 18 13<- 0 0 FB5_7 (b) (b) -(unused) 0 0 /\5 0 FB5_8 39 I/O (b) -RA<4> 2 0 /\3 0 FB5_9 40 I/O O -ram/Once 5 0 0 0 FB5_10 (b) (b) -RA<3> 2 0 \/2 1 FB5_11 41 I/O O -RA<5> 2 2<- \/5 0 FB5_12 42 I/O O -iobs/Load1 15 10<- 0 0 FB5_13 (b) (b) -RA<2> 2 2<- /\5 0 FB5_14 43 I/O O -RA<6> 2 0 /\2 1 FB5_15 46 I/O O -(unused) 0 0 \/4 1 FB5_16 (b) (b) -(unused) 0 0 \/5 0 FB5_17 49 I/O (b) -ram/RASEL 19 14<- 0 0 FB5_18 (b) (b) - -Signals Used by Logic in Function Block - 1: A_FSB<12> 14: A_FSB<4> 27: iobs/PS_FSM_FFd1 - 2: A_FSB<13> 15: A_FSB<5> 28: iobs/PS_FSM_FFd2 - 3: A_FSB<14> 16: A_FSB<6> 29: nADoutLE1 - 4: A_FSB<15> 17: A_FSB<7> 30: nAS_FSB - 5: A_FSB<16> 18: SW<1> 31: nLDS_FSB - 6: A_FSB<17> 19: cnt/RefCnt<5> 32: nWE_FSB - 7: A_FSB<18> 20: cnt/RefCnt<6> 33: ram/BACTr - 8: A_FSB<19> 21: cnt/RefCnt<7> 34: ram/Once - 9: A_FSB<20> 22: cnt/RefDone 35: ram/RAMDIS2 - 10: A_FSB<21> 23: cs/nOverlay1 36: ram/RASEL - 11: A_FSB<22> 24: fsb/ASrf 37: ram/RS_FSM_FFd1 - 12: A_FSB<23> 25: iobs/IOL1 38: ram/RS_FSM_FFd2 - 13: A_FSB<3> 26: iobs/Once 39: ram/RS_FSM_FFd3 + 1: A_FSB<20> 9: cnt/LTimer<2> 17: cnt/TimerTC + 2: A_FSB<21> 10: cnt/LTimer<3> 18: cnt/nRESout + 3: A_FSB<22> 11: cnt/PORS_FSM_FFd1 19: fsb/VPA + 4: A_FSB<23> 12: cnt/PORS_FSM_FFd2 20: iobm/DoutOE + 5: E 13: cnt/Timer<0> 21: nAS_FSB + 6: cnt/LTimer<0> 14: cnt/Timer<1> 22: nAoutOE + 7: cnt/LTimer<13> 15: cnt/Timer<2> 23: nBR_IOB + 8: cnt/LTimer<1> 16: cnt/Timer<3> 24: nWE_FSB Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -nROMCS ........XXXX.....X....X................. 6 -ram/RAMDIS2 .........XXX......XXXXXX.....X...XX.XXX. 15 -iobs/IOL0 ........................X.XXX.X......... 5 -nCAS ...................................X.... 1 -nOE .............................X.X........ 2 -iobs/Once .XX.XXXXXXXX.....X....XX.XXXXX.X........ 19 -RA<4> ..X...........X....................X.... 3 -ram/Once .........XXX..........XX.....X...X..XXX. 10 -RA<3> .X...........X.....................X.... 3 -RA<5> ...X...........X...................X.... 3 -iobs/Load1 .XX.XXXXXXXX.....X....XX.XXXXX.X........ 19 -RA<2> X...........X......................X.... 3 -RA<6> ....X...........X..................X.... 3 -ram/RASEL .........XXX......XXXXXX.....X..XX..XXX. 15 +cnt/nRESout ......X...XX.....X...................... 4 +nAoutOE ..........XX..........X................. 3 +cnt/TimerTC ....X.......XXXX........................ 5 +cnt/Timer<0> ....X.......X...X....................... 3 +nDoutOE ...................X.X.................. 2 +nDinOE XXXX................X..X................ 6 +cnt/PORS_FSM_FFd2 ......X...XX............................ 3 +nRES .................X...................... 1 +cnt/LTimer<0> .....XX.........X....................... 3 +cnt/Timer<3> ....X.......XXXXX....................... 6 +nVPA_FSB ..................X.X................... 2 +cnt/Timer<2> ....X.......XXX.X....................... 5 +cnt/Timer<1> ....X.......XX..X....................... 4 +cnt/RefUrgent ....X.......XXXX........................ 5 +cnt/RefReq ....X.......XXXX........................ 5 +cnt/LTimer<3> .....XXXXX......X....................... 6 +cnt/LTimer<2> .....XXXX.......X....................... 5 +cnt/LTimer<1> .....XXX........X....................... 4 + 0----+----1----+----2----+----3----+----4 + 0 0 0 0 + *********************************** FB5 *********************************** +Number of function block inputs used/remaining: 30/24 +Number of signals used by logic mapping into function block: 30 +Signal Total Imp Exp Unused Loc Pin Pin Pin +Name Pt Pt Pt Pt # Type Use +ram/RASEL 17 12<- 0 0 FB5_1 (b) (b) +nROMCS 2 2<- /\5 0 FB5_2 35 I/O O +ram/RefDone 2 0 /\2 1 FB5_3 (b) (b) +cs/nOverlay1 2 0 0 3 FB5_4 (b) (b) +nCAS 1 0 0 4 FB5_5 36 I/O O +nOE 1 0 \/3 1 FB5_6 37 I/O O +ram/RS_FSM_FFd1 8 3<- 0 0 FB5_7 (b) (b) +cs/nOverlay0 3 0 \/1 1 FB5_8 39 I/O (b) +RA<4> 2 1<- \/4 0 FB5_9 40 I/O O +ram/RAMEN 9 4<- 0 0 FB5_10 (b) (b) +RA<3> 2 0 \/2 1 FB5_11 41 I/O O +RA<5> 2 2<- \/5 0 FB5_12 42 I/O O +ram/RS_FSM_FFd2 10 5<- 0 0 FB5_13 (b) (b) +RA<2> 2 0 \/1 2 FB5_14 43 I/O O +RA<6> 2 1<- \/4 0 FB5_15 46 I/O O +(unused) 0 0 \/5 0 FB5_16 (b) (b) +ram/RAMReady 12 9<- \/2 0 FB5_17 49 I/O (b) +(unused) 0 0 \/5 0 FB5_18 (b) (b) + +Signals Used by Logic in Function Block + 1: A_FSB<12> 11: A_FSB<4> 21: ram/BACTr + 2: A_FSB<13> 12: A_FSB<5> 22: ram/RAMEN + 3: A_FSB<14> 13: A_FSB<6> 23: ram/RASEL + 4: A_FSB<15> 14: A_FSB<7> 24: ram/RS_FSM_FFd1 + 5: A_FSB<16> 15: nRES.PIN 25: ram/RS_FSM_FFd2 + 6: A_FSB<20> 16: cs/nOverlay0 26: ram/RS_FSM_FFd3 + 7: A_FSB<21> 17: cs/nOverlay1 27: ram/RefDone + 8: A_FSB<22> 18: fsb/ASrf 28: ram/RefReq + 9: A_FSB<23> 19: nAS_FSB 29: ram/RefReqSync + 10: A_FSB<3> 20: nWE_FSB 30: ram/RefUrgent + +Signal 1 2 3 4 FB +Name 0----+----0----+----0----+----0----+----0 Inputs +ram/RASEL ......XXX.......XXX.XX.XXX.X.X.......... 13 +nROMCS .....XXXX.......X....................... 5 +ram/RefDone .......................XX.X.X........... 4 +cs/nOverlay1 ...............X.XX..................... 3 +nCAS ......................X................. 1 +nOE ..................XX.................... 2 +ram/RS_FSM_FFd1 ......XXX.......XXX..X.XXX...X.......... 11 +cs/nOverlay0 .....XXXX.....XX.XX..................... 8 +RA<4> ..X........X..........X................. 3 +ram/RAMEN ......XXX.......XXX.XX.XXX.X.X.......... 13 +RA<3> .X........X...........X................. 3 +RA<5> ...X........X.........X................. 3 +ram/RS_FSM_FFd2 ......XXX.......XXX.X..XXX.X.X.......... 12 +RA<2> X........X............X................. 3 +RA<6> ....X........X........X................. 3 +ram/RAMReady ......XXX.......XXX.XX.XXX.X.X.......... 13 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB6 *********************************** @@ -540,13 +549,13 @@ Number of function block inputs used/remaining: 34/20 Number of signals used by logic mapping into function block: 34 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -iobm/ETACK 1 0 /\4 0 FB6_1 (b) (b) +iobm/ETACK 1 0 0 4 FB6_1 (b) (b) nVMA_IOB 3 0 0 2 FB6_2 74 I/O O -iobm/IOS_FSM_FFd3 3 0 0 2 FB6_3 (b) (b) -iobm/ES<3> 3 0 0 2 FB6_4 (b) (b) -iobm/ES<1> 3 0 0 2 FB6_5 76 I/O I -iobm/ES<0> 3 0 0 2 FB6_6 77 I/O I -iobm/ALE0 3 0 0 2 FB6_7 (b) (b) +iobm/DoutOE 2 0 0 3 FB6_3 (b) (b) +iobm/ALE0 2 0 0 3 FB6_4 (b) (b) +iobm/ES<3> 3 0 0 2 FB6_5 76 I/O I +iobm/ES<1> 3 0 0 2 FB6_6 77 I/O I +iobm/ES<0> 3 0 0 2 FB6_7 (b) (b) iobm/ES<4> 4 0 0 1 FB6_8 78 I/O I nLDS_IOB 4 0 0 1 FB6_9 79 I/O O iobm/IOS_FSM_FFd2 5 0 0 0 FB6_10 (b) (b) @@ -555,12 +564,12 @@ nAS_IOB 3 0 0 2 FB6_12 81 I/O O iobm/ES<2> 5 0 0 0 FB6_13 (b) (b) nADoutLE1 2 0 0 3 FB6_14 82 I/O O nADoutLE0 1 0 \/1 3 FB6_15 85 I/O O -iobm/IOACT 7 2<- 0 0 FB6_16 (b) (b) -nDinLE 1 0 /\1 3 FB6_17 86 I/O O +iobm/IOACT 6 1<- 0 0 FB6_16 (b) (b) +nDinLE 1 0 \/4 0 FB6_17 86 I/O O iobm/IOBERR 9 4<- 0 0 FB6_18 (b) (b) Signals Used by Logic in Function Block - 1: CLK_IOB 13: iobm/Er 24: iobm/VPArr + 1: C8M 13: iobm/Er 24: iobm/VPArr 2: iobm/ALE0 14: iobm/Er2 25: iobs/ALE0 3: iobm/BERRrf 15: iobm/IOACT 26: iobs/Clear1 4: iobm/BERRrr 16: iobm/IOBERR 27: iobs/IOL0 @@ -577,11 +586,11 @@ Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs iobm/ETACK ......XXXXX......................X...... 6 nVMA_IOB ......XXXXX...X.......XX.......X.X...... 10 -iobm/IOS_FSM_FFd3 X...............XXXX...........X........ 6 +iobm/DoutOE ..................XX.......X............ 3 +iobm/ALE0 ................XXXX.................... 4 iobm/ES<3> ......XXXX..XX.......................... 6 iobm/ES<1> ......XX....XX.......................... 4 iobm/ES<0> ......XXXXX.XX.......................... 7 -iobm/ALE0 ................XXXX...........X........ 5 iobm/ES<4> ......XXXXX.XX.......................... 7 nLDS_IOB .................XXX......XX...X........ 6 iobm/IOS_FSM_FFd2 X.XXXX.....X.....XXXXX.................. 11 @@ -590,120 +599,121 @@ nAS_IOB .................XXX...........X........ 4 iobm/ES<2> ......XXXXX.XX.......................... 7 nADoutLE1 .........................X...XX......... 3 nADoutLE0 .X......................X............... 2 -iobm/IOACT X.XXXX.....X....XXXXXX.........X........ 13 +iobm/IOACT X.XXXX.....X....XXXXXX.................. 12 nDinLE .................XX..................... 2 iobm/IOBERR X.XXXX.....X...X.XXXXX..........X....... 13 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB7 *********************************** +Number of function block inputs used/remaining: 30/24 +Number of signals used by logic mapping into function block: 30 +Signal Total Imp Exp Unused Loc Pin Pin Pin +Name Pt Pt Pt Pt # Type Use +cnt/LTimer<13> 3 0 0 2 FB7_1 (b) (b) +RA<1> 2 0 0 3 FB7_2 50 I/O O +cnt/LTimer<9> 4 0 0 1 FB7_3 (b) (b) +cnt/LTimer<8> 4 0 0 1 FB7_4 (b) (b) +RA<7> 2 0 0 3 FB7_5 52 I/O O +RA<0> 2 0 0 3 FB7_6 53 I/O O +cnt/LTimer<7> 4 0 \/1 0 FB7_7 (b) (b) +RA<8> 7 2<- 0 0 FB7_8 54 I/O O +RA<10> 1 0 /\1 3 FB7_9 55 I/O O +cnt/LTimer<6> 4 0 0 1 FB7_10 (b) (b) +RA<9> 2 0 0 3 FB7_11 56 I/O O +C25MEN 0 0 0 5 FB7_12 58 I/O O +cnt/LTimer<5> 4 0 0 1 FB7_13 (b) (b) +C20MEN 0 0 0 5 FB7_14 59 I/O O +cnt/LTimer<4> 4 0 0 1 FB7_15 60 I/O (b) +cnt/LTimer<12> 4 0 0 1 FB7_16 (b) (b) +cnt/LTimer<11> 4 0 0 1 FB7_17 61 I/O (b) +cnt/LTimer<10> 4 0 0 1 FB7_18 (b) (b) + +Signals Used by Logic in Function Block + 1: A_FSB<10> 11: A_FSB<2> 21: cnt/LTimer<3> + 2: A_FSB<11> 12: A_FSB<8> 22: cnt/LTimer<4> + 3: A_FSB<17> 13: A_FSB<9> 23: cnt/LTimer<5> + 4: A_FSB<18> 14: cnt/LTimer<0> 24: cnt/LTimer<6> + 5: A_FSB<19> 15: cnt/LTimer<10> 25: cnt/LTimer<7> + 6: A_FSB<1> 16: cnt/LTimer<11> 26: cnt/LTimer<8> + 7: A_FSB<20> 17: cnt/LTimer<12> 27: cnt/LTimer<9> + 8: A_FSB<21> 18: cnt/LTimer<13> 28: cnt/TimerTC + 9: A_FSB<22> 19: cnt/LTimer<1> 29: cs/nOverlay1 + 10: A_FSB<23> 20: cnt/LTimer<2> 30: ram/RASEL + +Signal 1 2 3 4 FB +Name 0----+----0----+----0----+----0----+----0 Inputs +cnt/LTimer<13> .............XXXXXXXXXXXXXXX............ 15 +RA<1> .X........X..................X.......... 3 +cnt/LTimer<9> .............X...XXXXXXXXXXX............ 12 +cnt/LTimer<8> .............X...XXXXXXXXX.X............ 11 +RA<7> ..X........X.................X.......... 3 +RA<0> X....X.......................X.......... 3 +cnt/LTimer<7> .............X...XXXXXXXX..X............ 10 +RA<8> ...X...XXX..X...............XX.......... 7 +RA<10> .......X................................ 1 +cnt/LTimer<6> .............X...XXXXXXX...X............ 9 +RA<9> ....X.X......................X.......... 3 +C25MEN ........................................ 0 +cnt/LTimer<5> .............X...XXXXXX....X............ 8 +C20MEN ........................................ 0 +cnt/LTimer<4> .............X...XXXXX.....X............ 7 +cnt/LTimer<12> .............XXXXXXXXXXXXXXX............ 15 +cnt/LTimer<11> .............XXX.XXXXXXXXXXX............ 14 +cnt/LTimer<10> .............XX..XXXXXXXXXXX............ 13 + 0----+----1----+----2----+----3----+----4 + 0 0 0 0 + *********************************** FB8 *********************************** Number of function block inputs used/remaining: 34/20 Number of signals used by logic mapping into function block: 34 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -(unused) 0 0 /\5 0 FB7_1 (b) (b) -RA<1> 2 0 /\2 1 FB7_2 50 I/O O -(unused) 0 0 \/5 0 FB7_3 (b) (b) -ram/RS_FSM_FFd3 11 6<- 0 0 FB7_4 (b) (b) -RA<7> 2 0 /\1 2 FB7_5 52 I/O O -RA<0> 2 0 0 3 FB7_6 53 I/O O -cnt/TimeoutBPre 3 0 \/2 0 FB7_7 (b) (b) -RA<8> 7 2<- 0 0 FB7_8 54 I/O O -RA<10> 1 0 \/4 0 FB7_9 55 I/O O -ram/RS_FSM_FFd2 14 9<- 0 0 FB7_10 (b) (b) -RA<9> 2 2<- /\5 0 FB7_11 56 I/O O -CLK25EN 1 0 /\2 2 FB7_12 58 I/O O -cnt/TimeoutB 3 0 \/1 1 FB7_13 (b) (b) -CLK20EN 1 1<- \/5 0 FB7_14 59 I/O O -ram/RAMReady 15 10<- 0 0 FB7_15 60 I/O I -(unused) 0 0 /\5 0 FB7_16 (b) (b) -(unused) 0 0 \/5 0 FB7_17 61 I/O I -ram/RAMDIS1 17 12<- 0 0 FB7_18 (b) (b) - -Signals Used by Logic in Function Block - 1: A_FSB<10> 13: A_FSB<9> 24: cnt/TimeoutB - 2: A_FSB<11> 14: SW<0> 25: cnt/TimeoutBPre - 3: A_FSB<17> 15: cnt/RefCnt<0> 26: cs/nOverlay1 - 4: A_FSB<18> 16: cnt/RefCnt<1> 27: fsb/ASrf - 5: A_FSB<19> 17: cnt/RefCnt<2> 28: nAS_FSB - 6: A_FSB<1> 18: cnt/RefCnt<3> 29: ram/BACTr - 7: A_FSB<20> 19: cnt/RefCnt<4> 30: ram/Once - 8: A_FSB<21> 20: cnt/RefCnt<5> 31: ram/RASEL - 9: A_FSB<22> 21: cnt/RefCnt<6> 32: ram/RS_FSM_FFd1 - 10: A_FSB<23> 22: cnt/RefCnt<7> 33: ram/RS_FSM_FFd2 - 11: A_FSB<2> 23: cnt/RefDone 34: ram/RS_FSM_FFd3 - 12: A_FSB<8> - -Signal 1 2 3 4 FB -Name 0----+----0----+----0----+----0----+----0 Inputs -RA<1> .X........X...................X......... 3 -ram/RS_FSM_FFd3 .......XXX.........XXXX..XXX.X.XXX...... 14 -RA<7> ..X........X..................X......... 3 -RA<0> X....X........................X......... 3 -cnt/TimeoutBPre ..............XXXXXXXX..X.XX............ 11 -RA<8> ...X...XXX..X............X....X......... 7 -RA<10> .......X................................ 1 -ram/RS_FSM_FFd2 .......XXX.........XXXX..XXXX..XXX...... 14 -RA<9> ....X.X.......................X......... 3 -CLK25EN .............X.......................... 1 -cnt/TimeoutB ..............XXXXXXXX.XX.XX............ 12 -CLK20EN .............X.......................... 1 -ram/RAMReady .......XXX.........XXXX..XXXXX.XXX...... 15 -ram/RAMDIS1 .......XXX.........XXXX..XXXXX.XXX...... 15 - 0----+----1----+----2----+----3----+----4 - 0 0 0 0 - *********************************** FB8 *********************************** -Number of function block inputs used/remaining: 38/16 -Number of signals used by logic mapping into function block: 38 -Signal Total Imp Exp Unused Loc Pin Pin Pin -Name Pt Pt Pt Pt # Type Use -(unused) 0 0 /\5 0 FB8_1 (b) (b) -RA<11> 1 0 /\4 0 FB8_2 63 I/O O -(unused) 0 0 \/5 0 FB8_3 (b) (b) -iobs/PS_FSM_FFd2 15 10<- 0 0 FB8_4 (b) (b) -nRAS 3 3<- /\5 0 FB8_5 64 I/O O -nRAMLWE 1 0 /\3 1 FB8_6 65 I/O O -RESDone 1 0 \/1 3 FB8_7 (b) (b) -nRAMUWE 1 1<- \/5 0 FB8_8 66 I/O O -iobs/IOREQ 15 10<- 0 0 FB8_9 67 I/O (b) -(unused) 0 0 /\5 0 FB8_10 (b) (b) -(unused) 0 0 \/1 4 FB8_11 68 I/O (b) -nBERR_FSB 3 1<- \/3 0 FB8_12 70 I/O O +cnt/PORS_FSM_FFd1 1 0 /\2 2 FB8_1 (b) (b) +RA<11> 1 0 \/4 0 FB8_2 63 I/O O +ram/RS_FSM_FFd3 9 4<- 0 0 FB8_3 (b) (b) +(unused) 0 0 0 5 FB8_4 (b) +nRAS 3 0 \/1 1 FB8_5 64 I/O O +nRAMLWE 1 1<- \/5 0 FB8_6 65 I/O O +iobs/PS_FSM_FFd2 14 9<- 0 0 FB8_7 (b) (b) +nRAMUWE 1 0 /\4 0 FB8_8 66 I/O O +(unused) 0 0 \/4 1 FB8_9 67 I/O (b) +iobs/Load1 14 9<- 0 0 FB8_10 (b) (b) +(unused) 0 0 /\5 0 FB8_11 68 I/O (b) +nBERR_FSB 4 0 \/1 0 FB8_12 70 I/O O (unused) 0 0 \/5 0 FB8_13 (b) (b) -iobs/IORW1 17 12<- 0 0 FB8_14 71 I/O (b) -nBR_IOB 1 0 /\4 0 FB8_15 72 I/O O -iobs/BERR 4 0 0 1 FB8_16 (b) (b) -(unused) 0 0 \/5 0 FB8_17 73 I/O I -iobs/IORW0 19 14<- 0 0 FB8_18 (b) (b) +iobs/IOREQ 14 9<- 0 0 FB8_14 71 I/O (b) +nBR_IOB 2 0 /\3 0 FB8_15 72 I/O O +(unused) 0 0 \/5 0 FB8_16 (b) (b) +iobs/Once 17 12<- 0 0 FB8_17 73 I/O I +(unused) 0 0 /\5 0 FB8_18 (b) (b) Signals Used by Logic in Function Block - 1: A_FSB<13> 14: RESr0 27: iobs/IORW1 - 2: A_FSB<14> 15: RESr1 28: iobs/Once - 3: A_FSB<16> 16: RESr2 29: iobs/PS_FSM_FFd1 - 4: A_FSB<17> 17: SW<1> 30: iobs/PS_FSM_FFd2 - 5: A_FSB<18> 18: cnt/TimeoutB 31: nADoutLE1 - 6: A_FSB<19> 19: cs/nOverlay1 32: nAS_FSB - 7: A_FSB<20> 20: fsb/ASrf 33: nLDS_FSB - 8: A_FSB<21> 21: fsb/BERR0r 34: nUDS_FSB - 9: A_FSB<22> 22: fsb/BERR1r 35: nWE_FSB - 10: A_FSB<23> 23: iobm/IOBERR 36: ram/RAMDIS1 - 11: IPL2r0 24: iobs/BERR 37: ram/RAMDIS2 - 12: IPL2r1 25: iobs/IOACTr 38: ram/RefRAS - 13: RESDone 26: iobs/IORW0 + 1: A_FSB<13> 13: cnt/PORS_FSM_FFd1 24: nBERR_FSB + 2: A_FSB<14> 14: cnt/PORS_FSM_FFd2 25: nBR_IOB + 3: A_FSB<16> 15: cs/nOverlay1 26: nLDS_FSB + 4: A_FSB<17> 16: fsb/ASrf 27: nUDS_FSB + 5: A_FSB<18> 17: iobm/IOBERR 28: nWE_FSB + 6: A_FSB<19> 18: iobs/IOACTr 29: ram/RAMEN + 7: A_FSB<20> 19: iobs/Once 30: ram/RS_FSM_FFd1 + 8: A_FSB<21> 20: iobs/PS_FSM_FFd1 31: ram/RS_FSM_FFd2 + 9: A_FSB<22> 21: iobs/PS_FSM_FFd2 32: ram/RS_FSM_FFd3 + 10: A_FSB<23> 22: nADoutLE1 33: ram/RefRAS + 11: cnt/IPL2r 23: nAS_FSB 34: ram/RefUrgent + 12: cnt/LTimer<13> Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs +cnt/PORS_FSM_FFd1 ..........XXXX.......................... 4 RA<11> .....X.................................. 1 -iobs/PS_FSM_FFd2 XXXXXXXXXX......X.XX....X..XXXXX..X..... 20 -nRAS .......XXX........X............X...XXX.. 8 -nRAMLWE ...............................XX.XXX... 5 -RESDone .............XXX........................ 3 -nRAMUWE ...............................X.XXXX... 5 -iobs/IOREQ XXXXXXXXXX......X.XX....X..XXXXX..X..... 20 -nBERR_FSB ......XXXX.......X..XX.X.......X........ 9 -iobs/IORW1 XXXXXXXXXX......X.XX......XXXXXX..X..... 20 -nBR_IOB ..........XXXXXX........................ 6 -iobs/BERR ...................X..XXX..X.XXX........ 8 -iobs/IORW0 XXXXXXXXXX......X.XX.....XXXXXXX..X..... 21 +ram/RS_FSM_FFd3 .......XXX....XX......X.....XXXX.X...... 11 +nRAS .......XXX....X.......X.....X...X....... 7 +nRAMLWE ......................X..X.XX........... 4 +iobs/PS_FSM_FFd2 XXXXXXXXXX....XX.XXXXXX....X............ 19 +nRAMUWE ......................X...XXX........... 4 +iobs/Load1 XXXXXXXXXX....XX..XXXXX....X............ 18 +nBERR_FSB ...............XXXX.XXXX................ 8 +iobs/IOREQ XXXXXXXXXX....XX.XXXXXX....X............ 19 +nBR_IOB ..........X.XX..........X............... 4 +iobs/Once XXXXXXXXXX....XX..XXXXX....X............ 18 0----+----1----+----2----+----3----+----4 0 0 0 0 ******************************* Equations ******************************** @@ -711,13 +721,10 @@ iobs/IORW0 XXXXXXXXXX......X.XX.....XXXXXXX..X..... 21 ********** Mapped Logic ********** -assign $OpTx$FX_DC$591 = (nAS_FSB && !fsb/ASrf); +assign C20MEN = 1'b1; -assign CLK20EN = SW[0]; - - -assign CLK25EN = !SW[0]; +assign C25MEN = 1'b1; @@ -748,28 +755,6 @@ assign CLK25EN = !SW[0]; - - - - - - - - - - - - - - - - - - - -FDCPE FDCPE_IPL2r0 (IPL2r0,!nIPL2,CLK_FSB,1'b0,1'b0); - -FDCPE FDCPE_IPL2r1 (IPL2r1,IPL2r0,CLK_FSB,1'b0,1'b0); assign RA[0] = ((A_FSB[10] && !ram/RASEL) @@ -788,16 +773,16 @@ assign RA[3] = ((A_FSB[13] && !ram/RASEL) || (ram/RASEL && A_FSB[4])); -assign RA[4] = ((A_FSB[14] && !ram/RASEL) - || (ram/RASEL && A_FSB[5])); +assign RA[4] = ((ram/RASEL && A_FSB[5]) + || (A_FSB[14] && !ram/RASEL)); assign RA[5] = ((A_FSB[15] && !ram/RASEL) || (ram/RASEL && A_FSB[6])); -assign RA[6] = ((A_FSB[16] && !ram/RASEL) - || (ram/RASEL && A_FSB[7])); +assign RA[6] = ((ram/RASEL && A_FSB[7]) + || (A_FSB[16] && !ram/RASEL)); assign RA[7] = ((A_FSB[8] && ram/RASEL) @@ -824,235 +809,282 @@ assign RA[10] = A_FSB[21]; assign RA[11] = A_FSB[19]; -FDCPE FDCPE_RESDone (RESDone,1'b1,CLK_FSB,1'b0,1'b0,RESDone_CE); -assign RESDone_CE = (!RESr0 && !RESr1 && RESr2); +FDCPE FDCPE_cnt/IPL2r (cnt/IPL2r,!nIPL2,!C8M,1'b0,1'b0); -FDCPE FDCPE_RESr0 (RESr0,!nRES,CLK_FSB,1'b0,1'b0); +FTCPE FTCPE_cnt/LTimer0 (cnt/LTimer[0],cnt/LTimer_T[0],!C8M,1'b0,1'b0,cnt/TimerTC); +assign cnt/LTimer_T[0] = (!cnt/LTimer[0] && cnt/LTimer[13] && cnt/TimerTC); -FDCPE FDCPE_RESr1 (RESr1,RESr0,CLK_FSB,1'b0,1'b0); +FDCPE FDCPE_cnt/LTimer1 (cnt/LTimer[1],cnt/LTimer_D[1],!C8M,1'b0,1'b0,cnt/TimerTC); +assign cnt/LTimer_D[1] = ((cnt/LTimer[0] && cnt/LTimer[1]) + || (!cnt/LTimer[0] && !cnt/LTimer[1]) + || (cnt/LTimer[13] && cnt/TimerTC)); -FDCPE FDCPE_RESr2 (RESr2,RESr1,CLK_FSB,1'b0,1'b0); +FTCPE FTCPE_cnt/LTimer2 (cnt/LTimer[2],cnt/LTimer_T[2],!C8M,1'b0,1'b0,cnt/TimerTC); +assign cnt/LTimer_T[2] = ((cnt/LTimer[0] && !cnt/LTimer[13] && cnt/LTimer[1]) + || (cnt/LTimer[0] && cnt/LTimer[1] && !cnt/TimerTC) + || (cnt/LTimer[13] && cnt/LTimer[2] && cnt/TimerTC)); -FTCPE FTCPE_cnt/RefCnt0 (cnt/RefCnt[0],1'b1,CLK_FSB,1'b0,1'b0); +FTCPE FTCPE_cnt/LTimer3 (cnt/LTimer[3],cnt/LTimer_T[3],!C8M,1'b0,1'b0,cnt/TimerTC); +assign cnt/LTimer_T[3] = ((cnt/LTimer[13] && cnt/LTimer[3] && cnt/TimerTC) + || (cnt/LTimer[0] && !cnt/LTimer[13] && cnt/LTimer[1] && + cnt/LTimer[2]) + || (cnt/LTimer[0] && cnt/LTimer[1] && cnt/LTimer[2] && + !cnt/TimerTC)); -FTCPE FTCPE_cnt/RefCnt1 (cnt/RefCnt[1],cnt/RefCnt[0],CLK_FSB,1'b0,1'b0); +FTCPE FTCPE_cnt/LTimer4 (cnt/LTimer[4],cnt/LTimer_T[4],!C8M,1'b0,1'b0,cnt/TimerTC); +assign cnt/LTimer_T[4] = ((cnt/LTimer[13] && cnt/LTimer[4] && cnt/TimerTC) + || (cnt/LTimer[0] && !cnt/LTimer[13] && cnt/LTimer[1] && + cnt/LTimer[2] && cnt/LTimer[3]) + || (cnt/LTimer[0] && cnt/LTimer[1] && cnt/LTimer[2] && + cnt/LTimer[3] && !cnt/TimerTC)); -FTCPE FTCPE_cnt/RefCnt2 (cnt/RefCnt[2],cnt/RefCnt_T[2],CLK_FSB,1'b0,1'b0); -assign cnt/RefCnt_T[2] = (cnt/RefCnt[0] && cnt/RefCnt[1]); +FTCPE FTCPE_cnt/LTimer5 (cnt/LTimer[5],cnt/LTimer_T[5],!C8M,1'b0,1'b0,cnt/TimerTC); +assign cnt/LTimer_T[5] = ((cnt/LTimer[13] && cnt/LTimer[5] && cnt/TimerTC) + || (cnt/LTimer[0] && !cnt/LTimer[13] && cnt/LTimer[1] && + cnt/LTimer[2] && cnt/LTimer[3] && cnt/LTimer[4]) + || (cnt/LTimer[0] && cnt/LTimer[1] && cnt/LTimer[2] && + cnt/LTimer[3] && cnt/LTimer[4] && !cnt/TimerTC)); -FTCPE FTCPE_cnt/RefCnt3 (cnt/RefCnt[3],cnt/RefCnt_T[3],CLK_FSB,1'b0,1'b0); -assign cnt/RefCnt_T[3] = (cnt/RefCnt[0] && cnt/RefCnt[1] && cnt/RefCnt[2]); +FTCPE FTCPE_cnt/LTimer6 (cnt/LTimer[6],cnt/LTimer_T[6],!C8M,1'b0,1'b0,cnt/TimerTC); +assign cnt/LTimer_T[6] = ((cnt/LTimer[13] && cnt/LTimer[6] && cnt/TimerTC) + || (cnt/LTimer[0] && !cnt/LTimer[13] && cnt/LTimer[1] && + cnt/LTimer[2] && cnt/LTimer[3] && cnt/LTimer[5] && cnt/LTimer[4]) + || (cnt/LTimer[0] && cnt/LTimer[1] && cnt/LTimer[2] && + cnt/LTimer[3] && cnt/LTimer[5] && cnt/LTimer[4] && !cnt/TimerTC)); -FTCPE FTCPE_cnt/RefCnt4 (cnt/RefCnt[4],cnt/RefCnt_T[4],CLK_FSB,1'b0,1'b0); -assign cnt/RefCnt_T[4] = (cnt/RefCnt[0] && cnt/RefCnt[1] && cnt/RefCnt[3] && - cnt/RefCnt[2]); +FTCPE FTCPE_cnt/LTimer7 (cnt/LTimer[7],cnt/LTimer_T[7],!C8M,1'b0,1'b0,cnt/TimerTC); +assign cnt/LTimer_T[7] = ((cnt/LTimer[13] && cnt/LTimer[7] && cnt/TimerTC) + || (cnt/LTimer[0] && !cnt/LTimer[13] && cnt/LTimer[1] && + cnt/LTimer[2] && cnt/LTimer[3] && cnt/LTimer[5] && cnt/LTimer[4] && + cnt/LTimer[6]) + || (cnt/LTimer[0] && cnt/LTimer[1] && cnt/LTimer[2] && + cnt/LTimer[3] && cnt/LTimer[5] && cnt/LTimer[4] && cnt/LTimer[6] && + !cnt/TimerTC)); -FTCPE FTCPE_cnt/RefCnt5 (cnt/RefCnt[5],cnt/RefCnt_T[5],CLK_FSB,1'b0,1'b0); -assign cnt/RefCnt_T[5] = (cnt/RefCnt[0] && cnt/RefCnt[1] && cnt/RefCnt[3] && - cnt/RefCnt[2] && cnt/RefCnt[4]); +FTCPE FTCPE_cnt/LTimer8 (cnt/LTimer[8],cnt/LTimer_T[8],!C8M,1'b0,1'b0,cnt/TimerTC); +assign cnt/LTimer_T[8] = ((cnt/LTimer[13] && cnt/LTimer[8] && cnt/TimerTC) + || (cnt/LTimer[0] && !cnt/LTimer[13] && cnt/LTimer[1] && + cnt/LTimer[2] && cnt/LTimer[3] && cnt/LTimer[5] && cnt/LTimer[4] && + cnt/LTimer[6] && cnt/LTimer[7]) + || (cnt/LTimer[0] && cnt/LTimer[1] && cnt/LTimer[2] && + cnt/LTimer[3] && cnt/LTimer[5] && cnt/LTimer[4] && cnt/LTimer[6] && + cnt/LTimer[7] && !cnt/TimerTC)); -FTCPE FTCPE_cnt/RefCnt6 (cnt/RefCnt[6],cnt/RefCnt_T[6],CLK_FSB,1'b0,1'b0); -assign cnt/RefCnt_T[6] = (cnt/RefCnt[5] && cnt/RefCnt[0] && cnt/RefCnt[1] && - cnt/RefCnt[3] && cnt/RefCnt[2] && cnt/RefCnt[4]); +FTCPE FTCPE_cnt/LTimer9 (cnt/LTimer[9],cnt/LTimer_T[9],!C8M,1'b0,1'b0,cnt/TimerTC); +assign cnt/LTimer_T[9] = ((cnt/LTimer[13] && cnt/LTimer[9] && cnt/TimerTC) + || (cnt/LTimer[0] && !cnt/LTimer[13] && cnt/LTimer[1] && + cnt/LTimer[2] && cnt/LTimer[3] && cnt/LTimer[5] && cnt/LTimer[4] && + cnt/LTimer[6] && cnt/LTimer[7] && cnt/LTimer[8]) + || (cnt/LTimer[0] && cnt/LTimer[1] && cnt/LTimer[2] && + cnt/LTimer[3] && cnt/LTimer[5] && cnt/LTimer[4] && cnt/LTimer[6] && + cnt/LTimer[7] && cnt/LTimer[8] && !cnt/TimerTC)); -FTCPE FTCPE_cnt/RefCnt7 (cnt/RefCnt[7],cnt/RefCnt_T[7],CLK_FSB,1'b0,1'b0); -assign cnt/RefCnt_T[7] = (cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[0] && - cnt/RefCnt[1] && cnt/RefCnt[3] && cnt/RefCnt[2] && cnt/RefCnt[4]); +FTCPE FTCPE_cnt/LTimer10 (cnt/LTimer[10],cnt/LTimer_T[10],!C8M,1'b0,1'b0,cnt/TimerTC); +assign cnt/LTimer_T[10] = ((cnt/LTimer[13] && cnt/LTimer[10] && cnt/TimerTC) + || (cnt/LTimer[0] && !cnt/LTimer[13] && cnt/LTimer[1] && + cnt/LTimer[2] && cnt/LTimer[3] && cnt/LTimer[5] && cnt/LTimer[4] && + cnt/LTimer[6] && cnt/LTimer[7] && cnt/LTimer[9] && cnt/LTimer[8]) + || (cnt/LTimer[0] && cnt/LTimer[1] && cnt/LTimer[2] && + cnt/LTimer[3] && cnt/LTimer[5] && cnt/LTimer[4] && cnt/LTimer[6] && + cnt/LTimer[7] && cnt/LTimer[9] && cnt/LTimer[8] && !cnt/TimerTC)); -FDCPE FDCPE_cnt/RefDone (cnt/RefDone,cnt/RefDone_D,CLK_FSB,1'b0,1'b0); -assign cnt/RefDone_D = ((!cnt/RefDone && !ram/RefRAS) - || (!cnt/RefCnt[5] && !cnt/RefCnt[6] && !cnt/RefCnt[0] && - !cnt/RefCnt[7] && !cnt/RefCnt[1] && !cnt/RefCnt[3] && !cnt/RefCnt[2] && - !cnt/RefCnt[4])); +FTCPE FTCPE_cnt/LTimer11 (cnt/LTimer[11],cnt/LTimer_T[11],!C8M,1'b0,1'b0,cnt/TimerTC); +assign cnt/LTimer_T[11] = ((cnt/LTimer[13] && cnt/LTimer[11] && cnt/TimerTC) + || (cnt/LTimer[0] && !cnt/LTimer[13] && cnt/LTimer[1] && + cnt/LTimer[2] && cnt/LTimer[3] && cnt/LTimer[5] && cnt/LTimer[4] && + cnt/LTimer[6] && cnt/LTimer[7] && cnt/LTimer[9] && cnt/LTimer[10] && + cnt/LTimer[8]) + || (cnt/LTimer[0] && cnt/LTimer[1] && cnt/LTimer[2] && + cnt/LTimer[3] && cnt/LTimer[5] && cnt/LTimer[4] && cnt/LTimer[6] && + cnt/LTimer[7] && cnt/LTimer[9] && cnt/LTimer[10] && cnt/LTimer[8] && + !cnt/TimerTC)); -FTCPE FTCPE_cnt/TimeoutA (cnt/TimeoutA,cnt/TimeoutA_T,CLK_FSB,1'b0,1'b0); -assign cnt/TimeoutA_T = ((cnt/TimeoutA && nAS_FSB && !fsb/ASrf) - || (!cnt/TimeoutA && !nAS_FSB && !cnt/RefCnt[5] && - !cnt/RefCnt[6] && !cnt/RefCnt[0] && !cnt/RefCnt[1] && !cnt/RefCnt[3] && - !cnt/RefCnt[2] && !cnt/RefCnt[4]) - || (!cnt/TimeoutA && !cnt/RefCnt[5] && !cnt/RefCnt[6] && - !cnt/RefCnt[0] && !cnt/RefCnt[1] && !cnt/RefCnt[3] && !cnt/RefCnt[2] && - !cnt/RefCnt[4] && fsb/ASrf)); +FTCPE FTCPE_cnt/LTimer12 (cnt/LTimer[12],cnt/LTimer_T[12],!C8M,1'b0,1'b0,cnt/TimerTC); +assign cnt/LTimer_T[12] = ((cnt/LTimer[13] && cnt/LTimer[12] && cnt/TimerTC) + || (cnt/LTimer[0] && !cnt/LTimer[13] && cnt/LTimer[1] && + cnt/LTimer[2] && cnt/LTimer[3] && cnt/LTimer[5] && cnt/LTimer[4] && + cnt/LTimer[6] && cnt/LTimer[7] && cnt/LTimer[9] && cnt/LTimer[10] && + cnt/LTimer[11] && cnt/LTimer[8]) + || (cnt/LTimer[0] && cnt/LTimer[1] && cnt/LTimer[2] && + cnt/LTimer[3] && cnt/LTimer[5] && cnt/LTimer[4] && cnt/LTimer[6] && + cnt/LTimer[7] && cnt/LTimer[9] && cnt/LTimer[10] && cnt/LTimer[11] && + cnt/LTimer[8] && !cnt/TimerTC)); -FTCPE FTCPE_cnt/TimeoutB (cnt/TimeoutB,cnt/TimeoutB_T,CLK_FSB,1'b0,1'b0); -assign cnt/TimeoutB_T = ((cnt/TimeoutB && nAS_FSB && !fsb/ASrf) - || (!cnt/TimeoutB && cnt/TimeoutBPre && !nAS_FSB && - !cnt/RefCnt[5] && !cnt/RefCnt[6] && !cnt/RefCnt[0] && !cnt/RefCnt[7] && - !cnt/RefCnt[1] && !cnt/RefCnt[3] && !cnt/RefCnt[2] && !cnt/RefCnt[4]) - || (!cnt/TimeoutB && cnt/TimeoutBPre && !cnt/RefCnt[5] && - !cnt/RefCnt[6] && !cnt/RefCnt[0] && !cnt/RefCnt[7] && !cnt/RefCnt[1] && - !cnt/RefCnt[3] && !cnt/RefCnt[2] && !cnt/RefCnt[4] && fsb/ASrf)); +FTCPE FTCPE_cnt/LTimer13 (cnt/LTimer[13],cnt/LTimer_T[13],!C8M,1'b0,1'b0,cnt/TimerTC); +assign cnt/LTimer_T[13] = ((cnt/LTimer[13] && cnt/TimerTC) + || (cnt/LTimer[0] && cnt/LTimer[1] && cnt/LTimer[2] && + cnt/LTimer[3] && cnt/LTimer[5] && cnt/LTimer[4] && cnt/LTimer[6] && + cnt/LTimer[7] && cnt/LTimer[9] && cnt/LTimer[10] && cnt/LTimer[11] && + cnt/LTimer[8] && cnt/LTimer[12])); -FTCPE FTCPE_cnt/TimeoutBPre (cnt/TimeoutBPre,cnt/TimeoutBPre_T,CLK_FSB,1'b0,1'b0); -assign cnt/TimeoutBPre_T = ((cnt/TimeoutBPre && nAS_FSB && !fsb/ASrf) - || (!cnt/TimeoutBPre && !nAS_FSB && !cnt/RefCnt[5] && - !cnt/RefCnt[6] && !cnt/RefCnt[0] && !cnt/RefCnt[7] && !cnt/RefCnt[1] && - !cnt/RefCnt[3] && !cnt/RefCnt[2] && !cnt/RefCnt[4]) - || (!cnt/TimeoutBPre && !cnt/RefCnt[5] && !cnt/RefCnt[6] && - !cnt/RefCnt[0] && !cnt/RefCnt[7] && !cnt/RefCnt[1] && !cnt/RefCnt[3] && - !cnt/RefCnt[2] && !cnt/RefCnt[4] && fsb/ASrf)); +FTCPE FTCPE_cnt/PORS_FSM_FFd1 (cnt/PORS_FSM_FFd1,cnt/PORS_FSM_FFd1_T,!C8M,1'b0,1'b0); +assign cnt/PORS_FSM_FFd1_T = (cnt/LTimer[13] && !cnt/PORS_FSM_FFd1 && + cnt/PORS_FSM_FFd2 && !cnt/IPL2r); -FTCPE FTCPE_cs/nOverlay0 (cs/nOverlay0,cs/nOverlay0_T,CLK_FSB,!nRES,1'b0); +FDCPE FDCPE_cnt/PORS_FSM_FFd2 (cnt/PORS_FSM_FFd2,cnt/PORS_FSM_FFd2_D,!C8M,1'b0,1'b0); +assign cnt/PORS_FSM_FFd2_D = ((cnt/LTimer[13] && !cnt/PORS_FSM_FFd1) + || (!cnt/LTimer[13] && cnt/PORS_FSM_FFd2)); + +FDCPE FDCPE_cnt/RefReq (cnt/RefReq,cnt/RefReq_D,E,1'b0,1'b0); +assign cnt/RefReq_D = ((cnt/Timer[1] && !cnt/Timer[3]) + || (cnt/Timer[2] && !cnt/Timer[3]) + || (!cnt/Timer[1] && !cnt/Timer[2] && !cnt/Timer[0] && + cnt/Timer[3])); + +FDCPE FDCPE_cnt/RefUrgent (cnt/RefUrgent,cnt/RefUrgent_D,E,1'b0,1'b0); +assign cnt/RefUrgent_D = ((cnt/Timer[1] && cnt/Timer[2] && !cnt/Timer[3]) + || (!cnt/Timer[1] && !cnt/Timer[2] && !cnt/Timer[0] && + cnt/Timer[3])); + +FDCPE FDCPE_cnt/Timer0 (cnt/Timer[0],cnt/Timer_D[0],E,1'b0,1'b0); +assign cnt/Timer_D[0] = (!cnt/TimerTC && !cnt/Timer[0]); + +FDCPE FDCPE_cnt/Timer1 (cnt/Timer[1],cnt/Timer_D[1],E,1'b0,1'b0); +assign cnt/Timer_D[1] = ((!cnt/TimerTC && cnt/Timer[1] && !cnt/Timer[0]) + || (!cnt/TimerTC && !cnt/Timer[1] && cnt/Timer[0])); + +FTCPE FTCPE_cnt/Timer2 (cnt/Timer[2],cnt/Timer_T[2],E,1'b0,1'b0); +assign cnt/Timer_T[2] = ((cnt/TimerTC && cnt/Timer[2]) + || (!cnt/TimerTC && cnt/Timer[1] && cnt/Timer[0])); + +FTCPE FTCPE_cnt/Timer3 (cnt/Timer[3],cnt/Timer_T[3],E,1'b0,1'b0); +assign cnt/Timer_T[3] = ((cnt/TimerTC && cnt/Timer[3]) + || (!cnt/TimerTC && cnt/Timer[1] && cnt/Timer[2] && + cnt/Timer[0])); + +FDCPE FDCPE_cnt/TimerTC (cnt/TimerTC,cnt/TimerTC_D,E,1'b0,1'b0); +assign cnt/TimerTC_D = (!cnt/Timer[1] && !cnt/Timer[2] && !cnt/Timer[0] && + cnt/Timer[3]); + +FDCPE FDCPE_cnt/nRESout (cnt/nRESout,cnt/nRESout_D,!C8M,1'b0,1'b0); +assign cnt/nRESout_D = ((cnt/LTimer[13] && cnt/PORS_FSM_FFd1 && + !cnt/PORS_FSM_FFd2) + || (cnt/PORS_FSM_FFd1 && !cnt/PORS_FSM_FFd2 && + cnt/nRESout)); + +FTCPE FTCPE_cs/nOverlay0 (cs/nOverlay0,cs/nOverlay0_T,FCLK,!nRES.PIN,1'b0); assign cs/nOverlay0_T = ((!A_FSB[23] && A_FSB[22] && !A_FSB[21] && !A_FSB[20] && !cs/nOverlay0 && !nAS_FSB) || (!A_FSB[23] && A_FSB[22] && !A_FSB[21] && !A_FSB[20] && !cs/nOverlay0 && fsb/ASrf)); -FDCPE FDCPE_cs/nOverlay1 (cs/nOverlay1,cs/nOverlay0,CLK_FSB,1'b0,1'b0,cs/nOverlay1_CE); +FDCPE FDCPE_cs/nOverlay1 (cs/nOverlay1,cs/nOverlay0,FCLK,1'b0,1'b0,cs/nOverlay1_CE); assign cs/nOverlay1_CE = (nAS_FSB && !fsb/ASrf); -FDCPE FDCPE_fsb/ASrf (fsb/ASrf,!nAS_FSB,!CLK_FSB,1'b0,1'b0); +FDCPE FDCPE_fsb/ASrf (fsb/ASrf,!nAS_FSB,!FCLK,1'b0,1'b0); -FDCPE FDCPE_fsb/BERR0r (fsb/BERR0r,fsb/BERR0r_D,CLK_FSB,1'b0,1'b0); -assign fsb/BERR0r_D = ((!cnt/TimeoutB && !fsb/BERR0r) - || (nAS_FSB && !fsb/ASrf) - || (!A_FSB[23] && A_FSB[22] && !A_FSB[21] && A_FSB[20] && - !fsb/BERR0r)); - -FDCPE FDCPE_fsb/BERR1r (fsb/BERR1r,fsb/BERR1r_D,CLK_FSB,1'b0,1'b0); -assign fsb/BERR1r_D = ((!iobs/BERR && !fsb/BERR1r) - || (nAS_FSB && !fsb/ASrf)); - -FDCPE FDCPE_fsb/Ready0r (fsb/Ready0r,fsb/Ready0r_D,CLK_FSB,1'b0,1'b0); +FDCPE FDCPE_fsb/Ready0r (fsb/Ready0r,fsb/Ready0r_D,FCLK,1'b0,1'b0); assign fsb/Ready0r_D = ((nAS_FSB && !fsb/ASrf) || (!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && !fsb/Ready0r && !ram/RAMReady) || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && !cs/nOverlay1 && !fsb/Ready0r && !ram/RAMReady)); -FDCPE FDCPE_fsb/Ready1r (fsb/Ready1r,fsb/Ready1r_D,CLK_FSB,1'b0,1'b0); -assign fsb/Ready1r_D = ((cs/nOverlay0.EXP) +FDCPE FDCPE_fsb/Ready1r (fsb/Ready1r,fsb/Ready1r_D,FCLK,1'b0,1'b0); +assign fsb/Ready1r_D = ((A_FSB[13] && A_FSB[22] && A_FSB[20] && A_FSB[19] && + A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && + !fsb/Ready1r && !iobs/IOReady) + || (A_FSB[14] && !A_FSB[22] && A_FSB[21] && A_FSB[20] && + A_FSB[19] && A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && + cs/nOverlay1 && !fsb/Ready1r && !iobs/IOReady && !nADoutLE1) + || (A_FSB[13] && !A_FSB[22] && A_FSB[21] && A_FSB[20] && + A_FSB[19] && A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && + cs/nOverlay1 && !fsb/Ready1r && !iobs/IOReady && !nADoutLE1) + || (nAS_FSB && !fsb/ASrf) || (A_FSB[23] && !fsb/Ready1r && !iobs/IOReady) || (A_FSB[22] && !A_FSB[21] && A_FSB[20] && !fsb/Ready1r && !iobs/IOReady) - || (A_FSB[22] && !A_FSB[21] && !fsb/Ready1r && - !iobs/IOReady && !SW[1]) || (A_FSB[14] && A_FSB[22] && A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && - !fsb/Ready1r && !iobs/IOReady) - || (A_FSB[13] && A_FSB[22] && A_FSB[20] && A_FSB[19] && - A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && - !fsb/Ready1r && !iobs/IOReady) - || (nAS_FSB && !fsb/ASrf)); + !fsb/Ready1r && !iobs/IOReady)); -FDCPE FDCPE_fsb/Ready2r (fsb/Ready2r,fsb/Ready2r_D,CLK_FSB,1'b0,1'b0); -assign fsb/Ready2r_D = ((nAS_FSB && !fsb/ASrf) - || (A_FSB[8] && A_FSB[15] && A_FSB[14] && A_FSB[13] && - A_FSB[12] && A_FSB[11] && A_FSB[10] && !A_FSB[23] && A_FSB[22] && - A_FSB[21] && A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && - A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && !cnt/TimeoutA && - !fsb/Ready2r) - || (A_FSB[8] && A_FSB[15] && A_FSB[14] && A_FSB[13] && - A_FSB[12] && A_FSB[11] && A_FSB[10] && !A_FSB[23] && !A_FSB[22] && - A_FSB[21] && A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && - A_FSB[16] && !nWE_FSB && cs/nOverlay1 && !cnt/TimeoutA && - !fsb/Ready2r) - || (A_FSB[8] && A_FSB[15] && !A_FSB[14] && A_FSB[13] && - !A_FSB[12] && !A_FSB[11] && !A_FSB[10] && !A_FSB[23] && !A_FSB[22] && - A_FSB[21] && A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && - A_FSB[16] && !nWE_FSB && cs/nOverlay1 && !cnt/TimeoutA && - !fsb/Ready2r) - || (A_FSB[9] && A_FSB[15] && A_FSB[14] && A_FSB[13] && - A_FSB[12] && A_FSB[11] && A_FSB[10] && !A_FSB[23] && A_FSB[22] && - A_FSB[21] && A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && - A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && !cnt/TimeoutA && - !fsb/Ready2r) - || (A_FSB[9] && A_FSB[15] && A_FSB[14] && A_FSB[13] && - A_FSB[12] && A_FSB[11] && A_FSB[10] && !A_FSB[23] && !A_FSB[22] && - A_FSB[21] && A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && - A_FSB[16] && !nWE_FSB && cs/nOverlay1 && !cnt/TimeoutA && - !fsb/Ready2r) - || (A_FSB[9] && A_FSB[15] && !A_FSB[14] && A_FSB[13] && - !A_FSB[12] && !A_FSB[11] && !A_FSB[10] && !A_FSB[23] && A_FSB[22] && - A_FSB[21] && A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && - A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && !cnt/TimeoutA && - !fsb/Ready2r) - || (A_FSB[9] && A_FSB[15] && !A_FSB[14] && A_FSB[13] && - !A_FSB[12] && !A_FSB[11] && !A_FSB[10] && !A_FSB[23] && !A_FSB[22] && - A_FSB[21] && A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && - A_FSB[16] && !nWE_FSB && cs/nOverlay1 && !cnt/TimeoutA && - !fsb/Ready2r) - || (A_FSB[8] && A_FSB[15] && !A_FSB[14] && A_FSB[13] && - !A_FSB[12] && !A_FSB[11] && !A_FSB[10] && !A_FSB[23] && A_FSB[22] && - A_FSB[21] && A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && - A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && !cnt/TimeoutA && - !fsb/Ready2r)); - -FDCPE FDCPE_fsb/VPA (fsb/VPA,fsb/VPA_D,CLK_FSB,1'b0,1'b0); -assign fsb/VPA_D = ((EXP15_.EXP) +FDCPE FDCPE_fsb/VPA (fsb/VPA,fsb/VPA_D,FCLK,1'b0,1'b0); +assign fsb/VPA_D = ((EXP21_.EXP) || (!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && - !fsb/Ready0r && fsb/VPA && !ram/RAMReady && !$OpTx$FX_DC$591) - || (A_FSB[22] && !A_FSB[21] && !fsb/Ready1r && fsb/VPA && - !iobs/IOReady && !SW[1] && !$OpTx$FX_DC$591) + !fsb/Ready0r && fsb/VPA && fsb/ASrf && !ram/RAMReady) || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && - !cs/nOverlay1 && !fsb/Ready0r && fsb/VPA && !ram/RAMReady && - !$OpTx$FX_DC$591) + !cs/nOverlay1 && !fsb/Ready0r && fsb/VPA && !nAS_FSB && !ram/RAMReady) + || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && + !cs/nOverlay1 && !fsb/Ready0r && fsb/VPA && fsb/ASrf && !ram/RAMReady) || (A_FSB[14] && A_FSB[22] && A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && - !fsb/Ready1r && fsb/VPA && !iobs/IOReady && !$OpTx$FX_DC$591) + !fsb/Ready1r && fsb/VPA && !iobs/IOReady && !nAS_FSB) || (A_FSB[13] && A_FSB[22] && A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && - !fsb/Ready1r && fsb/VPA && !iobs/IOReady && !$OpTx$FX_DC$591) - || (nROMWE_OBUF.EXP) - || (A_FSB[23] && cnt/TimeoutB && fsb/VPA && - !$OpTx$FX_DC$591) - || (!A_FSB[22] && cnt/TimeoutB && fsb/VPA && - !$OpTx$FX_DC$591) - || (A_FSB[21] && cnt/TimeoutB && fsb/VPA && - !$OpTx$FX_DC$591) + !fsb/Ready1r && fsb/VPA && !iobs/IOReady && !nAS_FSB) + || (A_FSB[14] && A_FSB[22] && A_FSB[20] && A_FSB[19] && + A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && + !fsb/Ready1r && fsb/VPA && !iobs/IOReady && fsb/ASrf) + || (A_FSB[13] && A_FSB[22] && A_FSB[20] && A_FSB[19] && + A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && + !fsb/Ready1r && fsb/VPA && !iobs/IOReady && fsb/ASrf) + || (A_FSB[14] && !A_FSB[22] && A_FSB[21] && A_FSB[20] && + A_FSB[19] && A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && + cs/nOverlay1 && !fsb/Ready1r && fsb/VPA && !iobs/IOReady && !nAS_FSB && + !nADoutLE1) + || (A_FSB[13] && !A_FSB[22] && A_FSB[21] && A_FSB[20] && + A_FSB[19] && A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && + cs/nOverlay1 && !fsb/Ready1r && fsb/VPA && !iobs/IOReady && !nAS_FSB && + !nADoutLE1) + || (A_FSB[13] && !A_FSB[22] && A_FSB[21] && A_FSB[20] && + A_FSB[19] && A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && + cs/nOverlay1 && !fsb/Ready1r && fsb/VPA && !iobs/IOReady && fsb/ASrf && + !nADoutLE1) || (A_FSB[23] && !fsb/Ready1r && fsb/VPA && - !iobs/IOReady && !$OpTx$FX_DC$591) + !iobs/IOReady && !nAS_FSB) + || (A_FSB[23] && !fsb/Ready1r && fsb/VPA && + !iobs/IOReady && fsb/ASrf) + || (!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && + !fsb/Ready0r && fsb/VPA && !nAS_FSB && !ram/RAMReady) || (A_FSB[22] && !A_FSB[21] && A_FSB[20] && !fsb/Ready1r && - fsb/VPA && !iobs/IOReady && !$OpTx$FX_DC$591) - || (iobs/BERR && fsb/VPA && !$OpTx$FX_DC$591) - || (fsb/BERR0r && fsb/VPA && !$OpTx$FX_DC$591) - || (fsb/BERR1r && fsb/VPA && !$OpTx$FX_DC$591) - || (fsb/VPA && !nBR_IOB && !$OpTx$FX_DC$591) - || (!A_FSB[20] && cnt/TimeoutB && fsb/VPA && - !$OpTx$FX_DC$591)); + fsb/VPA && !iobs/IOReady && !nAS_FSB) + || (A_FSB[22] && !A_FSB[21] && A_FSB[20] && !fsb/Ready1r && + fsb/VPA && !iobs/IOReady && fsb/ASrf)); -FDCPE FDCPE_iobm/ALE0 (iobm/ALE0,iobm/ALE0_D,CLK2X_IOB,1'b0,1'b0); -assign iobm/ALE0_D = ((iobm/IOS_FSM_FFd2) - || (iobm/IOS_FSM_FFd3 && !iobm/IOS_FSM_FFd1) - || (!iobm/IOS_FSM_FFd1 && iobm/IOREQr && !nAoutOE)); +FDCPE FDCPE_iobm/ALE0 (iobm/ALE0,iobm/ALE0_D,C16M,1'b0,1'b0); +assign iobm/ALE0_D = ((iobm/IOS_FSM_FFd1 && !iobm/IOS_FSM_FFd2) + || (!iobm/IOS_FSM_FFd3 && !iobm/IOS_FSM_FFd2 && + !iobm/IOREQr)); -FDCPE FDCPE_iobm/BERRrf (iobm/BERRrf,!nBERR_IOB,!CLK2X_IOB,1'b0,1'b0); +FDCPE FDCPE_iobm/BERRrf (iobm/BERRrf,!nBERR_IOB,!C16M,1'b0,1'b0); -FDCPE FDCPE_iobm/BERRrr (iobm/BERRrr,!nBERR_IOB,CLK2X_IOB,1'b0,1'b0); +FDCPE FDCPE_iobm/BERRrr (iobm/BERRrr,!nBERR_IOB,C16M,1'b0,1'b0); -FDCPE FDCPE_iobm/BGr0 (iobm/BGr0,!nBG_IOB,CLK2X_IOB,1'b0,1'b0); +FDCPE FDCPE_iobm/BG (iobm/BG,!nBG_IOB,C16M,1'b0,1'b0,iobm/nASr); -FDCPE FDCPE_iobm/BGr1 (iobm/BGr1,iobm/BGr0,CLK2X_IOB,1'b0,1'b0); +FDCPE FDCPE_iobm/DTACKrf (iobm/DTACKrf,!nDTACK_IOB,!C16M,1'b0,1'b0); -FDCPE FDCPE_iobm/DTACKrf (iobm/DTACKrf,!nDTACK_IOB,!CLK2X_IOB,1'b0,1'b0); +FDCPE FDCPE_iobm/DTACKrr (iobm/DTACKrr,!nDTACK_IOB,C16M,1'b0,1'b0); -FDCPE FDCPE_iobm/DTACKrr (iobm/DTACKrr,!nDTACK_IOB,CLK2X_IOB,1'b0,1'b0); +FDCPE FDCPE_iobm/DoutOE (iobm/DoutOE,iobm/DoutOE_D,C16M,1'b0,1'b0); +assign iobm/DoutOE_D = ((iobs/IORW0 && iobm/IOS_FSM_FFd3) + || (iobs/IORW0 && iobm/IOS_FSM_FFd2)); -FTCPE FTCPE_iobm/ES0 (iobm/ES[0],iobm/ES_T[0],CLK2X_IOB,1'b0,1'b0); +FTCPE FTCPE_iobm/ES0 (iobm/ES[0],iobm/ES_T[0],C16M,1'b0,1'b0); assign iobm/ES_T[0] = ((iobm/ES[0] && !iobm/Er && iobm/Er2) || (!iobm/ES[0] && !iobm/ES[1] && !iobm/ES[2] && !iobm/ES[3] && !iobm/ES[4] && iobm/Er) || (!iobm/ES[0] && !iobm/ES[1] && !iobm/ES[2] && !iobm/ES[3] && !iobm/ES[4] && !iobm/Er2)); -FDCPE FDCPE_iobm/ES1 (iobm/ES[1],iobm/ES_D[1],CLK2X_IOB,1'b0,1'b0); +FDCPE FDCPE_iobm/ES1 (iobm/ES[1],iobm/ES_D[1],C16M,1'b0,1'b0); assign iobm/ES_D[1] = ((iobm/ES[0] && iobm/ES[1]) || (!iobm/ES[0] && !iobm/ES[1]) || (!iobm/Er && iobm/Er2)); -FDCPE FDCPE_iobm/ES2 (iobm/ES[2],iobm/ES_D[2],CLK2X_IOB,1'b0,1'b0); +FDCPE FDCPE_iobm/ES2 (iobm/ES[2],iobm/ES_D[2],C16M,1'b0,1'b0); assign iobm/ES_D[2] = ((!iobm/ES[0] && !iobm/ES[2]) || (!iobm/ES[1] && !iobm/ES[2]) || (!iobm/Er && iobm/Er2) || (iobm/ES[0] && iobm/ES[1] && iobm/ES[2]) || (!iobm/ES[2] && !iobm/ES[3] && iobm/ES[4])); -FTCPE FTCPE_iobm/ES3 (iobm/ES[3],iobm/ES_T[3],CLK2X_IOB,1'b0,1'b0); +FTCPE FTCPE_iobm/ES3 (iobm/ES[3],iobm/ES_T[3],C16M,1'b0,1'b0); assign iobm/ES_T[3] = ((iobm/ES[3] && !iobm/Er && iobm/Er2) || (iobm/ES[0] && iobm/ES[1] && iobm/ES[2] && iobm/Er) || (iobm/ES[0] && iobm/ES[1] && iobm/ES[2] && !iobm/Er2)); -FTCPE FTCPE_iobm/ES4 (iobm/ES[4],iobm/ES_T[4],CLK2X_IOB,1'b0,1'b0); +FTCPE FTCPE_iobm/ES4 (iobm/ES[4],iobm/ES_T[4],C16M,1'b0,1'b0); assign iobm/ES_T[4] = ((iobm/ES[4] && !iobm/Er && iobm/Er2) || (iobm/ES[0] && iobm/ES[1] && iobm/ES[2] && iobm/ES[3] && iobm/Er) @@ -1061,220 +1093,237 @@ assign iobm/ES_T[4] = ((iobm/ES[4] && !iobm/Er && iobm/Er2) || (iobm/ES[0] && iobm/ES[1] && !iobm/ES[2] && !iobm/ES[3] && iobm/ES[4])); -FDCPE FDCPE_iobm/ETACK (iobm/ETACK,iobm/ETACK_D,CLK2X_IOB,1'b0,1'b0); +FDCPE FDCPE_iobm/ETACK (iobm/ETACK,iobm/ETACK_D,C16M,1'b0,1'b0); assign iobm/ETACK_D = (!nVMA_IOB && !iobm/ES[0] && !iobm/ES[1] && !iobm/ES[2] && !iobm/ES[3] && iobm/ES[4]); -FDCPE FDCPE_iobm/Er (iobm/Er,E_IOB,!CLK_IOB,1'b0,1'b0); +FDCPE FDCPE_iobm/Er (iobm/Er,E,!C8M,1'b0,1'b0); -FDCPE FDCPE_iobm/Er2 (iobm/Er2,iobm/Er,CLK2X_IOB,1'b0,1'b0); +FDCPE FDCPE_iobm/Er2 (iobm/Er2,iobm/Er,C16M,1'b0,1'b0); -FDCPE FDCPE_iobm/IOACT (iobm/IOACT,iobm/IOACT_D,CLK2X_IOB,1'b0,1'b0); -assign iobm/IOACT_D = ((CLK_IOB && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 && - iobm/DTACKrf && iobm/DTACKrr) - || (CLK_IOB && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 && +FDCPE FDCPE_iobm/IOACT (iobm/IOACT,iobm/IOACT_D,C16M,1'b0,1'b0); +assign iobm/IOACT_D = ((C8M && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 && iobm/RESrf && iobm/RESrr) || (iobm/IOS_FSM_FFd1 && !iobm/IOS_FSM_FFd2) || (!iobm/IOS_FSM_FFd3 && !iobm/IOS_FSM_FFd2 && !iobm/IOREQr) - || (!iobm/IOS_FSM_FFd3 && !iobm/IOS_FSM_FFd2 && nAoutOE) - || (CLK_IOB && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 && + || (C8M && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 && iobm/ETACK) - || (CLK_IOB && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 && - iobm/BERRrf && iobm/BERRrr)); + || (C8M && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 && + iobm/BERRrf && iobm/BERRrr) + || (C8M && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 && + iobm/DTACKrf && iobm/DTACKrr)); -FTCPE FTCPE_iobm/IOBERR (iobm/IOBERR,iobm/IOBERR_T,CLK2X_IOB,1'b0,1'b0); -assign iobm/IOBERR_T = ((CLK_IOB && nBERR_IOB && iobm/IOS_FSM_FFd3 && +FTCPE FTCPE_iobm/IOBERR (iobm/IOBERR,iobm/IOBERR_T,C16M,1'b0,1'b0); +assign iobm/IOBERR_T = ((C8M && nBERR_IOB && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2 && iobm/IOBERR && iobm/RESrf && iobm/RESrr) - || (CLK_IOB && !nBERR_IOB && iobm/IOS_FSM_FFd3 && + || (C8M && !nBERR_IOB && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2 && !iobm/IOBERR && iobm/BERRrf && iobm/BERRrr) - || (CLK_IOB && !nBERR_IOB && iobm/IOS_FSM_FFd3 && + || (C8M && !nBERR_IOB && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2 && !iobm/IOBERR && iobm/DTACKrf && iobm/DTACKrr) - || (CLK_IOB && !nBERR_IOB && iobm/IOS_FSM_FFd3 && + || (C8M && !nBERR_IOB && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2 && !iobm/IOBERR && iobm/RESrf && iobm/RESrr) || (iobm/IOS_FSM_FFd3 && !iobm/IOS_FSM_FFd1 && !iobm/IOS_FSM_FFd2 && iobm/IOBERR) - || (CLK_IOB && nBERR_IOB && iobm/IOS_FSM_FFd3 && + || (C8M && nBERR_IOB && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2 && iobm/IOBERR && iobm/ETACK) - || (CLK_IOB && !nBERR_IOB && iobm/IOS_FSM_FFd3 && + || (C8M && !nBERR_IOB && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2 && !iobm/IOBERR && iobm/ETACK) - || (CLK_IOB && nBERR_IOB && iobm/IOS_FSM_FFd3 && + || (C8M && nBERR_IOB && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2 && iobm/IOBERR && iobm/BERRrf && iobm/BERRrr) - || (CLK_IOB && nBERR_IOB && iobm/IOS_FSM_FFd3 && + || (C8M && nBERR_IOB && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2 && iobm/IOBERR && iobm/DTACKrf && iobm/DTACKrr)); -FDCPE FDCPE_iobm/IOREQr (iobm/IOREQr,iobs/IOREQ,!CLK2X_IOB,1'b0,1'b0); +FDCPE FDCPE_iobm/IOREQr (iobm/IOREQr,iobs/IOREQ,!C16M,1'b0,1'b0); -FDCPE FDCPE_iobm/IOS_FSM_FFd1 (iobm/IOS_FSM_FFd1,iobm/IOS_FSM_FFd1_D,CLK2X_IOB,1'b0,1'b0); +FDCPE FDCPE_iobm/IOS_FSM_FFd1 (iobm/IOS_FSM_FFd1,iobm/IOS_FSM_FFd1_D,C16M,1'b0,1'b0); assign iobm/IOS_FSM_FFd1_D = ((iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1) || (!iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd2)); -FTCPE FTCPE_iobm/IOS_FSM_FFd2 (iobm/IOS_FSM_FFd2,iobm/IOS_FSM_FFd2_T,CLK2X_IOB,1'b0,1'b0); +FTCPE FTCPE_iobm/IOS_FSM_FFd2 (iobm/IOS_FSM_FFd2,iobm/IOS_FSM_FFd2_T,C16M,1'b0,1'b0); assign iobm/IOS_FSM_FFd2_T = ((iobm/IOS_FSM_FFd3 && !iobm/IOS_FSM_FFd1 && !iobm/IOS_FSM_FFd2) - || (CLK_IOB && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 && + || (C8M && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2 && iobm/ETACK) - || (CLK_IOB && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 && + || (C8M && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2 && iobm/BERRrf && iobm/BERRrr) - || (CLK_IOB && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 && + || (C8M && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2 && iobm/DTACKrf && iobm/DTACKrr) - || (CLK_IOB && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 && + || (C8M && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2 && iobm/RESrf && iobm/RESrr)); -FDCPE FDCPE_iobm/IOS_FSM_FFd3 (iobm/IOS_FSM_FFd3,iobm/IOS_FSM_FFd3_D,CLK2X_IOB,1'b0,1'b0); +FDCPE FDCPE_iobm/IOS_FSM_FFd3 (iobm/IOS_FSM_FFd3,iobm/IOS_FSM_FFd3_D,C16M,1'b0,1'b0); assign iobm/IOS_FSM_FFd3_D = ((iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2) || (iobm/IOS_FSM_FFd3 && !iobm/IOS_FSM_FFd1 && !iobm/IOS_FSM_FFd2) - || (!CLK_IOB && !iobm/IOS_FSM_FFd1 && !iobm/IOS_FSM_FFd2 && - iobm/IOREQr && !nAoutOE)); + || (!C8M && iobm/BG && !iobm/IOS_FSM_FFd1 && + !iobm/IOS_FSM_FFd2 && iobm/IOREQr)); -FDCPE FDCPE_iobm/RESrf (iobm/RESrf,!nRES,!CLK2X_IOB,1'b0,1'b0); +FDCPE FDCPE_iobm/RESrf (iobm/RESrf,!nRES.PIN,!C16M,1'b0,1'b0); -FDCPE FDCPE_iobm/RESrr (iobm/RESrr,!nRES,CLK2X_IOB,1'b0,1'b0); +FDCPE FDCPE_iobm/RESrr (iobm/RESrr,!nRES.PIN,C16M,1'b0,1'b0); -FDCPE FDCPE_iobm/VPArf (iobm/VPArf,!nVPA_IOB,!CLK2X_IOB,1'b0,1'b0); +FDCPE FDCPE_iobm/VPArf (iobm/VPArf,!nVPA_IOB,!C16M,1'b0,1'b0); -FDCPE FDCPE_iobm/VPArr (iobm/VPArr,!nVPA_IOB,CLK2X_IOB,1'b0,1'b0); +FDCPE FDCPE_iobm/VPArr (iobm/VPArr,!nVPA_IOB,C16M,1'b0,1'b0); -FDCPE FDCPE_iobs/ALE0 (iobs/ALE0,iobs/ALE0_D,CLK_FSB,1'b0,1'b0); +FDCPE FDCPE_iobm/nASr (iobm/nASr,iobm/nASr_D,C16M,1'b0,1'b0); +assign iobm/nASr_D = (!nAS_IOB && nAoutOE); + +FDCPE FDCPE_iobs/ALE0 (iobs/ALE0,iobs/ALE0_D,FCLK,1'b0,1'b0); assign iobs/ALE0_D = (iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1); -FTCPE FTCPE_iobs/BERR (iobs/BERR,iobs/BERR_T,CLK_FSB,1'b0,1'b0); -assign iobs/BERR_T = ((iobs/BERR && nAS_FSB && !fsb/ASrf) - || (iobs/Once && iobs/BERR && !iobs/PS_FSM_FFd2 && - !iobs/IOACTr && !iobm/IOBERR && nADoutLE1) - || (iobs/Once && !iobs/BERR && !nAS_FSB && - !iobs/PS_FSM_FFd2 && !iobs/IOACTr && iobm/IOBERR && nADoutLE1) - || (iobs/Once && !iobs/BERR && !iobs/PS_FSM_FFd2 && - !iobs/IOACTr && iobm/IOBERR && fsb/ASrf && nADoutLE1)); - -FDCPE FDCPE_iobs/Clear1 (iobs/Clear1,iobs/Clear1_D,CLK_FSB,1'b0,1'b0); +FDCPE FDCPE_iobs/Clear1 (iobs/Clear1,iobs/Clear1_D,FCLK,1'b0,1'b0); assign iobs/Clear1_D = (iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && !nADoutLE1); -FDCPE FDCPE_iobs/IOACTr (iobs/IOACTr,iobm/IOACT,CLK_FSB,1'b0,1'b0); +FDCPE FDCPE_iobs/IOACTr (iobs/IOACTr,iobm/IOACT,FCLK,1'b0,1'b0); -FDCPE FDCPE_iobs/IOL0 (iobs/IOL0,iobs/IOL0_D,CLK_FSB,1'b0,1'b0,iobs/IOL0_CE); +FDCPE FDCPE_iobs/IOL0 (iobs/IOL0,iobs/IOL0_D,FCLK,1'b0,1'b0,iobs/IOL0_CE); assign iobs/IOL0_D = ((!nLDS_FSB && nADoutLE1) || (iobs/IOL1 && !nADoutLE1)); assign iobs/IOL0_CE = (iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1); -FDCPE FDCPE_iobs/IOL1 (iobs/IOL1,!nLDS_FSB,CLK_FSB,1'b0,1'b0,iobs/Load1); +FDCPE FDCPE_iobs/IOL1 (iobs/IOL1,!nLDS_FSB,FCLK,1'b0,1'b0,iobs/Load1); -FDCPE FDCPE_iobs/IOREQ (iobs/IOREQ,iobs/IOREQ_D,CLK_FSB,1'b0,1'b0); -assign iobs/IOREQ_D = ((!A_FSB[23] && !A_FSB[22] && !A_FSB[21] && +FDCPE FDCPE_iobs/IOREQ (iobs/IOREQ,iobs/IOREQ_D,FCLK,1'b0,1'b0); +assign iobs/IOREQ_D = ((iobs/nBERR_FSB.EXP) + || (!A_FSB[23] && !A_FSB[22] && !A_FSB[21] && !iobs/PS_FSM_FFd2 && nADoutLE1) - || (!A_FSB[23] && A_FSB[21] && !A_FSB[20] && + || (!A_FSB[23] && !A_FSB[22] && !cs/nOverlay1 && !iobs/PS_FSM_FFd2 && nADoutLE1) || (!A_FSB[23] && A_FSB[21] && !A_FSB[19] && !iobs/PS_FSM_FFd2 && nADoutLE1) - || (!A_FSB[23] && A_FSB[21] && !A_FSB[17] && - !iobs/PS_FSM_FFd2 && nADoutLE1) || (!A_FSB[23] && A_FSB[21] && !A_FSB[16] && !iobs/PS_FSM_FFd2 && nADoutLE1) - || (!A_FSB[23] && A_FSB[21] && !A_FSB[18] && - !iobs/PS_FSM_FFd2 && nADoutLE1) || (!A_FSB[23] && A_FSB[21] && nWE_FSB && !iobs/PS_FSM_FFd2 && nADoutLE1) - || (!A_FSB[23] && !A_FSB[20] && !iobs/PS_FSM_FFd2 && - SW[1] && nADoutLE1) - || (!A_FSB[14] && !A_FSB[13] && !A_FSB[23] && A_FSB[21] && + || (!A_FSB[23] && A_FSB[21] && !A_FSB[18] && + !iobs/PS_FSM_FFd2 && nADoutLE1) + || (!A_FSB[23] && A_FSB[21] && !A_FSB[17] && !iobs/PS_FSM_FFd2 && nADoutLE1) || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && cs/nOverlay1 && !iobs/PS_FSM_FFd2 && nADoutLE1) || (!iobs/PS_FSM_FFd2 && iobs/PS_FSM_FFd1) || (iobs/PS_FSM_FFd1 && iobs/IOACTr) || (iobs/Once && !iobs/PS_FSM_FFd2 && nADoutLE1) + || (!A_FSB[23] && !A_FSB[20] && !iobs/PS_FSM_FFd2 && + nADoutLE1) || (nAS_FSB && !iobs/PS_FSM_FFd2 && !fsb/ASrf && - nADoutLE1) - || (!A_FSB[23] && !A_FSB[22] && !cs/nOverlay1 && - !iobs/PS_FSM_FFd2 && nADoutLE1)); + nADoutLE1)); -FTCPE FTCPE_iobs/IORW0 (iobs/IORW0,iobs/IORW0_T,CLK_FSB,1'b0,1'b0); -assign iobs/IORW0_T = ((A_FSB_19_IBUF$BUF0.EXP) - || (iobs/IORW0 && iobs/IORW1 && !nADoutLE1) - || (!iobs/IORW0 && !iobs/IORW1 && !nADoutLE1) - || (nAS_FSB && !fsb/ASrf && nADoutLE1) - || (!A_FSB[23] && !A_FSB[22] && !A_FSB[21] && nADoutLE1) - || (!A_FSB[23] && A_FSB[21] && !iobs/IORW0 && nADoutLE1) - || (!A_FSB[23] && A_FSB[21] && !A_FSB[19] && nADoutLE1) - || (!A_FSB[23] && A_FSB[21] && !A_FSB[18] && nADoutLE1) - || (!A_FSB[23] && A_FSB[21] && !A_FSB[17] && nADoutLE1) - || (!A_FSB[23] && A_FSB[21] && !A_FSB[16] && nADoutLE1) - || (!A_FSB[23] && !A_FSB[20] && SW[1] && nADoutLE1) - || (!nWE_FSB && !iobs/IORW0 && nADoutLE1) - || (!A_FSB[23] && !A_FSB[22] && !cs/nOverlay1 && - nADoutLE1) - || (!A_FSB[23] && A_FSB[21] && !A_FSB[20] && nADoutLE1) - || (!A_FSB[14] && !A_FSB[13] && !A_FSB[23] && A_FSB[21] && - nADoutLE1) - || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && - cs/nOverlay1 && nADoutLE1)); +FTCPE FTCPE_iobs/IORW0 (iobs/IORW0,iobs/IORW0_T,FCLK,1'b0,1'b0); +assign iobs/IORW0_T = ((A_FSB[23] && nWE_FSB && !iobs/Once && !iobs/IORW0 && + !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && fsb/ASrf && nADoutLE1) + || (A_FSB[22] && !A_FSB[21] && A_FSB[20] && nWE_FSB && + !iobs/Once && !iobs/IORW0 && !nAS_FSB && !iobs/PS_FSM_FFd2 && + !iobs/PS_FSM_FFd1 && nADoutLE1) + || (A_FSB[22] && !A_FSB[21] && A_FSB[20] && nWE_FSB && + !iobs/Once && !iobs/IORW0 && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && + fsb/ASrf && nADoutLE1) + || (A_FSB[22] && !A_FSB[21] && A_FSB[20] && !nWE_FSB && + !iobs/Once && iobs/IORW0 && !nAS_FSB && !iobs/PS_FSM_FFd2 && + !iobs/PS_FSM_FFd1 && nADoutLE1) + || (A_FSB[22] && !A_FSB[21] && A_FSB[20] && !nWE_FSB && + !iobs/Once && iobs/IORW0 && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && + fsb/ASrf && nADoutLE1) + || (nROMWE_OBUF.EXP) + || (A_FSB[14] && A_FSB[22] && A_FSB[20] && A_FSB[19] && + A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && + !iobs/Once && iobs/IORW0 && !nAS_FSB && !iobs/PS_FSM_FFd2 && + !iobs/PS_FSM_FFd1 && nADoutLE1) + || (A_FSB[14] && A_FSB[22] && A_FSB[20] && A_FSB[19] && + A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && + !iobs/Once && iobs/IORW0 && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && + fsb/ASrf && nADoutLE1) + || (A_FSB[13] && A_FSB[22] && A_FSB[20] && A_FSB[19] && + A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && + !iobs/Once && iobs/IORW0 && !nAS_FSB && !iobs/PS_FSM_FFd2 && + !iobs/PS_FSM_FFd1 && nADoutLE1) + || (A_FSB[13] && A_FSB[22] && A_FSB[20] && A_FSB[19] && + A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && + !iobs/Once && iobs/IORW0 && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && + fsb/ASrf && nADoutLE1) + || (A_FSB[14] && !A_FSB[22] && A_FSB[21] && A_FSB[20] && + A_FSB[19] && A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && + cs/nOverlay1 && !iobs/Once && iobs/IORW0 && !nAS_FSB && + !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1) + || (iobs/IORW0 && !iobs/IORW1 && !iobs/PS_FSM_FFd2 && + !iobs/PS_FSM_FFd1 && !nADoutLE1) + || (!iobs/IORW0 && iobs/IORW1 && !iobs/PS_FSM_FFd2 && + !iobs/PS_FSM_FFd1 && !nADoutLE1) + || (A_FSB[23] && nWE_FSB && !iobs/Once && !iobs/IORW0 && + !nAS_FSB && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1) + || (A_FSB[23] && !nWE_FSB && !iobs/Once && iobs/IORW0 && + !nAS_FSB && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1) + || (A_FSB[23] && !nWE_FSB && !iobs/Once && iobs/IORW0 && + !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && fsb/ASrf && nADoutLE1)); -FTCPE FTCPE_iobs/IORW1 (iobs/IORW1,iobs/IORW1_T,CLK_FSB,1'b0,1'b0); +FTCPE FTCPE_iobs/IORW1 (iobs/IORW1,iobs/IORW1_T,FCLK,1'b0,1'b0); assign iobs/IORW1_T = ((iobs/Once) || (!nADoutLE1) - || (nBERR_FSB_OBUF.EXP) + || (fsb/Ready1r.EXP) + || (!nWE_FSB && !iobs/IORW1) || (nAS_FSB && !fsb/ASrf) || (!iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1) || (!A_FSB[23] && !A_FSB[22] && !A_FSB[21]) || (!A_FSB[23] && !A_FSB[22] && !cs/nOverlay1) - || (!A_FSB[23] && A_FSB[21] && !A_FSB[20]) || (!A_FSB[23] && A_FSB[21] && !A_FSB[19]) || (!A_FSB[23] && A_FSB[21] && !A_FSB[18]) || (!A_FSB[23] && A_FSB[21] && !A_FSB[17]) || (!A_FSB[23] && A_FSB[21] && !A_FSB[16]) + || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && + cs/nOverlay1) + || (!A_FSB[23] && !A_FSB[20]) || (nWE_FSB && iobs/IORW1) - || (!nWE_FSB && !iobs/IORW1) || (!A_FSB[23] && A_FSB[21] && !iobs/IORW1)); -FTCPE FTCPE_iobs/IOReady (iobs/IOReady,iobs/IOReady_T,CLK_FSB,1'b0,1'b0); -assign iobs/IOReady_T = ((iobs/IOReady && nAS_FSB && !fsb/ASrf) - || (iobs/Once && iobs/IOReady && !iobs/PS_FSM_FFd2 && +FTCPE FTCPE_iobs/IOReady (iobs/IOReady,iobs/IOReady_T,FCLK,1'b0,1'b0); +assign iobs/IOReady_T = ((iobs/Once && iobs/IOReady && !iobs/PS_FSM_FFd2 && !iobs/IOACTr && iobm/IOBERR && nADoutLE1) || (iobs/Once && !iobs/IOReady && !nAS_FSB && !iobs/PS_FSM_FFd2 && !iobs/IOACTr && !iobm/IOBERR && nADoutLE1) || (iobs/Once && !iobs/IOReady && !iobs/PS_FSM_FFd2 && - !iobs/IOACTr && !iobm/IOBERR && fsb/ASrf && nADoutLE1)); + !iobs/IOACTr && !iobm/IOBERR && fsb/ASrf && nADoutLE1) + || (iobs/IOReady && nAS_FSB && !fsb/ASrf)); -FDCPE FDCPE_iobs/IOU0 (iobs/IOU0,iobs/IOU0_D,CLK_FSB,1'b0,1'b0,iobs/IOU0_CE); +FDCPE FDCPE_iobs/IOU0 (iobs/IOU0,iobs/IOU0_D,FCLK,1'b0,1'b0,iobs/IOU0_CE); assign iobs/IOU0_D = ((!nUDS_FSB && nADoutLE1) || (iobs/IOU1 && !nADoutLE1)); assign iobs/IOU0_CE = (iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1); -FDCPE FDCPE_iobs/IOU1 (iobs/IOU1,!nUDS_FSB,CLK_FSB,1'b0,1'b0,iobs/Load1); +FDCPE FDCPE_iobs/IOU1 (iobs/IOU1,!nUDS_FSB,FCLK,1'b0,1'b0,iobs/Load1); -FDCPE FDCPE_iobs/Load1 (iobs/Load1,iobs/Load1_D,CLK_FSB,1'b0,1'b0); +FDCPE FDCPE_iobs/Load1 (iobs/Load1,iobs/Load1_D,FCLK,1'b0,1'b0); assign iobs/Load1_D = ((iobs/Once) || (!nADoutLE1) || (!A_FSB[23] && !A_FSB[22] && !A_FSB[21]) - || (!A_FSB[23] && A_FSB[21] && !A_FSB[20]) + || (!A_FSB[23] && !A_FSB[22] && !cs/nOverlay1) || (!A_FSB[23] && A_FSB[21] && !A_FSB[19]) + || (!A_FSB[23] && A_FSB[21] && nWE_FSB) + || (!A_FSB[23] && A_FSB[21] && !A_FSB[18]) || (!A_FSB[23] && A_FSB[21] && !A_FSB[17]) || (!A_FSB[23] && A_FSB[21] && !A_FSB[16]) - || (!A_FSB[23] && A_FSB[21] && !A_FSB[18]) - || (!A_FSB[23] && A_FSB[21] && nWE_FSB) - || (!A_FSB[23] && !A_FSB[20] && SW[1]) || (!A_FSB[14] && !A_FSB[13] && !A_FSB[23] && A_FSB[21]) || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && cs/nOverlay1) + || (!A_FSB[23] && !A_FSB[20]) || (nAS_FSB && !fsb/ASrf) - || (!iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1) - || (!A_FSB[23] && !A_FSB[22] && !cs/nOverlay1)); + || (!iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1)); -FDCPE FDCPE_iobs/Once (iobs/Once,iobs/Once_D,CLK_FSB,1'b0,1'b0); +FDCPE FDCPE_iobs/Once (iobs/Once,iobs/Once_D,FCLK,1'b0,1'b0); assign iobs/Once_D = ((A_FSB[23] && !iobs/Once && iobs/PS_FSM_FFd1) + || (!iobs/Once && iobs/PS_FSM_FFd2 && !nADoutLE1) || (!iobs/Once && iobs/PS_FSM_FFd1 && !nADoutLE1) || (!A_FSB[23] && !A_FSB[22] && !A_FSB[21] && !iobs/Once) - || (!A_FSB[23] && !A_FSB[22] && !iobs/Once && - !cs/nOverlay1) - || (!A_FSB[23] && A_FSB[21] && !A_FSB[20] && !iobs/Once) - || (RA_4_OBUF.EXP) + || (!A_FSB[23] && !A_FSB[22] && !cs/nOverlay1 && + !iobs/Once) + || (cnt/PORS_FSM_FFd1.EXP) || (!A_FSB[23] && A_FSB[21] && !A_FSB[19] && !iobs/Once) || (!A_FSB[23] && A_FSB[21] && !A_FSB[18] && !iobs/Once) || (!A_FSB[23] && A_FSB[21] && !A_FSB[17] && !iobs/Once) @@ -1282,31 +1331,29 @@ assign iobs/Once_D = ((A_FSB[23] && !iobs/Once && iobs/PS_FSM_FFd1) || (!A_FSB[23] && A_FSB[21] && nWE_FSB && !iobs/Once) || (nAS_FSB && !fsb/ASrf) || (A_FSB[23] && !iobs/Once && iobs/PS_FSM_FFd2) + || (!A_FSB[23] && !A_FSB[20] && !iobs/Once) || (A_FSB[22] && !iobs/Once && iobs/PS_FSM_FFd2) - || (A_FSB[22] && !iobs/Once && iobs/PS_FSM_FFd1) - || (!iobs/Once && iobs/PS_FSM_FFd2 && !nADoutLE1)); + || (A_FSB[22] && !iobs/Once && iobs/PS_FSM_FFd1)); -FDCPE FDCPE_iobs/PS_FSM_FFd1 (iobs/PS_FSM_FFd1,iobs/PS_FSM_FFd1_D,CLK_FSB,1'b0,1'b0); +FDCPE FDCPE_iobs/PS_FSM_FFd1 (iobs/PS_FSM_FFd1,iobs/PS_FSM_FFd1_D,FCLK,1'b0,1'b0); assign iobs/PS_FSM_FFd1_D = ((iobs/PS_FSM_FFd2) || (iobs/PS_FSM_FFd1 && iobs/IOACTr)); -FDCPE FDCPE_iobs/PS_FSM_FFd2 (iobs/PS_FSM_FFd2,iobs/PS_FSM_FFd2_D,CLK_FSB,1'b0,1'b0); +FDCPE FDCPE_iobs/PS_FSM_FFd2 (iobs/PS_FSM_FFd2,iobs/PS_FSM_FFd2_D,FCLK,1'b0,1'b0); assign iobs/PS_FSM_FFd2_D = ((!A_FSB[23] && !A_FSB[22] && !A_FSB[21] && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1) - || (!A_FSB[23] && A_FSB[21] && !A_FSB[20] && + || (!A_FSB[23] && !A_FSB[22] && !cs/nOverlay1 && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1) || (!A_FSB[23] && A_FSB[21] && !A_FSB[19] && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1) - || (!A_FSB[23] && A_FSB[21] && !A_FSB[17] && - !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1) || (!A_FSB[23] && A_FSB[21] && !A_FSB[16] && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1) - || (!A_FSB[23] && A_FSB[21] && !A_FSB[18] && - !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1) || (!A_FSB[23] && A_FSB[21] && nWE_FSB && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1) - || (!A_FSB[23] && !A_FSB[20] && !iobs/PS_FSM_FFd2 && - !iobs/PS_FSM_FFd1 && SW[1] && nADoutLE1) + || (!A_FSB[23] && A_FSB[21] && !A_FSB[18] && + !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1) + || (!A_FSB[23] && A_FSB[21] && !A_FSB[17] && + !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1) || (!A_FSB[14] && !A_FSB[13] && !A_FSB[23] && A_FSB[21] && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1) || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && @@ -1317,121 +1364,78 @@ assign iobs/PS_FSM_FFd2_D = ((!A_FSB[23] && !A_FSB[22] && !A_FSB[21] && !iobs/IOACTr) || (iobs/Once && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1) + || (!A_FSB[23] && !A_FSB[20] && !iobs/PS_FSM_FFd2 && + !iobs/PS_FSM_FFd1 && nADoutLE1) || (nAS_FSB && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && - !fsb/ASrf && nADoutLE1) - || (!A_FSB[23] && !A_FSB[22] && !cs/nOverlay1 && - !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1)); + !fsb/ASrf && nADoutLE1)); assign nADoutLE0 = (!iobm/ALE0 && !iobs/ALE0); -FDCPE FDCPE_nADoutLE1 (nADoutLE1,nADoutLE1_D,CLK_FSB,1'b0,1'b0); +FDCPE FDCPE_nADoutLE1 (nADoutLE1,nADoutLE1_D,FCLK,1'b0,1'b0); assign nADoutLE1_D = ((iobs/Load1) || (!iobs/Clear1 && !nADoutLE1)); -FDCPE FDCPE_nAS_IOB (nAS_IOB_I,nAS_IOB,!CLK2X_IOB,1'b0,1'b0); +FDCPE FDCPE_nAS_IOB (nAS_IOB_I,nAS_IOB,!C16M,1'b0,1'b0); assign nAS_IOB = ((!iobm/IOS_FSM_FFd3 && !iobm/IOS_FSM_FFd2) || (iobm/IOS_FSM_FFd1 && !iobm/IOS_FSM_FFd2)); assign nAS_IOB = nAS_IOB_OE ? nAS_IOB_I : 1'bZ; -assign nAS_IOB_OE = !nAoutOE; +assign nAS_IOB_OE = nAoutOE; -FDCPE FDCPE_nAoutOE (nAoutOE,nAoutOE_D,CLK2X_IOB,1'b0,1'b0); -assign nAoutOE_D = ((!iobm/BGr0 && !iobm/BGr1) - || (!iobm/BGr1 && nAoutOE) - || (!nAS_IOB && !iobm/BGr0 && !nAoutOE)); +FDCPE FDCPE_nAoutOE (nAoutOE,nAoutOE_D,!C8M,1'b0,1'b0); +assign nAoutOE_D = (!nBR_IOB && cnt/PORS_FSM_FFd1 && !cnt/PORS_FSM_FFd2); +FTCPE FTCPE_nBERR_FSB (nBERR_FSB,nBERR_FSB_T,FCLK,1'b0,1'b0); +assign nBERR_FSB_T = ((nAS_FSB && !nBERR_FSB && !fsb/ASrf) + || (iobs/Once && !nBERR_FSB && !iobs/PS_FSM_FFd2 && + !iobs/IOACTr && !iobm/IOBERR && nADoutLE1) + || (iobs/Once && !nAS_FSB && nBERR_FSB && + !iobs/PS_FSM_FFd2 && !iobs/IOACTr && iobm/IOBERR && nADoutLE1) + || (iobs/Once && nBERR_FSB && !iobs/PS_FSM_FFd2 && + !iobs/IOACTr && iobm/IOBERR && fsb/ASrf && nADoutLE1)); -assign nBERR_FSB = ((nAS_FSB) - || (!A_FSB[23] && A_FSB[22] && !A_FSB[21] && A_FSB[20] && - !iobs/BERR && !fsb/BERR0r && !fsb/BERR1r) - || (!iobs/BERR && !cnt/TimeoutB && !fsb/BERR0r && - !fsb/BERR1r)); +FTCPE FTCPE_nBR_IOB (nBR_IOB,nBR_IOB_T,!C8M,1'b0,1'b0); +assign nBR_IOB_T = ((nBR_IOB && !cnt/PORS_FSM_FFd1 && !cnt/PORS_FSM_FFd2) + || (!nBR_IOB && !cnt/PORS_FSM_FFd1 && cnt/PORS_FSM_FFd2 && + cnt/IPL2r)); -FDCPE FDCPE_nBR_IOB (nBR_IOB,1'b0,CLK_FSB,1'b0,1'b0,nBR_IOB_CE); -assign nBR_IOB_CE = (RESr0 && RESr1 && IPL2r0 && RESr2 && !RESDone && - IPL2r1); +FDCPE FDCPE_nCAS (nCAS,!ram/RASEL,!FCLK,1'b0,1'b0); -FDCPE FDCPE_nCAS (nCAS,!ram/RASEL,!CLK_FSB,1'b0,1'b0); - -FDCPE FDCPE_nDTACK_FSB (nDTACK_FSB,nDTACK_FSB_D,CLK_FSB,1'b0,1'b0); -assign nDTACK_FSB_D = ((EXP18_.EXP) +FDCPE FDCPE_nDTACK_FSB (nDTACK_FSB,nDTACK_FSB_D,FCLK,1'b0,1'b0); +assign nDTACK_FSB_D = ((iobs/IOReady.EXP) + || (!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && + !fsb/Ready0r && nDTACK_FSB && !ram/RAMReady) + || (A_FSB[22] && !A_FSB[21] && A_FSB[20] && !fsb/Ready1r && + !iobs/IOReady && nDTACK_FSB) + || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && + !cs/nOverlay1 && !fsb/Ready0r && nDTACK_FSB && !ram/RAMReady) || (A_FSB[14] && A_FSB[22] && A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && !fsb/Ready1r && !iobs/IOReady && nDTACK_FSB) + || (A_FSB[13] && A_FSB[22] && A_FSB[20] && A_FSB[19] && + A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && + !fsb/Ready1r && !iobs/IOReady && nDTACK_FSB) || (A_FSB[14] && !A_FSB[22] && A_FSB[21] && A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && cs/nOverlay1 && !fsb/Ready1r && !iobs/IOReady && nDTACK_FSB && !nADoutLE1) - || (A_FSB[8] && A_FSB[15] && A_FSB[14] && A_FSB[13] && - A_FSB[12] && A_FSB[11] && A_FSB[10] && !A_FSB[23] && A_FSB[22] && - A_FSB[21] && A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && - A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && !cnt/TimeoutA && - !fsb/Ready2r && nDTACK_FSB) - || (A_FSB[8] && A_FSB[15] && A_FSB[14] && A_FSB[13] && - A_FSB[12] && A_FSB[11] && A_FSB[10] && !A_FSB[23] && !A_FSB[22] && - A_FSB[21] && A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && - A_FSB[16] && !nWE_FSB && cs/nOverlay1 && !cnt/TimeoutA && - !fsb/Ready2r && nDTACK_FSB) - || (A_FSB[8] && A_FSB[15] && !A_FSB[14] && A_FSB[13] && - !A_FSB[12] && !A_FSB[11] && !A_FSB[10] && !A_FSB[23] && A_FSB[22] && - A_FSB[21] && A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && - A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && !cnt/TimeoutA && - !fsb/Ready2r && nDTACK_FSB) - || (EXP21_.EXP) - || (A_FSB[22] && !A_FSB[21] && A_FSB[20] && !fsb/Ready1r && - !iobs/IOReady && nDTACK_FSB) - || (A_FSB[22] && !A_FSB[21] && !fsb/Ready1r && - !iobs/IOReady && nDTACK_FSB && !SW[1]) - || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && - !cs/nOverlay1 && !fsb/Ready0r && nDTACK_FSB && !ram/RAMReady) - || (A_FSB[13] && A_FSB[22] && A_FSB[20] && A_FSB[19] && - A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && - !fsb/Ready1r && !iobs/IOReady && nDTACK_FSB) || (A_FSB[13] && !A_FSB[22] && A_FSB[21] && A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && cs/nOverlay1 && !fsb/Ready1r && !iobs/IOReady && nDTACK_FSB && - !nADoutLE1) - || (A_FSB[9] && A_FSB[15] && A_FSB[14] && A_FSB[13] && - A_FSB[12] && A_FSB[11] && A_FSB[10] && !A_FSB[23] && A_FSB[22] && - A_FSB[21] && A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && - A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && !cnt/TimeoutA && - !fsb/Ready2r && nDTACK_FSB) - || (A_FSB[9] && A_FSB[15] && A_FSB[14] && A_FSB[13] && - A_FSB[12] && A_FSB[11] && A_FSB[10] && !A_FSB[23] && !A_FSB[22] && - A_FSB[21] && A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && - A_FSB[16] && !nWE_FSB && cs/nOverlay1 && !cnt/TimeoutA && - !fsb/Ready2r && nDTACK_FSB) - || (A_FSB[9] && A_FSB[15] && !A_FSB[14] && A_FSB[13] && - !A_FSB[12] && !A_FSB[11] && !A_FSB[10] && !A_FSB[23] && A_FSB[22] && - A_FSB[21] && A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && - A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && !cnt/TimeoutA && - !fsb/Ready2r && nDTACK_FSB) - || (A_FSB[9] && A_FSB[15] && !A_FSB[14] && A_FSB[13] && - !A_FSB[12] && !A_FSB[11] && !A_FSB[10] && !A_FSB[23] && !A_FSB[22] && - A_FSB[21] && A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && - A_FSB[16] && !nWE_FSB && cs/nOverlay1 && !cnt/TimeoutA && - !fsb/Ready2r && nDTACK_FSB) - || (A_FSB[8] && A_FSB[15] && !A_FSB[14] && A_FSB[13] && - !A_FSB[12] && !A_FSB[11] && !A_FSB[10] && !A_FSB[23] && !A_FSB[22] && - A_FSB[21] && A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && - A_FSB[16] && !nWE_FSB && cs/nOverlay1 && !cnt/TimeoutA && - !fsb/Ready2r && nDTACK_FSB)); + !nADoutLE1)); -FDCPE FDCPE_nDinLE (nDinLE,nDinLE_D,!CLK2X_IOB,1'b0,1'b0); +FDCPE FDCPE_nDinLE (nDinLE,nDinLE_D,!C16M,1'b0,1'b0); assign nDinLE_D = (iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2); assign nDinOE = ((A_FSB[23] && nWE_FSB && !nAS_FSB) || (A_FSB[22] && !A_FSB[21] && A_FSB[20] && nWE_FSB && - !nAS_FSB) - || (A_FSB[22] && !A_FSB[21] && nWE_FSB && !nAS_FSB && - !SW[1])); + !nAS_FSB)); -FDCPE FDCPE_nDoutOE (nDoutOE,nDoutOE_D,CLK2X_IOB,1'b0,1'b0); -assign nDoutOE_D = ((!iobs/IORW0) - || (!iobm/IOS_FSM_FFd3 && !iobm/IOS_FSM_FFd2)); -FDCPE FDCPE_nLDS_IOB (nLDS_IOB_I,nLDS_IOB,!CLK2X_IOB,1'b0,1'b0); +assign nDoutOE = !((!nAoutOE && iobm/DoutOE)); + +FDCPE FDCPE_nLDS_IOB (nLDS_IOB_I,nLDS_IOB,!C16M,1'b0,1'b0); assign nLDS_IOB = ((iobs/IOL0 && !iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd2) || (iobs/IOL0 && iobm/IOS_FSM_FFd1 && @@ -1439,38 +1443,38 @@ assign nLDS_IOB = ((iobs/IOL0 && !iobm/IOS_FSM_FFd3 && || (!iobs/IORW0 && iobs/IOL0 && iobm/IOS_FSM_FFd3 && !iobm/IOS_FSM_FFd1)); assign nLDS_IOB = nLDS_IOB_OE ? nLDS_IOB_I : 1'bZ; -assign nLDS_IOB_OE = !nAoutOE; +assign nLDS_IOB_OE = nAoutOE; assign nOE = !((nWE_FSB && !nAS_FSB)); -assign nRAMLWE = !((!nWE_FSB && !nLDS_FSB && !ram/RAMDIS2 && !nAS_FSB && - !ram/RAMDIS1)); +assign nRAMLWE = !((!nWE_FSB && !nLDS_FSB && !nAS_FSB && ram/RAMEN)); -assign nRAMUWE = !((!nWE_FSB && !nUDS_FSB && !ram/RAMDIS2 && !nAS_FSB && - !ram/RAMDIS1)); +assign nRAMUWE = !((!nWE_FSB && !nUDS_FSB && !nAS_FSB && ram/RAMEN)); assign nRAS = !(((ram/RefRAS) - || (!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && - !ram/RAMDIS2 && !nAS_FSB && !ram/RAMDIS1) + || (!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && !nAS_FSB && + ram/RAMEN) || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && - !cs/nOverlay1 && !ram/RAMDIS2 && !nAS_FSB && !ram/RAMDIS1))); + !cs/nOverlay1 && !nAS_FSB && ram/RAMEN))); -assign nROMCS = !(((A_FSB[23] && !A_FSB[22] && !A_FSB[21] && !A_FSB[20] && - !SW[1]) - || (!A_FSB[23] && A_FSB[22] && !A_FSB[21] && !A_FSB[20] && - SW[1]) - || (!A_FSB[23] && !A_FSB[22] && !A_FSB[21] && !A_FSB[20] && +assign nRES_I = 1'b0; +assign nRES = nRES_OE ? nRES_I : 1'bZ; +assign nRES_OE = !cnt/nRESout; + + +assign nROMCS = !(((!A_FSB[23] && A_FSB[22] && !A_FSB[21] && !A_FSB[20]) + || (!A_FSB[23] && !A_FSB[21] && !A_FSB[20] && !cs/nOverlay1))); assign nROMWE = !((!nWE_FSB && !nAS_FSB)); -FDCPE FDCPE_nUDS_IOB (nUDS_IOB_I,nUDS_IOB,!CLK2X_IOB,1'b0,1'b0); +FDCPE FDCPE_nUDS_IOB (nUDS_IOB_I,nUDS_IOB,!C16M,1'b0,1'b0); assign nUDS_IOB = ((iobs/IOU0 && !iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd2) || (iobs/IOU0 && iobm/IOS_FSM_FFd1 && @@ -1478,187 +1482,111 @@ assign nUDS_IOB = ((iobs/IOU0 && !iobm/IOS_FSM_FFd3 && || (!iobs/IORW0 && iobs/IOU0 && iobm/IOS_FSM_FFd3 && !iobm/IOS_FSM_FFd1)); assign nUDS_IOB = nUDS_IOB_OE ? nUDS_IOB_I : 1'bZ; -assign nUDS_IOB_OE = !nAoutOE; +assign nUDS_IOB_OE = nAoutOE; -FTCPE FTCPE_nVMA_IOB (nVMA_IOB_I,nVMA_IOB_T,CLK2X_IOB,1'b0,1'b0); +FTCPE FTCPE_nVMA_IOB (nVMA_IOB_I,nVMA_IOB_T,C16M,1'b0,1'b0); assign nVMA_IOB_T = ((!nVMA_IOB && !iobm/ES[0] && !iobm/ES[1] && !iobm/ES[2] && !iobm/ES[3] && !iobm/ES[4]) || (nVMA_IOB && iobm/ES[0] && iobm/ES[1] && iobm/ES[2] && !iobm/ES[3] && !iobm/ES[4] && iobm/IOACT && iobm/VPArf && iobm/VPArr)); assign nVMA_IOB = nVMA_IOB_OE ? nVMA_IOB_I : 1'bZ; -assign nVMA_IOB_OE = !nAoutOE; +assign nVMA_IOB_OE = nAoutOE; assign nVPA_FSB = !((fsb/VPA && !nAS_FSB)); -FDCPE FDCPE_ram/BACTr (ram/BACTr,ram/BACTr_D,CLK_FSB,1'b0,1'b0); +FDCPE FDCPE_ram/BACTr (ram/BACTr,ram/BACTr_D,FCLK,1'b0,1'b0); assign ram/BACTr_D = (nAS_FSB && !fsb/ASrf); -FTCPE FTCPE_ram/Once (ram/Once,ram/Once_T,CLK_FSB,1'b0,1'b0); -assign ram/Once_T = ((ram/Once && nAS_FSB && !fsb/ASrf) - || (!A_FSB[23] && !A_FSB[22] && !ram/Once && cs/nOverlay1 && - !nAS_FSB && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && - !ram/RS_FSM_FFd3) - || (!A_FSB[23] && !A_FSB[22] && !ram/Once && cs/nOverlay1 && - !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3 && fsb/ASrf) - || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && !ram/Once && - !cs/nOverlay1 && !nAS_FSB && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && - !ram/RS_FSM_FFd3) - || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && !ram/Once && - !cs/nOverlay1 && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && - !ram/RS_FSM_FFd3 && fsb/ASrf)); +FDCPE FDCPE_ram/RAMEN (ram/RAMEN,ram/RAMEN_D,FCLK,1'b0,1'b0); +assign ram/RAMEN_D = ((!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && !nAS_FSB && + !ram/RS_FSM_FFd1 && ram/RAMEN) + || (!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && + !ram/RS_FSM_FFd1 && ram/RAMEN && fsb/ASrf) + || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && + !cs/nOverlay1 && !nAS_FSB && !ram/RS_FSM_FFd1 && ram/RAMEN) + || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && + !cs/nOverlay1 && !ram/RS_FSM_FFd1 && ram/RAMEN && fsb/ASrf) + || (ram/RS_FSM_FFd2 && ram/RAMEN) + || (ram/RS_FSM_FFd3 && ram/RAMEN) + || (!ram/RS_FSM_FFd1 && !ram/RefUrgent && ram/RAMEN && + ram/BACTr) + || (!ram/RS_FSM_FFd1 && !ram/RefUrgent && ram/RAMEN && + !ram/RefReq) + || (nAS_FSB && !ram/RS_FSM_FFd2 && !ram/RefUrgent && + !ram/RS_FSM_FFd3 && !fsb/ASrf)); -FDCPE FDCPE_ram/RAMDIS1 (ram/RAMDIS1,ram/RAMDIS1_D,CLK_FSB,1'b0,1'b0); -assign ram/RAMDIS1_D = ((RA_1_OBUF.EXP) - || (A_FSB[23] && !cnt/RefDone && !ram/RS_FSM_FFd1 && - !ram/RS_FSM_FFd3 && cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7]) - || (!A_FSB[22] && !cnt/RefDone && !cs/nOverlay1 && - !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3 && !ram/BACTr && fsb/ASrf) - || (!cnt/RefDone && ram/Once && !ram/RS_FSM_FFd1 && - !ram/RS_FSM_FFd3 && cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7]) - || (A_FSB[22] && !A_FSB[21] && !cnt/RefDone && - !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3 && cnt/RefCnt[5] && cnt/RefCnt[6] && - cnt/RefCnt[7]) - || (!cnt/RefDone && nAS_FSB && !ram/RS_FSM_FFd1 && - !ram/RS_FSM_FFd3 && cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7] && - !fsb/ASrf) - || (A_FSB[22] && !A_FSB[21] && !cnt/RefDone && !nAS_FSB && - !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3 && !ram/BACTr) - || (A_FSB[22] && !A_FSB[21] && !cnt/RefDone && - !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3 && !ram/BACTr && fsb/ASrf) - || (A_FSB[22] && !cnt/RefDone && cs/nOverlay1 && - !nAS_FSB && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3 && !ram/BACTr) - || (A_FSB[22] && !cnt/RefDone && cs/nOverlay1 && - !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3 && !ram/BACTr && fsb/ASrf) - || (!A_FSB[22] && !cnt/RefDone && !cs/nOverlay1 && - !nAS_FSB && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3 && !ram/BACTr) - || (ram/RS_FSM_FFd1 && ram/RS_FSM_FFd3) - || (!ram/RS_FSM_FFd1 && ram/RS_FSM_FFd2) - || (A_FSB[23] && !cnt/RefDone && !nAS_FSB && - !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3 && !ram/BACTr) - || (A_FSB[23] && !cnt/RefDone && !ram/RS_FSM_FFd1 && - !ram/RS_FSM_FFd3 && !ram/BACTr && fsb/ASrf) - || (!cnt/RefDone && ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && - cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7])); +FDCPE FDCPE_ram/RAMReady (ram/RAMReady,ram/RAMReady_D,FCLK,1'b0,1'b0); +assign ram/RAMReady_D = ((RA_6_OBUF.EXP) + || (A_FSB[23] && !ram/RS_FSM_FFd2 && !ram/RefUrgent && + !ram/RS_FSM_FFd3 && !ram/RefReq) + || (A_FSB[22] && !A_FSB[21] && !ram/RS_FSM_FFd2 && + !ram/RefUrgent && !ram/RS_FSM_FFd3 && ram/BACTr) + || (A_FSB[22] && !A_FSB[21] && !ram/RS_FSM_FFd2 && + !ram/RefUrgent && !ram/RS_FSM_FFd3 && !ram/RefReq) + || (A_FSB[22] && cs/nOverlay1 && !ram/RS_FSM_FFd2 && + !ram/RefUrgent && !ram/RS_FSM_FFd3 && ram/BACTr) + || (!A_FSB[22] && !cs/nOverlay1 && !ram/RS_FSM_FFd2 && + !ram/RefUrgent && !ram/RS_FSM_FFd3 && ram/BACTr) + || (ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && + !ram/RefUrgent && !ram/RS_FSM_FFd3) + || (A_FSB[23] && !ram/RS_FSM_FFd2 && !ram/RefUrgent && + !ram/RS_FSM_FFd3 && ram/BACTr) + || (nAS_FSB && !ram/RS_FSM_FFd2 && !ram/RefUrgent && + !ram/RS_FSM_FFd3 && !fsb/ASrf)); -FTCPE FTCPE_ram/RAMDIS2 (ram/RAMDIS2,ram/RAMDIS2_T,CLK_FSB,1'b0,1'b0); -assign ram/RAMDIS2_T = ((!A_FSB[23] && A_FSB[22] && A_FSB[21] && !cnt/RefDone && - ram/Once && !cs/nOverlay1 && !ram/RAMDIS2 && !nAS_FSB && - !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3 && cnt/RefCnt[5] && cnt/RefCnt[6] && - cnt/RefCnt[7]) - || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && !cnt/RefDone && - ram/Once && !cs/nOverlay1 && !ram/RAMDIS2 && !ram/RS_FSM_FFd2 && - !ram/RS_FSM_FFd3 && cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7] && +FDCPE FDCPE_ram/RASEL (ram/RASEL,ram/RASEL_D,FCLK,1'b0,1'b0); +assign ram/RASEL_D = ((A_FSB[22] && !A_FSB[21] && !ram/RS_FSM_FFd2 && + !ram/RefUrgent && !ram/RS_FSM_FFd3 && !ram/RefReq) + || (A_FSB[22] && cs/nOverlay1 && !ram/RS_FSM_FFd2 && + !ram/RefUrgent && !ram/RS_FSM_FFd3 && !ram/RefReq) + || (!A_FSB[22] && !cs/nOverlay1 && !ram/RS_FSM_FFd2 && + !ram/RefUrgent && !ram/RS_FSM_FFd3 && !ram/RefReq) + || (!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && !nAS_FSB && + !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3 && !ram/RAMEN) + || (!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && + !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3 && !ram/RAMEN && fsb/ASrf) + || (ram/RAMReady.EXP) + || (A_FSB[23] && !ram/RS_FSM_FFd2 && !ram/RefUrgent && + !ram/RS_FSM_FFd3 && ram/BACTr) + || (A_FSB[23] && !ram/RS_FSM_FFd2 && !ram/RefUrgent && + !ram/RS_FSM_FFd3 && !ram/RefReq) + || (A_FSB[22] && !A_FSB[21] && !ram/RS_FSM_FFd2 && + !ram/RefUrgent && !ram/RS_FSM_FFd3 && ram/BACTr) + || (A_FSB[22] && cs/nOverlay1 && !ram/RS_FSM_FFd2 && + !ram/RefUrgent && !ram/RS_FSM_FFd3 && ram/BACTr) + || (!A_FSB[22] && !cs/nOverlay1 && !ram/RS_FSM_FFd2 && + !ram/RefUrgent && !ram/RS_FSM_FFd3 && ram/BACTr) + || (ram/RS_FSM_FFd1 && ram/RS_FSM_FFd2) + || (ram/RS_FSM_FFd2 && ram/RS_FSM_FFd3) + || (!nAS_FSB && ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3) + || (ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3 && fsb/ASrf) + || (nAS_FSB && !ram/RS_FSM_FFd2 && !ram/RefUrgent && + !ram/RS_FSM_FFd3 && !fsb/ASrf)); + +FDCPE FDCPE_ram/RS_FSM_FFd1 (ram/RS_FSM_FFd1,ram/RS_FSM_FFd1_D,FCLK,1'b0,1'b0); +assign ram/RS_FSM_FFd1_D = ((!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && + !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && ram/RefUrgent && !ram/RAMEN && fsb/ASrf) - || (ram/RAMDIS2 && nAS_FSB && !fsb/ASrf) - || (!cnt/RefDone && ram/Once && !ram/RAMDIS2 && !nAS_FSB && - ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3 && - cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7]) - || (!cnt/RefDone && ram/Once && !ram/RAMDIS2 && - ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3 && - cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7] && fsb/ASrf) - || (!A_FSB[23] && !A_FSB[22] && !cnt/RefDone && ram/Once && - cs/nOverlay1 && !ram/RAMDIS2 && !nAS_FSB && !ram/RS_FSM_FFd2 && - !ram/RS_FSM_FFd3 && cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7]) - || (!A_FSB[23] && !A_FSB[22] && !cnt/RefDone && ram/Once && - cs/nOverlay1 && !ram/RAMDIS2 && !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3 && - cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7] && fsb/ASrf)); - -FDCPE FDCPE_ram/RAMReady (ram/RAMReady,ram/RAMReady_D,CLK_FSB,1'b0,1'b0); -assign ram/RAMReady_D = ((ram/RS_FSM_FFd2) - || (ram/RS_FSM_FFd3) - || (!A_FSB[23] && !A_FSB[22] && !ram/Once && cs/nOverlay1 && - !nAS_FSB && !ram/RS_FSM_FFd1) - || (!A_FSB[23] && !A_FSB[22] && !ram/Once && cs/nOverlay1 && - !ram/RS_FSM_FFd1 && fsb/ASrf) - || (A_FSB[22] && !A_FSB[21] && !cnt/RefDone && !nAS_FSB && - !ram/RS_FSM_FFd1 && !ram/BACTr) - || (A_FSB[22] && !cnt/RefDone && cs/nOverlay1 && - !nAS_FSB && !ram/RS_FSM_FFd1 && !ram/BACTr) - || (!A_FSB[22] && !cnt/RefDone && !cs/nOverlay1 && - !nAS_FSB && !ram/RS_FSM_FFd1 && !ram/BACTr) - || (A_FSB[22] && !A_FSB[21] && !cnt/RefDone && - !ram/RS_FSM_FFd1 && !ram/BACTr && fsb/ASrf) - || (A_FSB[22] && !cnt/RefDone && cs/nOverlay1 && - !ram/RS_FSM_FFd1 && !ram/BACTr && fsb/ASrf) - || (!A_FSB[22] && !cnt/RefDone && !cs/nOverlay1 && - !ram/RS_FSM_FFd1 && !ram/BACTr && fsb/ASrf) - || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && !ram/Once && - !cs/nOverlay1 && !nAS_FSB && !ram/RS_FSM_FFd1) - || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && !ram/Once && - !cs/nOverlay1 && !ram/RS_FSM_FFd1 && fsb/ASrf) - || (!cnt/RefDone && cnt/RefCnt[5] && cnt/RefCnt[6] && - cnt/RefCnt[7]) - || (A_FSB[23] && !cnt/RefDone && !nAS_FSB && - !ram/RS_FSM_FFd1 && !ram/BACTr) - || (A_FSB[23] && !cnt/RefDone && !ram/RS_FSM_FFd1 && - !ram/BACTr && fsb/ASrf)); - -FDCPE FDCPE_ram/RASEL (ram/RASEL,ram/RASEL_D,CLK_FSB,1'b0,1'b0); -assign ram/RASEL_D = ((A_FSB[22] && !cnt/RefDone && cs/nOverlay1 && - !nAS_FSB && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && !ram/BACTr) - || (A_FSB[22] && !cnt/RefDone && cs/nOverlay1 && - !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && !ram/BACTr && fsb/ASrf) - || (!A_FSB[22] && !cnt/RefDone && !cs/nOverlay1 && - !nAS_FSB && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && !ram/BACTr) - || (!A_FSB[22] && !cnt/RefDone && !cs/nOverlay1 && - !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && !ram/BACTr && fsb/ASrf) - || (A_FSB[22] && !A_FSB[21] && !cnt/RefDone && - !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && cnt/RefCnt[5] && cnt/RefCnt[6] && - cnt/RefCnt[7]) - || (EXP26_.EXP) - || (A_FSB[23] && !cnt/RefDone && !ram/RS_FSM_FFd1 && - !ram/RS_FSM_FFd2 && cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7]) - || (!A_FSB[23] && !A_FSB[22] && !ram/Once && cs/nOverlay1 && - !nAS_FSB && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2) - || (!A_FSB[23] && !A_FSB[22] && !ram/Once && cs/nOverlay1 && - !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && fsb/ASrf) - || (A_FSB[22] && !A_FSB[21] && !cnt/RefDone && !nAS_FSB && - !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && !ram/BACTr) - || (A_FSB[22] && !A_FSB[21] && !cnt/RefDone && - !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && !ram/BACTr && fsb/ASrf) - || (!ram/RS_FSM_FFd2 && ram/RS_FSM_FFd3) - || (!ram/RS_FSM_FFd1 && ram/RS_FSM_FFd2 && - !ram/RS_FSM_FFd3) - || (A_FSB[23] && !cnt/RefDone && !nAS_FSB && - !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && !ram/BACTr) - || (A_FSB[23] && !cnt/RefDone && !ram/RS_FSM_FFd1 && - !ram/RS_FSM_FFd2 && !ram/BACTr && fsb/ASrf) - || (!cnt/RefDone && nAS_FSB && !ram/RS_FSM_FFd2 && - cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7] && !fsb/ASrf)); - -FDCPE FDCPE_ram/RS_FSM_FFd1 (ram/RS_FSM_FFd1,ram/RS_FSM_FFd1_D,CLK_FSB,1'b0,1'b0); -assign ram/RS_FSM_FFd1_D = ((!A_FSB[23] && A_FSB[22] && A_FSB[21] && !cnt/RefDone && - ram/Once && !cs/nOverlay1 && !nAS_FSB && !ram/RS_FSM_FFd1 && - !ram/RS_FSM_FFd2 && cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7]) - || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && !cnt/RefDone && - ram/Once && !cs/nOverlay1 && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && - cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7] && fsb/ASrf) - || (!A_FSB[23] && !A_FSB[22] && !cnt/RefDone && ram/Once && - cs/nOverlay1 && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && - cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7] && fsb/ASrf) + || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && + !cs/nOverlay1 && !nAS_FSB && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && + ram/RefUrgent && !ram/RAMEN) + || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && + !cs/nOverlay1 && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && + ram/RefUrgent && !ram/RAMEN && fsb/ASrf) || (ram/RS_FSM_FFd1 && ram/RS_FSM_FFd2) || (!ram/RS_FSM_FFd1 && ram/RS_FSM_FFd3) - || (!cnt/RefDone && !nAS_FSB && ram/RS_FSM_FFd1 && - !ram/RS_FSM_FFd3 && cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7]) - || (!cnt/RefDone && ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3 && - cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7] && fsb/ASrf) - || (!A_FSB[23] && !A_FSB[22] && !cnt/RefDone && ram/Once && - cs/nOverlay1 && !nAS_FSB && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && - cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7])); + || (!nAS_FSB && ram/RS_FSM_FFd1 && ram/RefUrgent && + !ram/RS_FSM_FFd3) + || (ram/RS_FSM_FFd1 && ram/RefUrgent && + !ram/RS_FSM_FFd3 && fsb/ASrf) + || (!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && !nAS_FSB && + !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && ram/RefUrgent && !ram/RAMEN)); -FDCPE FDCPE_ram/RS_FSM_FFd2 (ram/RS_FSM_FFd2,ram/RS_FSM_FFd2_D,CLK_FSB,1'b0,1'b0); -assign ram/RS_FSM_FFd2_D = ((!ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3 && - !cnt/RefCnt[5] && ram/BACTr) - || (!ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3 && - !cnt/RefCnt[6] && ram/BACTr) - || (nAS_FSB && !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3 && - !cnt/RefCnt[5] && !fsb/ASrf) - || (nAS_FSB && !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3 && - !cnt/RefCnt[7] && !fsb/ASrf) - || (nAS_FSB && !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3 && - !cnt/RefCnt[6] && !fsb/ASrf) +FDCPE FDCPE_ram/RS_FSM_FFd2 (ram/RS_FSM_FFd2,ram/RS_FSM_FFd2_D,FCLK,1'b0,1'b0); +assign ram/RS_FSM_FFd2_D = ((nAS_FSB && !ram/RS_FSM_FFd2 && !ram/RefUrgent && + !ram/RS_FSM_FFd3 && !fsb/ASrf) || (!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && !nAS_FSB && !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3) || (!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && @@ -1668,44 +1596,51 @@ assign ram/RS_FSM_FFd2_D = ((!ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3 && || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && !cs/nOverlay1 && !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3 && fsb/ASrf) || (ram/RS_FSM_FFd1 && ram/RS_FSM_FFd2) - || (cnt/RefDone && !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3) || (!nAS_FSB && ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3) || (ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3 && fsb/ASrf) - || (!ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3 && - !cnt/RefCnt[7] && ram/BACTr)); + || (!ram/RS_FSM_FFd2 && !ram/RefUrgent && + !ram/RS_FSM_FFd3 && ram/BACTr) + || (!ram/RS_FSM_FFd2 && !ram/RefUrgent && + !ram/RS_FSM_FFd3 && !ram/RefReq)); -FDCPE FDCPE_ram/RS_FSM_FFd3 (ram/RS_FSM_FFd3,ram/RS_FSM_FFd3_D,CLK_FSB,1'b0,1'b0); -assign ram/RS_FSM_FFd3_D = ((!cnt/RefDone && !nAS_FSB && ram/RS_FSM_FFd1 && - !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3 && cnt/RefCnt[5] && cnt/RefCnt[6] && - cnt/RefCnt[7]) - || (!cnt/RefDone && ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && - !ram/RS_FSM_FFd3 && cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7] && - fsb/ASrf) - || (!A_FSB[23] && !A_FSB[22] && !cnt/RefDone && - cs/nOverlay1 && !nAS_FSB && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3 && - cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7]) - || (!A_FSB[23] && !A_FSB[22] && !cnt/RefDone && - cs/nOverlay1 && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3 && - cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7] && fsb/ASrf) - || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && !cnt/RefDone && - !cs/nOverlay1 && !nAS_FSB && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3 && - cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7]) - || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && !cnt/RefDone && - !cs/nOverlay1 && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3 && - cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7] && fsb/ASrf) - || (!ram/RS_FSM_FFd1 && ram/RS_FSM_FFd2) - || (!A_FSB[23] && !A_FSB[22] && !ram/Once && cs/nOverlay1 && - !nAS_FSB && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3) - || (!A_FSB[23] && !A_FSB[22] && !ram/Once && cs/nOverlay1 && - !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3 && fsb/ASrf) - || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && !ram/Once && - !cs/nOverlay1 && !nAS_FSB && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3) - || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && !ram/Once && - !cs/nOverlay1 && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3 && fsb/ASrf)); +FTCPE FTCPE_ram/RS_FSM_FFd3 (ram/RS_FSM_FFd3,ram/RS_FSM_FFd3_T,FCLK,1'b0,1'b0); +assign ram/RS_FSM_FFd3_T = ((ram/RS_FSM_FFd1 && ram/RS_FSM_FFd2 && + !ram/RS_FSM_FFd3) + || (ram/RS_FSM_FFd1 && !ram/RefUrgent && + !ram/RS_FSM_FFd3) + || (A_FSB[23] && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && + !ram/RS_FSM_FFd3) + || (nAS_FSB && !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3 && + !fsb/ASrf) + || (!ram/RS_FSM_FFd1 && ram/RS_FSM_FFd2 && + ram/RS_FSM_FFd3) + || (!ram/RS_FSM_FFd2 && !ram/RefUrgent && + !ram/RS_FSM_FFd3 && !ram/RAMEN) + || (A_FSB[22] && !A_FSB[21] && !ram/RS_FSM_FFd1 && + !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3) + || (A_FSB[22] && cs/nOverlay1 && !ram/RS_FSM_FFd1 && + !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3) + || (!A_FSB[22] && !cs/nOverlay1 && !ram/RS_FSM_FFd1 && + !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3)); -FDCPE FDCPE_ram/RefRAS (ram/RefRAS,ram/RefRAS_D,CLK_FSB,1'b0,1'b0); +FDCPE FDCPE_ram/RefDone (ram/RefDone,ram/RefDone_D,FCLK,1'b0,1'b0); +assign ram/RefDone_D = ((ram/RefDone && ram/RefReqSync) + || (!ram/RS_FSM_FFd1 && ram/RS_FSM_FFd2 && + ram/RefReqSync)); + +FDCPE FDCPE_ram/RefRAS (ram/RefRAS,ram/RefRAS_D,FCLK,1'b0,1'b0); assign ram/RefRAS_D = (!ram/RS_FSM_FFd1 && ram/RS_FSM_FFd2); +FDCPE FDCPE_ram/RefReq (ram/RefReq,ram/RefReq_D,FCLK,1'b0,1'b0); +assign ram/RefReq_D = (!ram/RefDone && ram/RefReqSync); + +FDCPE FDCPE_ram/RefReqSync (ram/RefReqSync,cnt/RefReq,FCLK,1'b0,1'b0); + +FDCPE FDCPE_ram/RefUrgent (ram/RefUrgent,ram/RefUrgent_D,FCLK,1'b0,1'b0); +assign ram/RefUrgent_D = (!ram/RefDone && ram/RegUrgentSync); + +FDCPE FDCPE_ram/RegUrgentSync (ram/RegUrgentSync,cnt/RefUrgent,FCLK,1'b0,1'b0); + Register Legend: FDCPE (Q,D,C,CLR,PRE,CE); FTCPE (Q,D,C,CLR,PRE,CE); @@ -1758,10 +1693,10 @@ No. Name No. Name 5 VCC 55 RA<10> 6 A_FSB<8> 56 RA<9> 7 A_FSB<9> 57 VCC - 8 A_FSB<10> 58 CLK25EN - 9 A_FSB<11> 59 CLK20EN - 10 A_FSB<12> 60 SW<1> - 11 A_FSB<13> 61 SW<0> + 8 A_FSB<10> 58 C25MEN + 9 A_FSB<11> 59 C20MEN + 10 A_FSB<12> 60 KPR + 11 A_FSB<13> 61 KPR 12 A_FSB<14> 62 GND 13 A_FSB<15> 63 RA<11> 14 A_FSB<16> 64 nRAS @@ -1772,12 +1707,12 @@ No. Name No. Name 19 A_FSB<21> 69 GND 20 A_FSB<22> 70 nBERR_FSB 21 GND 71 KPR - 22 CLK2X_IOB 72 nBR_IOB - 23 CLK_IOB 73 nBG_IOB + 22 C16M 72 nBR_IOB + 23 C8M 73 nBG_IOB 24 A_FSB<23> 74 nVMA_IOB - 25 E_IOB 75 GND + 25 E 75 GND 26 VCC 76 nBERR_IOB - 27 CLK_FSB 77 nVPA_IOB + 27 FCLK 77 nVPA_IOB 28 nDTACK_FSB 78 nDTACK_IOB 29 nWE_FSB 79 nLDS_IOB 30 nLDS_FSB 80 nUDS_IOB diff --git a/cpld/XC95144XL/WarpSE_html/fit/defeqns.htm b/cpld/XC95144XL/WarpSE_html/fit/defeqns.htm index 9280c63..e47c983 100644 --- a/cpld/XC95144XL/WarpSE_html/fit/defeqns.htm +++ b/cpld/XC95144XL/WarpSE_html/fit/defeqns.htm @@ -6,13 +6,10 @@ ********** Mapped Logic ********** -assign $OpTx$FX_DC$591 = (nAS_FSB && !fsb/ASrf); +assign C20MEN = 1'b1; -assign CLK20EN = SW[0]; - - -assign CLK25EN = !SW[0]; +assign C25MEN = 1'b1; @@ -45,28 +42,6 @@ assign CLK25EN = !SW[0]; - - - - - - - - - - - - - - - - - -FDCPE FDCPE_IPL2r0 (IPL2r0,!nIPL2,CLK_FSB,1'b0,1'b0); - -FDCPE FDCPE_IPL2r1 (IPL2r1,IPL2r0,CLK_FSB,1'b0,1'b0); - - assign RA[0] = ((A_FSB[10] && !ram/RASEL)
      || (ram/RASEL && A_FSB[1])); @@ -83,16 +58,16 @@ assign RA[3] = ((A_FSB[13] && !ram/RASEL)
      || (ram/RASEL && A_FSB[4])); -assign RA[4] = ((A_FSB[14] && !ram/RASEL) -
      || (ram/RASEL && A_FSB[5])); +assign RA[4] = ((ram/RASEL && A_FSB[5]) +
      || (A_FSB[14] && !ram/RASEL)); assign RA[5] = ((A_FSB[15] && !ram/RASEL)
      || (ram/RASEL && A_FSB[6])); -assign RA[6] = ((A_FSB[16] && !ram/RASEL) -
      || (ram/RASEL && A_FSB[7])); +assign RA[6] = ((ram/RASEL && A_FSB[7]) +
      || (A_FSB[16] && !ram/RASEL)); assign RA[7] = ((A_FSB[8] && ram/RASEL) @@ -119,235 +94,282 @@ assign RA[10] = A_FSB[21]; assign RA[11] = A_FSB[19]; -FDCPE FDCPE_RESDone (RESDone,1'b1,CLK_FSB,1'b0,1'b0,RESDone_CE); -
     assign RESDone_CE = (!RESr0 && !RESr1 && RESr2); +FDCPE FDCPE_cnt/IPL2r (cnt/IPL2r,!nIPL2,!C8M,1'b0,1'b0); -FDCPE FDCPE_RESr0 (RESr0,!nRES,CLK_FSB,1'b0,1'b0); +FTCPE FTCPE_cnt/LTimer0 (cnt/LTimer[0],cnt/LTimer_T[0],!C8M,1'b0,1'b0,cnt/TimerTC); +
     assign cnt/LTimer_T[0] = (!cnt/LTimer[0] && cnt/LTimer[13] && cnt/TimerTC); -FDCPE FDCPE_RESr1 (RESr1,RESr0,CLK_FSB,1'b0,1'b0); +FDCPE FDCPE_cnt/LTimer1 (cnt/LTimer[1],cnt/LTimer_D[1],!C8M,1'b0,1'b0,cnt/TimerTC); +
     assign cnt/LTimer_D[1] = ((cnt/LTimer[0] && cnt/LTimer[1]) +
      || (!cnt/LTimer[0] && !cnt/LTimer[1]) +
      || (cnt/LTimer[13] && cnt/TimerTC)); -FDCPE FDCPE_RESr2 (RESr2,RESr1,CLK_FSB,1'b0,1'b0); +FTCPE FTCPE_cnt/LTimer2 (cnt/LTimer[2],cnt/LTimer_T[2],!C8M,1'b0,1'b0,cnt/TimerTC); +
     assign cnt/LTimer_T[2] = ((cnt/LTimer[0] && !cnt/LTimer[13] && cnt/LTimer[1]) +
      || (cnt/LTimer[0] && cnt/LTimer[1] && !cnt/TimerTC) +
      || (cnt/LTimer[13] && cnt/LTimer[2] && cnt/TimerTC)); -FTCPE FTCPE_cnt/RefCnt0 (cnt/RefCnt[0],1'b1,CLK_FSB,1'b0,1'b0); +FTCPE FTCPE_cnt/LTimer3 (cnt/LTimer[3],cnt/LTimer_T[3],!C8M,1'b0,1'b0,cnt/TimerTC); +
     assign cnt/LTimer_T[3] = ((cnt/LTimer[13] && cnt/LTimer[3] && cnt/TimerTC) +
      || (cnt/LTimer[0] && !cnt/LTimer[13] && cnt/LTimer[1] && +
      cnt/LTimer[2]) +
      || (cnt/LTimer[0] && cnt/LTimer[1] && cnt/LTimer[2] && +
      !cnt/TimerTC)); -FTCPE FTCPE_cnt/RefCnt1 (cnt/RefCnt[1],cnt/RefCnt[0],CLK_FSB,1'b0,1'b0); +FTCPE FTCPE_cnt/LTimer4 (cnt/LTimer[4],cnt/LTimer_T[4],!C8M,1'b0,1'b0,cnt/TimerTC); +
     assign cnt/LTimer_T[4] = ((cnt/LTimer[13] && cnt/LTimer[4] && cnt/TimerTC) +
      || (cnt/LTimer[0] && !cnt/LTimer[13] && cnt/LTimer[1] && +
      cnt/LTimer[2] && cnt/LTimer[3]) +
      || (cnt/LTimer[0] && cnt/LTimer[1] && cnt/LTimer[2] && +
      cnt/LTimer[3] && !cnt/TimerTC)); -FTCPE FTCPE_cnt/RefCnt2 (cnt/RefCnt[2],cnt/RefCnt_T[2],CLK_FSB,1'b0,1'b0); -
     assign cnt/RefCnt_T[2] = (cnt/RefCnt[0] && cnt/RefCnt[1]); +FTCPE FTCPE_cnt/LTimer5 (cnt/LTimer[5],cnt/LTimer_T[5],!C8M,1'b0,1'b0,cnt/TimerTC); +
     assign cnt/LTimer_T[5] = ((cnt/LTimer[13] && cnt/LTimer[5] && cnt/TimerTC) +
      || (cnt/LTimer[0] && !cnt/LTimer[13] && cnt/LTimer[1] && +
      cnt/LTimer[2] && cnt/LTimer[3] && cnt/LTimer[4]) +
      || (cnt/LTimer[0] && cnt/LTimer[1] && cnt/LTimer[2] && +
      cnt/LTimer[3] && cnt/LTimer[4] && !cnt/TimerTC)); -FTCPE FTCPE_cnt/RefCnt3 (cnt/RefCnt[3],cnt/RefCnt_T[3],CLK_FSB,1'b0,1'b0); -
     assign cnt/RefCnt_T[3] = (cnt/RefCnt[0] && cnt/RefCnt[1] && cnt/RefCnt[2]); +FTCPE FTCPE_cnt/LTimer6 (cnt/LTimer[6],cnt/LTimer_T[6],!C8M,1'b0,1'b0,cnt/TimerTC); +
     assign cnt/LTimer_T[6] = ((cnt/LTimer[13] && cnt/LTimer[6] && cnt/TimerTC) +
      || (cnt/LTimer[0] && !cnt/LTimer[13] && cnt/LTimer[1] && +
      cnt/LTimer[2] && cnt/LTimer[3] && cnt/LTimer[5] && cnt/LTimer[4]) +
      || (cnt/LTimer[0] && cnt/LTimer[1] && cnt/LTimer[2] && +
      cnt/LTimer[3] && cnt/LTimer[5] && cnt/LTimer[4] && !cnt/TimerTC)); -FTCPE FTCPE_cnt/RefCnt4 (cnt/RefCnt[4],cnt/RefCnt_T[4],CLK_FSB,1'b0,1'b0); -
     assign cnt/RefCnt_T[4] = (cnt/RefCnt[0] && cnt/RefCnt[1] && cnt/RefCnt[3] && -
      cnt/RefCnt[2]); +FTCPE FTCPE_cnt/LTimer7 (cnt/LTimer[7],cnt/LTimer_T[7],!C8M,1'b0,1'b0,cnt/TimerTC); +
     assign cnt/LTimer_T[7] = ((cnt/LTimer[13] && cnt/LTimer[7] && cnt/TimerTC) +
      || (cnt/LTimer[0] && !cnt/LTimer[13] && cnt/LTimer[1] && +
      cnt/LTimer[2] && cnt/LTimer[3] && cnt/LTimer[5] && cnt/LTimer[4] && +
      cnt/LTimer[6]) +
      || (cnt/LTimer[0] && cnt/LTimer[1] && cnt/LTimer[2] && +
      cnt/LTimer[3] && cnt/LTimer[5] && cnt/LTimer[4] && cnt/LTimer[6] && +
      !cnt/TimerTC)); -FTCPE FTCPE_cnt/RefCnt5 (cnt/RefCnt[5],cnt/RefCnt_T[5],CLK_FSB,1'b0,1'b0); -
     assign cnt/RefCnt_T[5] = (cnt/RefCnt[0] && cnt/RefCnt[1] && cnt/RefCnt[3] && -
      cnt/RefCnt[2] && cnt/RefCnt[4]); +FTCPE FTCPE_cnt/LTimer8 (cnt/LTimer[8],cnt/LTimer_T[8],!C8M,1'b0,1'b0,cnt/TimerTC); +
     assign cnt/LTimer_T[8] = ((cnt/LTimer[13] && cnt/LTimer[8] && cnt/TimerTC) +
      || (cnt/LTimer[0] && !cnt/LTimer[13] && cnt/LTimer[1] && +
      cnt/LTimer[2] && cnt/LTimer[3] && cnt/LTimer[5] && cnt/LTimer[4] && +
      cnt/LTimer[6] && cnt/LTimer[7]) +
      || (cnt/LTimer[0] && cnt/LTimer[1] && cnt/LTimer[2] && +
      cnt/LTimer[3] && cnt/LTimer[5] && cnt/LTimer[4] && cnt/LTimer[6] && +
      cnt/LTimer[7] && !cnt/TimerTC)); -FTCPE FTCPE_cnt/RefCnt6 (cnt/RefCnt[6],cnt/RefCnt_T[6],CLK_FSB,1'b0,1'b0); -
     assign cnt/RefCnt_T[6] = (cnt/RefCnt[5] && cnt/RefCnt[0] && cnt/RefCnt[1] && -
      cnt/RefCnt[3] && cnt/RefCnt[2] && cnt/RefCnt[4]); +FTCPE FTCPE_cnt/LTimer9 (cnt/LTimer[9],cnt/LTimer_T[9],!C8M,1'b0,1'b0,cnt/TimerTC); +
     assign cnt/LTimer_T[9] = ((cnt/LTimer[13] && cnt/LTimer[9] && cnt/TimerTC) +
      || (cnt/LTimer[0] && !cnt/LTimer[13] && cnt/LTimer[1] && +
      cnt/LTimer[2] && cnt/LTimer[3] && cnt/LTimer[5] && cnt/LTimer[4] && +
      cnt/LTimer[6] && cnt/LTimer[7] && cnt/LTimer[8]) +
      || (cnt/LTimer[0] && cnt/LTimer[1] && cnt/LTimer[2] && +
      cnt/LTimer[3] && cnt/LTimer[5] && cnt/LTimer[4] && cnt/LTimer[6] && +
      cnt/LTimer[7] && cnt/LTimer[8] && !cnt/TimerTC)); -FTCPE FTCPE_cnt/RefCnt7 (cnt/RefCnt[7],cnt/RefCnt_T[7],CLK_FSB,1'b0,1'b0); -
     assign cnt/RefCnt_T[7] = (cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[0] && -
      cnt/RefCnt[1] && cnt/RefCnt[3] && cnt/RefCnt[2] && cnt/RefCnt[4]); +FTCPE FTCPE_cnt/LTimer10 (cnt/LTimer[10],cnt/LTimer_T[10],!C8M,1'b0,1'b0,cnt/TimerTC); +
     assign cnt/LTimer_T[10] = ((cnt/LTimer[13] && cnt/LTimer[10] && cnt/TimerTC) +
      || (cnt/LTimer[0] && !cnt/LTimer[13] && cnt/LTimer[1] && +
      cnt/LTimer[2] && cnt/LTimer[3] && cnt/LTimer[5] && cnt/LTimer[4] && +
      cnt/LTimer[6] && cnt/LTimer[7] && cnt/LTimer[9] && cnt/LTimer[8]) +
      || (cnt/LTimer[0] && cnt/LTimer[1] && cnt/LTimer[2] && +
      cnt/LTimer[3] && cnt/LTimer[5] && cnt/LTimer[4] && cnt/LTimer[6] && +
      cnt/LTimer[7] && cnt/LTimer[9] && cnt/LTimer[8] && !cnt/TimerTC)); -FDCPE FDCPE_cnt/RefDone (cnt/RefDone,cnt/RefDone_D,CLK_FSB,1'b0,1'b0); -
     assign cnt/RefDone_D = ((!cnt/RefDone && !ram/RefRAS) -
      || (!cnt/RefCnt[5] && !cnt/RefCnt[6] && !cnt/RefCnt[0] && -
      !cnt/RefCnt[7] && !cnt/RefCnt[1] && !cnt/RefCnt[3] && !cnt/RefCnt[2] && -
      !cnt/RefCnt[4])); +FTCPE FTCPE_cnt/LTimer11 (cnt/LTimer[11],cnt/LTimer_T[11],!C8M,1'b0,1'b0,cnt/TimerTC); +
     assign cnt/LTimer_T[11] = ((cnt/LTimer[13] && cnt/LTimer[11] && cnt/TimerTC) +
      || (cnt/LTimer[0] && !cnt/LTimer[13] && cnt/LTimer[1] && +
      cnt/LTimer[2] && cnt/LTimer[3] && cnt/LTimer[5] && cnt/LTimer[4] && +
      cnt/LTimer[6] && cnt/LTimer[7] && cnt/LTimer[9] && cnt/LTimer[10] && +
      cnt/LTimer[8]) +
      || (cnt/LTimer[0] && cnt/LTimer[1] && cnt/LTimer[2] && +
      cnt/LTimer[3] && cnt/LTimer[5] && cnt/LTimer[4] && cnt/LTimer[6] && +
      cnt/LTimer[7] && cnt/LTimer[9] && cnt/LTimer[10] && cnt/LTimer[8] && +
      !cnt/TimerTC)); -FTCPE FTCPE_cnt/TimeoutA (cnt/TimeoutA,cnt/TimeoutA_T,CLK_FSB,1'b0,1'b0); -
     assign cnt/TimeoutA_T = ((cnt/TimeoutA && nAS_FSB && !fsb/ASrf) -
      || (!cnt/TimeoutA && !nAS_FSB && !cnt/RefCnt[5] && -
      !cnt/RefCnt[6] && !cnt/RefCnt[0] && !cnt/RefCnt[1] && !cnt/RefCnt[3] && -
      !cnt/RefCnt[2] && !cnt/RefCnt[4]) -
      || (!cnt/TimeoutA && !cnt/RefCnt[5] && !cnt/RefCnt[6] && -
      !cnt/RefCnt[0] && !cnt/RefCnt[1] && !cnt/RefCnt[3] && !cnt/RefCnt[2] && -
      !cnt/RefCnt[4] && fsb/ASrf)); +FTCPE FTCPE_cnt/LTimer12 (cnt/LTimer[12],cnt/LTimer_T[12],!C8M,1'b0,1'b0,cnt/TimerTC); +
     assign cnt/LTimer_T[12] = ((cnt/LTimer[13] && cnt/LTimer[12] && cnt/TimerTC) +
      || (cnt/LTimer[0] && !cnt/LTimer[13] && cnt/LTimer[1] && +
      cnt/LTimer[2] && cnt/LTimer[3] && cnt/LTimer[5] && cnt/LTimer[4] && +
      cnt/LTimer[6] && cnt/LTimer[7] && cnt/LTimer[9] && cnt/LTimer[10] && +
      cnt/LTimer[11] && cnt/LTimer[8]) +
      || (cnt/LTimer[0] && cnt/LTimer[1] && cnt/LTimer[2] && +
      cnt/LTimer[3] && cnt/LTimer[5] && cnt/LTimer[4] && cnt/LTimer[6] && +
      cnt/LTimer[7] && cnt/LTimer[9] && cnt/LTimer[10] && cnt/LTimer[11] && +
      cnt/LTimer[8] && !cnt/TimerTC)); -FTCPE FTCPE_cnt/TimeoutB (cnt/TimeoutB,cnt/TimeoutB_T,CLK_FSB,1'b0,1'b0); -
     assign cnt/TimeoutB_T = ((cnt/TimeoutB && nAS_FSB && !fsb/ASrf) -
      || (!cnt/TimeoutB && cnt/TimeoutBPre && !nAS_FSB && -
      !cnt/RefCnt[5] && !cnt/RefCnt[6] && !cnt/RefCnt[0] && !cnt/RefCnt[7] && -
      !cnt/RefCnt[1] && !cnt/RefCnt[3] && !cnt/RefCnt[2] && !cnt/RefCnt[4]) -
      || (!cnt/TimeoutB && cnt/TimeoutBPre && !cnt/RefCnt[5] && -
      !cnt/RefCnt[6] && !cnt/RefCnt[0] && !cnt/RefCnt[7] && !cnt/RefCnt[1] && -
      !cnt/RefCnt[3] && !cnt/RefCnt[2] && !cnt/RefCnt[4] && fsb/ASrf)); +FTCPE FTCPE_cnt/LTimer13 (cnt/LTimer[13],cnt/LTimer_T[13],!C8M,1'b0,1'b0,cnt/TimerTC); +
     assign cnt/LTimer_T[13] = ((cnt/LTimer[13] && cnt/TimerTC) +
      || (cnt/LTimer[0] && cnt/LTimer[1] && cnt/LTimer[2] && +
      cnt/LTimer[3] && cnt/LTimer[5] && cnt/LTimer[4] && cnt/LTimer[6] && +
      cnt/LTimer[7] && cnt/LTimer[9] && cnt/LTimer[10] && cnt/LTimer[11] && +
      cnt/LTimer[8] && cnt/LTimer[12])); -FTCPE FTCPE_cnt/TimeoutBPre (cnt/TimeoutBPre,cnt/TimeoutBPre_T,CLK_FSB,1'b0,1'b0); -
     assign cnt/TimeoutBPre_T = ((cnt/TimeoutBPre && nAS_FSB && !fsb/ASrf) -
      || (!cnt/TimeoutBPre && !nAS_FSB && !cnt/RefCnt[5] && -
      !cnt/RefCnt[6] && !cnt/RefCnt[0] && !cnt/RefCnt[7] && !cnt/RefCnt[1] && -
      !cnt/RefCnt[3] && !cnt/RefCnt[2] && !cnt/RefCnt[4]) -
      || (!cnt/TimeoutBPre && !cnt/RefCnt[5] && !cnt/RefCnt[6] && -
      !cnt/RefCnt[0] && !cnt/RefCnt[7] && !cnt/RefCnt[1] && !cnt/RefCnt[3] && -
      !cnt/RefCnt[2] && !cnt/RefCnt[4] && fsb/ASrf)); +FTCPE FTCPE_cnt/PORS_FSM_FFd1 (cnt/PORS_FSM_FFd1,cnt/PORS_FSM_FFd1_T,!C8M,1'b0,1'b0); +
     assign cnt/PORS_FSM_FFd1_T = (cnt/LTimer[13] && !cnt/PORS_FSM_FFd1 && +
      cnt/PORS_FSM_FFd2 && !cnt/IPL2r); -FTCPE FTCPE_cs/nOverlay0 (cs/nOverlay0,cs/nOverlay0_T,CLK_FSB,!nRES,1'b0); +FDCPE FDCPE_cnt/PORS_FSM_FFd2 (cnt/PORS_FSM_FFd2,cnt/PORS_FSM_FFd2_D,!C8M,1'b0,1'b0); +
     assign cnt/PORS_FSM_FFd2_D = ((cnt/LTimer[13] && !cnt/PORS_FSM_FFd1) +
      || (!cnt/LTimer[13] && cnt/PORS_FSM_FFd2)); + +FDCPE FDCPE_cnt/RefReq (cnt/RefReq,cnt/RefReq_D,E,1'b0,1'b0); +
     assign cnt/RefReq_D = ((cnt/Timer[1] && !cnt/Timer[3]) +
      || (cnt/Timer[2] && !cnt/Timer[3]) +
      || (!cnt/Timer[1] && !cnt/Timer[2] && !cnt/Timer[0] && +
      cnt/Timer[3])); + +FDCPE FDCPE_cnt/RefUrgent (cnt/RefUrgent,cnt/RefUrgent_D,E,1'b0,1'b0); +
     assign cnt/RefUrgent_D = ((cnt/Timer[1] && cnt/Timer[2] && !cnt/Timer[3]) +
      || (!cnt/Timer[1] && !cnt/Timer[2] && !cnt/Timer[0] && +
      cnt/Timer[3])); + +FDCPE FDCPE_cnt/Timer0 (cnt/Timer[0],cnt/Timer_D[0],E,1'b0,1'b0); +
     assign cnt/Timer_D[0] = (!cnt/TimerTC && !cnt/Timer[0]); + +FDCPE FDCPE_cnt/Timer1 (cnt/Timer[1],cnt/Timer_D[1],E,1'b0,1'b0); +
     assign cnt/Timer_D[1] = ((!cnt/TimerTC && cnt/Timer[1] && !cnt/Timer[0]) +
      || (!cnt/TimerTC && !cnt/Timer[1] && cnt/Timer[0])); + +FTCPE FTCPE_cnt/Timer2 (cnt/Timer[2],cnt/Timer_T[2],E,1'b0,1'b0); +
     assign cnt/Timer_T[2] = ((cnt/TimerTC && cnt/Timer[2]) +
      || (!cnt/TimerTC && cnt/Timer[1] && cnt/Timer[0])); + +FTCPE FTCPE_cnt/Timer3 (cnt/Timer[3],cnt/Timer_T[3],E,1'b0,1'b0); +
     assign cnt/Timer_T[3] = ((cnt/TimerTC && cnt/Timer[3]) +
      || (!cnt/TimerTC && cnt/Timer[1] && cnt/Timer[2] && +
      cnt/Timer[0])); + +FDCPE FDCPE_cnt/TimerTC (cnt/TimerTC,cnt/TimerTC_D,E,1'b0,1'b0); +
     assign cnt/TimerTC_D = (!cnt/Timer[1] && !cnt/Timer[2] && !cnt/Timer[0] && +
      cnt/Timer[3]); + +FDCPE FDCPE_cnt/nRESout (cnt/nRESout,cnt/nRESout_D,!C8M,1'b0,1'b0); +
     assign cnt/nRESout_D = ((cnt/LTimer[13] && cnt/PORS_FSM_FFd1 && +
      !cnt/PORS_FSM_FFd2) +
      || (cnt/PORS_FSM_FFd1 && !cnt/PORS_FSM_FFd2 && +
      cnt/nRESout)); + +FTCPE FTCPE_cs/nOverlay0 (cs/nOverlay0,cs/nOverlay0_T,FCLK,!nRES.PIN,1'b0);
     assign cs/nOverlay0_T = ((!A_FSB[23] && A_FSB[22] && !A_FSB[21] && !A_FSB[20] &&
      !cs/nOverlay0 && !nAS_FSB)
      || (!A_FSB[23] && A_FSB[22] && !A_FSB[21] && !A_FSB[20] &&
      !cs/nOverlay0 && fsb/ASrf)); -FDCPE FDCPE_cs/nOverlay1 (cs/nOverlay1,cs/nOverlay0,CLK_FSB,1'b0,1'b0,cs/nOverlay1_CE); +FDCPE FDCPE_cs/nOverlay1 (cs/nOverlay1,cs/nOverlay0,FCLK,1'b0,1'b0,cs/nOverlay1_CE);
     assign cs/nOverlay1_CE = (nAS_FSB && !fsb/ASrf); -FDCPE FDCPE_fsb/ASrf (fsb/ASrf,!nAS_FSB,!CLK_FSB,1'b0,1'b0); +FDCPE FDCPE_fsb/ASrf (fsb/ASrf,!nAS_FSB,!FCLK,1'b0,1'b0); -FDCPE FDCPE_fsb/BERR0r (fsb/BERR0r,fsb/BERR0r_D,CLK_FSB,1'b0,1'b0); -
     assign fsb/BERR0r_D = ((!cnt/TimeoutB && !fsb/BERR0r) -
      || (nAS_FSB && !fsb/ASrf) -
      || (!A_FSB[23] && A_FSB[22] && !A_FSB[21] && A_FSB[20] && -
      !fsb/BERR0r)); - -FDCPE FDCPE_fsb/BERR1r (fsb/BERR1r,fsb/BERR1r_D,CLK_FSB,1'b0,1'b0); -
     assign fsb/BERR1r_D = ((!iobs/BERR && !fsb/BERR1r) -
      || (nAS_FSB && !fsb/ASrf)); - -FDCPE FDCPE_fsb/Ready0r (fsb/Ready0r,fsb/Ready0r_D,CLK_FSB,1'b0,1'b0); +FDCPE FDCPE_fsb/Ready0r (fsb/Ready0r,fsb/Ready0r_D,FCLK,1'b0,1'b0);
     assign fsb/Ready0r_D = ((nAS_FSB && !fsb/ASrf)
      || (!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 &&
      !fsb/Ready0r && !ram/RAMReady)
      || (!A_FSB[23] && A_FSB[22] && A_FSB[21] &&
      !cs/nOverlay1 && !fsb/Ready0r && !ram/RAMReady)); -FDCPE FDCPE_fsb/Ready1r (fsb/Ready1r,fsb/Ready1r_D,CLK_FSB,1'b0,1'b0); -
     assign fsb/Ready1r_D = ((cs/nOverlay0.EXP) +FDCPE FDCPE_fsb/Ready1r (fsb/Ready1r,fsb/Ready1r_D,FCLK,1'b0,1'b0); +
     assign fsb/Ready1r_D = ((A_FSB[13] && A_FSB[22] && A_FSB[20] && A_FSB[19] && +
      A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && +
      !fsb/Ready1r && !iobs/IOReady) +
      || (A_FSB[14] && !A_FSB[22] && A_FSB[21] && A_FSB[20] && +
      A_FSB[19] && A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && +
      cs/nOverlay1 && !fsb/Ready1r && !iobs/IOReady && !nADoutLE1) +
      || (A_FSB[13] && !A_FSB[22] && A_FSB[21] && A_FSB[20] && +
      A_FSB[19] && A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && +
      cs/nOverlay1 && !fsb/Ready1r && !iobs/IOReady && !nADoutLE1) +
      || (nAS_FSB && !fsb/ASrf)
      || (A_FSB[23] && !fsb/Ready1r && !iobs/IOReady)
      || (A_FSB[22] && !A_FSB[21] && A_FSB[20] && !fsb/Ready1r &&
      !iobs/IOReady) -
      || (A_FSB[22] && !A_FSB[21] && !fsb/Ready1r && -
      !iobs/IOReady && !SW[1])
      || (A_FSB[14] && A_FSB[22] && A_FSB[20] && A_FSB[19] &&
      A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && -
      !fsb/Ready1r && !iobs/IOReady) -
      || (A_FSB[13] && A_FSB[22] && A_FSB[20] && A_FSB[19] && -
      A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && -
      !fsb/Ready1r && !iobs/IOReady) -
      || (nAS_FSB && !fsb/ASrf)); +
      !fsb/Ready1r && !iobs/IOReady)); -FDCPE FDCPE_fsb/Ready2r (fsb/Ready2r,fsb/Ready2r_D,CLK_FSB,1'b0,1'b0); -
     assign fsb/Ready2r_D = ((nAS_FSB && !fsb/ASrf) -
      || (A_FSB[8] && A_FSB[15] && A_FSB[14] && A_FSB[13] && -
      A_FSB[12] && A_FSB[11] && A_FSB[10] && !A_FSB[23] && A_FSB[22] && -
      A_FSB[21] && A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && -
      A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && !cnt/TimeoutA && -
      !fsb/Ready2r) -
      || (A_FSB[8] && A_FSB[15] && A_FSB[14] && A_FSB[13] && -
      A_FSB[12] && A_FSB[11] && A_FSB[10] && !A_FSB[23] && !A_FSB[22] && -
      A_FSB[21] && A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && -
      A_FSB[16] && !nWE_FSB && cs/nOverlay1 && !cnt/TimeoutA && -
      !fsb/Ready2r) -
      || (A_FSB[8] && A_FSB[15] && !A_FSB[14] && A_FSB[13] && -
      !A_FSB[12] && !A_FSB[11] && !A_FSB[10] && !A_FSB[23] && !A_FSB[22] && -
      A_FSB[21] && A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && -
      A_FSB[16] && !nWE_FSB && cs/nOverlay1 && !cnt/TimeoutA && -
      !fsb/Ready2r) -
      || (A_FSB[9] && A_FSB[15] && A_FSB[14] && A_FSB[13] && -
      A_FSB[12] && A_FSB[11] && A_FSB[10] && !A_FSB[23] && A_FSB[22] && -
      A_FSB[21] && A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && -
      A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && !cnt/TimeoutA && -
      !fsb/Ready2r) -
      || (A_FSB[9] && A_FSB[15] && A_FSB[14] && A_FSB[13] && -
      A_FSB[12] && A_FSB[11] && A_FSB[10] && !A_FSB[23] && !A_FSB[22] && -
      A_FSB[21] && A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && -
      A_FSB[16] && !nWE_FSB && cs/nOverlay1 && !cnt/TimeoutA && -
      !fsb/Ready2r) -
      || (A_FSB[9] && A_FSB[15] && !A_FSB[14] && A_FSB[13] && -
      !A_FSB[12] && !A_FSB[11] && !A_FSB[10] && !A_FSB[23] && A_FSB[22] && -
      A_FSB[21] && A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && -
      A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && !cnt/TimeoutA && -
      !fsb/Ready2r) -
      || (A_FSB[9] && A_FSB[15] && !A_FSB[14] && A_FSB[13] && -
      !A_FSB[12] && !A_FSB[11] && !A_FSB[10] && !A_FSB[23] && !A_FSB[22] && -
      A_FSB[21] && A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && -
      A_FSB[16] && !nWE_FSB && cs/nOverlay1 && !cnt/TimeoutA && -
      !fsb/Ready2r) -
      || (A_FSB[8] && A_FSB[15] && !A_FSB[14] && A_FSB[13] && -
      !A_FSB[12] && !A_FSB[11] && !A_FSB[10] && !A_FSB[23] && A_FSB[22] && -
      A_FSB[21] && A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && -
      A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && !cnt/TimeoutA && -
      !fsb/Ready2r)); - -FDCPE FDCPE_fsb/VPA (fsb/VPA,fsb/VPA_D,CLK_FSB,1'b0,1'b0); -
     assign fsb/VPA_D = ((EXP15_.EXP) +FDCPE FDCPE_fsb/VPA (fsb/VPA,fsb/VPA_D,FCLK,1'b0,1'b0); +
     assign fsb/VPA_D = ((EXP21_.EXP)
      || (!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && -
      !fsb/Ready0r && fsb/VPA && !ram/RAMReady && !$OpTx$FX_DC$591) -
      || (A_FSB[22] && !A_FSB[21] && !fsb/Ready1r && fsb/VPA && -
      !iobs/IOReady && !SW[1] && !$OpTx$FX_DC$591) +
      !fsb/Ready0r && fsb/VPA && fsb/ASrf && !ram/RAMReady)
      || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && -
      !cs/nOverlay1 && !fsb/Ready0r && fsb/VPA && !ram/RAMReady && -
      !$OpTx$FX_DC$591) +
      !cs/nOverlay1 && !fsb/Ready0r && fsb/VPA && !nAS_FSB && !ram/RAMReady) +
      || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && +
      !cs/nOverlay1 && !fsb/Ready0r && fsb/VPA && fsb/ASrf && !ram/RAMReady)
      || (A_FSB[14] && A_FSB[22] && A_FSB[20] && A_FSB[19] &&
      A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && -
      !fsb/Ready1r && fsb/VPA && !iobs/IOReady && !$OpTx$FX_DC$591) +
      !fsb/Ready1r && fsb/VPA && !iobs/IOReady && !nAS_FSB)
      || (A_FSB[13] && A_FSB[22] && A_FSB[20] && A_FSB[19] &&
      A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && -
      !fsb/Ready1r && fsb/VPA && !iobs/IOReady && !$OpTx$FX_DC$591) -
      || (nROMWE_OBUF.EXP) -
      || (A_FSB[23] && cnt/TimeoutB && fsb/VPA && -
      !$OpTx$FX_DC$591) -
      || (!A_FSB[22] && cnt/TimeoutB && fsb/VPA && -
      !$OpTx$FX_DC$591) -
      || (A_FSB[21] && cnt/TimeoutB && fsb/VPA && -
      !$OpTx$FX_DC$591) +
      !fsb/Ready1r && fsb/VPA && !iobs/IOReady && !nAS_FSB) +
      || (A_FSB[14] && A_FSB[22] && A_FSB[20] && A_FSB[19] && +
      A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && +
      !fsb/Ready1r && fsb/VPA && !iobs/IOReady && fsb/ASrf) +
      || (A_FSB[13] && A_FSB[22] && A_FSB[20] && A_FSB[19] && +
      A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && +
      !fsb/Ready1r && fsb/VPA && !iobs/IOReady && fsb/ASrf) +
      || (A_FSB[14] && !A_FSB[22] && A_FSB[21] && A_FSB[20] && +
      A_FSB[19] && A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && +
      cs/nOverlay1 && !fsb/Ready1r && fsb/VPA && !iobs/IOReady && !nAS_FSB && +
      !nADoutLE1) +
      || (A_FSB[13] && !A_FSB[22] && A_FSB[21] && A_FSB[20] && +
      A_FSB[19] && A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && +
      cs/nOverlay1 && !fsb/Ready1r && fsb/VPA && !iobs/IOReady && !nAS_FSB && +
      !nADoutLE1) +
      || (A_FSB[13] && !A_FSB[22] && A_FSB[21] && A_FSB[20] && +
      A_FSB[19] && A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && +
      cs/nOverlay1 && !fsb/Ready1r && fsb/VPA && !iobs/IOReady && fsb/ASrf && +
      !nADoutLE1)
      || (A_FSB[23] && !fsb/Ready1r && fsb/VPA && -
      !iobs/IOReady && !$OpTx$FX_DC$591) +
      !iobs/IOReady && !nAS_FSB) +
      || (A_FSB[23] && !fsb/Ready1r && fsb/VPA && +
      !iobs/IOReady && fsb/ASrf) +
      || (!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && +
      !fsb/Ready0r && fsb/VPA && !nAS_FSB && !ram/RAMReady)
      || (A_FSB[22] && !A_FSB[21] && A_FSB[20] && !fsb/Ready1r && -
      fsb/VPA && !iobs/IOReady && !$OpTx$FX_DC$591) -
      || (iobs/BERR && fsb/VPA && !$OpTx$FX_DC$591) -
      || (fsb/BERR0r && fsb/VPA && !$OpTx$FX_DC$591) -
      || (fsb/BERR1r && fsb/VPA && !$OpTx$FX_DC$591) -
      || (fsb/VPA && !nBR_IOB && !$OpTx$FX_DC$591) -
      || (!A_FSB[20] && cnt/TimeoutB && fsb/VPA && -
      !$OpTx$FX_DC$591)); +
      fsb/VPA && !iobs/IOReady && !nAS_FSB) +
      || (A_FSB[22] && !A_FSB[21] && A_FSB[20] && !fsb/Ready1r && +
      fsb/VPA && !iobs/IOReady && fsb/ASrf)); -FDCPE FDCPE_iobm/ALE0 (iobm/ALE0,iobm/ALE0_D,CLK2X_IOB,1'b0,1'b0); -
     assign iobm/ALE0_D = ((iobm/IOS_FSM_FFd2) -
      || (iobm/IOS_FSM_FFd3 && !iobm/IOS_FSM_FFd1) -
      || (!iobm/IOS_FSM_FFd1 && iobm/IOREQr && !nAoutOE)); +FDCPE FDCPE_iobm/ALE0 (iobm/ALE0,iobm/ALE0_D,C16M,1'b0,1'b0); +
     assign iobm/ALE0_D = ((iobm/IOS_FSM_FFd1 && !iobm/IOS_FSM_FFd2) +
      || (!iobm/IOS_FSM_FFd3 && !iobm/IOS_FSM_FFd2 && +
      !iobm/IOREQr)); -FDCPE FDCPE_iobm/BERRrf (iobm/BERRrf,!nBERR_IOB,!CLK2X_IOB,1'b0,1'b0); +FDCPE FDCPE_iobm/BERRrf (iobm/BERRrf,!nBERR_IOB,!C16M,1'b0,1'b0); -FDCPE FDCPE_iobm/BERRrr (iobm/BERRrr,!nBERR_IOB,CLK2X_IOB,1'b0,1'b0); +FDCPE FDCPE_iobm/BERRrr (iobm/BERRrr,!nBERR_IOB,C16M,1'b0,1'b0); -FDCPE FDCPE_iobm/BGr0 (iobm/BGr0,!nBG_IOB,CLK2X_IOB,1'b0,1'b0); +FDCPE FDCPE_iobm/BG (iobm/BG,!nBG_IOB,C16M,1'b0,1'b0,iobm/nASr); -FDCPE FDCPE_iobm/BGr1 (iobm/BGr1,iobm/BGr0,CLK2X_IOB,1'b0,1'b0); +FDCPE FDCPE_iobm/DTACKrf (iobm/DTACKrf,!nDTACK_IOB,!C16M,1'b0,1'b0); -FDCPE FDCPE_iobm/DTACKrf (iobm/DTACKrf,!nDTACK_IOB,!CLK2X_IOB,1'b0,1'b0); +FDCPE FDCPE_iobm/DTACKrr (iobm/DTACKrr,!nDTACK_IOB,C16M,1'b0,1'b0); -FDCPE FDCPE_iobm/DTACKrr (iobm/DTACKrr,!nDTACK_IOB,CLK2X_IOB,1'b0,1'b0); +FDCPE FDCPE_iobm/DoutOE (iobm/DoutOE,iobm/DoutOE_D,C16M,1'b0,1'b0); +
     assign iobm/DoutOE_D = ((iobs/IORW0 && iobm/IOS_FSM_FFd3) +
      || (iobs/IORW0 && iobm/IOS_FSM_FFd2)); -FTCPE FTCPE_iobm/ES0 (iobm/ES[0],iobm/ES_T[0],CLK2X_IOB,1'b0,1'b0); +FTCPE FTCPE_iobm/ES0 (iobm/ES[0],iobm/ES_T[0],C16M,1'b0,1'b0);
     assign iobm/ES_T[0] = ((iobm/ES[0] && !iobm/Er && iobm/Er2)
      || (!iobm/ES[0] && !iobm/ES[1] && !iobm/ES[2] &&
      !iobm/ES[3] && !iobm/ES[4] && iobm/Er)
      || (!iobm/ES[0] && !iobm/ES[1] && !iobm/ES[2] &&
      !iobm/ES[3] && !iobm/ES[4] && !iobm/Er2)); -FDCPE FDCPE_iobm/ES1 (iobm/ES[1],iobm/ES_D[1],CLK2X_IOB,1'b0,1'b0); +FDCPE FDCPE_iobm/ES1 (iobm/ES[1],iobm/ES_D[1],C16M,1'b0,1'b0);
     assign iobm/ES_D[1] = ((iobm/ES[0] && iobm/ES[1])
      || (!iobm/ES[0] && !iobm/ES[1])
      || (!iobm/Er && iobm/Er2)); -FDCPE FDCPE_iobm/ES2 (iobm/ES[2],iobm/ES_D[2],CLK2X_IOB,1'b0,1'b0); +FDCPE FDCPE_iobm/ES2 (iobm/ES[2],iobm/ES_D[2],C16M,1'b0,1'b0);
     assign iobm/ES_D[2] = ((!iobm/ES[0] && !iobm/ES[2])
      || (!iobm/ES[1] && !iobm/ES[2])
      || (!iobm/Er && iobm/Er2)
      || (iobm/ES[0] && iobm/ES[1] && iobm/ES[2])
      || (!iobm/ES[2] && !iobm/ES[3] && iobm/ES[4])); -FTCPE FTCPE_iobm/ES3 (iobm/ES[3],iobm/ES_T[3],CLK2X_IOB,1'b0,1'b0); +FTCPE FTCPE_iobm/ES3 (iobm/ES[3],iobm/ES_T[3],C16M,1'b0,1'b0);
     assign iobm/ES_T[3] = ((iobm/ES[3] && !iobm/Er && iobm/Er2)
      || (iobm/ES[0] && iobm/ES[1] && iobm/ES[2] && iobm/Er)
      || (iobm/ES[0] && iobm/ES[1] && iobm/ES[2] && !iobm/Er2)); -FTCPE FTCPE_iobm/ES4 (iobm/ES[4],iobm/ES_T[4],CLK2X_IOB,1'b0,1'b0); +FTCPE FTCPE_iobm/ES4 (iobm/ES[4],iobm/ES_T[4],C16M,1'b0,1'b0);
     assign iobm/ES_T[4] = ((iobm/ES[4] && !iobm/Er && iobm/Er2)
      || (iobm/ES[0] && iobm/ES[1] && iobm/ES[2] &&
      iobm/ES[3] && iobm/Er) @@ -356,220 +378,237 @@ FTCPE FTCPE_iobm/ES4 (iobm/ES[4],iobm/ES_T[4],CLK2X_IOB,1'b0,1'b0);
      || (iobm/ES[0] && iobm/ES[1] && !iobm/ES[2] &&
      !iobm/ES[3] && iobm/ES[4])); -FDCPE FDCPE_iobm/ETACK (iobm/ETACK,iobm/ETACK_D,CLK2X_IOB,1'b0,1'b0); +FDCPE FDCPE_iobm/ETACK (iobm/ETACK,iobm/ETACK_D,C16M,1'b0,1'b0);
     assign iobm/ETACK_D = (!nVMA_IOB && !iobm/ES[0] && !iobm/ES[1] && !iobm/ES[2] &&
      !iobm/ES[3] && iobm/ES[4]); -FDCPE FDCPE_iobm/Er (iobm/Er,E_IOB,!CLK_IOB,1'b0,1'b0); +FDCPE FDCPE_iobm/Er (iobm/Er,E,!C8M,1'b0,1'b0); -FDCPE FDCPE_iobm/Er2 (iobm/Er2,iobm/Er,CLK2X_IOB,1'b0,1'b0); +FDCPE FDCPE_iobm/Er2 (iobm/Er2,iobm/Er,C16M,1'b0,1'b0); -FDCPE FDCPE_iobm/IOACT (iobm/IOACT,iobm/IOACT_D,CLK2X_IOB,1'b0,1'b0); -
     assign iobm/IOACT_D = ((CLK_IOB && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 && -
      iobm/DTACKrf && iobm/DTACKrr) -
      || (CLK_IOB && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 && +FDCPE FDCPE_iobm/IOACT (iobm/IOACT,iobm/IOACT_D,C16M,1'b0,1'b0); +
     assign iobm/IOACT_D = ((C8M && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 &&
      iobm/RESrf && iobm/RESrr)
      || (iobm/IOS_FSM_FFd1 && !iobm/IOS_FSM_FFd2)
      || (!iobm/IOS_FSM_FFd3 && !iobm/IOS_FSM_FFd2 &&
      !iobm/IOREQr) -
      || (!iobm/IOS_FSM_FFd3 && !iobm/IOS_FSM_FFd2 && nAoutOE) -
      || (CLK_IOB && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 && +
      || (C8M && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 &&
      iobm/ETACK) -
      || (CLK_IOB && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 && -
      iobm/BERRrf && iobm/BERRrr)); +
      || (C8M && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 && +
      iobm/BERRrf && iobm/BERRrr) +
      || (C8M && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 && +
      iobm/DTACKrf && iobm/DTACKrr)); -FTCPE FTCPE_iobm/IOBERR (iobm/IOBERR,iobm/IOBERR_T,CLK2X_IOB,1'b0,1'b0); -
     assign iobm/IOBERR_T = ((CLK_IOB && nBERR_IOB && iobm/IOS_FSM_FFd3 && +FTCPE FTCPE_iobm/IOBERR (iobm/IOBERR,iobm/IOBERR_T,C16M,1'b0,1'b0); +
     assign iobm/IOBERR_T = ((C8M && nBERR_IOB && iobm/IOS_FSM_FFd3 &&
      iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2 && iobm/IOBERR && iobm/RESrf &&
      iobm/RESrr) -
      || (CLK_IOB && !nBERR_IOB && iobm/IOS_FSM_FFd3 && +
      || (C8M && !nBERR_IOB && iobm/IOS_FSM_FFd3 &&
      iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2 && !iobm/IOBERR && iobm/BERRrf &&
      iobm/BERRrr) -
      || (CLK_IOB && !nBERR_IOB && iobm/IOS_FSM_FFd3 && +
      || (C8M && !nBERR_IOB && iobm/IOS_FSM_FFd3 &&
      iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2 && !iobm/IOBERR && iobm/DTACKrf &&
      iobm/DTACKrr) -
      || (CLK_IOB && !nBERR_IOB && iobm/IOS_FSM_FFd3 && +
      || (C8M && !nBERR_IOB && iobm/IOS_FSM_FFd3 &&
      iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2 && !iobm/IOBERR && iobm/RESrf &&
      iobm/RESrr)
      || (iobm/IOS_FSM_FFd3 && !iobm/IOS_FSM_FFd1 &&
      !iobm/IOS_FSM_FFd2 && iobm/IOBERR) -
      || (CLK_IOB && nBERR_IOB && iobm/IOS_FSM_FFd3 && +
      || (C8M && nBERR_IOB && iobm/IOS_FSM_FFd3 &&
      iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2 && iobm/IOBERR && iobm/ETACK) -
      || (CLK_IOB && !nBERR_IOB && iobm/IOS_FSM_FFd3 && +
      || (C8M && !nBERR_IOB && iobm/IOS_FSM_FFd3 &&
      iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2 && !iobm/IOBERR && iobm/ETACK) -
      || (CLK_IOB && nBERR_IOB && iobm/IOS_FSM_FFd3 && +
      || (C8M && nBERR_IOB && iobm/IOS_FSM_FFd3 &&
      iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2 && iobm/IOBERR && iobm/BERRrf &&
      iobm/BERRrr) -
      || (CLK_IOB && nBERR_IOB && iobm/IOS_FSM_FFd3 && +
      || (C8M && nBERR_IOB && iobm/IOS_FSM_FFd3 &&
      iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2 && iobm/IOBERR && iobm/DTACKrf &&
      iobm/DTACKrr)); -FDCPE FDCPE_iobm/IOREQr (iobm/IOREQr,iobs/IOREQ,!CLK2X_IOB,1'b0,1'b0); +FDCPE FDCPE_iobm/IOREQr (iobm/IOREQr,iobs/IOREQ,!C16M,1'b0,1'b0); -FDCPE FDCPE_iobm/IOS_FSM_FFd1 (iobm/IOS_FSM_FFd1,iobm/IOS_FSM_FFd1_D,CLK2X_IOB,1'b0,1'b0); +FDCPE FDCPE_iobm/IOS_FSM_FFd1 (iobm/IOS_FSM_FFd1,iobm/IOS_FSM_FFd1_D,C16M,1'b0,1'b0);
     assign iobm/IOS_FSM_FFd1_D = ((iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1)
      || (!iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd2)); -FTCPE FTCPE_iobm/IOS_FSM_FFd2 (iobm/IOS_FSM_FFd2,iobm/IOS_FSM_FFd2_T,CLK2X_IOB,1'b0,1'b0); +FTCPE FTCPE_iobm/IOS_FSM_FFd2 (iobm/IOS_FSM_FFd2,iobm/IOS_FSM_FFd2_T,C16M,1'b0,1'b0);
     assign iobm/IOS_FSM_FFd2_T = ((iobm/IOS_FSM_FFd3 && !iobm/IOS_FSM_FFd1 &&
      !iobm/IOS_FSM_FFd2) -
      || (CLK_IOB && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 && +
      || (C8M && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 &&
      iobm/IOS_FSM_FFd2 && iobm/ETACK) -
      || (CLK_IOB && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 && +
      || (C8M && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 &&
      iobm/IOS_FSM_FFd2 && iobm/BERRrf && iobm/BERRrr) -
      || (CLK_IOB && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 && +
      || (C8M && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 &&
      iobm/IOS_FSM_FFd2 && iobm/DTACKrf && iobm/DTACKrr) -
      || (CLK_IOB && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 && +
      || (C8M && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 &&
      iobm/IOS_FSM_FFd2 && iobm/RESrf && iobm/RESrr)); -FDCPE FDCPE_iobm/IOS_FSM_FFd3 (iobm/IOS_FSM_FFd3,iobm/IOS_FSM_FFd3_D,CLK2X_IOB,1'b0,1'b0); +FDCPE FDCPE_iobm/IOS_FSM_FFd3 (iobm/IOS_FSM_FFd3,iobm/IOS_FSM_FFd3_D,C16M,1'b0,1'b0);
     assign iobm/IOS_FSM_FFd3_D = ((iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2)
      || (iobm/IOS_FSM_FFd3 && !iobm/IOS_FSM_FFd1 &&
      !iobm/IOS_FSM_FFd2) -
      || (!CLK_IOB && !iobm/IOS_FSM_FFd1 && !iobm/IOS_FSM_FFd2 && -
      iobm/IOREQr && !nAoutOE)); +
      || (!C8M && iobm/BG && !iobm/IOS_FSM_FFd1 && +
      !iobm/IOS_FSM_FFd2 && iobm/IOREQr)); -FDCPE FDCPE_iobm/RESrf (iobm/RESrf,!nRES,!CLK2X_IOB,1'b0,1'b0); +FDCPE FDCPE_iobm/RESrf (iobm/RESrf,!nRES.PIN,!C16M,1'b0,1'b0); -FDCPE FDCPE_iobm/RESrr (iobm/RESrr,!nRES,CLK2X_IOB,1'b0,1'b0); +FDCPE FDCPE_iobm/RESrr (iobm/RESrr,!nRES.PIN,C16M,1'b0,1'b0); -FDCPE FDCPE_iobm/VPArf (iobm/VPArf,!nVPA_IOB,!CLK2X_IOB,1'b0,1'b0); +FDCPE FDCPE_iobm/VPArf (iobm/VPArf,!nVPA_IOB,!C16M,1'b0,1'b0); -FDCPE FDCPE_iobm/VPArr (iobm/VPArr,!nVPA_IOB,CLK2X_IOB,1'b0,1'b0); +FDCPE FDCPE_iobm/VPArr (iobm/VPArr,!nVPA_IOB,C16M,1'b0,1'b0); -FDCPE FDCPE_iobs/ALE0 (iobs/ALE0,iobs/ALE0_D,CLK_FSB,1'b0,1'b0); +FDCPE FDCPE_iobm/nASr (iobm/nASr,iobm/nASr_D,C16M,1'b0,1'b0); +
     assign iobm/nASr_D = (!nAS_IOB && nAoutOE); + +FDCPE FDCPE_iobs/ALE0 (iobs/ALE0,iobs/ALE0_D,FCLK,1'b0,1'b0);
     assign iobs/ALE0_D = (iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1); -FTCPE FTCPE_iobs/BERR (iobs/BERR,iobs/BERR_T,CLK_FSB,1'b0,1'b0); -
     assign iobs/BERR_T = ((iobs/BERR && nAS_FSB && !fsb/ASrf) -
      || (iobs/Once && iobs/BERR && !iobs/PS_FSM_FFd2 && -
      !iobs/IOACTr && !iobm/IOBERR && nADoutLE1) -
      || (iobs/Once && !iobs/BERR && !nAS_FSB && -
      !iobs/PS_FSM_FFd2 && !iobs/IOACTr && iobm/IOBERR && nADoutLE1) -
      || (iobs/Once && !iobs/BERR && !iobs/PS_FSM_FFd2 && -
      !iobs/IOACTr && iobm/IOBERR && fsb/ASrf && nADoutLE1)); - -FDCPE FDCPE_iobs/Clear1 (iobs/Clear1,iobs/Clear1_D,CLK_FSB,1'b0,1'b0); +FDCPE FDCPE_iobs/Clear1 (iobs/Clear1,iobs/Clear1_D,FCLK,1'b0,1'b0);
     assign iobs/Clear1_D = (iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && !nADoutLE1); -FDCPE FDCPE_iobs/IOACTr (iobs/IOACTr,iobm/IOACT,CLK_FSB,1'b0,1'b0); +FDCPE FDCPE_iobs/IOACTr (iobs/IOACTr,iobm/IOACT,FCLK,1'b0,1'b0); -FDCPE FDCPE_iobs/IOL0 (iobs/IOL0,iobs/IOL0_D,CLK_FSB,1'b0,1'b0,iobs/IOL0_CE); +FDCPE FDCPE_iobs/IOL0 (iobs/IOL0,iobs/IOL0_D,FCLK,1'b0,1'b0,iobs/IOL0_CE);
     assign iobs/IOL0_D = ((!nLDS_FSB && nADoutLE1)
      || (iobs/IOL1 && !nADoutLE1));
     assign iobs/IOL0_CE = (iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1); -FDCPE FDCPE_iobs/IOL1 (iobs/IOL1,!nLDS_FSB,CLK_FSB,1'b0,1'b0,iobs/Load1); +FDCPE FDCPE_iobs/IOL1 (iobs/IOL1,!nLDS_FSB,FCLK,1'b0,1'b0,iobs/Load1); -FDCPE FDCPE_iobs/IOREQ (iobs/IOREQ,iobs/IOREQ_D,CLK_FSB,1'b0,1'b0); -
     assign iobs/IOREQ_D = ((!A_FSB[23] && !A_FSB[22] && !A_FSB[21] && +FDCPE FDCPE_iobs/IOREQ (iobs/IOREQ,iobs/IOREQ_D,FCLK,1'b0,1'b0); +
     assign iobs/IOREQ_D = ((iobs/nBERR_FSB.EXP) +
      || (!A_FSB[23] && !A_FSB[22] && !A_FSB[21] &&
      !iobs/PS_FSM_FFd2 && nADoutLE1) -
      || (!A_FSB[23] && A_FSB[21] && !A_FSB[20] && +
      || (!A_FSB[23] && !A_FSB[22] && !cs/nOverlay1 &&
      !iobs/PS_FSM_FFd2 && nADoutLE1)
      || (!A_FSB[23] && A_FSB[21] && !A_FSB[19] &&
      !iobs/PS_FSM_FFd2 && nADoutLE1) -
      || (!A_FSB[23] && A_FSB[21] && !A_FSB[17] && -
      !iobs/PS_FSM_FFd2 && nADoutLE1)
      || (!A_FSB[23] && A_FSB[21] && !A_FSB[16] &&
      !iobs/PS_FSM_FFd2 && nADoutLE1) -
      || (!A_FSB[23] && A_FSB[21] && !A_FSB[18] && -
      !iobs/PS_FSM_FFd2 && nADoutLE1)
      || (!A_FSB[23] && A_FSB[21] && nWE_FSB &&
      !iobs/PS_FSM_FFd2 && nADoutLE1) -
      || (!A_FSB[23] && !A_FSB[20] && !iobs/PS_FSM_FFd2 && -
      SW[1] && nADoutLE1) -
      || (!A_FSB[14] && !A_FSB[13] && !A_FSB[23] && A_FSB[21] && +
      || (!A_FSB[23] && A_FSB[21] && !A_FSB[18] && +
      !iobs/PS_FSM_FFd2 && nADoutLE1) +
      || (!A_FSB[23] && A_FSB[21] && !A_FSB[17] &&
      !iobs/PS_FSM_FFd2 && nADoutLE1)
      || (!A_FSB[23] && A_FSB[22] && A_FSB[21] &&
      cs/nOverlay1 && !iobs/PS_FSM_FFd2 && nADoutLE1)
      || (!iobs/PS_FSM_FFd2 && iobs/PS_FSM_FFd1)
      || (iobs/PS_FSM_FFd1 && iobs/IOACTr)
      || (iobs/Once && !iobs/PS_FSM_FFd2 && nADoutLE1) +
      || (!A_FSB[23] && !A_FSB[20] && !iobs/PS_FSM_FFd2 && +
      nADoutLE1)
      || (nAS_FSB && !iobs/PS_FSM_FFd2 && !fsb/ASrf && -
      nADoutLE1) -
      || (!A_FSB[23] && !A_FSB[22] && !cs/nOverlay1 && -
      !iobs/PS_FSM_FFd2 && nADoutLE1)); +
      nADoutLE1)); -FTCPE FTCPE_iobs/IORW0 (iobs/IORW0,iobs/IORW0_T,CLK_FSB,1'b0,1'b0); -
     assign iobs/IORW0_T = ((A_FSB_19_IBUF$BUF0.EXP) -
      || (iobs/IORW0 && iobs/IORW1 && !nADoutLE1) -
      || (!iobs/IORW0 && !iobs/IORW1 && !nADoutLE1) -
      || (nAS_FSB && !fsb/ASrf && nADoutLE1) -
      || (!A_FSB[23] && !A_FSB[22] && !A_FSB[21] && nADoutLE1) -
      || (!A_FSB[23] && A_FSB[21] && !iobs/IORW0 && nADoutLE1) -
      || (!A_FSB[23] && A_FSB[21] && !A_FSB[19] && nADoutLE1) -
      || (!A_FSB[23] && A_FSB[21] && !A_FSB[18] && nADoutLE1) -
      || (!A_FSB[23] && A_FSB[21] && !A_FSB[17] && nADoutLE1) -
      || (!A_FSB[23] && A_FSB[21] && !A_FSB[16] && nADoutLE1) -
      || (!A_FSB[23] && !A_FSB[20] && SW[1] && nADoutLE1) -
      || (!nWE_FSB && !iobs/IORW0 && nADoutLE1) -
      || (!A_FSB[23] && !A_FSB[22] && !cs/nOverlay1 && -
      nADoutLE1) -
      || (!A_FSB[23] && A_FSB[21] && !A_FSB[20] && nADoutLE1) -
      || (!A_FSB[14] && !A_FSB[13] && !A_FSB[23] && A_FSB[21] && -
      nADoutLE1) -
      || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && -
      cs/nOverlay1 && nADoutLE1)); +FTCPE FTCPE_iobs/IORW0 (iobs/IORW0,iobs/IORW0_T,FCLK,1'b0,1'b0); +
     assign iobs/IORW0_T = ((A_FSB[23] && nWE_FSB && !iobs/Once && !iobs/IORW0 && +
      !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && fsb/ASrf && nADoutLE1) +
      || (A_FSB[22] && !A_FSB[21] && A_FSB[20] && nWE_FSB && +
      !iobs/Once && !iobs/IORW0 && !nAS_FSB && !iobs/PS_FSM_FFd2 && +
      !iobs/PS_FSM_FFd1 && nADoutLE1) +
      || (A_FSB[22] && !A_FSB[21] && A_FSB[20] && nWE_FSB && +
      !iobs/Once && !iobs/IORW0 && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && +
      fsb/ASrf && nADoutLE1) +
      || (A_FSB[22] && !A_FSB[21] && A_FSB[20] && !nWE_FSB && +
      !iobs/Once && iobs/IORW0 && !nAS_FSB && !iobs/PS_FSM_FFd2 && +
      !iobs/PS_FSM_FFd1 && nADoutLE1) +
      || (A_FSB[22] && !A_FSB[21] && A_FSB[20] && !nWE_FSB && +
      !iobs/Once && iobs/IORW0 && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && +
      fsb/ASrf && nADoutLE1) +
      || (nROMWE_OBUF.EXP) +
      || (A_FSB[14] && A_FSB[22] && A_FSB[20] && A_FSB[19] && +
      A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && +
      !iobs/Once && iobs/IORW0 && !nAS_FSB && !iobs/PS_FSM_FFd2 && +
      !iobs/PS_FSM_FFd1 && nADoutLE1) +
      || (A_FSB[14] && A_FSB[22] && A_FSB[20] && A_FSB[19] && +
      A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && +
      !iobs/Once && iobs/IORW0 && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && +
      fsb/ASrf && nADoutLE1) +
      || (A_FSB[13] && A_FSB[22] && A_FSB[20] && A_FSB[19] && +
      A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && +
      !iobs/Once && iobs/IORW0 && !nAS_FSB && !iobs/PS_FSM_FFd2 && +
      !iobs/PS_FSM_FFd1 && nADoutLE1) +
      || (A_FSB[13] && A_FSB[22] && A_FSB[20] && A_FSB[19] && +
      A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && +
      !iobs/Once && iobs/IORW0 && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && +
      fsb/ASrf && nADoutLE1) +
      || (A_FSB[14] && !A_FSB[22] && A_FSB[21] && A_FSB[20] && +
      A_FSB[19] && A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && +
      cs/nOverlay1 && !iobs/Once && iobs/IORW0 && !nAS_FSB && +
      !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1) +
      || (iobs/IORW0 && !iobs/IORW1 && !iobs/PS_FSM_FFd2 && +
      !iobs/PS_FSM_FFd1 && !nADoutLE1) +
      || (!iobs/IORW0 && iobs/IORW1 && !iobs/PS_FSM_FFd2 && +
      !iobs/PS_FSM_FFd1 && !nADoutLE1) +
      || (A_FSB[23] && nWE_FSB && !iobs/Once && !iobs/IORW0 && +
      !nAS_FSB && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1) +
      || (A_FSB[23] && !nWE_FSB && !iobs/Once && iobs/IORW0 && +
      !nAS_FSB && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1) +
      || (A_FSB[23] && !nWE_FSB && !iobs/Once && iobs/IORW0 && +
      !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && fsb/ASrf && nADoutLE1)); -FTCPE FTCPE_iobs/IORW1 (iobs/IORW1,iobs/IORW1_T,CLK_FSB,1'b0,1'b0); +FTCPE FTCPE_iobs/IORW1 (iobs/IORW1,iobs/IORW1_T,FCLK,1'b0,1'b0);
     assign iobs/IORW1_T = ((iobs/Once)
      || (!nADoutLE1) -
      || (nBERR_FSB_OBUF.EXP) +
      || (fsb/Ready1r.EXP) +
      || (!nWE_FSB && !iobs/IORW1)
      || (nAS_FSB && !fsb/ASrf)
      || (!iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1)
      || (!A_FSB[23] && !A_FSB[22] && !A_FSB[21])
      || (!A_FSB[23] && !A_FSB[22] && !cs/nOverlay1) -
      || (!A_FSB[23] && A_FSB[21] && !A_FSB[20])
      || (!A_FSB[23] && A_FSB[21] && !A_FSB[19])
      || (!A_FSB[23] && A_FSB[21] && !A_FSB[18])
      || (!A_FSB[23] && A_FSB[21] && !A_FSB[17])
      || (!A_FSB[23] && A_FSB[21] && !A_FSB[16]) +
      || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && +
      cs/nOverlay1) +
      || (!A_FSB[23] && !A_FSB[20])
      || (nWE_FSB && iobs/IORW1) -
      || (!nWE_FSB && !iobs/IORW1)
      || (!A_FSB[23] && A_FSB[21] && !iobs/IORW1)); -FTCPE FTCPE_iobs/IOReady (iobs/IOReady,iobs/IOReady_T,CLK_FSB,1'b0,1'b0); -
     assign iobs/IOReady_T = ((iobs/IOReady && nAS_FSB && !fsb/ASrf) -
      || (iobs/Once && iobs/IOReady && !iobs/PS_FSM_FFd2 && +FTCPE FTCPE_iobs/IOReady (iobs/IOReady,iobs/IOReady_T,FCLK,1'b0,1'b0); +
     assign iobs/IOReady_T = ((iobs/Once && iobs/IOReady && !iobs/PS_FSM_FFd2 &&
      !iobs/IOACTr && iobm/IOBERR && nADoutLE1)
      || (iobs/Once && !iobs/IOReady && !nAS_FSB &&
      !iobs/PS_FSM_FFd2 && !iobs/IOACTr && !iobm/IOBERR && nADoutLE1)
      || (iobs/Once && !iobs/IOReady && !iobs/PS_FSM_FFd2 && -
      !iobs/IOACTr && !iobm/IOBERR && fsb/ASrf && nADoutLE1)); +
      !iobs/IOACTr && !iobm/IOBERR && fsb/ASrf && nADoutLE1) +
      || (iobs/IOReady && nAS_FSB && !fsb/ASrf)); -FDCPE FDCPE_iobs/IOU0 (iobs/IOU0,iobs/IOU0_D,CLK_FSB,1'b0,1'b0,iobs/IOU0_CE); +FDCPE FDCPE_iobs/IOU0 (iobs/IOU0,iobs/IOU0_D,FCLK,1'b0,1'b0,iobs/IOU0_CE);
     assign iobs/IOU0_D = ((!nUDS_FSB && nADoutLE1)
      || (iobs/IOU1 && !nADoutLE1));
     assign iobs/IOU0_CE = (iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1); -FDCPE FDCPE_iobs/IOU1 (iobs/IOU1,!nUDS_FSB,CLK_FSB,1'b0,1'b0,iobs/Load1); +FDCPE FDCPE_iobs/IOU1 (iobs/IOU1,!nUDS_FSB,FCLK,1'b0,1'b0,iobs/Load1); -FDCPE FDCPE_iobs/Load1 (iobs/Load1,iobs/Load1_D,CLK_FSB,1'b0,1'b0); +FDCPE FDCPE_iobs/Load1 (iobs/Load1,iobs/Load1_D,FCLK,1'b0,1'b0);
     assign iobs/Load1_D = ((iobs/Once)
      || (!nADoutLE1)
      || (!A_FSB[23] && !A_FSB[22] && !A_FSB[21]) -
      || (!A_FSB[23] && A_FSB[21] && !A_FSB[20]) +
      || (!A_FSB[23] && !A_FSB[22] && !cs/nOverlay1)
      || (!A_FSB[23] && A_FSB[21] && !A_FSB[19]) +
      || (!A_FSB[23] && A_FSB[21] && nWE_FSB) +
      || (!A_FSB[23] && A_FSB[21] && !A_FSB[18])
      || (!A_FSB[23] && A_FSB[21] && !A_FSB[17])
      || (!A_FSB[23] && A_FSB[21] && !A_FSB[16]) -
      || (!A_FSB[23] && A_FSB[21] && !A_FSB[18]) -
      || (!A_FSB[23] && A_FSB[21] && nWE_FSB) -
      || (!A_FSB[23] && !A_FSB[20] && SW[1])
      || (!A_FSB[14] && !A_FSB[13] && !A_FSB[23] && A_FSB[21])
      || (!A_FSB[23] && A_FSB[22] && A_FSB[21] &&
      cs/nOverlay1) +
      || (!A_FSB[23] && !A_FSB[20])
      || (nAS_FSB && !fsb/ASrf) -
      || (!iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1) -
      || (!A_FSB[23] && !A_FSB[22] && !cs/nOverlay1)); +
      || (!iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1)); -FDCPE FDCPE_iobs/Once (iobs/Once,iobs/Once_D,CLK_FSB,1'b0,1'b0); +FDCPE FDCPE_iobs/Once (iobs/Once,iobs/Once_D,FCLK,1'b0,1'b0);
     assign iobs/Once_D = ((A_FSB[23] && !iobs/Once && iobs/PS_FSM_FFd1) +
      || (!iobs/Once && iobs/PS_FSM_FFd2 && !nADoutLE1)
      || (!iobs/Once && iobs/PS_FSM_FFd1 && !nADoutLE1)
      || (!A_FSB[23] && !A_FSB[22] && !A_FSB[21] && !iobs/Once) -
      || (!A_FSB[23] && !A_FSB[22] && !iobs/Once && -
      !cs/nOverlay1) -
      || (!A_FSB[23] && A_FSB[21] && !A_FSB[20] && !iobs/Once) -
      || (RA_4_OBUF.EXP) +
      || (!A_FSB[23] && !A_FSB[22] && !cs/nOverlay1 && +
      !iobs/Once) +
      || (cnt/PORS_FSM_FFd1.EXP)
      || (!A_FSB[23] && A_FSB[21] && !A_FSB[19] && !iobs/Once)
      || (!A_FSB[23] && A_FSB[21] && !A_FSB[18] && !iobs/Once)
      || (!A_FSB[23] && A_FSB[21] && !A_FSB[17] && !iobs/Once) @@ -577,31 +616,29 @@ FDCPE FDCPE_iobs/Once (iobs/Once,iobs/Once_D,CLK_FSB,1'b0,1'b0);
      || (!A_FSB[23] && A_FSB[21] && nWE_FSB && !iobs/Once)
      || (nAS_FSB && !fsb/ASrf)
      || (A_FSB[23] && !iobs/Once && iobs/PS_FSM_FFd2) +
      || (!A_FSB[23] && !A_FSB[20] && !iobs/Once)
      || (A_FSB[22] && !iobs/Once && iobs/PS_FSM_FFd2) -
      || (A_FSB[22] && !iobs/Once && iobs/PS_FSM_FFd1) -
      || (!iobs/Once && iobs/PS_FSM_FFd2 && !nADoutLE1)); +
      || (A_FSB[22] && !iobs/Once && iobs/PS_FSM_FFd1)); -FDCPE FDCPE_iobs/PS_FSM_FFd1 (iobs/PS_FSM_FFd1,iobs/PS_FSM_FFd1_D,CLK_FSB,1'b0,1'b0); +FDCPE FDCPE_iobs/PS_FSM_FFd1 (iobs/PS_FSM_FFd1,iobs/PS_FSM_FFd1_D,FCLK,1'b0,1'b0);
     assign iobs/PS_FSM_FFd1_D = ((iobs/PS_FSM_FFd2)
      || (iobs/PS_FSM_FFd1 && iobs/IOACTr)); -FDCPE FDCPE_iobs/PS_FSM_FFd2 (iobs/PS_FSM_FFd2,iobs/PS_FSM_FFd2_D,CLK_FSB,1'b0,1'b0); +FDCPE FDCPE_iobs/PS_FSM_FFd2 (iobs/PS_FSM_FFd2,iobs/PS_FSM_FFd2_D,FCLK,1'b0,1'b0);
     assign iobs/PS_FSM_FFd2_D = ((!A_FSB[23] && !A_FSB[22] && !A_FSB[21] &&
      !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1) -
      || (!A_FSB[23] && A_FSB[21] && !A_FSB[20] && +
      || (!A_FSB[23] && !A_FSB[22] && !cs/nOverlay1 &&
      !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1)
      || (!A_FSB[23] && A_FSB[21] && !A_FSB[19] &&
      !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1) -
      || (!A_FSB[23] && A_FSB[21] && !A_FSB[17] && -
      !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1)
      || (!A_FSB[23] && A_FSB[21] && !A_FSB[16] &&
      !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1) -
      || (!A_FSB[23] && A_FSB[21] && !A_FSB[18] && -
      !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1)
      || (!A_FSB[23] && A_FSB[21] && nWE_FSB &&
      !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1) -
      || (!A_FSB[23] && !A_FSB[20] && !iobs/PS_FSM_FFd2 && -
      !iobs/PS_FSM_FFd1 && SW[1] && nADoutLE1) +
      || (!A_FSB[23] && A_FSB[21] && !A_FSB[18] && +
      !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1) +
      || (!A_FSB[23] && A_FSB[21] && !A_FSB[17] && +
      !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1)
      || (!A_FSB[14] && !A_FSB[13] && !A_FSB[23] && A_FSB[21] &&
      !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1)
      || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && @@ -612,121 +649,78 @@ FDCPE FDCPE_iobs/PS_FSM_FFd2 (iobs/PS_FSM_FFd2,iobs/PS_FSM_FFd2_D,CLK_FSB,1'b0,1
      !iobs/IOACTr)
      || (iobs/Once && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 &&
      nADoutLE1) +
      || (!A_FSB[23] && !A_FSB[20] && !iobs/PS_FSM_FFd2 && +
      !iobs/PS_FSM_FFd1 && nADoutLE1)
      || (nAS_FSB && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && -
      !fsb/ASrf && nADoutLE1) -
      || (!A_FSB[23] && !A_FSB[22] && !cs/nOverlay1 && -
      !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1)); +
      !fsb/ASrf && nADoutLE1)); assign nADoutLE0 = (!iobm/ALE0 && !iobs/ALE0); -FDCPE FDCPE_nADoutLE1 (nADoutLE1,nADoutLE1_D,CLK_FSB,1'b0,1'b0); +FDCPE FDCPE_nADoutLE1 (nADoutLE1,nADoutLE1_D,FCLK,1'b0,1'b0);
     assign nADoutLE1_D = ((iobs/Load1)
      || (!iobs/Clear1 && !nADoutLE1)); -FDCPE FDCPE_nAS_IOB (nAS_IOB_I,nAS_IOB,!CLK2X_IOB,1'b0,1'b0); +FDCPE FDCPE_nAS_IOB (nAS_IOB_I,nAS_IOB,!C16M,1'b0,1'b0);
     assign nAS_IOB = ((!iobm/IOS_FSM_FFd3 && !iobm/IOS_FSM_FFd2)
      || (iobm/IOS_FSM_FFd1 && !iobm/IOS_FSM_FFd2));
     assign nAS_IOB = nAS_IOB_OE ? nAS_IOB_I : 1'bZ; -
     assign nAS_IOB_OE = !nAoutOE; +
     assign nAS_IOB_OE = nAoutOE; -FDCPE FDCPE_nAoutOE (nAoutOE,nAoutOE_D,CLK2X_IOB,1'b0,1'b0); -
     assign nAoutOE_D = ((!iobm/BGr0 && !iobm/BGr1) -
      || (!iobm/BGr1 && nAoutOE) -
      || (!nAS_IOB && !iobm/BGr0 && !nAoutOE)); +FDCPE FDCPE_nAoutOE (nAoutOE,nAoutOE_D,!C8M,1'b0,1'b0); +
     assign nAoutOE_D = (!nBR_IOB && cnt/PORS_FSM_FFd1 && !cnt/PORS_FSM_FFd2); +FTCPE FTCPE_nBERR_FSB (nBERR_FSB,nBERR_FSB_T,FCLK,1'b0,1'b0); +
     assign nBERR_FSB_T = ((nAS_FSB && !nBERR_FSB && !fsb/ASrf) +
      || (iobs/Once && !nBERR_FSB && !iobs/PS_FSM_FFd2 && +
      !iobs/IOACTr && !iobm/IOBERR && nADoutLE1) +
      || (iobs/Once && !nAS_FSB && nBERR_FSB && +
      !iobs/PS_FSM_FFd2 && !iobs/IOACTr && iobm/IOBERR && nADoutLE1) +
      || (iobs/Once && nBERR_FSB && !iobs/PS_FSM_FFd2 && +
      !iobs/IOACTr && iobm/IOBERR && fsb/ASrf && nADoutLE1)); -assign nBERR_FSB = ((nAS_FSB) -
      || (!A_FSB[23] && A_FSB[22] && !A_FSB[21] && A_FSB[20] && -
      !iobs/BERR && !fsb/BERR0r && !fsb/BERR1r) -
      || (!iobs/BERR && !cnt/TimeoutB && !fsb/BERR0r && -
      !fsb/BERR1r)); +FTCPE FTCPE_nBR_IOB (nBR_IOB,nBR_IOB_T,!C8M,1'b0,1'b0); +
     assign nBR_IOB_T = ((nBR_IOB && !cnt/PORS_FSM_FFd1 && !cnt/PORS_FSM_FFd2) +
      || (!nBR_IOB && !cnt/PORS_FSM_FFd1 && cnt/PORS_FSM_FFd2 && +
      cnt/IPL2r)); -FDCPE FDCPE_nBR_IOB (nBR_IOB,1'b0,CLK_FSB,1'b0,1'b0,nBR_IOB_CE); -
     assign nBR_IOB_CE = (RESr0 && RESr1 && IPL2r0 && RESr2 && !RESDone && -
      IPL2r1); +FDCPE FDCPE_nCAS (nCAS,!ram/RASEL,!FCLK,1'b0,1'b0); -FDCPE FDCPE_nCAS (nCAS,!ram/RASEL,!CLK_FSB,1'b0,1'b0); - -FDCPE FDCPE_nDTACK_FSB (nDTACK_FSB,nDTACK_FSB_D,CLK_FSB,1'b0,1'b0); -
     assign nDTACK_FSB_D = ((EXP18_.EXP) +FDCPE FDCPE_nDTACK_FSB (nDTACK_FSB,nDTACK_FSB_D,FCLK,1'b0,1'b0); +
     assign nDTACK_FSB_D = ((iobs/IOReady.EXP) +
      || (!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && +
      !fsb/Ready0r && nDTACK_FSB && !ram/RAMReady) +
      || (A_FSB[22] && !A_FSB[21] && A_FSB[20] && !fsb/Ready1r && +
      !iobs/IOReady && nDTACK_FSB) +
      || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && +
      !cs/nOverlay1 && !fsb/Ready0r && nDTACK_FSB && !ram/RAMReady)
      || (A_FSB[14] && A_FSB[22] && A_FSB[20] && A_FSB[19] &&
      A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !cs/nOverlay1 &&
      !fsb/Ready1r && !iobs/IOReady && nDTACK_FSB) +
      || (A_FSB[13] && A_FSB[22] && A_FSB[20] && A_FSB[19] && +
      A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && +
      !fsb/Ready1r && !iobs/IOReady && nDTACK_FSB)
      || (A_FSB[14] && !A_FSB[22] && A_FSB[21] && A_FSB[20] &&
      A_FSB[19] && A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB &&
      cs/nOverlay1 && !fsb/Ready1r && !iobs/IOReady && nDTACK_FSB &&
      !nADoutLE1) -
      || (A_FSB[8] && A_FSB[15] && A_FSB[14] && A_FSB[13] && -
      A_FSB[12] && A_FSB[11] && A_FSB[10] && !A_FSB[23] && A_FSB[22] && -
      A_FSB[21] && A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && -
      A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && !cnt/TimeoutA && -
      !fsb/Ready2r && nDTACK_FSB) -
      || (A_FSB[8] && A_FSB[15] && A_FSB[14] && A_FSB[13] && -
      A_FSB[12] && A_FSB[11] && A_FSB[10] && !A_FSB[23] && !A_FSB[22] && -
      A_FSB[21] && A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && -
      A_FSB[16] && !nWE_FSB && cs/nOverlay1 && !cnt/TimeoutA && -
      !fsb/Ready2r && nDTACK_FSB) -
      || (A_FSB[8] && A_FSB[15] && !A_FSB[14] && A_FSB[13] && -
      !A_FSB[12] && !A_FSB[11] && !A_FSB[10] && !A_FSB[23] && A_FSB[22] && -
      A_FSB[21] && A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && -
      A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && !cnt/TimeoutA && -
      !fsb/Ready2r && nDTACK_FSB) -
      || (EXP21_.EXP) -
      || (A_FSB[22] && !A_FSB[21] && A_FSB[20] && !fsb/Ready1r && -
      !iobs/IOReady && nDTACK_FSB) -
      || (A_FSB[22] && !A_FSB[21] && !fsb/Ready1r && -
      !iobs/IOReady && nDTACK_FSB && !SW[1]) -
      || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && -
      !cs/nOverlay1 && !fsb/Ready0r && nDTACK_FSB && !ram/RAMReady) -
      || (A_FSB[13] && A_FSB[22] && A_FSB[20] && A_FSB[19] && -
      A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && -
      !fsb/Ready1r && !iobs/IOReady && nDTACK_FSB)
      || (A_FSB[13] && !A_FSB[22] && A_FSB[21] && A_FSB[20] &&
      A_FSB[19] && A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB &&
      cs/nOverlay1 && !fsb/Ready1r && !iobs/IOReady && nDTACK_FSB && -
      !nADoutLE1) -
      || (A_FSB[9] && A_FSB[15] && A_FSB[14] && A_FSB[13] && -
      A_FSB[12] && A_FSB[11] && A_FSB[10] && !A_FSB[23] && A_FSB[22] && -
      A_FSB[21] && A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && -
      A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && !cnt/TimeoutA && -
      !fsb/Ready2r && nDTACK_FSB) -
      || (A_FSB[9] && A_FSB[15] && A_FSB[14] && A_FSB[13] && -
      A_FSB[12] && A_FSB[11] && A_FSB[10] && !A_FSB[23] && !A_FSB[22] && -
      A_FSB[21] && A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && -
      A_FSB[16] && !nWE_FSB && cs/nOverlay1 && !cnt/TimeoutA && -
      !fsb/Ready2r && nDTACK_FSB) -
      || (A_FSB[9] && A_FSB[15] && !A_FSB[14] && A_FSB[13] && -
      !A_FSB[12] && !A_FSB[11] && !A_FSB[10] && !A_FSB[23] && A_FSB[22] && -
      A_FSB[21] && A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && -
      A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && !cnt/TimeoutA && -
      !fsb/Ready2r && nDTACK_FSB) -
      || (A_FSB[9] && A_FSB[15] && !A_FSB[14] && A_FSB[13] && -
      !A_FSB[12] && !A_FSB[11] && !A_FSB[10] && !A_FSB[23] && !A_FSB[22] && -
      A_FSB[21] && A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && -
      A_FSB[16] && !nWE_FSB && cs/nOverlay1 && !cnt/TimeoutA && -
      !fsb/Ready2r && nDTACK_FSB) -
      || (A_FSB[8] && A_FSB[15] && !A_FSB[14] && A_FSB[13] && -
      !A_FSB[12] && !A_FSB[11] && !A_FSB[10] && !A_FSB[23] && !A_FSB[22] && -
      A_FSB[21] && A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && -
      A_FSB[16] && !nWE_FSB && cs/nOverlay1 && !cnt/TimeoutA && -
      !fsb/Ready2r && nDTACK_FSB)); +
      !nADoutLE1)); -FDCPE FDCPE_nDinLE (nDinLE,nDinLE_D,!CLK2X_IOB,1'b0,1'b0); +FDCPE FDCPE_nDinLE (nDinLE,nDinLE_D,!C16M,1'b0,1'b0);
     assign nDinLE_D = (iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2); assign nDinOE = ((A_FSB[23] && nWE_FSB && !nAS_FSB)
      || (A_FSB[22] && !A_FSB[21] && A_FSB[20] && nWE_FSB && -
      !nAS_FSB) -
      || (A_FSB[22] && !A_FSB[21] && nWE_FSB && !nAS_FSB && -
      !SW[1])); +
      !nAS_FSB)); -FDCPE FDCPE_nDoutOE (nDoutOE,nDoutOE_D,CLK2X_IOB,1'b0,1'b0); -
     assign nDoutOE_D = ((!iobs/IORW0) -
      || (!iobm/IOS_FSM_FFd3 && !iobm/IOS_FSM_FFd2)); -FDCPE FDCPE_nLDS_IOB (nLDS_IOB_I,nLDS_IOB,!CLK2X_IOB,1'b0,1'b0); +assign nDoutOE = !((!nAoutOE && iobm/DoutOE)); + +FDCPE FDCPE_nLDS_IOB (nLDS_IOB_I,nLDS_IOB,!C16M,1'b0,1'b0);
     assign nLDS_IOB = ((iobs/IOL0 && !iobm/IOS_FSM_FFd3 &&
      iobm/IOS_FSM_FFd2)
      || (iobs/IOL0 && iobm/IOS_FSM_FFd1 && @@ -734,38 +728,38 @@ FDCPE FDCPE_nLDS_IOB (nLDS_IOB_I,nLDS_IOB,!CLK2X_IOB,1'b0,1'b0);
      || (!iobs/IORW0 && iobs/IOL0 && iobm/IOS_FSM_FFd3 &&
      !iobm/IOS_FSM_FFd1));
     assign nLDS_IOB = nLDS_IOB_OE ? nLDS_IOB_I : 1'bZ; -
     assign nLDS_IOB_OE = !nAoutOE; +
     assign nLDS_IOB_OE = nAoutOE; assign nOE = !((nWE_FSB && !nAS_FSB)); -assign nRAMLWE = !((!nWE_FSB && !nLDS_FSB && !ram/RAMDIS2 && !nAS_FSB && -
      !ram/RAMDIS1)); +assign nRAMLWE = !((!nWE_FSB && !nLDS_FSB && !nAS_FSB && ram/RAMEN)); -assign nRAMUWE = !((!nWE_FSB && !nUDS_FSB && !ram/RAMDIS2 && !nAS_FSB && -
      !ram/RAMDIS1)); +assign nRAMUWE = !((!nWE_FSB && !nUDS_FSB && !nAS_FSB && ram/RAMEN)); assign nRAS = !(((ram/RefRAS) -
      || (!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && -
      !ram/RAMDIS2 && !nAS_FSB && !ram/RAMDIS1) +
      || (!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && !nAS_FSB && +
      ram/RAMEN)
      || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && -
      !cs/nOverlay1 && !ram/RAMDIS2 && !nAS_FSB && !ram/RAMDIS1))); +
      !cs/nOverlay1 && !nAS_FSB && ram/RAMEN))); -assign nROMCS = !(((A_FSB[23] && !A_FSB[22] && !A_FSB[21] && !A_FSB[20] && -
      !SW[1]) -
      || (!A_FSB[23] && A_FSB[22] && !A_FSB[21] && !A_FSB[20] && -
      SW[1]) -
      || (!A_FSB[23] && !A_FSB[22] && !A_FSB[21] && !A_FSB[20] && +assign nRES_I = 1'b0; +
     assign nRES = nRES_OE ? nRES_I : 1'bZ; +
     assign nRES_OE = !cnt/nRESout; + + +assign nROMCS = !(((!A_FSB[23] && A_FSB[22] && !A_FSB[21] && !A_FSB[20]) +
      || (!A_FSB[23] && !A_FSB[21] && !A_FSB[20] &&
      !cs/nOverlay1))); assign nROMWE = !((!nWE_FSB && !nAS_FSB)); -FDCPE FDCPE_nUDS_IOB (nUDS_IOB_I,nUDS_IOB,!CLK2X_IOB,1'b0,1'b0); +FDCPE FDCPE_nUDS_IOB (nUDS_IOB_I,nUDS_IOB,!C16M,1'b0,1'b0);
     assign nUDS_IOB = ((iobs/IOU0 && !iobm/IOS_FSM_FFd3 &&
      iobm/IOS_FSM_FFd2)
      || (iobs/IOU0 && iobm/IOS_FSM_FFd1 && @@ -773,187 +767,111 @@ FDCPE FDCPE_nUDS_IOB (nUDS_IOB_I,nUDS_IOB,!CLK2X_IOB,1'b0,1'b0);
      || (!iobs/IORW0 && iobs/IOU0 && iobm/IOS_FSM_FFd3 &&
      !iobm/IOS_FSM_FFd1));
     assign nUDS_IOB = nUDS_IOB_OE ? nUDS_IOB_I : 1'bZ; -
     assign nUDS_IOB_OE = !nAoutOE; +
     assign nUDS_IOB_OE = nAoutOE; -FTCPE FTCPE_nVMA_IOB (nVMA_IOB_I,nVMA_IOB_T,CLK2X_IOB,1'b0,1'b0); +FTCPE FTCPE_nVMA_IOB (nVMA_IOB_I,nVMA_IOB_T,C16M,1'b0,1'b0);
     assign nVMA_IOB_T = ((!nVMA_IOB && !iobm/ES[0] && !iobm/ES[1] && !iobm/ES[2] &&
      !iobm/ES[3] && !iobm/ES[4])
      || (nVMA_IOB && iobm/ES[0] && iobm/ES[1] && iobm/ES[2] &&
      !iobm/ES[3] && !iobm/ES[4] && iobm/IOACT && iobm/VPArf &&
      iobm/VPArr));
     assign nVMA_IOB = nVMA_IOB_OE ? nVMA_IOB_I : 1'bZ; -
     assign nVMA_IOB_OE = !nAoutOE; +
     assign nVMA_IOB_OE = nAoutOE; assign nVPA_FSB = !((fsb/VPA && !nAS_FSB)); -FDCPE FDCPE_ram/BACTr (ram/BACTr,ram/BACTr_D,CLK_FSB,1'b0,1'b0); +FDCPE FDCPE_ram/BACTr (ram/BACTr,ram/BACTr_D,FCLK,1'b0,1'b0);
     assign ram/BACTr_D = (nAS_FSB && !fsb/ASrf); -FTCPE FTCPE_ram/Once (ram/Once,ram/Once_T,CLK_FSB,1'b0,1'b0); -
     assign ram/Once_T = ((ram/Once && nAS_FSB && !fsb/ASrf) -
      || (!A_FSB[23] && !A_FSB[22] && !ram/Once && cs/nOverlay1 && -
      !nAS_FSB && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && -
      !ram/RS_FSM_FFd3) -
      || (!A_FSB[23] && !A_FSB[22] && !ram/Once && cs/nOverlay1 && -
      !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3 && fsb/ASrf) -
      || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && !ram/Once && -
      !cs/nOverlay1 && !nAS_FSB && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && -
      !ram/RS_FSM_FFd3) -
      || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && !ram/Once && -
      !cs/nOverlay1 && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && -
      !ram/RS_FSM_FFd3 && fsb/ASrf)); +FDCPE FDCPE_ram/RAMEN (ram/RAMEN,ram/RAMEN_D,FCLK,1'b0,1'b0); +
     assign ram/RAMEN_D = ((!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && !nAS_FSB && +
      !ram/RS_FSM_FFd1 && ram/RAMEN) +
      || (!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && +
      !ram/RS_FSM_FFd1 && ram/RAMEN && fsb/ASrf) +
      || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && +
      !cs/nOverlay1 && !nAS_FSB && !ram/RS_FSM_FFd1 && ram/RAMEN) +
      || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && +
      !cs/nOverlay1 && !ram/RS_FSM_FFd1 && ram/RAMEN && fsb/ASrf) +
      || (ram/RS_FSM_FFd2 && ram/RAMEN) +
      || (ram/RS_FSM_FFd3 && ram/RAMEN) +
      || (!ram/RS_FSM_FFd1 && !ram/RefUrgent && ram/RAMEN && +
      ram/BACTr) +
      || (!ram/RS_FSM_FFd1 && !ram/RefUrgent && ram/RAMEN && +
      !ram/RefReq) +
      || (nAS_FSB && !ram/RS_FSM_FFd2 && !ram/RefUrgent && +
      !ram/RS_FSM_FFd3 && !fsb/ASrf)); -FDCPE FDCPE_ram/RAMDIS1 (ram/RAMDIS1,ram/RAMDIS1_D,CLK_FSB,1'b0,1'b0); -
     assign ram/RAMDIS1_D = ((RA_1_OBUF.EXP) -
      || (A_FSB[23] && !cnt/RefDone && !ram/RS_FSM_FFd1 && -
      !ram/RS_FSM_FFd3 && cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7]) -
      || (!A_FSB[22] && !cnt/RefDone && !cs/nOverlay1 && -
      !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3 && !ram/BACTr && fsb/ASrf) -
      || (!cnt/RefDone && ram/Once && !ram/RS_FSM_FFd1 && -
      !ram/RS_FSM_FFd3 && cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7]) -
      || (A_FSB[22] && !A_FSB[21] && !cnt/RefDone && -
      !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3 && cnt/RefCnt[5] && cnt/RefCnt[6] && -
      cnt/RefCnt[7]) -
      || (!cnt/RefDone && nAS_FSB && !ram/RS_FSM_FFd1 && -
      !ram/RS_FSM_FFd3 && cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7] && -
      !fsb/ASrf) -
      || (A_FSB[22] && !A_FSB[21] && !cnt/RefDone && !nAS_FSB && -
      !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3 && !ram/BACTr) -
      || (A_FSB[22] && !A_FSB[21] && !cnt/RefDone && -
      !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3 && !ram/BACTr && fsb/ASrf) -
      || (A_FSB[22] && !cnt/RefDone && cs/nOverlay1 && -
      !nAS_FSB && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3 && !ram/BACTr) -
      || (A_FSB[22] && !cnt/RefDone && cs/nOverlay1 && -
      !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3 && !ram/BACTr && fsb/ASrf) -
      || (!A_FSB[22] && !cnt/RefDone && !cs/nOverlay1 && -
      !nAS_FSB && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3 && !ram/BACTr) -
      || (ram/RS_FSM_FFd1 && ram/RS_FSM_FFd3) -
      || (!ram/RS_FSM_FFd1 && ram/RS_FSM_FFd2) -
      || (A_FSB[23] && !cnt/RefDone && !nAS_FSB && -
      !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3 && !ram/BACTr) -
      || (A_FSB[23] && !cnt/RefDone && !ram/RS_FSM_FFd1 && -
      !ram/RS_FSM_FFd3 && !ram/BACTr && fsb/ASrf) -
      || (!cnt/RefDone && ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && -
      cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7])); +FDCPE FDCPE_ram/RAMReady (ram/RAMReady,ram/RAMReady_D,FCLK,1'b0,1'b0); +
     assign ram/RAMReady_D = ((RA_6_OBUF.EXP) +
      || (A_FSB[23] && !ram/RS_FSM_FFd2 && !ram/RefUrgent && +
      !ram/RS_FSM_FFd3 && !ram/RefReq) +
      || (A_FSB[22] && !A_FSB[21] && !ram/RS_FSM_FFd2 && +
      !ram/RefUrgent && !ram/RS_FSM_FFd3 && ram/BACTr) +
      || (A_FSB[22] && !A_FSB[21] && !ram/RS_FSM_FFd2 && +
      !ram/RefUrgent && !ram/RS_FSM_FFd3 && !ram/RefReq) +
      || (A_FSB[22] && cs/nOverlay1 && !ram/RS_FSM_FFd2 && +
      !ram/RefUrgent && !ram/RS_FSM_FFd3 && ram/BACTr) +
      || (!A_FSB[22] && !cs/nOverlay1 && !ram/RS_FSM_FFd2 && +
      !ram/RefUrgent && !ram/RS_FSM_FFd3 && ram/BACTr) +
      || (ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && +
      !ram/RefUrgent && !ram/RS_FSM_FFd3) +
      || (A_FSB[23] && !ram/RS_FSM_FFd2 && !ram/RefUrgent && +
      !ram/RS_FSM_FFd3 && ram/BACTr) +
      || (nAS_FSB && !ram/RS_FSM_FFd2 && !ram/RefUrgent && +
      !ram/RS_FSM_FFd3 && !fsb/ASrf)); -FTCPE FTCPE_ram/RAMDIS2 (ram/RAMDIS2,ram/RAMDIS2_T,CLK_FSB,1'b0,1'b0); -
     assign ram/RAMDIS2_T = ((!A_FSB[23] && A_FSB[22] && A_FSB[21] && !cnt/RefDone && -
      ram/Once && !cs/nOverlay1 && !ram/RAMDIS2 && !nAS_FSB && -
      !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3 && cnt/RefCnt[5] && cnt/RefCnt[6] && -
      cnt/RefCnt[7]) -
      || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && !cnt/RefDone && -
      ram/Once && !cs/nOverlay1 && !ram/RAMDIS2 && !ram/RS_FSM_FFd2 && -
      !ram/RS_FSM_FFd3 && cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7] && +FDCPE FDCPE_ram/RASEL (ram/RASEL,ram/RASEL_D,FCLK,1'b0,1'b0); +
     assign ram/RASEL_D = ((A_FSB[22] && !A_FSB[21] && !ram/RS_FSM_FFd2 && +
      !ram/RefUrgent && !ram/RS_FSM_FFd3 && !ram/RefReq) +
      || (A_FSB[22] && cs/nOverlay1 && !ram/RS_FSM_FFd2 && +
      !ram/RefUrgent && !ram/RS_FSM_FFd3 && !ram/RefReq) +
      || (!A_FSB[22] && !cs/nOverlay1 && !ram/RS_FSM_FFd2 && +
      !ram/RefUrgent && !ram/RS_FSM_FFd3 && !ram/RefReq) +
      || (!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && !nAS_FSB && +
      !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3 && !ram/RAMEN) +
      || (!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && +
      !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3 && !ram/RAMEN && fsb/ASrf) +
      || (ram/RAMReady.EXP) +
      || (A_FSB[23] && !ram/RS_FSM_FFd2 && !ram/RefUrgent && +
      !ram/RS_FSM_FFd3 && ram/BACTr) +
      || (A_FSB[23] && !ram/RS_FSM_FFd2 && !ram/RefUrgent && +
      !ram/RS_FSM_FFd3 && !ram/RefReq) +
      || (A_FSB[22] && !A_FSB[21] && !ram/RS_FSM_FFd2 && +
      !ram/RefUrgent && !ram/RS_FSM_FFd3 && ram/BACTr) +
      || (A_FSB[22] && cs/nOverlay1 && !ram/RS_FSM_FFd2 && +
      !ram/RefUrgent && !ram/RS_FSM_FFd3 && ram/BACTr) +
      || (!A_FSB[22] && !cs/nOverlay1 && !ram/RS_FSM_FFd2 && +
      !ram/RefUrgent && !ram/RS_FSM_FFd3 && ram/BACTr) +
      || (ram/RS_FSM_FFd1 && ram/RS_FSM_FFd2) +
      || (ram/RS_FSM_FFd2 && ram/RS_FSM_FFd3) +
      || (!nAS_FSB && ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3) +
      || (ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3 && fsb/ASrf) +
      || (nAS_FSB && !ram/RS_FSM_FFd2 && !ram/RefUrgent && +
      !ram/RS_FSM_FFd3 && !fsb/ASrf)); + +FDCPE FDCPE_ram/RS_FSM_FFd1 (ram/RS_FSM_FFd1,ram/RS_FSM_FFd1_D,FCLK,1'b0,1'b0); +
     assign ram/RS_FSM_FFd1_D = ((!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && +
      !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && ram/RefUrgent && !ram/RAMEN &&
      fsb/ASrf) -
      || (ram/RAMDIS2 && nAS_FSB && !fsb/ASrf) -
      || (!cnt/RefDone && ram/Once && !ram/RAMDIS2 && !nAS_FSB && -
      ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3 && -
      cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7]) -
      || (!cnt/RefDone && ram/Once && !ram/RAMDIS2 && -
      ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3 && -
      cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7] && fsb/ASrf) -
      || (!A_FSB[23] && !A_FSB[22] && !cnt/RefDone && ram/Once && -
      cs/nOverlay1 && !ram/RAMDIS2 && !nAS_FSB && !ram/RS_FSM_FFd2 && -
      !ram/RS_FSM_FFd3 && cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7]) -
      || (!A_FSB[23] && !A_FSB[22] && !cnt/RefDone && ram/Once && -
      cs/nOverlay1 && !ram/RAMDIS2 && !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3 && -
      cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7] && fsb/ASrf)); - -FDCPE FDCPE_ram/RAMReady (ram/RAMReady,ram/RAMReady_D,CLK_FSB,1'b0,1'b0); -
     assign ram/RAMReady_D = ((ram/RS_FSM_FFd2) -
      || (ram/RS_FSM_FFd3) -
      || (!A_FSB[23] && !A_FSB[22] && !ram/Once && cs/nOverlay1 && -
      !nAS_FSB && !ram/RS_FSM_FFd1) -
      || (!A_FSB[23] && !A_FSB[22] && !ram/Once && cs/nOverlay1 && -
      !ram/RS_FSM_FFd1 && fsb/ASrf) -
      || (A_FSB[22] && !A_FSB[21] && !cnt/RefDone && !nAS_FSB && -
      !ram/RS_FSM_FFd1 && !ram/BACTr) -
      || (A_FSB[22] && !cnt/RefDone && cs/nOverlay1 && -
      !nAS_FSB && !ram/RS_FSM_FFd1 && !ram/BACTr) -
      || (!A_FSB[22] && !cnt/RefDone && !cs/nOverlay1 && -
      !nAS_FSB && !ram/RS_FSM_FFd1 && !ram/BACTr) -
      || (A_FSB[22] && !A_FSB[21] && !cnt/RefDone && -
      !ram/RS_FSM_FFd1 && !ram/BACTr && fsb/ASrf) -
      || (A_FSB[22] && !cnt/RefDone && cs/nOverlay1 && -
      !ram/RS_FSM_FFd1 && !ram/BACTr && fsb/ASrf) -
      || (!A_FSB[22] && !cnt/RefDone && !cs/nOverlay1 && -
      !ram/RS_FSM_FFd1 && !ram/BACTr && fsb/ASrf) -
      || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && !ram/Once && -
      !cs/nOverlay1 && !nAS_FSB && !ram/RS_FSM_FFd1) -
      || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && !ram/Once && -
      !cs/nOverlay1 && !ram/RS_FSM_FFd1 && fsb/ASrf) -
      || (!cnt/RefDone && cnt/RefCnt[5] && cnt/RefCnt[6] && -
      cnt/RefCnt[7]) -
      || (A_FSB[23] && !cnt/RefDone && !nAS_FSB && -
      !ram/RS_FSM_FFd1 && !ram/BACTr) -
      || (A_FSB[23] && !cnt/RefDone && !ram/RS_FSM_FFd1 && -
      !ram/BACTr && fsb/ASrf)); - -FDCPE FDCPE_ram/RASEL (ram/RASEL,ram/RASEL_D,CLK_FSB,1'b0,1'b0); -
     assign ram/RASEL_D = ((A_FSB[22] && !cnt/RefDone && cs/nOverlay1 && -
      !nAS_FSB && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && !ram/BACTr) -
      || (A_FSB[22] && !cnt/RefDone && cs/nOverlay1 && -
      !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && !ram/BACTr && fsb/ASrf) -
      || (!A_FSB[22] && !cnt/RefDone && !cs/nOverlay1 && -
      !nAS_FSB && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && !ram/BACTr) -
      || (!A_FSB[22] && !cnt/RefDone && !cs/nOverlay1 && -
      !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && !ram/BACTr && fsb/ASrf) -
      || (A_FSB[22] && !A_FSB[21] && !cnt/RefDone && -
      !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && cnt/RefCnt[5] && cnt/RefCnt[6] && -
      cnt/RefCnt[7]) -
      || (EXP26_.EXP) -
      || (A_FSB[23] && !cnt/RefDone && !ram/RS_FSM_FFd1 && -
      !ram/RS_FSM_FFd2 && cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7]) -
      || (!A_FSB[23] && !A_FSB[22] && !ram/Once && cs/nOverlay1 && -
      !nAS_FSB && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2) -
      || (!A_FSB[23] && !A_FSB[22] && !ram/Once && cs/nOverlay1 && -
      !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && fsb/ASrf) -
      || (A_FSB[22] && !A_FSB[21] && !cnt/RefDone && !nAS_FSB && -
      !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && !ram/BACTr) -
      || (A_FSB[22] && !A_FSB[21] && !cnt/RefDone && -
      !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && !ram/BACTr && fsb/ASrf) -
      || (!ram/RS_FSM_FFd2 && ram/RS_FSM_FFd3) -
      || (!ram/RS_FSM_FFd1 && ram/RS_FSM_FFd2 && -
      !ram/RS_FSM_FFd3) -
      || (A_FSB[23] && !cnt/RefDone && !nAS_FSB && -
      !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && !ram/BACTr) -
      || (A_FSB[23] && !cnt/RefDone && !ram/RS_FSM_FFd1 && -
      !ram/RS_FSM_FFd2 && !ram/BACTr && fsb/ASrf) -
      || (!cnt/RefDone && nAS_FSB && !ram/RS_FSM_FFd2 && -
      cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7] && !fsb/ASrf)); - -FDCPE FDCPE_ram/RS_FSM_FFd1 (ram/RS_FSM_FFd1,ram/RS_FSM_FFd1_D,CLK_FSB,1'b0,1'b0); -
     assign ram/RS_FSM_FFd1_D = ((!A_FSB[23] && A_FSB[22] && A_FSB[21] && !cnt/RefDone && -
      ram/Once && !cs/nOverlay1 && !nAS_FSB && !ram/RS_FSM_FFd1 && -
      !ram/RS_FSM_FFd2 && cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7]) -
      || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && !cnt/RefDone && -
      ram/Once && !cs/nOverlay1 && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && -
      cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7] && fsb/ASrf) -
      || (!A_FSB[23] && !A_FSB[22] && !cnt/RefDone && ram/Once && -
      cs/nOverlay1 && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && -
      cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7] && fsb/ASrf) +
      || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && +
      !cs/nOverlay1 && !nAS_FSB && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && +
      ram/RefUrgent && !ram/RAMEN) +
      || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && +
      !cs/nOverlay1 && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && +
      ram/RefUrgent && !ram/RAMEN && fsb/ASrf)
      || (ram/RS_FSM_FFd1 && ram/RS_FSM_FFd2)
      || (!ram/RS_FSM_FFd1 && ram/RS_FSM_FFd3) -
      || (!cnt/RefDone && !nAS_FSB && ram/RS_FSM_FFd1 && -
      !ram/RS_FSM_FFd3 && cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7]) -
      || (!cnt/RefDone && ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3 && -
      cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7] && fsb/ASrf) -
      || (!A_FSB[23] && !A_FSB[22] && !cnt/RefDone && ram/Once && -
      cs/nOverlay1 && !nAS_FSB && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && -
      cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7])); +
      || (!nAS_FSB && ram/RS_FSM_FFd1 && ram/RefUrgent && +
      !ram/RS_FSM_FFd3) +
      || (ram/RS_FSM_FFd1 && ram/RefUrgent && +
      !ram/RS_FSM_FFd3 && fsb/ASrf) +
      || (!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && !nAS_FSB && +
      !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && ram/RefUrgent && !ram/RAMEN)); -FDCPE FDCPE_ram/RS_FSM_FFd2 (ram/RS_FSM_FFd2,ram/RS_FSM_FFd2_D,CLK_FSB,1'b0,1'b0); -
     assign ram/RS_FSM_FFd2_D = ((!ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3 && -
      !cnt/RefCnt[5] && ram/BACTr) -
      || (!ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3 && -
      !cnt/RefCnt[6] && ram/BACTr) -
      || (nAS_FSB && !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3 && -
      !cnt/RefCnt[5] && !fsb/ASrf) -
      || (nAS_FSB && !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3 && -
      !cnt/RefCnt[7] && !fsb/ASrf) -
      || (nAS_FSB && !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3 && -
      !cnt/RefCnt[6] && !fsb/ASrf) +FDCPE FDCPE_ram/RS_FSM_FFd2 (ram/RS_FSM_FFd2,ram/RS_FSM_FFd2_D,FCLK,1'b0,1'b0); +
     assign ram/RS_FSM_FFd2_D = ((nAS_FSB && !ram/RS_FSM_FFd2 && !ram/RefUrgent && +
      !ram/RS_FSM_FFd3 && !fsb/ASrf)
      || (!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && !nAS_FSB &&
      !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3)
      || (!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && @@ -963,44 +881,51 @@ FDCPE FDCPE_ram/RS_FSM_FFd2 (ram/RS_FSM_FFd2,ram/RS_FSM_FFd2_D,CLK_FSB,1'b0,1'b0
      || (!A_FSB[23] && A_FSB[22] && A_FSB[21] &&
      !cs/nOverlay1 && !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3 && fsb/ASrf)
      || (ram/RS_FSM_FFd1 && ram/RS_FSM_FFd2) -
      || (cnt/RefDone && !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3)
      || (!nAS_FSB && ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3)
      || (ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3 && fsb/ASrf) -
      || (!ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3 && -
      !cnt/RefCnt[7] && ram/BACTr)); +
      || (!ram/RS_FSM_FFd2 && !ram/RefUrgent && +
      !ram/RS_FSM_FFd3 && ram/BACTr) +
      || (!ram/RS_FSM_FFd2 && !ram/RefUrgent && +
      !ram/RS_FSM_FFd3 && !ram/RefReq)); -FDCPE FDCPE_ram/RS_FSM_FFd3 (ram/RS_FSM_FFd3,ram/RS_FSM_FFd3_D,CLK_FSB,1'b0,1'b0); -
     assign ram/RS_FSM_FFd3_D = ((!cnt/RefDone && !nAS_FSB && ram/RS_FSM_FFd1 && -
      !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3 && cnt/RefCnt[5] && cnt/RefCnt[6] && -
      cnt/RefCnt[7]) -
      || (!cnt/RefDone && ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && -
      !ram/RS_FSM_FFd3 && cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7] && -
      fsb/ASrf) -
      || (!A_FSB[23] && !A_FSB[22] && !cnt/RefDone && -
      cs/nOverlay1 && !nAS_FSB && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3 && -
      cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7]) -
      || (!A_FSB[23] && !A_FSB[22] && !cnt/RefDone && -
      cs/nOverlay1 && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3 && -
      cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7] && fsb/ASrf) -
      || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && !cnt/RefDone && -
      !cs/nOverlay1 && !nAS_FSB && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3 && -
      cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7]) -
      || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && !cnt/RefDone && -
      !cs/nOverlay1 && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3 && -
      cnt/RefCnt[5] && cnt/RefCnt[6] && cnt/RefCnt[7] && fsb/ASrf) -
      || (!ram/RS_FSM_FFd1 && ram/RS_FSM_FFd2) -
      || (!A_FSB[23] && !A_FSB[22] && !ram/Once && cs/nOverlay1 && -
      !nAS_FSB && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3) -
      || (!A_FSB[23] && !A_FSB[22] && !ram/Once && cs/nOverlay1 && -
      !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3 && fsb/ASrf) -
      || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && !ram/Once && -
      !cs/nOverlay1 && !nAS_FSB && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3) -
      || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && !ram/Once && -
      !cs/nOverlay1 && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3 && fsb/ASrf)); +FTCPE FTCPE_ram/RS_FSM_FFd3 (ram/RS_FSM_FFd3,ram/RS_FSM_FFd3_T,FCLK,1'b0,1'b0); +
     assign ram/RS_FSM_FFd3_T = ((ram/RS_FSM_FFd1 && ram/RS_FSM_FFd2 && +
      !ram/RS_FSM_FFd3) +
      || (ram/RS_FSM_FFd1 && !ram/RefUrgent && +
      !ram/RS_FSM_FFd3) +
      || (A_FSB[23] && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && +
      !ram/RS_FSM_FFd3) +
      || (nAS_FSB && !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3 && +
      !fsb/ASrf) +
      || (!ram/RS_FSM_FFd1 && ram/RS_FSM_FFd2 && +
      ram/RS_FSM_FFd3) +
      || (!ram/RS_FSM_FFd2 && !ram/RefUrgent && +
      !ram/RS_FSM_FFd3 && !ram/RAMEN) +
      || (A_FSB[22] && !A_FSB[21] && !ram/RS_FSM_FFd1 && +
      !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3) +
      || (A_FSB[22] && cs/nOverlay1 && !ram/RS_FSM_FFd1 && +
      !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3) +
      || (!A_FSB[22] && !cs/nOverlay1 && !ram/RS_FSM_FFd1 && +
      !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3)); -FDCPE FDCPE_ram/RefRAS (ram/RefRAS,ram/RefRAS_D,CLK_FSB,1'b0,1'b0); +FDCPE FDCPE_ram/RefDone (ram/RefDone,ram/RefDone_D,FCLK,1'b0,1'b0); +
     assign ram/RefDone_D = ((ram/RefDone && ram/RefReqSync) +
      || (!ram/RS_FSM_FFd1 && ram/RS_FSM_FFd2 && +
      ram/RefReqSync)); + +FDCPE FDCPE_ram/RefRAS (ram/RefRAS,ram/RefRAS_D,FCLK,1'b0,1'b0);
     assign ram/RefRAS_D = (!ram/RS_FSM_FFd1 && ram/RS_FSM_FFd2); +FDCPE FDCPE_ram/RefReq (ram/RefReq,ram/RefReq_D,FCLK,1'b0,1'b0); +
     assign ram/RefReq_D = (!ram/RefDone && ram/RefReqSync); + +FDCPE FDCPE_ram/RefReqSync (ram/RefReqSync,cnt/RefReq,FCLK,1'b0,1'b0); + +FDCPE FDCPE_ram/RefUrgent (ram/RefUrgent,ram/RefUrgent_D,FCLK,1'b0,1'b0); +
     assign ram/RefUrgent_D = (!ram/RefDone && ram/RegUrgentSync); + +FDCPE FDCPE_ram/RegUrgentSync (ram/RegUrgentSync,cnt/RefUrgent,FCLK,1'b0,1'b0); + Register Legend:
      FDCPE (Q,D,C,CLR,PRE,CE);
      FTCPE (Q,D,C,CLR,PRE,CE); diff --git a/cpld/XC95144XL/WarpSE_html/fit/eqns.htm b/cpld/XC95144XL/WarpSE_html/fit/eqns.htm index f1ea931..38a24fb 100644 --- a/cpld/XC95144XL/WarpSE_html/fit/eqns.htm +++ b/cpld/XC95144XL/WarpSE_html/fit/eqns.htm @@ -3,9 +3,9 @@ - -
- cnt/TimeoutA.Q to fsb/VPA.D - 40.000 - 11.800 - 28.200 - - - - - cnt/TimeoutB.Q to fsb/VPA.D - 40.000 - 11.800 - 28.200 - - - - - cnt/TimeoutB.Q to nDTACK_FSB.D - 40.000 - 11.800 - 28.200 - - cs/nOverlay1.Q to fsb/VPA.D 40.000 - 11.800 - 28.200 + 12.200 + 27.800 - - fsb/ASrf.Q to nDTACK_FSB.D + + fsb/ASrf.Q to fsb/VPA.D 20.000 - 11.800 - 8.200 + 12.200 + 7.800 - - - fsb/BERR0r.Q to fsb/VPA.D - 40.000 - 11.800 - 28.200 - - - - - fsb/BERR0r.Q to nDTACK_FSB.D - 40.000 - 11.800 - 28.200 - - - - - fsb/BERR1r.Q to fsb/VPA.D - 40.000 - 11.800 - 28.200 - - - - - fsb/BERR1r.Q to nDTACK_FSB.D - 40.000 - 11.800 - 28.200 - - - - - fsb/Ready1r.Q to fsb/VPA.D - 40.000 - 11.800 - 28.200 - - - - - fsb/Ready1r.Q to nDTACK_FSB.D - 40.000 - 11.800 - 28.200 - - fsb/Ready2r.Q to fsb/VPA.D 40.000 - 11.800 - 28.200 + 12.200 + 27.800 - - iobs/BERR.Q to fsb/VPA.D - 40.000 - 11.800 - 28.200 - - - - - iobs/BERR.Q to nDTACK_FSB.D - 40.000 - 11.800 - 28.200 - - - - - iobs/IOReady.Q to fsb/VPA.D - 40.000 - 11.800 - 28.200 - - - - - iobs/IOReady.Q to nDTACK_FSB.D - 40.000 - 11.800 - 28.200 - - - - - nBR_IOB.Q to fsb/VPA.D - 40.000 - 11.800 - 28.200 - - - - - nBR_IOB.Q to nDTACK_FSB.D - 40.000 - 11.800 - 28.200 - - - - - cnt/RefCnt<5>.Q to ram/RAMDIS1.D + + cs/nOverlay1.Q to iobs/IORW0.D 40.000 11.400 28.600 - - - cnt/RefCnt<5>.Q to ram/RASEL.D - 40.000 - 11.400 - 28.600 - - - - - cnt/RefCnt<6>.Q to ram/RAMDIS1.D - 40.000 - 11.400 - 28.600 - - - - - cnt/RefCnt<6>.Q to ram/RASEL.D - 40.000 - 11.400 - 28.600 - - - - - cnt/RefCnt<7>.Q to ram/RAMDIS1.D - 40.000 - 11.400 - 28.600 - - - - - cnt/RefCnt<7>.Q to ram/RASEL.D - 40.000 - 11.400 - 28.600 - - - - - cnt/RefDone.Q to ram/RAMDIS1.D - 40.000 - 11.400 - 28.600 - - - - - cnt/RefDone.Q to ram/RASEL.D - 40.000 - 11.400 - 28.600 - - - - - cs/nOverlay1.Q to fsb/Ready1r.D - 40.000 - 11.400 - 28.600 - - - - - cs/nOverlay1.Q to iobs/IORW1.D - 40.000 - 11.400 - 28.600 - - - - cs/nOverlay1.Q to nDTACK_FSB.D - 40.000 - 11.400 - 28.600 - - - - - cs/nOverlay1.Q to ram/RAMDIS1.D - 40.000 - 11.400 - 28.600 - - - - - cs/nOverlay1.Q to ram/RASEL.D - 40.000 - 11.400 - 28.600 - - - - - fsb/ASrf.Q to ram/RASEL.D + + fsb/ASrf.Q to iobs/IORW0.D 20.000 11.400 8.600 - - fsb/Ready0r.Q to nDTACK_FSB.D - 40.000 + + fsb/ASrf.Q to nDTACK_FSB.D + 20.000 11.400 - 28.600 + 8.600 - - fsb/Ready1r.Q to fsb/Ready1r.D + + fsb/Ready1r.Q to fsb/VPA.D 40.000 11.400 28.600 + + + fsb/Ready1r.Q to nDTACK_FSB.D + 40.000 + 11.400 + 28.600 + + - - iobs/IOReady.Q to fsb/Ready1r.D + + iobs/IOReady.Q to fsb/VPA.D 40.000 11.400 28.600 + + + iobs/IOReady.Q to nDTACK_FSB.D + 40.000 + 11.400 + 28.600 + + - - - nADoutLE1.Q to fsb/Ready1r.D - 40.000 - 11.400 - 28.600 - - @@ -1730,223 +808,19 @@ function TS_CLK_FSB_nDTACK_FSB_Q_to_nDTACK_FSB_D() { //--> - - ram/Once.Q to ram/RASEL.D - 40.000 - 11.400 - 28.600 - - - - - ram/RAMReady.Q to nDTACK_FSB.D - 40.000 - 11.400 - 28.600 - - - - - ram/RS_FSM_FFd1.Q to ram/RAMDIS1.D - 40.000 - 11.400 - 28.600 - - - - - ram/RS_FSM_FFd1.Q to ram/RASEL.D - 40.000 - 11.400 - 28.600 - - - - - ram/RS_FSM_FFd2.Q to ram/RASEL.D - 40.000 - 11.400 - 28.600 - - - - - ram/RS_FSM_FFd3.Q to ram/RAMDIS1.D - 40.000 - 11.400 - 28.600 - - - - - cnt/RefCnt<5>.Q to ram/RAMDIS2.D + + cs/nOverlay1.Q to fsb/Ready1r.D 40.000 11.000 29.000 - - - cnt/RefCnt<5>.Q to ram/RS_FSM_FFd1.D - 40.000 - 11.000 - 29.000 - - - - - cnt/RefCnt<5>.Q to ram/RS_FSM_FFd2.D - 40.000 - 11.000 - 29.000 - - - - - cnt/RefCnt<5>.Q to ram/RS_FSM_FFd3.D - 40.000 - 11.000 - 29.000 - - - - - cnt/RefCnt<6>.Q to ram/RAMDIS2.D - 40.000 - 11.000 - 29.000 - - - - - cnt/RefCnt<6>.Q to ram/RS_FSM_FFd1.D - 40.000 - 11.000 - 29.000 - - - - - cnt/RefCnt<6>.Q to ram/RS_FSM_FFd2.D - 40.000 - 11.000 - 29.000 - - - - - cnt/RefCnt<6>.Q to ram/RS_FSM_FFd3.D - 40.000 - 11.000 - 29.000 - - - - - cnt/RefCnt<7>.Q to ram/RAMDIS2.D - 40.000 - 11.000 - 29.000 - - - - - cnt/RefCnt<7>.Q to ram/RS_FSM_FFd1.D - 40.000 - 11.000 - 29.000 - - - - - cnt/RefCnt<7>.Q to ram/RS_FSM_FFd2.D - 40.000 - 11.000 - 29.000 - - - - - cnt/RefCnt<7>.Q to ram/RS_FSM_FFd3.D - 40.000 - 11.000 - 29.000 - - - - - cnt/RefDone.Q to ram/RAMDIS2.D - 40.000 - 11.000 - 29.000 - - - - - cnt/RefDone.Q to ram/RAMReady.D - 40.000 - 11.000 - 29.000 - - - - - cnt/RefDone.Q to ram/RS_FSM_FFd1.D - 40.000 - 11.000 - 29.000 - - - - - cnt/RefDone.Q to ram/RS_FSM_FFd3.D - 40.000 - 11.000 - 29.000 - - - - - cnt/TimeoutA.Q to fsb/Ready2r.D - 40.000 - 11.000 - 29.000 - - - - - cnt/TimeoutA.Q to nDTACK_FSB.D - 40.000 - 11.000 - 29.000 - - + + + cs/nOverlay1.Q to iobs/IORW1.D + 40.000 + 11.000 + 29.000 + + @@ -2682,19 +1012,19 @@ function TS_CLK_FSB_cs_nOverlay1_Q_to_iobs_PS_FSM_FFd2_D() { //--> - - cs/nOverlay1.Q to ram/RAMDIS2.D + + cs/nOverlay1.Q to nDTACK_FSB.D 40.000 11.000 29.000 - - cs/nOverlay1.Q to ram/RS_FSM_FFd1.D + + cs/nOverlay1.Q to ram/RASEL.D 40.000 11.000 29.000 - - - cs/nOverlay1.Q to ram/RS_FSM_FFd2.D - 40.000 - 11.000 - 29.000 - - - - - cs/nOverlay1.Q to ram/RS_FSM_FFd3.D - 40.000 - 11.000 - 29.000 - - - - - fsb/ASrf.Q to fsb/Ready0r.D - 20.000 - 11.000 - 9.000 - - - - - fsb/ASrf.Q to iobs/IORW0.D - 20.000 - 11.000 - 9.000 - - @@ -2986,74 +1180,6 @@ function TS_CLK_FSB_fsb_ASrf_Q_to_iobs_IORW1_D() { aWindow.document.close() } //--> - - - - fsb/ASrf.Q to ram/RAMDIS1.D - 20.000 - 11.000 - 9.000 - - - - - fsb/ASrf.Q to ram/RAMDIS2.D - 20.000 - 11.000 - 9.000 - - @@ -3090,19 +1216,19 @@ function TS_CLK_FSB_fsb_ASrf_Q_to_ram_RAMReady_D() { //--> - - fsb/ASrf.Q to ram/RS_FSM_FFd1.D + + fsb/ASrf.Q to ram/RASEL.D 20.000 11.000 9.000 - - - fsb/ASrf.Q to ram/RS_FSM_FFd2.D - 20.000 - 11.000 - 9.000 - - - - - fsb/ASrf.Q to ram/RS_FSM_FFd3.D - 20.000 - 11.000 - 9.000 - - + + + fsb/Ready0r.Q to nDTACK_FSB.D + 40.000 + 11.000 + 29.000 + + + + + fsb/Ready1r.Q to fsb/Ready1r.D + 40.000 + 11.000 + 29.000 + + @@ -3294,19 +1420,19 @@ function TS_CLK_FSB_fsb_Ready2r_Q_to_nDTACK_FSB_D() { //--> - - iobs/IORW1.Q to iobs/IORW0.D + + iobs/IORW1.Q to iobs/IORW1.D 40.000 11.000 29.000 + + + iobs/IOReady.Q to fsb/Ready1r.D + 40.000 + 11.000 + 29.000 + + + + + iobs/PS_FSM_FFd1.Q to iobs/ALE0.D + 40.000 + 11.000 + 29.000 + + + + + iobs/PS_FSM_FFd2.Q to iobs/ALE0.D + 40.000 + 11.000 + 29.000 + + @@ -3496,6 +1724,40 @@ function TS_CLK_FSB_iobs_PS_FSM_FFd2_Q_to_iobs_IORW1_D() { aWindow.document.close() } //--> + + + + iobs/PS_FSM_FFd2.Q to iobs/Once.D + 40.000 + 11.000 + 29.000 + + @@ -3530,6 +1792,40 @@ function TS_CLK_FSB_iobs_PS_FSM_FFd2_Q_to_iobs_PS_FSM_FFd2_D() { aWindow.document.close() } //--> + + + + nADoutLE1.Q to fsb/Ready1r.D + 40.000 + 11.000 + 29.000 + + @@ -3668,19 +1964,19 @@ function TS_CLK_FSB_nADoutLE1_Q_to_nDTACK_FSB_D() { //--> - - ram/BACTr.Q to ram/RAMDIS1.D + + ram/RAMEN.Q to ram/RAMReady.D 40.000 11.000 29.000 - - - ram/BACTr.Q to ram/RAMReady.D - 40.000 - 11.000 - 29.000 - - - - ram/BACTr.Q to ram/RASEL.D + + ram/RAMEN.Q to ram/RASEL.D 40.000 11.000 29.000 - - - ram/BACTr.Q to ram/RS_FSM_FFd2.D - 40.000 - 11.000 - 29.000 - - - - - ram/Once.Q to ram/RAMDIS1.D - 40.000 - 11.000 - 29.000 - - - - - ram/Once.Q to ram/RAMDIS2.D - 40.000 - 11.000 - 29.000 - - - - - ram/Once.Q to ram/RAMReady.D - 40.000 - 11.000 - 29.000 - - - - - ram/Once.Q to ram/RS_FSM_FFd1.D - 40.000 - 11.000 - 29.000 - - - - - ram/RAMDIS2.Q to ram/RAMDIS2.D - 40.000 - 11.000 - 29.000 - - @@ -4006,6 +2064,40 @@ function TS_CLK_FSB_ram_RAMReady_Q_to_fsb_VPA_D() { aWindow.document.close() } //--> + + + + ram/RAMReady.Q to nDTACK_FSB.D + 40.000 + 11.000 + 29.000 + + @@ -4042,19 +2134,19 @@ function TS_CLK_FSB_ram_RS_FSM_FFd1_Q_to_ram_RAMReady_D() { //--> - - ram/RS_FSM_FFd1.Q to ram/RS_FSM_FFd1.D + + ram/RS_FSM_FFd1.Q to ram/RASEL.D 40.000 11.000 29.000 - - ram/RS_FSM_FFd1.Q to ram/RS_FSM_FFd3.D + + ram/RS_FSM_FFd2.Q to ram/RASEL.D 40.000 11.000 29.000 - - - ram/RS_FSM_FFd2.Q to ram/RAMDIS2.D - 40.000 - 11.000 - 29.000 - - - - - ram/RS_FSM_FFd2.Q to ram/RS_FSM_FFd1.D - 40.000 - 11.000 - 29.000 - - - - - ram/RS_FSM_FFd2.Q to ram/RS_FSM_FFd2.D - 40.000 - 11.000 - 29.000 - - - - - ram/RS_FSM_FFd2.Q to ram/RS_FSM_FFd3.D - 40.000 - 11.000 - 29.000 - - - - - ram/RS_FSM_FFd3.Q to ram/RAMDIS2.D - 40.000 - 11.000 - 29.000 - - - - - ram/RS_FSM_FFd3.Q to ram/RS_FSM_FFd2.D - 40.000 - 11.000 - 29.000 - - - - - ram/RS_FSM_FFd3.Q to ram/RS_FSM_FFd3.D - 40.000 - 11.000 - 29.000 - - - - - IPL2r0.Q to IPL2r1.D - 40.000 - 10.000 - 30.000 - - - - - IPL2r0.Q to nBR_IOB.CE - 40.000 - 10.000 - 30.000 - - - - - IPL2r1.Q to nBR_IOB.CE - 40.000 - 10.000 - 30.000 - - - - - RESDone.Q to nBR_IOB.CE - 40.000 - 10.000 - 30.000 - - - - - RESr0.Q to RESDone.CE - 40.000 - 10.000 - 30.000 - - - - - RESr0.Q to RESr1.D - 40.000 - 10.000 - 30.000 - - - - - RESr0.Q to nBR_IOB.CE - 40.000 - 10.000 - 30.000 - - - - - RESr1.Q to RESDone.CE - 40.000 - 10.000 - 30.000 - - - - - RESr1.Q to RESr2.D - 40.000 - 10.000 - 30.000 - - - - - RESr1.Q to nBR_IOB.CE - 40.000 - 10.000 - 30.000 - - - - - RESr2.Q to RESDone.CE - 40.000 - 10.000 - 30.000 - - - - - RESr2.Q to nBR_IOB.CE - 40.000 - 10.000 - 30.000 - - - - - cnt/RefCnt<0>.Q to cnt/RefCnt<1>.D - 40.000 - 10.000 - 30.000 - - - - - cnt/RefCnt<0>.Q to cnt/RefCnt<2>.D - 40.000 - 10.000 - 30.000 - - - - - cnt/RefCnt<0>.Q to cnt/RefCnt<3>.D - 40.000 - 10.000 - 30.000 - - - - - cnt/RefCnt<0>.Q to cnt/RefCnt<4>.D - 40.000 - 10.000 - 30.000 - - - - - cnt/RefCnt<0>.Q to cnt/RefCnt<5>.D - 40.000 - 10.000 - 30.000 - - - - - cnt/RefCnt<0>.Q to cnt/RefCnt<6>.D - 40.000 - 10.000 - 30.000 - - - - - cnt/RefCnt<0>.Q to cnt/RefCnt<7>.D - 40.000 - 10.000 - 30.000 - - - - - cnt/RefCnt<0>.Q to cnt/RefDone.D - 40.000 - 10.000 - 30.000 - - - - - cnt/RefCnt<0>.Q to cnt/TimeoutA.D - 40.000 - 10.000 - 30.000 - - - - - cnt/RefCnt<0>.Q to cnt/TimeoutB.D - 40.000 - 10.000 - 30.000 - - - - - cnt/RefCnt<0>.Q to cnt/TimeoutBPre.D - 40.000 - 10.000 - 30.000 - - - - - cnt/RefCnt<1>.Q to cnt/RefCnt<2>.D - 40.000 - 10.000 - 30.000 - - - - - cnt/RefCnt<1>.Q to cnt/RefCnt<3>.D - 40.000 - 10.000 - 30.000 - - - - - cnt/RefCnt<1>.Q to cnt/RefCnt<4>.D - 40.000 - 10.000 - 30.000 - - - - - cnt/RefCnt<1>.Q to cnt/RefCnt<5>.D - 40.000 - 10.000 - 30.000 - - - - - cnt/RefCnt<1>.Q to cnt/RefCnt<6>.D - 40.000 - 10.000 - 30.000 - - - - - cnt/RefCnt<1>.Q to cnt/RefCnt<7>.D - 40.000 - 10.000 - 30.000 - - - - - cnt/RefCnt<1>.Q to cnt/RefDone.D - 40.000 - 10.000 - 30.000 - - - - - cnt/RefCnt<1>.Q to cnt/TimeoutA.D - 40.000 - 10.000 - 30.000 - - - - - cnt/RefCnt<1>.Q to cnt/TimeoutB.D - 40.000 - 10.000 - 30.000 - - - - - cnt/RefCnt<1>.Q to cnt/TimeoutBPre.D - 40.000 - 10.000 - 30.000 - - - - - cnt/RefCnt<2>.Q to cnt/RefCnt<3>.D - 40.000 - 10.000 - 30.000 - - - - - cnt/RefCnt<2>.Q to cnt/RefCnt<4>.D - 40.000 - 10.000 - 30.000 - - - - - cnt/RefCnt<2>.Q to cnt/RefCnt<5>.D - 40.000 - 10.000 - 30.000 - - - - - cnt/RefCnt<2>.Q to cnt/RefCnt<6>.D - 40.000 - 10.000 - 30.000 - - - - - cnt/RefCnt<2>.Q to cnt/RefCnt<7>.D - 40.000 - 10.000 - 30.000 - - - - - cnt/RefCnt<2>.Q to cnt/RefDone.D - 40.000 - 10.000 - 30.000 - - - - - cnt/RefCnt<2>.Q to cnt/TimeoutA.D - 40.000 - 10.000 - 30.000 - - - - - cnt/RefCnt<2>.Q to cnt/TimeoutB.D - 40.000 - 10.000 - 30.000 - - - - - cnt/RefCnt<2>.Q to cnt/TimeoutBPre.D - 40.000 - 10.000 - 30.000 - - - - - cnt/RefCnt<3>.Q to cnt/RefCnt<4>.D - 40.000 - 10.000 - 30.000 - - - - - cnt/RefCnt<3>.Q to cnt/RefCnt<5>.D - 40.000 - 10.000 - 30.000 - - - - - cnt/RefCnt<3>.Q to cnt/RefCnt<6>.D - 40.000 - 10.000 - 30.000 - - - - - cnt/RefCnt<3>.Q to cnt/RefCnt<7>.D - 40.000 - 10.000 - 30.000 - - - - - cnt/RefCnt<3>.Q to cnt/RefDone.D - 40.000 - 10.000 - 30.000 - - - - - cnt/RefCnt<3>.Q to cnt/TimeoutA.D - 40.000 - 10.000 - 30.000 - - - - - cnt/RefCnt<3>.Q to cnt/TimeoutB.D - 40.000 - 10.000 - 30.000 - - - - - cnt/RefCnt<3>.Q to cnt/TimeoutBPre.D - 40.000 - 10.000 - 30.000 - - - - - cnt/RefCnt<4>.Q to cnt/RefCnt<5>.D - 40.000 - 10.000 - 30.000 - - - - - cnt/RefCnt<4>.Q to cnt/RefCnt<6>.D - 40.000 - 10.000 - 30.000 - - - - - cnt/RefCnt<4>.Q to cnt/RefCnt<7>.D - 40.000 - 10.000 - 30.000 - - - - - cnt/RefCnt<4>.Q to cnt/RefDone.D - 40.000 - 10.000 - 30.000 - - - - - cnt/RefCnt<4>.Q to cnt/TimeoutA.D - 40.000 - 10.000 - 30.000 - - - - - cnt/RefCnt<4>.Q to cnt/TimeoutB.D - 40.000 - 10.000 - 30.000 - - - - - cnt/RefCnt<4>.Q to cnt/TimeoutBPre.D - 40.000 - 10.000 - 30.000 - - - - - cnt/RefCnt<5>.Q to cnt/RefCnt<6>.D - 40.000 - 10.000 - 30.000 - - - - - cnt/RefCnt<5>.Q to cnt/RefCnt<7>.D - 40.000 - 10.000 - 30.000 - - - - - cnt/RefCnt<5>.Q to cnt/RefDone.D - 40.000 - 10.000 - 30.000 - - - - - cnt/RefCnt<5>.Q to cnt/TimeoutA.D - 40.000 - 10.000 - 30.000 - - - - - cnt/RefCnt<5>.Q to cnt/TimeoutB.D - 40.000 - 10.000 - 30.000 - - - - - cnt/RefCnt<5>.Q to cnt/TimeoutBPre.D - 40.000 - 10.000 - 30.000 - - - - - cnt/RefCnt<5>.Q to ram/RAMReady.D - 40.000 - 10.000 - 30.000 - - - - - cnt/RefCnt<6>.Q to cnt/RefCnt<7>.D - 40.000 - 10.000 - 30.000 - - - - - cnt/RefCnt<6>.Q to cnt/RefDone.D - 40.000 - 10.000 - 30.000 - - - - - cnt/RefCnt<6>.Q to cnt/TimeoutA.D - 40.000 - 10.000 - 30.000 - - - - - cnt/RefCnt<6>.Q to cnt/TimeoutB.D - 40.000 - 10.000 - 30.000 - - - - - cnt/RefCnt<6>.Q to cnt/TimeoutBPre.D - 40.000 - 10.000 - 30.000 - - - - - cnt/RefCnt<6>.Q to ram/RAMReady.D - 40.000 - 10.000 - 30.000 - - - - - cnt/RefCnt<7>.Q to cnt/RefDone.D - 40.000 - 10.000 - 30.000 - - - - - cnt/RefCnt<7>.Q to cnt/TimeoutB.D - 40.000 - 10.000 - 30.000 - - - - - cnt/RefCnt<7>.Q to cnt/TimeoutBPre.D - 40.000 - 10.000 - 30.000 - - - - - cnt/RefCnt<7>.Q to ram/RAMReady.D - 40.000 - 10.000 - 30.000 - - - - - cnt/RefDone.Q to cnt/RefDone.D - 40.000 - 10.000 - 30.000 - - - - - cnt/RefDone.Q to ram/RS_FSM_FFd2.D - 40.000 - 10.000 - 30.000 - - - - - cnt/TimeoutA.Q to cnt/TimeoutA.D - 40.000 - 10.000 - 30.000 - - - - - cnt/TimeoutB.Q to cnt/TimeoutB.D - 40.000 - 10.000 - 30.000 - - - - - cnt/TimeoutB.Q to fsb/BERR0r.D - 40.000 - 10.000 - 30.000 - - - - - cnt/TimeoutBPre.Q to cnt/TimeoutB.D - 40.000 - 10.000 - 30.000 - - - - - cnt/TimeoutBPre.Q to cnt/TimeoutBPre.D - 40.000 - 10.000 - 30.000 - - - - cs/nOverlay1.Q to iobs/IORW0.D + + cs/nOverlay1.Q to ram/RS_FSM_FFd3.D 40.000 10.000 30.000 - - - cs/nOverlay1.Q to ram/Once.D - 40.000 - 10.000 - 30.000 - - - - - fsb/ASrf.Q to cnt/TimeoutA.D - 20.000 - 10.000 - 10.000 - - - - - fsb/ASrf.Q to cnt/TimeoutB.D - 20.000 - 10.000 - 10.000 - - - - - fsb/ASrf.Q to cnt/TimeoutBPre.D - 20.000 - 10.000 - 10.000 - - - - fsb/ASrf.Q to fsb/BERR0r.D + + fsb/ASrf.Q to fsb/Ready0r.D 20.000 10.000 10.000 - - - fsb/ASrf.Q to fsb/BERR1r.D - 20.000 - 10.000 - 10.000 - - - - fsb/ASrf.Q to iobs/BERR.D + + fsb/ASrf.Q to iobs/IOBS_BERR.D 20.000 10.000 10.000 - - fsb/ASrf.Q to ram/BACTr.D + + fsb/ASrf.Q to ram/RAMEN.D 20.000 10.000 10.000 - - fsb/ASrf.Q to ram/Once.D + + fsb/ASrf.Q to ram/RS_FSM_FFd3.D 20.000 10.000 10.000 - - - fsb/BERR0r.Q to fsb/BERR0r.D - 40.000 - 10.000 - 30.000 - - - - - fsb/BERR1r.Q to fsb/BERR1r.D - 40.000 - 10.000 - 30.000 - - - - - iobs/BERR.Q to fsb/BERR1r.D - 40.000 - 10.000 - 30.000 - - - - - iobs/BERR.Q to iobs/BERR.D - 40.000 - 10.000 - 30.000 - - @@ -8020,19 +2814,19 @@ function TS_CLK_FSB_iobs_Clear1_Q_to_nADoutLE1_D() { //--> - - iobs/IOACTr.Q to iobs/BERR.D + + iobs/IOACTr.Q to iobs/IOBS_BERR.D 40.000 10.000 30.000 + + + iobs/IOBS_BERR.Q to iobs/IOBS_BERR.D + 40.000 + 10.000 + 30.000 + + @@ -8224,19 +3052,19 @@ function TS_CLK_FSB_iobs_IOL1_Q_to_iobs_IOL0_D() { //--> - - iobs/IORW1.Q to iobs/IORW1.D + + iobs/IORW1.Q to iobs/IORW0.D 40.000 10.000 30.000 - - iobs/Once.Q to iobs/BERR.D + + iobs/Once.Q to iobs/IOBS_BERR.D 40.000 10.000 30.000 - - - iobs/PS_FSM_FFd1.Q to iobs/ALE0.D - 40.000 - 10.000 - 30.000 - - @@ -8868,74 +3662,6 @@ function TS_CLK_FSB_iobs_PS_FSM_FFd1_Q_to_iobs_PS_FSM_FFd1_D() { aWindow.document.close() } //--> - - - - iobs/PS_FSM_FFd2.Q to iobs/ALE0.D - 40.000 - 10.000 - 30.000 - - - - - iobs/PS_FSM_FFd2.Q to iobs/BERR.D - 40.000 - 10.000 - 30.000 - - @@ -8970,6 +3696,40 @@ function TS_CLK_FSB_iobs_PS_FSM_FFd2_Q_to_iobs_Clear1_D() { aWindow.document.close() } //--> + + + + iobs/PS_FSM_FFd2.Q to iobs/IOBS_BERR.D + 40.000 + 10.000 + 30.000 + + @@ -9106,40 +3866,6 @@ function TS_CLK_FSB_iobs_PS_FSM_FFd2_Q_to_iobs_Load1_D() { aWindow.document.close() } //--> - - - - iobs/PS_FSM_FFd2.Q to iobs/Once.D - 40.000 - 10.000 - 30.000 - - @@ -9174,40 +3900,6 @@ function TS_CLK_FSB_iobs_PS_FSM_FFd2_Q_to_iobs_PS_FSM_FFd1_D() { aWindow.document.close() } //--> - - - - nADoutLE1.Q to iobs/BERR.D - 40.000 - 10.000 - 30.000 - - @@ -9242,6 +3934,40 @@ function TS_CLK_FSB_nADoutLE1_Q_to_iobs_Clear1_D() { aWindow.document.close() } //--> + + + + nADoutLE1.Q to iobs/IOBS_BERR.D + 40.000 + 10.000 + 30.000 + + @@ -9448,19 +4174,19 @@ function TS_CLK_FSB_nADoutLE1_Q_to_nADoutLE1_D() { //--> - - ram/Once.Q to ram/Once.D + + ram/RAMEN.Q to ram/RAMEN.D 40.000 10.000 30.000 - - ram/Once.Q to ram/RS_FSM_FFd3.D + + ram/RAMEN.Q to ram/RS_FSM_FFd3.D 40.000 10.000 30.000 - - ram/RS_FSM_FFd1.Q to ram/Once.D + + ram/RS_FSM_FFd1.Q to ram/RAMEN.D 40.000 10.000 30.000 - - ram/RS_FSM_FFd1.Q to ram/RAMDIS2.D + + ram/RS_FSM_FFd1.Q to ram/RS_FSM_FFd1.D 40.000 10.000 30.000 + + + ram/RS_FSM_FFd1.Q to ram/RS_FSM_FFd3.D + 40.000 + 10.000 + 30.000 + + @@ -9720,19 +4480,19 @@ function TS_CLK_FSB_ram_RS_FSM_FFd1_Q_to_ram_RefRAS_D() { //--> - - ram/RS_FSM_FFd2.Q to ram/Once.D + + ram/RS_FSM_FFd2.Q to ram/RAMEN.D 40.000 10.000 30.000 - - - ram/RS_FSM_FFd2.Q to ram/RAMDIS1.D - 40.000 - 10.000 - 30.000 - - + + + ram/RS_FSM_FFd2.Q to ram/RS_FSM_FFd1.D + 40.000 + 10.000 + 30.000 + + + + + ram/RS_FSM_FFd2.Q to ram/RS_FSM_FFd2.D + 40.000 + 10.000 + 30.000 + + + + + ram/RS_FSM_FFd2.Q to ram/RS_FSM_FFd3.D + 40.000 + 10.000 + 30.000 + + @@ -9856,19 +4684,19 @@ function TS_CLK_FSB_ram_RS_FSM_FFd2_Q_to_ram_RefRAS_D() { //--> - - ram/RS_FSM_FFd3.Q to ram/Once.D + + ram/RS_FSM_FFd3.Q to ram/RAMEN.D 40.000 10.000 30.000 - - ram/RefRAS.Q to cnt/RefDone.D + + ram/RS_FSM_FFd3.Q to ram/RS_FSM_FFd2.D 40.000 10.000 30.000 + + + ram/RS_FSM_FFd3.Q to ram/RS_FSM_FFd3.D + 40.000 + 10.000 + 30.000 + + - - - iobm/DTACKrf.Q to iobm/IOACT.D - 33.300 - 11.000 - 22.300 - - @@ -10173,40 +5001,6 @@ function TS_CLK2X_IOB_iobm_DTACKrf_Q_to_iobm_IOBERR_D() { aWindow.document.close() } //--> - - - - iobm/DTACKrr.Q to iobm/IOACT.D - 66.600 - 11.000 - 55.600 - - @@ -10719,19 +5513,19 @@ function TS_CLK2X_IOB_iobm_BERRrr_Q_to_iobm_IOS_FSM_FFd2_D() { //--> - - iobm/BGr0.Q to iobm/BGr1.D + + iobm/BG.Q to iobm/IOS_FSM_FFd3.D 66.600 10.000 56.600 - - iobm/BGr0.Q to nAoutOE.D - 66.600 + + iobm/DTACKrf.Q to iobm/IOACT.D + 33.300 10.000 - 56.600 + 23.300 - - - iobm/BGr1.Q to nAoutOE.D - 66.600 - 10.000 - 56.600 - - + + + iobm/DTACKrr.Q to iobm/IOACT.D + 66.600 + 10.000 + 56.600 + + @@ -13267,6 +8061,40 @@ function TS_CLK2X_IOB_iobm_RESrf_Q_to_iobm_IOS_FSM_FFd2_D() { aWindow.document.close() } //--> + + + + iobm/RESrr.Q to iobm/BG.CE + 66.600 + 10.000 + 56.600 + + @@ -13369,176 +8197,6 @@ function TS_CLK2X_IOB_iobm_VPArr_Q_to_nVMA_IOB_D() { aWindow.document.close() } //--> - - - - nAS_IOB.Q to nAoutOE.D - 33.300 - 10.000 - 23.300 - - - - - nAoutOE.Q to iobm/ALE0.D - 66.600 - 10.000 - 56.600 - - - - - nAoutOE.Q to iobm/IOACT.D - 66.600 - 10.000 - 56.600 - - - - - nAoutOE.Q to iobm/IOS_FSM_FFd3.D - 66.600 - 10.000 - 56.600 - - - - - nAoutOE.Q to nAoutOE.D - 66.600 - 10.000 - 56.600 - - @@ -13630,7 +8288,7 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { CLK_FSB - 51.282 + 81.967 Limited by Cycle Time for CLK_FSB @@ -13664,77 +8322,77 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { A_FSB<10> - 8.300 + 8.700 0.000 A_FSB<11> - 8.300 + 8.700 0.000 A_FSB<12> - 8.300 + 8.700 0.000 A_FSB<13> - 8.300 + 8.700 0.000 A_FSB<14> - 8.300 + 8.700 0.000 A_FSB<15> - 8.300 + 8.700 0.000 A_FSB<16> - 8.300 + 8.700 0.000 A_FSB<17> - 8.300 + 8.700 0.000 A_FSB<18> - 8.300 + 8.700 0.000 A_FSB<19> - 8.300 + 8.700 0.000 A_FSB<20> - 8.300 + 8.700 0.000 A_FSB<21> - 8.300 + 8.700 0.000 A_FSB<22> - 8.300 + 8.700 0.000 A_FSB<23> - 8.300 + 8.700 0.000 A_FSB<8> - 8.300 + 8.700 0.000 @@ -13742,19 +8400,9 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { 8.300 0.000 - - SW<1> - 7.900 - 0.000 - nAS_FSB - 16.000 - 0.000 - - - nIPL2 - 6.500 + 8.300 0.000 @@ -13762,11 +8410,6 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { 6.500 0.000 - - nRES - 6.500 - 0.000 - nUDS_FSB 6.500 @@ -13774,7 +8417,7 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { nWE_FSB - 8.300 + 8.700 0.000
@@ -13805,11 +8448,6 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { 6.500 0.000 - - nRES - 6.500 - 0.000 - nVPA_IOB 6.500 @@ -13825,10 +8463,18 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { Destination Pad Clock (edge) to Pad + + RA<1> + 14.500 + RA<2> 14.500 + + RA<4> + 14.500 + RA<5> 14.500 @@ -13837,38 +8483,14 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { RA<8> 14.500 - - RA<9> - 14.500 - - - nBERR_FSB - 14.500 - - - nRAMUWE - 14.500 - - - nRAS - 14.500 - RA<0> 13.500 - - RA<1> - 13.500 - RA<3> 13.500 - - RA<4> - 13.500 - RA<6> 13.500 @@ -13877,14 +8499,30 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { RA<7> 13.500 + + RA<9> + 13.500 + nADoutLE0 13.500 + + nBERR_FSB + 13.500 + nRAMLWE 13.500 + + nRAMUWE + 13.500 + + + nRAS + 13.500 + nROMCS 13.500 @@ -13897,10 +8535,6 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { nADoutLE1 5.800 - - nBR_IOB - 5.800 - nCAS 5.800 @@ -13916,28 +8550,12 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { Destination Pad Clock (edge) to Pad - - nAS_IOB - 14.500 - - - nLDS_IOB - 14.500 - - - nUDS_IOB - 14.500 - - - nVMA_IOB - 14.500 - nADoutLE0 13.500 - nAoutOE + nAS_IOB 5.800 @@ -13948,6 +8566,18 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { nDoutOE 5.800 + + nLDS_IOB + 5.800 + + + nUDS_IOB + 5.800 + + + nVMA_IOB + 5.800 +

@@ -13959,154 +8589,29 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { Destination Delay - - fsb/ASrf.Q - fsb/VPA.D - 19.500 - - - cnt/TimeoutA.Q - fsb/VPA.D - 11.800 - - - cnt/TimeoutB.Q - fsb/VPA.D - 11.800 - - - cnt/TimeoutB.Q - nDTACK_FSB.D - 11.800 - cs/nOverlay1.Q fsb/VPA.D - 11.800 + 12.200 fsb/ASrf.Q - nDTACK_FSB.D - 11.800 - - - fsb/BERR0r.Q fsb/VPA.D - 11.800 - - - fsb/BERR0r.Q - nDTACK_FSB.D - 11.800 - - - fsb/BERR1r.Q - fsb/VPA.D - 11.800 - - - fsb/BERR1r.Q - nDTACK_FSB.D - 11.800 - - - fsb/Ready1r.Q - fsb/VPA.D - 11.800 - - - fsb/Ready1r.Q - nDTACK_FSB.D - 11.800 + 12.200 fsb/Ready2r.Q fsb/VPA.D - 11.800 + 12.200 fsb/VPA.Q fsb/VPA.D - 11.800 - - - iobs/BERR.Q - fsb/VPA.D - 11.800 - - - iobs/BERR.Q - nDTACK_FSB.D - 11.800 - - - iobs/IOReady.Q - fsb/VPA.D - 11.800 - - - iobs/IOReady.Q - nDTACK_FSB.D - 11.800 - - - nBR_IOB.Q - fsb/VPA.D - 11.800 - - - nBR_IOB.Q - nDTACK_FSB.D - 11.800 - - - cnt/RefCnt<5>.Q - ram/RAMDIS1.D - 11.400 - - - cnt/RefCnt<5>.Q - ram/RASEL.D - 11.400 - - - cnt/RefCnt<6>.Q - ram/RAMDIS1.D - 11.400 - - - cnt/RefCnt<6>.Q - ram/RASEL.D - 11.400 - - - cnt/RefCnt<7>.Q - ram/RAMDIS1.D - 11.400 - - - cnt/RefCnt<7>.Q - ram/RASEL.D - 11.400 - - - cnt/RefDone.Q - ram/RAMDIS1.D - 11.400 - - - cnt/RefDone.Q - ram/RASEL.D - 11.400 + 12.200 cs/nOverlay1.Q - fsb/Ready1r.D - 11.400 - - - cs/nOverlay1.Q - iobs/IORW1.D + iobs/IORW0.D 11.400 @@ -14115,33 +8620,23 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { 11.400 - cs/nOverlay1.Q - nDTACK_FSB.D - 11.400 - - - cs/nOverlay1.Q - ram/RAMDIS1.D - 11.400 - - - cs/nOverlay1.Q - ram/RASEL.D + fsb/ASrf.Q + iobs/IORW0.D 11.400 fsb/ASrf.Q - ram/RASEL.D - 11.400 - - - fsb/Ready0r.Q nDTACK_FSB.D 11.400 fsb/Ready1r.Q - fsb/Ready1r.D + fsb/VPA.D + 11.400 + + + fsb/Ready1r.Q + nDTACK_FSB.D 11.400 @@ -14151,7 +8646,12 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { iobs/IOReady.Q - fsb/Ready1r.D + fsb/VPA.D + 11.400 + + + iobs/IOReady.Q + nDTACK_FSB.D 11.400 @@ -14174,11 +8674,6 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { iobs/IORW0.D 11.400 - - nADoutLE1.Q - fsb/Ready1r.D - 11.400 - nADoutLE1.Q fsb/VPA.D @@ -14195,123 +8690,8 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { 11.400 - ram/Once.Q - ram/RASEL.D - 11.400 - - - ram/RAMReady.Q - nDTACK_FSB.D - 11.400 - - - ram/RS_FSM_FFd1.Q - ram/RAMDIS1.D - 11.400 - - - ram/RS_FSM_FFd1.Q - ram/RASEL.D - 11.400 - - - ram/RS_FSM_FFd2.Q - ram/RASEL.D - 11.400 - - - ram/RS_FSM_FFd3.Q - ram/RAMDIS1.D - 11.400 - - - cnt/RefCnt<5>.Q - ram/RAMDIS2.D - 11.000 - - - cnt/RefCnt<5>.Q - ram/RS_FSM_FFd1.D - 11.000 - - - cnt/RefCnt<5>.Q - ram/RS_FSM_FFd2.D - 11.000 - - - cnt/RefCnt<5>.Q - ram/RS_FSM_FFd3.D - 11.000 - - - cnt/RefCnt<6>.Q - ram/RAMDIS2.D - 11.000 - - - cnt/RefCnt<6>.Q - ram/RS_FSM_FFd1.D - 11.000 - - - cnt/RefCnt<6>.Q - ram/RS_FSM_FFd2.D - 11.000 - - - cnt/RefCnt<6>.Q - ram/RS_FSM_FFd3.D - 11.000 - - - cnt/RefCnt<7>.Q - ram/RAMDIS2.D - 11.000 - - - cnt/RefCnt<7>.Q - ram/RS_FSM_FFd1.D - 11.000 - - - cnt/RefCnt<7>.Q - ram/RS_FSM_FFd2.D - 11.000 - - - cnt/RefCnt<7>.Q - ram/RS_FSM_FFd3.D - 11.000 - - - cnt/RefDone.Q - ram/RAMDIS2.D - 11.000 - - - cnt/RefDone.Q - ram/RAMReady.D - 11.000 - - - cnt/RefDone.Q - ram/RS_FSM_FFd1.D - 11.000 - - - cnt/RefDone.Q - ram/RS_FSM_FFd3.D - 11.000 - - - cnt/TimeoutA.Q - fsb/Ready2r.D - 11.000 - - - cnt/TimeoutA.Q - nDTACK_FSB.D + cs/nOverlay1.Q + fsb/Ready1r.D 11.000 @@ -14324,6 +8704,11 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { iobs/IOREQ.D 11.000 + + cs/nOverlay1.Q + iobs/IORW1.D + 11.000 + cs/nOverlay1.Q iobs/Load1.D @@ -14336,7 +8721,7 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { cs/nOverlay1.Q - ram/RAMDIS2.D + nDTACK_FSB.D 11.000 @@ -14346,22 +8731,7 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { cs/nOverlay1.Q - ram/RS_FSM_FFd1.D - 11.000 - - - cs/nOverlay1.Q - ram/RS_FSM_FFd2.D - 11.000 - - - cs/nOverlay1.Q - ram/RS_FSM_FFd3.D - 11.000 - - - fsb/ASrf.Q - fsb/Ready0r.D + ram/RASEL.D 11.000 @@ -14369,26 +8739,11 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { fsb/Ready2r.D 11.000 - - fsb/ASrf.Q - iobs/IORW0.D - 11.000 - fsb/ASrf.Q iobs/IORW1.D 11.000 - - fsb/ASrf.Q - ram/RAMDIS1.D - 11.000 - - - fsb/ASrf.Q - ram/RAMDIS2.D - 11.000 - fsb/ASrf.Q ram/RAMReady.D @@ -14396,17 +8751,7 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { fsb/ASrf.Q - ram/RS_FSM_FFd1.D - 11.000 - - - fsb/ASrf.Q - ram/RS_FSM_FFd2.D - 11.000 - - - fsb/ASrf.Q - ram/RS_FSM_FFd3.D + ram/RASEL.D 11.000 @@ -14414,6 +8759,16 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { fsb/VPA.D 11.000 + + fsb/Ready0r.Q + nDTACK_FSB.D + 11.000 + + + fsb/Ready1r.Q + fsb/Ready1r.D + 11.000 + fsb/Ready2r.Q fsb/Ready2r.D @@ -14426,7 +8781,17 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { iobs/IORW1.Q - iobs/IORW0.D + iobs/IORW1.D + 11.000 + + + iobs/IOReady.Q + fsb/Ready1r.D + 11.000 + + + iobs/PS_FSM_FFd1.Q + iobs/ALE0.D 11.000 @@ -14444,6 +8809,11 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { iobs/PS_FSM_FFd2.D 11.000 + + iobs/PS_FSM_FFd2.Q + iobs/ALE0.D + 11.000 + iobs/PS_FSM_FFd2.Q iobs/IOREQ.D @@ -14454,11 +8824,21 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { iobs/IORW1.D 11.000 + + iobs/PS_FSM_FFd2.Q + iobs/Once.D + 11.000 + iobs/PS_FSM_FFd2.Q iobs/PS_FSM_FFd2.D 11.000 + + nADoutLE1.Q + fsb/Ready1r.D + 11.000 + nADoutLE1.Q iobs/IOREQ.D @@ -14480,55 +8860,25 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { 11.000 - ram/BACTr.Q - ram/RAMDIS1.D - 11.000 - - - ram/BACTr.Q + ram/RAMEN.Q ram/RAMReady.D 11.000 - ram/BACTr.Q + ram/RAMEN.Q ram/RASEL.D 11.000 - - ram/BACTr.Q - ram/RS_FSM_FFd2.D - 11.000 - - - ram/Once.Q - ram/RAMDIS1.D - 11.000 - - - ram/Once.Q - ram/RAMDIS2.D - 11.000 - - - ram/Once.Q - ram/RAMReady.D - 11.000 - - - ram/Once.Q - ram/RS_FSM_FFd1.D - 11.000 - - - ram/RAMDIS2.Q - ram/RAMDIS2.D - 11.000 - ram/RAMReady.Q fsb/VPA.D 11.000 + + ram/RAMReady.Q + nDTACK_FSB.D + 11.000 + ram/RS_FSM_FFd1.Q ram/RAMReady.D @@ -14536,454 +8886,14 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { ram/RS_FSM_FFd1.Q - ram/RS_FSM_FFd1.D - 11.000 - - - ram/RS_FSM_FFd1.Q - ram/RS_FSM_FFd3.D + ram/RASEL.D 11.000 ram/RS_FSM_FFd2.Q - ram/RAMDIS2.D + ram/RASEL.D 11.000 - - ram/RS_FSM_FFd2.Q - ram/RS_FSM_FFd1.D - 11.000 - - - ram/RS_FSM_FFd2.Q - ram/RS_FSM_FFd2.D - 11.000 - - - ram/RS_FSM_FFd2.Q - ram/RS_FSM_FFd3.D - 11.000 - - - ram/RS_FSM_FFd3.Q - ram/RAMDIS2.D - 11.000 - - - ram/RS_FSM_FFd3.Q - ram/RS_FSM_FFd2.D - 11.000 - - - ram/RS_FSM_FFd3.Q - ram/RS_FSM_FFd3.D - 11.000 - - - IPL2r0.Q - IPL2r1.D - 10.000 - - - IPL2r0.Q - nBR_IOB.CE - 10.000 - - - IPL2r1.Q - nBR_IOB.CE - 10.000 - - - RESDone.Q - nBR_IOB.CE - 10.000 - - - RESr0.Q - RESDone.CE - 10.000 - - - RESr0.Q - RESr1.D - 10.000 - - - RESr0.Q - nBR_IOB.CE - 10.000 - - - RESr1.Q - RESDone.CE - 10.000 - - - RESr1.Q - RESr2.D - 10.000 - - - RESr1.Q - nBR_IOB.CE - 10.000 - - - RESr2.Q - RESDone.CE - 10.000 - - - RESr2.Q - nBR_IOB.CE - 10.000 - - - cnt/RefCnt<0>.Q - cnt/RefCnt<1>.D - 10.000 - - - cnt/RefCnt<0>.Q - cnt/RefCnt<2>.D - 10.000 - - - cnt/RefCnt<0>.Q - cnt/RefCnt<3>.D - 10.000 - - - cnt/RefCnt<0>.Q - cnt/RefCnt<4>.D - 10.000 - - - cnt/RefCnt<0>.Q - cnt/RefCnt<5>.D - 10.000 - - - cnt/RefCnt<0>.Q - cnt/RefCnt<6>.D - 10.000 - - - cnt/RefCnt<0>.Q - cnt/RefCnt<7>.D - 10.000 - - - cnt/RefCnt<0>.Q - cnt/RefDone.D - 10.000 - - - cnt/RefCnt<0>.Q - cnt/TimeoutA.D - 10.000 - - - cnt/RefCnt<0>.Q - cnt/TimeoutB.D - 10.000 - - - cnt/RefCnt<0>.Q - cnt/TimeoutBPre.D - 10.000 - - - cnt/RefCnt<1>.Q - cnt/RefCnt<2>.D - 10.000 - - - cnt/RefCnt<1>.Q - cnt/RefCnt<3>.D - 10.000 - - - cnt/RefCnt<1>.Q - cnt/RefCnt<4>.D - 10.000 - - - cnt/RefCnt<1>.Q - cnt/RefCnt<5>.D - 10.000 - - - cnt/RefCnt<1>.Q - cnt/RefCnt<6>.D - 10.000 - - - cnt/RefCnt<1>.Q - cnt/RefCnt<7>.D - 10.000 - - - cnt/RefCnt<1>.Q - cnt/RefDone.D - 10.000 - - - cnt/RefCnt<1>.Q - cnt/TimeoutA.D - 10.000 - - - cnt/RefCnt<1>.Q - cnt/TimeoutB.D - 10.000 - - - cnt/RefCnt<1>.Q - cnt/TimeoutBPre.D - 10.000 - - - cnt/RefCnt<2>.Q - cnt/RefCnt<3>.D - 10.000 - - - cnt/RefCnt<2>.Q - cnt/RefCnt<4>.D - 10.000 - - - cnt/RefCnt<2>.Q - cnt/RefCnt<5>.D - 10.000 - - - cnt/RefCnt<2>.Q - cnt/RefCnt<6>.D - 10.000 - - - cnt/RefCnt<2>.Q - cnt/RefCnt<7>.D - 10.000 - - - cnt/RefCnt<2>.Q - cnt/RefDone.D - 10.000 - - - cnt/RefCnt<2>.Q - cnt/TimeoutA.D - 10.000 - - - cnt/RefCnt<2>.Q - cnt/TimeoutB.D - 10.000 - - - cnt/RefCnt<2>.Q - cnt/TimeoutBPre.D - 10.000 - - - cnt/RefCnt<3>.Q - cnt/RefCnt<4>.D - 10.000 - - - cnt/RefCnt<3>.Q - cnt/RefCnt<5>.D - 10.000 - - - cnt/RefCnt<3>.Q - cnt/RefCnt<6>.D - 10.000 - - - cnt/RefCnt<3>.Q - cnt/RefCnt<7>.D - 10.000 - - - cnt/RefCnt<3>.Q - cnt/RefDone.D - 10.000 - - - cnt/RefCnt<3>.Q - cnt/TimeoutA.D - 10.000 - - - cnt/RefCnt<3>.Q - cnt/TimeoutB.D - 10.000 - - - cnt/RefCnt<3>.Q - cnt/TimeoutBPre.D - 10.000 - - - cnt/RefCnt<4>.Q - cnt/RefCnt<5>.D - 10.000 - - - cnt/RefCnt<4>.Q - cnt/RefCnt<6>.D - 10.000 - - - cnt/RefCnt<4>.Q - cnt/RefCnt<7>.D - 10.000 - - - cnt/RefCnt<4>.Q - cnt/RefDone.D - 10.000 - - - cnt/RefCnt<4>.Q - cnt/TimeoutA.D - 10.000 - - - cnt/RefCnt<4>.Q - cnt/TimeoutB.D - 10.000 - - - cnt/RefCnt<4>.Q - cnt/TimeoutBPre.D - 10.000 - - - cnt/RefCnt<5>.Q - cnt/RefCnt<6>.D - 10.000 - - - cnt/RefCnt<5>.Q - cnt/RefCnt<7>.D - 10.000 - - - cnt/RefCnt<5>.Q - cnt/RefDone.D - 10.000 - - - cnt/RefCnt<5>.Q - cnt/TimeoutA.D - 10.000 - - - cnt/RefCnt<5>.Q - cnt/TimeoutB.D - 10.000 - - - cnt/RefCnt<5>.Q - cnt/TimeoutBPre.D - 10.000 - - - cnt/RefCnt<5>.Q - ram/RAMReady.D - 10.000 - - - cnt/RefCnt<6>.Q - cnt/RefCnt<7>.D - 10.000 - - - cnt/RefCnt<6>.Q - cnt/RefDone.D - 10.000 - - - cnt/RefCnt<6>.Q - cnt/TimeoutA.D - 10.000 - - - cnt/RefCnt<6>.Q - cnt/TimeoutB.D - 10.000 - - - cnt/RefCnt<6>.Q - cnt/TimeoutBPre.D - 10.000 - - - cnt/RefCnt<6>.Q - ram/RAMReady.D - 10.000 - - - cnt/RefCnt<7>.Q - cnt/RefDone.D - 10.000 - - - cnt/RefCnt<7>.Q - cnt/TimeoutB.D - 10.000 - - - cnt/RefCnt<7>.Q - cnt/TimeoutBPre.D - 10.000 - - - cnt/RefCnt<7>.Q - ram/RAMReady.D - 10.000 - - - cnt/RefDone.Q - cnt/RefDone.D - 10.000 - - - cnt/RefDone.Q - ram/RS_FSM_FFd2.D - 10.000 - - - cnt/TimeoutA.Q - cnt/TimeoutA.D - 10.000 - - - cnt/TimeoutB.Q - cnt/TimeoutB.D - 10.000 - - - cnt/TimeoutB.Q - fsb/BERR0r.D - 10.000 - - - cnt/TimeoutBPre.Q - cnt/TimeoutB.D - 10.000 - - - cnt/TimeoutBPre.Q - cnt/TimeoutBPre.D - 10.000 - cs/nOverlay0.Q cs/nOverlay0.D @@ -15001,27 +8911,7 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { cs/nOverlay1.Q - iobs/IORW0.D - 10.000 - - - cs/nOverlay1.Q - ram/Once.D - 10.000 - - - fsb/ASrf.Q - cnt/TimeoutA.D - 10.000 - - - fsb/ASrf.Q - cnt/TimeoutB.D - 10.000 - - - fsb/ASrf.Q - cnt/TimeoutBPre.D + ram/RS_FSM_FFd3.D 10.000 @@ -15036,12 +8926,7 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { fsb/ASrf.Q - fsb/BERR0r.D - 10.000 - - - fsb/ASrf.Q - fsb/BERR1r.D + fsb/Ready0r.D 10.000 @@ -15051,7 +8936,7 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { fsb/ASrf.Q - iobs/BERR.D + iobs/IOBS_BERR.D 10.000 @@ -15081,22 +8966,12 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { fsb/ASrf.Q - ram/BACTr.D + ram/RAMEN.D 10.000 fsb/ASrf.Q - ram/Once.D - 10.000 - - - fsb/BERR0r.Q - fsb/BERR0r.D - 10.000 - - - fsb/BERR1r.Q - fsb/BERR1r.D + ram/RS_FSM_FFd3.D 10.000 @@ -15104,16 +8979,6 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { fsb/Ready0r.D 10.000 - - iobs/BERR.Q - fsb/BERR1r.D - 10.000 - - - iobs/BERR.Q - iobs/BERR.D - 10.000 - iobs/Clear1.Q nADoutLE1.D @@ -15121,7 +8986,7 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { iobs/IOACTr.Q - iobs/BERR.D + iobs/IOBS_BERR.D 10.000 @@ -15144,6 +9009,11 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { iobs/PS_FSM_FFd2.D 10.000 + + iobs/IOBS_BERR.Q + iobs/IOBS_BERR.D + 10.000 + iobs/IOL1.Q iobs/IOL0.D @@ -15151,7 +9021,7 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { iobs/IORW1.Q - iobs/IORW1.D + iobs/IORW0.D 10.000 @@ -15181,7 +9051,7 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { iobs/Once.Q - iobs/BERR.D + iobs/IOBS_BERR.D 10.000 @@ -15209,11 +9079,6 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { iobs/PS_FSM_FFd2.D 10.000 - - iobs/PS_FSM_FFd1.Q - iobs/ALE0.D - 10.000 - iobs/PS_FSM_FFd1.Q iobs/Clear1.D @@ -15244,21 +9109,16 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { iobs/PS_FSM_FFd1.D 10.000 - - iobs/PS_FSM_FFd2.Q - iobs/ALE0.D - 10.000 - - - iobs/PS_FSM_FFd2.Q - iobs/BERR.D - 10.000 - iobs/PS_FSM_FFd2.Q iobs/Clear1.D 10.000 + + iobs/PS_FSM_FFd2.Q + iobs/IOBS_BERR.D + 10.000 + iobs/PS_FSM_FFd2.Q iobs/IOL0.CE @@ -15279,11 +9139,6 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { iobs/Load1.D 10.000 - - iobs/PS_FSM_FFd2.Q - iobs/Once.D - 10.000 - iobs/PS_FSM_FFd2.Q iobs/PS_FSM_FFd1.D @@ -15291,12 +9146,12 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { nADoutLE1.Q - iobs/BERR.D + iobs/Clear1.D 10.000 nADoutLE1.Q - iobs/Clear1.D + iobs/IOBS_BERR.D 10.000 @@ -15330,12 +9185,12 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { 10.000 - ram/Once.Q - ram/Once.D + ram/RAMEN.Q + ram/RAMEN.D 10.000 - ram/Once.Q + ram/RAMEN.Q ram/RS_FSM_FFd3.D 10.000 @@ -15351,12 +9206,12 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { ram/RS_FSM_FFd1.Q - ram/Once.D + ram/RAMEN.D 10.000 ram/RS_FSM_FFd1.Q - ram/RAMDIS2.D + ram/RS_FSM_FFd1.D 10.000 @@ -15364,6 +9219,11 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { ram/RS_FSM_FFd2.D 10.000 + + ram/RS_FSM_FFd1.Q + ram/RS_FSM_FFd3.D + 10.000 + ram/RS_FSM_FFd1.Q ram/RefRAS.D @@ -15371,12 +9231,7 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { ram/RS_FSM_FFd2.Q - ram/Once.D - 10.000 - - - ram/RS_FSM_FFd2.Q - ram/RAMDIS1.D + ram/RAMEN.D 10.000 @@ -15384,6 +9239,21 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { ram/RAMReady.D 10.000 + + ram/RS_FSM_FFd2.Q + ram/RS_FSM_FFd1.D + 10.000 + + + ram/RS_FSM_FFd2.Q + ram/RS_FSM_FFd2.D + 10.000 + + + ram/RS_FSM_FFd2.Q + ram/RS_FSM_FFd3.D + 10.000 + ram/RS_FSM_FFd2.Q ram/RefRAS.D @@ -15391,7 +9261,7 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { ram/RS_FSM_FFd3.Q - ram/Once.D + ram/RAMEN.D 10.000 @@ -15410,8 +9280,13 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { 10.000 - ram/RefRAS.Q - cnt/RefDone.D + ram/RS_FSM_FFd3.Q + ram/RS_FSM_FFd2.D + 10.000 + + + ram/RS_FSM_FFd3.Q + ram/RS_FSM_FFd3.D 10.000
@@ -15432,21 +9307,11 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { iobm/IOBERR.D 11.000 - - iobm/DTACKrf.Q - iobm/IOACT.D - 11.000 - iobm/DTACKrf.Q iobm/IOBERR.D 11.000 - - iobm/DTACKrr.Q - iobm/IOACT.D - 11.000 - iobm/DTACKrr.Q iobm/IOBERR.D @@ -15523,18 +9388,13 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { 10.000 - iobm/BGr0.Q - iobm/BGr1.D + iobm/BG.Q + iobm/IOS_FSM_FFd3.D 10.000 - iobm/BGr0.Q - nAoutOE.D - 10.000 - - - iobm/BGr1.Q - nAoutOE.D + iobm/DTACKrf.Q + iobm/IOACT.D 10.000 @@ -15542,6 +9402,11 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { iobm/IOS_FSM_FFd2.D 10.000 + + iobm/DTACKrr.Q + iobm/IOACT.D + 10.000 + iobm/DTACKrr.Q iobm/IOS_FSM_FFd2.D @@ -15897,6 +9762,11 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { iobm/IOS_FSM_FFd2.D 10.000 + + iobm/RESrr.Q + iobm/BG.CE + 10.000 + iobm/RESrr.Q iobm/IOS_FSM_FFd2.D @@ -15912,31 +9782,6 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { nVMA_IOB.D 10.000 - - nAS_IOB.Q - nAoutOE.D - 10.000 - - - nAoutOE.Q - iobm/ALE0.D - 10.000 - - - nAoutOE.Q - iobm/IOACT.D - 10.000 - - - nAoutOE.Q - iobm/IOS_FSM_FFd3.D - 10.000 - - - nAoutOE.Q - nAoutOE.D - 10.000 - nVMA_IOB.Q iobm/ETACK.D @@ -15958,8 +9803,13 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { Delay - A_FSB<12> - RA<2> + A_FSB<11> + RA<1> + 11.000 + + + A_FSB<14> + RA<4> 11.000 @@ -15967,64 +9817,24 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { RA<5> 11.000 - - A_FSB<19> - RA<9> - 11.000 - - - A_FSB<20> - RA<9> - 11.000 - - - A_FSB<20> - nBERR_FSB - 11.000 - A_FSB<21> RA<8> 11.000 - - A_FSB<21> - nBERR_FSB - 11.000 - - - A_FSB<21> - nRAS - 11.000 - A_FSB<22> RA<8> 11.000 - - A_FSB<22> - nBERR_FSB - 11.000 - - - A_FSB<22> - nRAS - 11.000 - A_FSB<23> RA<8> 11.000 - A_FSB<23> - nBERR_FSB - 11.000 - - - A_FSB<23> - nRAS + A_FSB<2> + RA<1> 11.000 @@ -16032,6 +9842,11 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { RA<2> 11.000 + + A_FSB<5> + RA<4> + 11.000 + A_FSB<6> RA<5> @@ -16042,49 +9857,14 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { RA<8> 11.000 - - SW<0> - CLK20EN - 11.000 - - - nAS_FSB - nOE - 11.000 - - - nAS_FSB - nRAMUWE - 11.000 - - - nAS_FSB - nRAS - 11.000 - - - nUDS_FSB - nRAMUWE - 11.000 - - - nWE_FSB - nOE - 11.000 - - - nWE_FSB - nRAMUWE - 11.000 - A_FSB<10> RA<0> 10.000 - A_FSB<11> - RA<1> + A_FSB<12> + RA<2> 10.000 @@ -16092,11 +9872,6 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { RA<3> 10.000 - - A_FSB<14> - RA<4> - 10.000 - A_FSB<16> RA<6> @@ -16117,11 +9892,21 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { RA<11> 10.000 + + A_FSB<19> + RA<9> + 10.000 + A_FSB<1> RA<0> 10.000 + + A_FSB<20> + RA<9> + 10.000 + A_FSB<20> nDinOE @@ -16142,6 +9927,11 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { nDinOE 10.000 + + A_FSB<21> + nRAS + 10.000 + A_FSB<21> nROMCS @@ -16152,6 +9942,11 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { nDinOE 10.000 + + A_FSB<22> + nRAS + 10.000 + A_FSB<22> nROMCS @@ -16164,12 +9959,12 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { A_FSB<23> - nROMCS + nRAS 10.000 - A_FSB<2> - RA<1> + A_FSB<23> + nROMCS 10.000 @@ -16177,11 +9972,6 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { RA<3> 10.000 - - A_FSB<5> - RA<4> - 10.000 - A_FSB<7> RA<6> @@ -16192,21 +9982,6 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { RA<7> 10.000 - - SW<0> - CLK25EN - 10.000 - - - SW<1> - nDinOE - 10.000 - - - SW<1> - nROMCS - 10.000 - nAS_FSB nBERR_FSB @@ -16217,11 +9992,26 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { nDinOE 10.000 + + nAS_FSB + nOE + 10.000 + nAS_FSB nRAMLWE 10.000 + + nAS_FSB + nRAMUWE + 10.000 + + + nAS_FSB + nRAS + 10.000 + nAS_FSB nROMWE @@ -16237,16 +10027,31 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { nRAMLWE 10.000 + + nUDS_FSB + nRAMUWE + 10.000 + nWE_FSB nDinOE 10.000 + + nWE_FSB + nOE + 10.000 + nWE_FSB nRAMLWE 10.000 + + nWE_FSB + nRAMUWE + 10.000 + nWE_FSB nROMWE @@ -16256,10 +10061,10 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() {


Number of paths analyzed: -396 +239
Number of Timing errors: 0 -
Analysis Completed: Mon Mar 28 09:47:21 2022 +
Analysis Completed: Mon Mar 20 21:19:09 2023
diff --git a/cpld/XC95144XL/WarpSE_ngdbuild.xrpt b/cpld/XC95144XL/WarpSE_ngdbuild.xrpt index 513d216..6fe6300 100644 --- a/cpld/XC95144XL/WarpSE_ngdbuild.xrpt +++ b/cpld/XC95144XL/WarpSE_ngdbuild.xrpt @@ -1,18 +1,18 @@ - + - +
- + @@ -36,20 +36,16 @@
- + - + - - - - - - + +
@@ -58,7 +54,7 @@ - + @@ -70,39 +66,37 @@
- - + + - - + + - - + + - - + - +
- - + + - + - + - - + - +
diff --git a/cpld/XC95144XL/WarpSE_pad.csv b/cpld/XC95144XL/WarpSE_pad.csv index aff7a6c..503fc99 100644 --- a/cpld/XC95144XL/WarpSE_pad.csv +++ b/cpld/XC95144XL/WarpSE_pad.csv @@ -1,7 +1,7 @@ Release 8.1i - Fit P.20131013 Copyright(c) 1995-2003 Xilinx Inc. All rights reserved - 3-28-2022 9:46AM + 3-20-2023 11:54PM NOTE: This file is designed to be imported into a spreadsheet program such as Microsoft Excel for viewing, printing and sorting. The comma ',' @@ -39,12 +39,12 @@ P18,A_FSB<20>,I,I/O,INPUT,,,,,,,,, P19,A_FSB<21>,I,I/O,INPUT,,,,,,,,, P20,A_FSB<22>,I,I/O,INPUT,,,,,,,,, P21,GND,,GND,,,,,,,,,, -P22,CLK2X_IOB,GCK,I/O/GCK1,,,,,,,,,, -P23,CLK_IOB,GCK/I,I/O/GCK2,,,,,,,,,, +P22,C16M,GCK,I/O/GCK1,,,,,,,,,, +P23,C8M,GCK/I,I/O/GCK2,,,,,,,,,, P24,A_FSB<23>,I,I/O,INPUT,,,,,,,,, -P25,E_IOB,I,I/O,INPUT,,,,,,,,, +P25,E,I,I/O,INPUT,,,,,,,,, P26,VCC,,VCCIO,,,,,,,,,, -P27,CLK_FSB,GCK,I/O/GCK3,,,,,,,,,, +P27,FCLK,GCK,I/O/GCK3,,,,,,,,,, P28,nDTACK_FSB,O,I/O,OUTPUT,,,,,,,,, P29,nWE_FSB,I,I/O,INPUT,,,,,,,,, P30,nLDS_FSB,I,I/O,INPUT,,,,,,,,, @@ -75,10 +75,10 @@ P54,RA<8>,O,I/O,OUTPUT,,,,,,,,, P55,RA<10>,O,I/O,OUTPUT,,,,,,,,, P56,RA<9>,O,I/O,OUTPUT,,,,,,,,, P57,VCC,,VCCINT,,,,,,,,,, -P58,CLK25EN,O,I/O,OUTPUT,,,,,,,,, -P59,CLK20EN,O,I/O,OUTPUT,,,,,,,,, -P60,SW<1>,I,I/O,INPUT,,,,,,,,, -P61,SW<0>,I,I/O,INPUT,,,,,,,,, +P58,C25MEN,O,I/O,OUTPUT,,,,,,,,, +P59,C20MEN,O,I/O,OUTPUT,,,,,,,,, +P60,TIE,,I/O,,,,,,,,,, +P61,TIE,,I/O,,,,,,,,,, P62,GND,,GND,,,,,,,,,, P63,RA<11>,O,I/O,OUTPUT,,,,,,,,, P64,nRAS,O,I/O,OUTPUT,,,,,,,,, @@ -108,7 +108,7 @@ P87,nAoutOE,O,I/O,OUTPUT,,,,,,,,, P88,VCC,,VCCIO,,,,,,,,,, P89,nDoutOE,O,I/O,OUTPUT,,,,,,,,, P90,nDinOE,O,I/O,OUTPUT,,,,,,,,, -P91,nRES,I,I/O,INPUT,,,,,,,,, +P91,nRES,I/O,I/O,BIDIR,,,,,,,,, P92,nIPL2,I,I/O,INPUT,,,,,,,,, P93,nVPA_FSB,O,I/O,OUTPUT,,,,,,,,, P94,A_FSB<1>,I,I/O,INPUT,,,,,,,,, diff --git a/cpld/XC95144XL/WarpSE_summary.html b/cpld/XC95144XL/WarpSE_summary.html index 296595c..8f716d5 100644 --- a/cpld/XC95144XL/WarpSE_summary.html +++ b/cpld/XC95144XL/WarpSE_summary.html @@ -13,20 +13,19 @@ Module Name: WarpSE Implementation State: -Translated +Fitted Target Device: xc95144xl-10TQ100
  • Errors:
-X -11 Errors (11 new) +No Errors Product Version:ISE 14.7
  • Warnings:
-No Warnings +4 Warnings (0 new) Design Goal: @@ -66,9 +65,9 @@ System Settings Detailed Reports [-] Report NameStatusGenerated ErrorsWarningsInfos -Synthesis ReportCurrentSun Mar 19 19:23:24 2023X 11 Errors (11 new)00 -Translation ReportCurrentSun Mar 19 19:23:24 2023000 -CPLD Fitter Report (Text)CurrentSun Mar 19 19:23:24 202302 Warnings (1 new)3 Infos (3 new) +Synthesis ReportCurrentMon Mar 20 23:53:54 202304 Warnings (0 new)0 +Translation ReportCurrentMon Mar 20 23:54:00 2023000 +CPLD Fitter Report (Text)CurrentMon Mar 20 23:54:12 202304 Warnings (1 new)3 Infos (3 new) Power Report       
@@ -78,5 +77,5 @@ System Settings
-
Date Generated: 03/19/2023 - 20:19:43
+
Date Generated: 03/21/2023 - 00:22:40
\ No newline at end of file diff --git a/cpld/XC95144XL/WarpSE_xst.xrpt b/cpld/XC95144XL/WarpSE_xst.xrpt index 3e91863..26370f2 100644 --- a/cpld/XC95144XL/WarpSE_xst.xrpt +++ b/cpld/XC95144XL/WarpSE_xst.xrpt @@ -5,7 +5,7 @@ The structure and the elements are likely to change over the next few releases. This means code written to parse this file will need to be revisited each subsequent release.--> - +
@@ -74,9 +74,67 @@ +
+ + + + + + + + + +
+
+ + + + + + + +
+
+
+
+
+
+
+
+ + + + + +
+
+ +
+
+ + + + + + + + + + + + + + + + + + + +
+
- - + +
diff --git a/cpld/XC95144XL/_ngo/netlist.lst b/cpld/XC95144XL/_ngo/netlist.lst index 1fee18a..cc510e4 100644 --- a/cpld/XC95144XL/_ngo/netlist.lst +++ b/cpld/XC95144XL/_ngo/netlist.lst @@ -1,2 +1,2 @@ -Z:\Warp-SE\cpld\XC95144XL\WarpSE.ngc 1648475108 +C:\Users\Wolf\Documents\GitHub\Warp-SE\cpld\XC95144XL\WarpSE.ngc 1679370834 OK diff --git a/cpld/XC95144XL/_xmsgs/cpldfit.xmsgs b/cpld/XC95144XL/_xmsgs/cpldfit.xmsgs index 4b77844..097ef6c 100644 --- a/cpld/XC95144XL/_xmsgs/cpldfit.xmsgs +++ b/cpld/XC95144XL/_xmsgs/cpldfit.xmsgs @@ -8,17 +8,23 @@ Unable to retrieve the path to the iSE Project Repository. Will use the default filename of 'WarpSE.ise'. -Inferring BUFG constraint for signal 'CLK2X_IOB' based upon the LOC constraint 'P22'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored. +Inferring BUFG constraint for signal 'C16M' based upon the LOC constraint 'P22'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored. -Inferring BUFG constraint for signal 'CLK_FSB' based upon the LOC constraint 'P27'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored. +Inferring BUFG constraint for signal 'C8M' based upon the LOC constraint 'P23'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored. -Inferring BUFG constraint for signal 'CLK_IOB' based upon the LOC constraint 'P23'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored. +Inferring BUFG constraint for signal 'FCLK' based upon the LOC constraint 'P27'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored. + + +Removing unused input(s) 'SW<1>'. The input(s) are unused after optimization. Please verify functionality via simulation. Removing unused input(s) 'SW<2>'. The input(s) are unused after optimization. Please verify functionality via simulation. +Removing unused input(s) 'SW<3>'. The input(s) are unused after optimization. Please verify functionality via simulation. + + diff --git a/cpld/XC95144XL/_xmsgs/hprep6.xmsgs b/cpld/XC95144XL/_xmsgs/hprep6.xmsgs new file mode 100644 index 0000000..f84336a --- /dev/null +++ b/cpld/XC95144XL/_xmsgs/hprep6.xmsgs @@ -0,0 +1,9 @@ + + + + + diff --git a/cpld/XC95144XL/_xmsgs/pn_parser.xmsgs b/cpld/XC95144XL/_xmsgs/pn_parser.xmsgs index f90a5c8..fad331c 100644 --- a/cpld/XC95144XL/_xmsgs/pn_parser.xmsgs +++ b/cpld/XC95144XL/_xmsgs/pn_parser.xmsgs @@ -8,8 +8,26 @@ +Analyzing Verilog file "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/CNT.v" into library work + + +Analyzing Verilog file "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/CS.v" into library work + + Analyzing Verilog file "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/FSB.v" into library work +Analyzing Verilog file "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/IOBM.v" into library work + + +Analyzing Verilog file "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/IOBS.v" into library work + + +Analyzing Verilog file "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/RAM.v" into library work + + +Analyzing Verilog file "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE.v" into library work + + diff --git a/cpld/XC95144XL/_xmsgs/xst.xmsgs b/cpld/XC95144XL/_xmsgs/xst.xmsgs index 3ce8e0b..02e54d3 100644 --- a/cpld/XC95144XL/_xmsgs/xst.xmsgs +++ b/cpld/XC95144XL/_xmsgs/xst.xmsgs @@ -5,58 +5,16 @@ behavior or data corruption. It is strongly advised that users do not edit the contents of this file. --> -"../RAM.v" line 38 'BACTr' has not been declared +"../WarpSE.v" line 141 Connection to input port 'Ready2' does not match port size -"../RAM.v" line 96 'RefFromRS0Next' has not been declared +Input <SW> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. -"../RAM.v" line 100 'RAMDIS1' has not been declared +Signal <SndRAMCSWR> is assigned but never used. This unconnected signal will be trimmed during the optimization process. -"../RAM.v" line 101 'RefFromRS0Pre' has not been declared - - -"../RAM.v" line 107 'RAMDIS1' has not been declared - - -"../RAM.v" line 113 'RAMDIS1' has not been declared - - -"../RAM.v" line 118 'RAMDIS1' has not been declared - - -"../RAM.v" line 124 'RAMDIS1' has not been declared - - -"../RAM.v" line 132 'RAMDIS1' has not been declared - - -"../RAM.v" line 139 'RAMDIS1' has not been declared - - -"../RAM.v" line 146 'RAMDIS1' has not been declared - - -"../RAM.v" line 153 'RAMDIS1' has not been declared - - -"../RAM.v" line 160 'RAMDIS1' has not been declared - - -"../RAM.v" line 167 'RAMDIS1' has not been declared - - -"../RAM.v" line 176 'RAMDIS1' has not been declared - - -"../RAM.v" line 184 'RAMDIS1' has not been declared - - -"../RAM.v" line 190 'RAMDIS1' has not been declared - - -"../FSB.v" line 71 'BERR' has not been declared +Signal <SCSICS> is assigned but never used. This unconnected signal will be trimmed during the optimization process. diff --git a/cpld/XC95144XL/iseconfig/WarpSE.projectmgr b/cpld/XC95144XL/iseconfig/WarpSE.projectmgr index 513289b..3289b80 100644 --- a/cpld/XC95144XL/iseconfig/WarpSE.projectmgr +++ b/cpld/XC95144XL/iseconfig/WarpSE.projectmgr @@ -10,18 +10,17 @@ /MXSE Z:|Warp-SE|cpld|WarpSE.v - iobm - IOBM (C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/IOBM.v) + iobs - IOBS (C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/IOBS.v) 0 0 000000ff000000000000000100000001000000000000000000000000000000000202000000010000000100000064000000d2000000020000000000000000000000000200000064ffffffff000000810000000300000002000000d20000000100000003000000000000000100000003 true - iobm - IOBM (C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/IOBM.v) + iobs - IOBS (C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/IOBS.v) 1 - Implement Design/Synthesize - XST User Constraints @@ -52,7 +51,7 @@ 0 0 - 000000ff000000000000000100000000000000000100000000000000000000000000000000000003a3000000010001000100000000000000000000000064ffffffff000000810000000000000001000003a30000000100000000 + 000000ff00000000000000010000000000000000010000000000000000000000000000000000000109000000010001000100000000000000000000000064ffffffff000000810000000000000001000001090000000100000000 false work @@ -66,7 +65,7 @@ 0 0 - 000000ff0000000000000001000000010000000000000000000000000000000000000000000000010c000000010000000100000000000000000000000064ffffffff0000008100000000000000010000010c0000000100000000 + 000000ff000000000000000100000001000000000000000000000000000000000000000000000000f8000000010000000100000000000000000000000064ffffffff000000810000000000000001000000f80000000100000000 false @@ -79,7 +78,7 @@ 0 0 - 000000ff0000000000000001000000010000000000000000000000000000000000000000000000010c000000010000000100000000000000000000000064ffffffff0000008100000000000000010000010c0000000100000000 + 000000ff000000000000000100000001000000000000000000000000000000000000000000000000f8000000010000000100000000000000000000000064ffffffff000000810000000000000001000000f80000000100000000 false Design Utilities diff --git a/cpld/XC95144XL/iseconfig/WarpSE.xreport b/cpld/XC95144XL/iseconfig/WarpSE.xreport index cf3d79a..065fa18 100644 --- a/cpld/XC95144XL/iseconfig/WarpSE.xreport +++ b/cpld/XC95144XL/iseconfig/WarpSE.xreport @@ -1,7 +1,7 @@
- 2023-03-19T20:19:43 + 2023-03-21T00:22:40 WarpSE Unknown C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/iseconfig/WarpSE.xreport diff --git a/cpld/XC95144XL/webtalk_pn.xml b/cpld/XC95144XL/webtalk_pn.xml index 5eb1e9b..3cc7e70 100644 --- a/cpld/XC95144XL/webtalk_pn.xml +++ b/cpld/XC95144XL/webtalk_pn.xml @@ -3,7 +3,7 @@ - +
diff --git a/cpld/XC95144XL/xlnx_auto_0_xdb/cst.xbcd b/cpld/XC95144XL/xlnx_auto_0_xdb/cst.xbcd index 47266a6..78dd83c 100644 Binary files a/cpld/XC95144XL/xlnx_auto_0_xdb/cst.xbcd and b/cpld/XC95144XL/xlnx_auto_0_xdb/cst.xbcd differ diff --git a/cpld/XC95144XL/xst/work/hdllib.ref b/cpld/XC95144XL/xst/work/hdllib.ref index 26515a8..bdb6ede 100644 --- a/cpld/XC95144XL/xst/work/hdllib.ref +++ b/cpld/XC95144XL/xst/work/hdllib.ref @@ -1,8 +1,8 @@ -MO CNT NULL ../CNT.v vlg65/_c_n_t.bin 1648475072 -MO CS NULL ../CS.v vlg22/_c_s.bin 1662227042 -MO FSB NULL ../FSB.v vlg37/_f_s_b.bin 1662227042 -MO IOBM NULL ../IOBM.v vlg73/_i_o_b_m.bin 1662227042 -MO WarpSE NULL ../WarpSE.v vlg52/_warp_s_e.bin 1648475072 -MO IOBS NULL ../IOBS.v vlg79/_i_o_b_s.bin 1662227042 -MO RAM NULL ../RAM.v vlg14/_r_a_m.bin 1662227042 +MO CNT NULL ../CNT.v vlg65/_c_n_t.bin 1679370820 +MO CS NULL ../CS.v vlg22/_c_s.bin 1679370820 +MO FSB NULL ../FSB.v vlg37/_f_s_b.bin 1679370820 +MO IOBM NULL ../IOBM.v vlg73/_i_o_b_m.bin 1679370820 +MO WarpSE NULL ../WarpSE.v vlg52/_warp_s_e.bin 1679370820 +MO IOBS NULL ../IOBS.v vlg79/_i_o_b_s.bin 1679370820 +MO RAM NULL ../RAM.v vlg14/_r_a_m.bin 1679370820 MO MXSE NULL ../MXSE.v vlg15/_m_x_s_e.bin 1648473402 diff --git a/cpld/XC95144XL/xst/work/vlg14/_r_a_m.bin b/cpld/XC95144XL/xst/work/vlg14/_r_a_m.bin index fe6eae4..609d4f1 100644 Binary files a/cpld/XC95144XL/xst/work/vlg14/_r_a_m.bin and b/cpld/XC95144XL/xst/work/vlg14/_r_a_m.bin differ diff --git a/cpld/XC95144XL/xst/work/vlg22/_c_s.bin b/cpld/XC95144XL/xst/work/vlg22/_c_s.bin index d752e6c..de42e5e 100644 Binary files a/cpld/XC95144XL/xst/work/vlg22/_c_s.bin and b/cpld/XC95144XL/xst/work/vlg22/_c_s.bin differ diff --git a/cpld/XC95144XL/xst/work/vlg37/_f_s_b.bin b/cpld/XC95144XL/xst/work/vlg37/_f_s_b.bin index 53e88c8..83f7ace 100644 Binary files a/cpld/XC95144XL/xst/work/vlg37/_f_s_b.bin and b/cpld/XC95144XL/xst/work/vlg37/_f_s_b.bin differ diff --git a/cpld/XC95144XL/xst/work/vlg52/_warp_s_e.bin b/cpld/XC95144XL/xst/work/vlg52/_warp_s_e.bin index d5fb1cc..ae608cc 100644 Binary files a/cpld/XC95144XL/xst/work/vlg52/_warp_s_e.bin and b/cpld/XC95144XL/xst/work/vlg52/_warp_s_e.bin differ diff --git a/cpld/XC95144XL/xst/work/vlg65/_c_n_t.bin b/cpld/XC95144XL/xst/work/vlg65/_c_n_t.bin index 373c0d7..ce0c732 100644 Binary files a/cpld/XC95144XL/xst/work/vlg65/_c_n_t.bin and b/cpld/XC95144XL/xst/work/vlg65/_c_n_t.bin differ diff --git a/cpld/XC95144XL/xst/work/vlg73/_i_o_b_m.bin b/cpld/XC95144XL/xst/work/vlg73/_i_o_b_m.bin index 1aa6339..d57b081 100644 Binary files a/cpld/XC95144XL/xst/work/vlg73/_i_o_b_m.bin and b/cpld/XC95144XL/xst/work/vlg73/_i_o_b_m.bin differ diff --git a/cpld/XC95144XL/xst/work/vlg79/_i_o_b_s.bin b/cpld/XC95144XL/xst/work/vlg79/_i_o_b_s.bin index aed03c5..dba039e 100644 Binary files a/cpld/XC95144XL/xst/work/vlg79/_i_o_b_s.bin and b/cpld/XC95144XL/xst/work/vlg79/_i_o_b_s.bin differ