Warp-SE/cpld/CLK.v
2022-04-05 18:00:27 -04:00

14 lines
226 B
Verilog

module CLK(
input CLK, output reg [2:0] SS,
output reg MCLK, output RCLK);
always @(posedge CLK) begin
SS[1:0] <= SS[1:0]+1;
end
always @(posedge CLK) begin
MCLK <= SS[1:0]==2'b01 || SS[1:0]==2'b10;
end
endmodule