Warp-SE/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/m_00000000004134447467_2073...

338 lines
7.4 KiB
C

/**********************************************************************/
/* ____ ____ */
/* / /\/ / */
/* /___/ \ / */
/* \ \ \/ */
/* \ \ Copyright (c) 2003-2009 Xilinx, Inc. */
/* / / All Right Reserved. */
/* /---/ /\ */
/* \ \ / \ */
/* \___\/\___\ */
/***********************************************************************/
/* This file is designed for use with ISim build 0x7708f090 */
#define XSI_HIDE_SYMBOL_SPEC true
#include "xsi.h"
#include <memory.h>
#ifdef __GNUC__
#include <stdlib.h>
#else
#include <malloc.h>
#define alloca _alloca
#endif
static const char *ng0 = "C:/Xilinx/14.7/ISE_DS/ISE/verilog/src/glbl.v";
static unsigned int ng1[] = {1U, 0U};
static unsigned int ng2[] = {0U, 0U};
static void NetDecl_16_0(char *t0)
{
char *t1;
char *t2;
char *t3;
char *t4;
char *t5;
char *t6;
char *t7;
unsigned int t8;
unsigned int t9;
char *t10;
unsigned int t11;
unsigned int t12;
char *t13;
unsigned int t14;
unsigned int t15;
char *t16;
LAB0: t1 = (t0 + 6960U);
t2 = *((char **)t1);
if (t2 == 0)
goto LAB2;
LAB3: goto *t2;
LAB2: xsi_set_current_line(16, ng0);
t2 = (t0 + 1960U);
t3 = *((char **)t2);
t2 = (t0 + 8648);
t4 = (t2 + 56U);
t5 = *((char **)t4);
t6 = (t5 + 56U);
t7 = *((char **)t6);
memset(t7, 0, 8);
t8 = 1U;
t9 = t8;
t10 = (t3 + 4);
t11 = *((unsigned int *)t3);
t8 = (t8 & t11);
t12 = *((unsigned int *)t10);
t9 = (t9 & t12);
t13 = (t7 + 4);
t14 = *((unsigned int *)t7);
*((unsigned int *)t7) = (t14 | t8);
t15 = *((unsigned int *)t13);
*((unsigned int *)t13) = (t15 | t9);
xsi_driver_vfirst_trans(t2, 0, 0U);
t16 = (t0 + 8520);
*((int *)t16) = 1;
LAB1: return;
}
static void Cont_48_1(char *t0)
{
char *t1;
char *t2;
char *t3;
char *t4;
char *t5;
char *t6;
char *t7;
char *t8;
char *t9;
unsigned int t10;
unsigned int t11;
char *t12;
unsigned int t13;
unsigned int t14;
char *t15;
unsigned int t16;
unsigned int t17;
char *t18;
LAB0: t1 = (t0 + 7208U);
t2 = *((char **)t1);
if (t2 == 0)
goto LAB2;
LAB3: goto *t2;
LAB2: xsi_set_current_line(48, ng0);
t2 = (t0 + 3640);
t3 = (t2 + 56U);
t4 = *((char **)t3);
t5 = (t0 + 8712);
t6 = (t5 + 56U);
t7 = *((char **)t6);
t8 = (t7 + 56U);
t9 = *((char **)t8);
memset(t9, 0, 8);
t10 = 1U;
t11 = t10;
t12 = (t4 + 4);
t13 = *((unsigned int *)t4);
t10 = (t10 & t13);
t14 = *((unsigned int *)t12);
t11 = (t11 & t14);
t15 = (t9 + 4);
t16 = *((unsigned int *)t9);
*((unsigned int *)t9) = (t16 | t10);
t17 = *((unsigned int *)t15);
*((unsigned int *)t15) = (t17 | t11);
xsi_driver_vfirst_trans(t5, 0, 0);
t18 = (t0 + 8536);
*((int *)t18) = 1;
LAB1: return;
}
static void Cont_49_2(char *t0)
{
char *t1;
char *t2;
char *t3;
char *t4;
char *t5;
char *t6;
char *t7;
char *t8;
char *t9;
unsigned int t10;
unsigned int t11;
char *t12;
unsigned int t13;
unsigned int t14;
char *t15;
unsigned int t16;
unsigned int t17;
char *t18;
LAB0: t1 = (t0 + 7456U);
t2 = *((char **)t1);
if (t2 == 0)
goto LAB2;
LAB3: goto *t2;
LAB2: xsi_set_current_line(49, ng0);
t2 = (t0 + 3800);
t3 = (t2 + 56U);
t4 = *((char **)t3);
t5 = (t0 + 8776);
t6 = (t5 + 56U);
t7 = *((char **)t6);
t8 = (t7 + 56U);
t9 = *((char **)t8);
memset(t9, 0, 8);
t10 = 1U;
t11 = t10;
t12 = (t4 + 4);
t13 = *((unsigned int *)t4);
t10 = (t10 & t13);
t14 = *((unsigned int *)t12);
t11 = (t11 & t14);
t15 = (t9 + 4);
t16 = *((unsigned int *)t9);
*((unsigned int *)t9) = (t16 | t10);
t17 = *((unsigned int *)t15);
*((unsigned int *)t15) = (t17 | t11);
xsi_driver_vfirst_trans(t5, 0, 0);
t18 = (t0 + 8552);
*((int *)t18) = 1;
LAB1: return;
}
static void Cont_50_3(char *t0)
{
char *t1;
char *t2;
char *t3;
char *t4;
char *t5;
char *t6;
char *t7;
char *t8;
char *t9;
unsigned int t10;
unsigned int t11;
char *t12;
unsigned int t13;
unsigned int t14;
char *t15;
unsigned int t16;
unsigned int t17;
char *t18;
LAB0: t1 = (t0 + 7704U);
t2 = *((char **)t1);
if (t2 == 0)
goto LAB2;
LAB3: goto *t2;
LAB2: xsi_set_current_line(50, ng0);
t2 = (t0 + 3960);
t3 = (t2 + 56U);
t4 = *((char **)t3);
t5 = (t0 + 8840);
t6 = (t5 + 56U);
t7 = *((char **)t6);
t8 = (t7 + 56U);
t9 = *((char **)t8);
memset(t9, 0, 8);
t10 = 1U;
t11 = t10;
t12 = (t4 + 4);
t13 = *((unsigned int *)t4);
t10 = (t10 & t13);
t14 = *((unsigned int *)t12);
t11 = (t11 & t14);
t15 = (t9 + 4);
t16 = *((unsigned int *)t9);
*((unsigned int *)t9) = (t16 | t10);
t17 = *((unsigned int *)t15);
*((unsigned int *)t15) = (t17 | t11);
xsi_driver_vfirst_trans(t5, 0, 0);
t18 = (t0 + 8568);
*((int *)t18) = 1;
LAB1: return;
}
static void Initial_52_4(char *t0)
{
char *t1;
char *t2;
char *t3;
char *t4;
LAB0: t1 = (t0 + 7952U);
t2 = *((char **)t1);
if (t2 == 0)
goto LAB2;
LAB3: goto *t2;
LAB2: xsi_set_current_line(52, ng0);
LAB4: xsi_set_current_line(53, ng0);
t2 = ((char*)((ng1)));
t3 = (t0 + 3640);
xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
xsi_set_current_line(54, ng0);
t2 = ((char*)((ng1)));
t3 = (t0 + 3960);
xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
xsi_set_current_line(55, ng0);
t2 = (t0 + 7760);
xsi_process_wait(t2, 100000LL);
*((char **)t1) = &&LAB5;
LAB1: return;
LAB5: xsi_set_current_line(56, ng0);
t3 = ((char*)((ng2)));
t4 = (t0 + 3640);
xsi_vlogvar_assign_value(t4, t3, 0, 0, 1);
xsi_set_current_line(57, ng0);
t2 = ((char*)((ng2)));
t3 = (t0 + 3960);
xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
goto LAB1;
}
static void Initial_60_5(char *t0)
{
char *t1;
char *t2;
char *t3;
char *t4;
LAB0: t1 = (t0 + 8200U);
t2 = *((char **)t1);
if (t2 == 0)
goto LAB2;
LAB3: goto *t2;
LAB2: xsi_set_current_line(60, ng0);
LAB4: xsi_set_current_line(61, ng0);
t2 = ((char*)((ng1)));
t3 = (t0 + 3800);
xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
xsi_set_current_line(62, ng0);
t2 = (t0 + 8008);
xsi_process_wait(t2, 0LL);
*((char **)t1) = &&LAB5;
LAB1: return;
LAB5: xsi_set_current_line(63, ng0);
t3 = ((char*)((ng2)));
t4 = (t0 + 3800);
xsi_vlogvar_assign_value(t4, t3, 0, 0, 1);
goto LAB1;
}
extern void work_m_00000000004134447467_2073120511_init()
{
static char *pe[] = {(void *)NetDecl_16_0,(void *)Cont_48_1,(void *)Cont_49_2,(void *)Cont_50_3,(void *)Initial_52_4,(void *)Initial_60_5};
xsi_register_didat("work_m_00000000004134447467_2073120511", "isim/t_fsb_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.didat");
xsi_register_executes(pe);
}