diff --git a/ide_cable_select_switch/fp-info-cache b/ide_cable_select_switch/fp-info-cache new file mode 100644 index 0000000..575d244 --- /dev/null +++ b/ide_cable_select_switch/fp-info-cache @@ -0,0 +1,1954 @@ +459682474351869 +Connector_PinHeader_2.54mm +2.54mm 2x25 header edge + + +0 +50 +50 +Connector_PinHeader_2.54mm +PinHeader_1x01_P2.54mm_Horizontal +Through hole angled pin header, 1x01, 2.54mm pitch, 6mm pin length, single row +Through hole angled pin header THT 1x01 2.54mm single row +0 +1 +1 +Connector_PinHeader_2.54mm +PinHeader_1x01_P2.54mm_Vertical +Through hole straight pin header, 1x01, 2.54mm pitch, single row +Through hole pin header THT 1x01 2.54mm single row +0 +1 +1 +Connector_PinHeader_2.54mm +PinHeader_1x02_P2.54mm_Horizontal +Through hole angled pin header, 1x02, 2.54mm pitch, 6mm pin length, single row +Through hole angled pin header THT 1x02 2.54mm single row +0 +2 +2 +Connector_PinHeader_2.54mm +PinHeader_1x02_P2.54mm_Vertical +Through hole straight pin header, 1x02, 2.54mm pitch, single row +Through hole pin header THT 1x02 2.54mm single row +0 +2 +2 +Connector_PinHeader_2.54mm +PinHeader_1x02_P2.54mm_Vertical_SMD_Pin1Left +surface-mounted straight pin header, 1x02, 2.54mm pitch, single row, style 1 (pin 1 left) +Surface mounted pin header SMD 1x02 2.54mm single row style1 pin1 left +0 +2 +2 +Connector_PinHeader_2.54mm +PinHeader_1x02_P2.54mm_Vertical_SMD_Pin1Right +surface-mounted straight pin header, 1x02, 2.54mm pitch, single row, style 2 (pin 1 right) +Surface mounted pin header SMD 1x02 2.54mm single row style2 pin1 right +0 +2 +2 +Connector_PinHeader_2.54mm +PinHeader_1x03_P2.54mm_Horizontal +Through hole angled pin header, 1x03, 2.54mm pitch, 6mm pin length, single row +Through hole angled pin header THT 1x03 2.54mm single row +0 +3 +3 +Connector_PinHeader_2.54mm +PinHeader_1x03_P2.54mm_Vertical +Through hole straight pin header, 1x03, 2.54mm pitch, single row +Through hole pin header THT 1x03 2.54mm single row +0 +3 +3 +Connector_PinHeader_2.54mm +PinHeader_1x03_P2.54mm_Vertical_SMD_Pin1Left +surface-mounted straight pin header, 1x03, 2.54mm pitch, single row, style 1 (pin 1 left) +Surface mounted pin header SMD 1x03 2.54mm single row style1 pin1 left +0 +3 +3 +Connector_PinHeader_2.54mm +PinHeader_1x03_P2.54mm_Vertical_SMD_Pin1Right +surface-mounted straight pin header, 1x03, 2.54mm pitch, single row, style 2 (pin 1 right) +Surface mounted pin header SMD 1x03 2.54mm single row style2 pin1 right +0 +3 +3 +Connector_PinHeader_2.54mm +PinHeader_1x04_P2.54mm_Horizontal +Through hole angled pin header, 1x04, 2.54mm pitch, 6mm pin length, single row +Through hole angled pin header THT 1x04 2.54mm single row +0 +4 +4 +Connector_PinHeader_2.54mm +PinHeader_1x04_P2.54mm_Vertical +Through hole straight pin header, 1x04, 2.54mm pitch, single row +Through hole pin header THT 1x04 2.54mm single row +0 +4 +4 +Connector_PinHeader_2.54mm +PinHeader_1x04_P2.54mm_Vertical_SMD_Pin1Left +surface-mounted straight pin header, 1x04, 2.54mm pitch, single row, style 1 (pin 1 left) +Surface mounted pin header SMD 1x04 2.54mm single row style1 pin1 left +0 +4 +4 +Connector_PinHeader_2.54mm +PinHeader_1x04_P2.54mm_Vertical_SMD_Pin1Right +surface-mounted straight pin header, 1x04, 2.54mm pitch, single row, style 2 (pin 1 right) +Surface mounted pin header SMD 1x04 2.54mm single row style2 pin1 right +0 +4 +4 +Connector_PinHeader_2.54mm +PinHeader_1x05_P2.54mm_Horizontal +Through hole angled pin header, 1x05, 2.54mm pitch, 6mm pin length, single row +Through hole angled pin header THT 1x05 2.54mm single row +0 +5 +5 +Connector_PinHeader_2.54mm +PinHeader_1x05_P2.54mm_Vertical +Through hole straight pin header, 1x05, 2.54mm pitch, single row +Through hole pin header THT 1x05 2.54mm single row +0 +5 +5 +Connector_PinHeader_2.54mm +PinHeader_1x05_P2.54mm_Vertical_SMD_Pin1Left +surface-mounted straight pin header, 1x05, 2.54mm pitch, single row, style 1 (pin 1 left) +Surface mounted pin header SMD 1x05 2.54mm single row style1 pin1 left +0 +5 +5 +Connector_PinHeader_2.54mm +PinHeader_1x05_P2.54mm_Vertical_SMD_Pin1Right +surface-mounted straight pin header, 1x05, 2.54mm pitch, single row, style 2 (pin 1 right) +Surface mounted pin header SMD 1x05 2.54mm single row style2 pin1 right +0 +5 +5 +Connector_PinHeader_2.54mm +PinHeader_1x06_P2.54mm_Horizontal +Through hole angled pin header, 1x06, 2.54mm pitch, 6mm pin length, single row +Through hole angled pin header THT 1x06 2.54mm single row +0 +6 +6 +Connector_PinHeader_2.54mm +PinHeader_1x06_P2.54mm_Vertical +Through hole straight pin header, 1x06, 2.54mm pitch, single row +Through hole pin header THT 1x06 2.54mm single row +0 +6 +6 +Connector_PinHeader_2.54mm +PinHeader_1x06_P2.54mm_Vertical_SMD_Pin1Left +surface-mounted straight pin header, 1x06, 2.54mm pitch, single row, style 1 (pin 1 left) +Surface mounted pin header SMD 1x06 2.54mm single row style1 pin1 left +0 +6 +6 +Connector_PinHeader_2.54mm +PinHeader_1x06_P2.54mm_Vertical_SMD_Pin1Right +surface-mounted straight pin header, 1x06, 2.54mm pitch, single row, style 2 (pin 1 right) +Surface mounted pin header SMD 1x06 2.54mm single row style2 pin1 right +0 +6 +6 +Connector_PinHeader_2.54mm +PinHeader_1x07_P2.54mm_Horizontal +Through hole angled pin header, 1x07, 2.54mm pitch, 6mm pin length, single row +Through hole angled pin header THT 1x07 2.54mm single row +0 +7 +7 +Connector_PinHeader_2.54mm +PinHeader_1x07_P2.54mm_Vertical +Through hole straight pin header, 1x07, 2.54mm pitch, single row +Through hole pin header THT 1x07 2.54mm single row +0 +7 +7 +Connector_PinHeader_2.54mm +PinHeader_1x07_P2.54mm_Vertical_SMD_Pin1Left +surface-mounted straight pin header, 1x07, 2.54mm pitch, single row, style 1 (pin 1 left) +Surface mounted pin header SMD 1x07 2.54mm single row style1 pin1 left +0 +7 +7 +Connector_PinHeader_2.54mm +PinHeader_1x07_P2.54mm_Vertical_SMD_Pin1Right +surface-mounted straight pin header, 1x07, 2.54mm pitch, single row, style 2 (pin 1 right) +Surface mounted pin header SMD 1x07 2.54mm single row style2 pin1 right +0 +7 +7 +Connector_PinHeader_2.54mm +PinHeader_1x08_P2.54mm_Horizontal +Through hole angled pin header, 1x08, 2.54mm pitch, 6mm pin length, single row +Through hole angled pin header THT 1x08 2.54mm single row +0 +8 +8 +Connector_PinHeader_2.54mm +PinHeader_1x08_P2.54mm_Vertical +Through hole straight pin header, 1x08, 2.54mm pitch, single row +Through hole pin header THT 1x08 2.54mm single row +0 +8 +8 +Connector_PinHeader_2.54mm +PinHeader_1x08_P2.54mm_Vertical_SMD_Pin1Left +surface-mounted straight pin header, 1x08, 2.54mm pitch, single row, style 1 (pin 1 left) +Surface mounted pin header SMD 1x08 2.54mm single row style1 pin1 left +0 +8 +8 +Connector_PinHeader_2.54mm +PinHeader_1x08_P2.54mm_Vertical_SMD_Pin1Right +surface-mounted straight pin header, 1x08, 2.54mm pitch, single row, style 2 (pin 1 right) +Surface mounted pin header SMD 1x08 2.54mm single row style2 pin1 right +0 +8 +8 +Connector_PinHeader_2.54mm +PinHeader_1x09_P2.54mm_Horizontal +Through hole angled pin header, 1x09, 2.54mm pitch, 6mm pin length, single row +Through hole angled pin header THT 1x09 2.54mm single row +0 +9 +9 +Connector_PinHeader_2.54mm +PinHeader_1x09_P2.54mm_Vertical +Through hole straight pin header, 1x09, 2.54mm pitch, single row +Through hole pin header THT 1x09 2.54mm single row +0 +9 +9 +Connector_PinHeader_2.54mm +PinHeader_1x09_P2.54mm_Vertical_SMD_Pin1Left +surface-mounted straight pin header, 1x09, 2.54mm pitch, single row, style 1 (pin 1 left) +Surface mounted pin header SMD 1x09 2.54mm single row style1 pin1 left +0 +9 +9 +Connector_PinHeader_2.54mm +PinHeader_1x09_P2.54mm_Vertical_SMD_Pin1Right +surface-mounted straight pin header, 1x09, 2.54mm pitch, single row, style 2 (pin 1 right) +Surface mounted pin header SMD 1x09 2.54mm single row style2 pin1 right +0 +9 +9 +Connector_PinHeader_2.54mm +PinHeader_1x10_P2.54mm_Horizontal +Through hole angled pin header, 1x10, 2.54mm pitch, 6mm pin length, single row +Through hole angled pin header THT 1x10 2.54mm single row +0 +10 +10 +Connector_PinHeader_2.54mm +PinHeader_1x10_P2.54mm_Vertical +Through hole straight pin header, 1x10, 2.54mm pitch, single row +Through hole pin header THT 1x10 2.54mm single row +0 +10 +10 +Connector_PinHeader_2.54mm +PinHeader_1x10_P2.54mm_Vertical_SMD_Pin1Left +surface-mounted straight pin header, 1x10, 2.54mm pitch, single row, style 1 (pin 1 left) +Surface mounted pin header SMD 1x10 2.54mm single row style1 pin1 left +0 +10 +10 +Connector_PinHeader_2.54mm +PinHeader_1x10_P2.54mm_Vertical_SMD_Pin1Right +surface-mounted straight pin header, 1x10, 2.54mm pitch, single row, style 2 (pin 1 right) +Surface mounted pin header SMD 1x10 2.54mm single row style2 pin1 right +0 +10 +10 +Connector_PinHeader_2.54mm +PinHeader_1x11_P2.54mm_Horizontal +Through hole angled pin header, 1x11, 2.54mm pitch, 6mm pin length, single row +Through hole angled pin header THT 1x11 2.54mm single row +0 +11 +11 +Connector_PinHeader_2.54mm +PinHeader_1x11_P2.54mm_Vertical +Through hole straight pin header, 1x11, 2.54mm pitch, single row +Through hole pin header THT 1x11 2.54mm single row +0 +11 +11 +Connector_PinHeader_2.54mm +PinHeader_1x11_P2.54mm_Vertical_SMD_Pin1Left +surface-mounted straight pin header, 1x11, 2.54mm pitch, single row, style 1 (pin 1 left) +Surface mounted pin header SMD 1x11 2.54mm single row style1 pin1 left +0 +11 +11 +Connector_PinHeader_2.54mm +PinHeader_1x11_P2.54mm_Vertical_SMD_Pin1Right +surface-mounted straight pin header, 1x11, 2.54mm pitch, single row, style 2 (pin 1 right) +Surface mounted pin header SMD 1x11 2.54mm single row style2 pin1 right +0 +11 +11 +Connector_PinHeader_2.54mm +PinHeader_1x12_P2.54mm_Horizontal +Through hole angled pin header, 1x12, 2.54mm pitch, 6mm pin length, single row +Through hole angled pin header THT 1x12 2.54mm single row +0 +12 +12 +Connector_PinHeader_2.54mm +PinHeader_1x12_P2.54mm_Vertical +Through hole straight pin header, 1x12, 2.54mm pitch, single row +Through hole pin header THT 1x12 2.54mm single row +0 +12 +12 +Connector_PinHeader_2.54mm +PinHeader_1x12_P2.54mm_Vertical_SMD_Pin1Left +surface-mounted straight pin header, 1x12, 2.54mm pitch, single row, style 1 (pin 1 left) +Surface mounted pin header SMD 1x12 2.54mm single row style1 pin1 left +0 +12 +12 +Connector_PinHeader_2.54mm +PinHeader_1x12_P2.54mm_Vertical_SMD_Pin1Right +surface-mounted straight pin header, 1x12, 2.54mm pitch, single row, style 2 (pin 1 right) +Surface mounted pin header SMD 1x12 2.54mm single row style2 pin1 right +0 +12 +12 +Connector_PinHeader_2.54mm +PinHeader_1x13_P2.54mm_Horizontal +Through hole angled pin header, 1x13, 2.54mm pitch, 6mm pin length, single row +Through hole angled pin header THT 1x13 2.54mm single row +0 +13 +13 +Connector_PinHeader_2.54mm +PinHeader_1x13_P2.54mm_Vertical +Through hole straight pin header, 1x13, 2.54mm pitch, single row +Through hole pin header THT 1x13 2.54mm single row +0 +13 +13 +Connector_PinHeader_2.54mm +PinHeader_1x13_P2.54mm_Vertical_SMD_Pin1Left +surface-mounted straight pin header, 1x13, 2.54mm pitch, single row, style 1 (pin 1 left) +Surface mounted pin header SMD 1x13 2.54mm single row style1 pin1 left +0 +13 +13 +Connector_PinHeader_2.54mm +PinHeader_1x13_P2.54mm_Vertical_SMD_Pin1Right +surface-mounted straight pin header, 1x13, 2.54mm pitch, single row, style 2 (pin 1 right) +Surface mounted pin header SMD 1x13 2.54mm single row style2 pin1 right +0 +13 +13 +Connector_PinHeader_2.54mm +PinHeader_1x14_P2.54mm_Horizontal +Through hole angled pin header, 1x14, 2.54mm pitch, 6mm pin length, single row +Through hole angled pin header THT 1x14 2.54mm single row +0 +14 +14 +Connector_PinHeader_2.54mm +PinHeader_1x14_P2.54mm_Vertical +Through hole straight pin header, 1x14, 2.54mm pitch, single row +Through hole pin header THT 1x14 2.54mm single row +0 +14 +14 +Connector_PinHeader_2.54mm +PinHeader_1x14_P2.54mm_Vertical_SMD_Pin1Left +surface-mounted straight pin header, 1x14, 2.54mm pitch, single row, style 1 (pin 1 left) +Surface mounted pin header SMD 1x14 2.54mm single row style1 pin1 left +0 +14 +14 +Connector_PinHeader_2.54mm +PinHeader_1x14_P2.54mm_Vertical_SMD_Pin1Right +surface-mounted straight pin header, 1x14, 2.54mm pitch, single row, style 2 (pin 1 right) +Surface mounted pin header SMD 1x14 2.54mm single row style2 pin1 right +0 +14 +14 +Connector_PinHeader_2.54mm +PinHeader_1x15_P2.54mm_Horizontal +Through hole angled pin header, 1x15, 2.54mm pitch, 6mm pin length, single row +Through hole angled pin header THT 1x15 2.54mm single row +0 +15 +15 +Connector_PinHeader_2.54mm +PinHeader_1x15_P2.54mm_Vertical +Through hole straight pin header, 1x15, 2.54mm pitch, single row +Through hole pin header THT 1x15 2.54mm single row +0 +15 +15 +Connector_PinHeader_2.54mm +PinHeader_1x15_P2.54mm_Vertical_SMD_Pin1Left +surface-mounted straight pin header, 1x15, 2.54mm pitch, single row, style 1 (pin 1 left) +Surface mounted pin header SMD 1x15 2.54mm single row style1 pin1 left +0 +15 +15 +Connector_PinHeader_2.54mm +PinHeader_1x15_P2.54mm_Vertical_SMD_Pin1Right +surface-mounted straight pin header, 1x15, 2.54mm pitch, single row, style 2 (pin 1 right) +Surface mounted pin header SMD 1x15 2.54mm single row style2 pin1 right +0 +15 +15 +Connector_PinHeader_2.54mm +PinHeader_1x16_P2.54mm_Horizontal +Through hole angled pin header, 1x16, 2.54mm pitch, 6mm pin length, single row +Through hole angled pin header THT 1x16 2.54mm single row +0 +16 +16 +Connector_PinHeader_2.54mm +PinHeader_1x16_P2.54mm_Vertical +Through hole straight pin header, 1x16, 2.54mm pitch, single row +Through hole pin header THT 1x16 2.54mm single row +0 +16 +16 +Connector_PinHeader_2.54mm +PinHeader_1x16_P2.54mm_Vertical_SMD_Pin1Left +surface-mounted straight pin header, 1x16, 2.54mm pitch, single row, style 1 (pin 1 left) +Surface mounted pin header SMD 1x16 2.54mm single row style1 pin1 left +0 +16 +16 +Connector_PinHeader_2.54mm +PinHeader_1x16_P2.54mm_Vertical_SMD_Pin1Right +surface-mounted straight pin header, 1x16, 2.54mm pitch, single row, style 2 (pin 1 right) +Surface mounted pin header SMD 1x16 2.54mm single row style2 pin1 right +0 +16 +16 +Connector_PinHeader_2.54mm +PinHeader_1x17_P2.54mm_Horizontal +Through hole angled pin header, 1x17, 2.54mm pitch, 6mm pin length, single row +Through hole angled pin header THT 1x17 2.54mm single row +0 +17 +17 +Connector_PinHeader_2.54mm +PinHeader_1x17_P2.54mm_Vertical +Through hole straight pin header, 1x17, 2.54mm pitch, single row +Through hole pin header THT 1x17 2.54mm single row +0 +17 +17 +Connector_PinHeader_2.54mm +PinHeader_1x17_P2.54mm_Vertical_SMD_Pin1Left +surface-mounted straight pin header, 1x17, 2.54mm pitch, single row, style 1 (pin 1 left) +Surface mounted pin header SMD 1x17 2.54mm single row style1 pin1 left +0 +17 +17 +Connector_PinHeader_2.54mm +PinHeader_1x17_P2.54mm_Vertical_SMD_Pin1Right +surface-mounted straight pin header, 1x17, 2.54mm pitch, single row, style 2 (pin 1 right) +Surface mounted pin header SMD 1x17 2.54mm single row style2 pin1 right +0 +17 +17 +Connector_PinHeader_2.54mm +PinHeader_1x18_P2.54mm_Horizontal +Through hole angled pin header, 1x18, 2.54mm pitch, 6mm pin length, single row +Through hole angled pin header THT 1x18 2.54mm single row +0 +18 +18 +Connector_PinHeader_2.54mm +PinHeader_1x18_P2.54mm_Vertical +Through hole straight pin header, 1x18, 2.54mm pitch, single row +Through hole pin header THT 1x18 2.54mm single row +0 +18 +18 +Connector_PinHeader_2.54mm +PinHeader_1x18_P2.54mm_Vertical_SMD_Pin1Left +surface-mounted straight pin header, 1x18, 2.54mm pitch, single row, style 1 (pin 1 left) +Surface mounted pin header SMD 1x18 2.54mm single row style1 pin1 left +0 +18 +18 +Connector_PinHeader_2.54mm +PinHeader_1x18_P2.54mm_Vertical_SMD_Pin1Right +surface-mounted straight pin header, 1x18, 2.54mm pitch, single row, style 2 (pin 1 right) +Surface mounted pin header SMD 1x18 2.54mm single row style2 pin1 right +0 +18 +18 +Connector_PinHeader_2.54mm +PinHeader_1x19_P2.54mm_Horizontal +Through hole angled pin header, 1x19, 2.54mm pitch, 6mm pin length, single row +Through hole angled pin header THT 1x19 2.54mm single row +0 +19 +19 +Connector_PinHeader_2.54mm +PinHeader_1x19_P2.54mm_Vertical +Through hole straight pin header, 1x19, 2.54mm pitch, single row +Through hole pin header THT 1x19 2.54mm single row +0 +19 +19 +Connector_PinHeader_2.54mm +PinHeader_1x19_P2.54mm_Vertical_SMD_Pin1Left +surface-mounted straight pin header, 1x19, 2.54mm pitch, single row, style 1 (pin 1 left) +Surface mounted pin header SMD 1x19 2.54mm single row style1 pin1 left +0 +19 +19 +Connector_PinHeader_2.54mm +PinHeader_1x19_P2.54mm_Vertical_SMD_Pin1Right +surface-mounted straight pin header, 1x19, 2.54mm pitch, single row, style 2 (pin 1 right) +Surface mounted pin header SMD 1x19 2.54mm single row style2 pin1 right +0 +19 +19 +Connector_PinHeader_2.54mm +PinHeader_1x20_P2.54mm_Horizontal +Through hole angled pin header, 1x20, 2.54mm pitch, 6mm pin length, single row +Through hole angled pin header THT 1x20 2.54mm single row +0 +20 +20 +Connector_PinHeader_2.54mm +PinHeader_1x20_P2.54mm_Vertical +Through hole straight pin header, 1x20, 2.54mm pitch, single row +Through hole pin header THT 1x20 2.54mm single row +0 +20 +20 +Connector_PinHeader_2.54mm +PinHeader_1x20_P2.54mm_Vertical_SMD_Pin1Left +surface-mounted straight pin header, 1x20, 2.54mm pitch, single row, style 1 (pin 1 left) +Surface mounted pin header SMD 1x20 2.54mm single row style1 pin1 left +0 +20 +20 +Connector_PinHeader_2.54mm +PinHeader_1x20_P2.54mm_Vertical_SMD_Pin1Right +surface-mounted straight pin header, 1x20, 2.54mm pitch, single row, style 2 (pin 1 right) +Surface mounted pin header SMD 1x20 2.54mm single row style2 pin1 right +0 +20 +20 +Connector_PinHeader_2.54mm +PinHeader_1x21_P2.54mm_Horizontal +Through hole angled pin header, 1x21, 2.54mm pitch, 6mm pin length, single row +Through hole angled pin header THT 1x21 2.54mm single row +0 +21 +21 +Connector_PinHeader_2.54mm +PinHeader_1x21_P2.54mm_Vertical +Through hole straight pin header, 1x21, 2.54mm pitch, single row +Through hole pin header THT 1x21 2.54mm single row +0 +21 +21 +Connector_PinHeader_2.54mm +PinHeader_1x21_P2.54mm_Vertical_SMD_Pin1Left +surface-mounted straight pin header, 1x21, 2.54mm pitch, single row, style 1 (pin 1 left) +Surface mounted pin header SMD 1x21 2.54mm single row style1 pin1 left +0 +21 +21 +Connector_PinHeader_2.54mm +PinHeader_1x21_P2.54mm_Vertical_SMD_Pin1Right +surface-mounted straight pin header, 1x21, 2.54mm pitch, single row, style 2 (pin 1 right) +Surface mounted pin header SMD 1x21 2.54mm single row style2 pin1 right +0 +21 +21 +Connector_PinHeader_2.54mm +PinHeader_1x22_P2.54mm_Horizontal +Through hole angled pin header, 1x22, 2.54mm pitch, 6mm pin length, single row +Through hole angled pin header THT 1x22 2.54mm single row +0 +22 +22 +Connector_PinHeader_2.54mm +PinHeader_1x22_P2.54mm_Vertical +Through hole straight pin header, 1x22, 2.54mm pitch, single row +Through hole pin header THT 1x22 2.54mm single row +0 +22 +22 +Connector_PinHeader_2.54mm +PinHeader_1x22_P2.54mm_Vertical_SMD_Pin1Left +surface-mounted straight pin header, 1x22, 2.54mm pitch, single row, style 1 (pin 1 left) +Surface mounted pin header SMD 1x22 2.54mm single row style1 pin1 left +0 +22 +22 +Connector_PinHeader_2.54mm +PinHeader_1x22_P2.54mm_Vertical_SMD_Pin1Right +surface-mounted straight pin header, 1x22, 2.54mm pitch, single row, style 2 (pin 1 right) +Surface mounted pin header SMD 1x22 2.54mm single row style2 pin1 right +0 +22 +22 +Connector_PinHeader_2.54mm +PinHeader_1x23_P2.54mm_Horizontal +Through hole angled pin header, 1x23, 2.54mm pitch, 6mm pin length, single row +Through hole angled pin header THT 1x23 2.54mm single row +0 +23 +23 +Connector_PinHeader_2.54mm +PinHeader_1x23_P2.54mm_Vertical +Through hole straight pin header, 1x23, 2.54mm pitch, single row +Through hole pin header THT 1x23 2.54mm single row +0 +23 +23 +Connector_PinHeader_2.54mm +PinHeader_1x23_P2.54mm_Vertical_SMD_Pin1Left +surface-mounted straight pin header, 1x23, 2.54mm pitch, single row, style 1 (pin 1 left) +Surface mounted pin header SMD 1x23 2.54mm single row style1 pin1 left +0 +23 +23 +Connector_PinHeader_2.54mm +PinHeader_1x23_P2.54mm_Vertical_SMD_Pin1Right +surface-mounted straight pin header, 1x23, 2.54mm pitch, single row, style 2 (pin 1 right) +Surface mounted pin header SMD 1x23 2.54mm single row style2 pin1 right +0 +23 +23 +Connector_PinHeader_2.54mm +PinHeader_1x24_P2.54mm_Horizontal +Through hole angled pin header, 1x24, 2.54mm pitch, 6mm pin length, single row +Through hole angled pin header THT 1x24 2.54mm single row +0 +24 +24 +Connector_PinHeader_2.54mm +PinHeader_1x24_P2.54mm_Vertical +Through hole straight pin header, 1x24, 2.54mm pitch, single row +Through hole pin header THT 1x24 2.54mm single row +0 +24 +24 +Connector_PinHeader_2.54mm +PinHeader_1x24_P2.54mm_Vertical_SMD_Pin1Left +surface-mounted straight pin header, 1x24, 2.54mm pitch, single row, style 1 (pin 1 left) +Surface mounted pin header SMD 1x24 2.54mm single row style1 pin1 left +0 +24 +24 +Connector_PinHeader_2.54mm +PinHeader_1x24_P2.54mm_Vertical_SMD_Pin1Right +surface-mounted straight pin header, 1x24, 2.54mm pitch, single row, style 2 (pin 1 right) +Surface mounted pin header SMD 1x24 2.54mm single row style2 pin1 right +0 +24 +24 +Connector_PinHeader_2.54mm +PinHeader_1x25_P2.54mm_Horizontal +Through hole angled pin header, 1x25, 2.54mm pitch, 6mm pin length, single row +Through hole angled pin header THT 1x25 2.54mm single row +0 +25 +25 +Connector_PinHeader_2.54mm +PinHeader_1x25_P2.54mm_Vertical +Through hole straight pin header, 1x25, 2.54mm pitch, single row +Through hole pin header THT 1x25 2.54mm single row +0 +25 +25 +Connector_PinHeader_2.54mm +PinHeader_1x25_P2.54mm_Vertical_SMD_Pin1Left +surface-mounted straight pin header, 1x25, 2.54mm pitch, single row, style 1 (pin 1 left) +Surface mounted pin header SMD 1x25 2.54mm single row style1 pin1 left +0 +25 +25 +Connector_PinHeader_2.54mm +PinHeader_1x25_P2.54mm_Vertical_SMD_Pin1Right +surface-mounted straight pin header, 1x25, 2.54mm pitch, single row, style 2 (pin 1 right) +Surface mounted pin header SMD 1x25 2.54mm single row style2 pin1 right +0 +25 +25 +Connector_PinHeader_2.54mm +PinHeader_1x26_P2.54mm_Horizontal +Through hole angled pin header, 1x26, 2.54mm pitch, 6mm pin length, single row +Through hole angled pin header THT 1x26 2.54mm single row +0 +26 +26 +Connector_PinHeader_2.54mm +PinHeader_1x26_P2.54mm_Vertical +Through hole straight pin header, 1x26, 2.54mm pitch, single row +Through hole pin header THT 1x26 2.54mm single row +0 +26 +26 +Connector_PinHeader_2.54mm +PinHeader_1x26_P2.54mm_Vertical_SMD_Pin1Left +surface-mounted straight pin header, 1x26, 2.54mm pitch, single row, style 1 (pin 1 left) +Surface mounted pin header SMD 1x26 2.54mm single row style1 pin1 left +0 +26 +26 +Connector_PinHeader_2.54mm +PinHeader_1x26_P2.54mm_Vertical_SMD_Pin1Right +surface-mounted straight pin header, 1x26, 2.54mm pitch, single row, style 2 (pin 1 right) +Surface mounted pin header SMD 1x26 2.54mm single row style2 pin1 right +0 +26 +26 +Connector_PinHeader_2.54mm +PinHeader_1x27_P2.54mm_Horizontal +Through hole angled pin header, 1x27, 2.54mm pitch, 6mm pin length, single row +Through hole angled pin header THT 1x27 2.54mm single row +0 +27 +27 +Connector_PinHeader_2.54mm +PinHeader_1x27_P2.54mm_Vertical +Through hole straight pin header, 1x27, 2.54mm pitch, single row +Through hole pin header THT 1x27 2.54mm single row +0 +27 +27 +Connector_PinHeader_2.54mm +PinHeader_1x27_P2.54mm_Vertical_SMD_Pin1Left +surface-mounted straight pin header, 1x27, 2.54mm pitch, single row, style 1 (pin 1 left) +Surface mounted pin header SMD 1x27 2.54mm single row style1 pin1 left +0 +27 +27 +Connector_PinHeader_2.54mm +PinHeader_1x27_P2.54mm_Vertical_SMD_Pin1Right +surface-mounted straight pin header, 1x27, 2.54mm pitch, single row, style 2 (pin 1 right) +Surface mounted pin header SMD 1x27 2.54mm single row style2 pin1 right +0 +27 +27 +Connector_PinHeader_2.54mm +PinHeader_1x28_P2.54mm_Horizontal +Through hole angled pin header, 1x28, 2.54mm pitch, 6mm pin length, single row +Through hole angled pin header THT 1x28 2.54mm single row +0 +28 +28 +Connector_PinHeader_2.54mm +PinHeader_1x28_P2.54mm_Vertical +Through hole straight pin header, 1x28, 2.54mm pitch, single row +Through hole pin header THT 1x28 2.54mm single row +0 +28 +28 +Connector_PinHeader_2.54mm +PinHeader_1x28_P2.54mm_Vertical_SMD_Pin1Left +surface-mounted straight pin header, 1x28, 2.54mm pitch, single row, style 1 (pin 1 left) +Surface mounted pin header SMD 1x28 2.54mm single row style1 pin1 left +0 +28 +28 +Connector_PinHeader_2.54mm +PinHeader_1x28_P2.54mm_Vertical_SMD_Pin1Right +surface-mounted straight pin header, 1x28, 2.54mm pitch, single row, style 2 (pin 1 right) +Surface mounted pin header SMD 1x28 2.54mm single row style2 pin1 right +0 +28 +28 +Connector_PinHeader_2.54mm +PinHeader_1x29_P2.54mm_Horizontal +Through hole angled pin header, 1x29, 2.54mm pitch, 6mm pin length, single row +Through hole angled pin header THT 1x29 2.54mm single row +0 +29 +29 +Connector_PinHeader_2.54mm +PinHeader_1x29_P2.54mm_Vertical +Through hole straight pin header, 1x29, 2.54mm pitch, single row +Through hole pin header THT 1x29 2.54mm single row +0 +29 +29 +Connector_PinHeader_2.54mm +PinHeader_1x29_P2.54mm_Vertical_SMD_Pin1Left +surface-mounted straight pin header, 1x29, 2.54mm pitch, single row, style 1 (pin 1 left) +Surface mounted pin header SMD 1x29 2.54mm single row style1 pin1 left +0 +29 +29 +Connector_PinHeader_2.54mm +PinHeader_1x29_P2.54mm_Vertical_SMD_Pin1Right +surface-mounted straight pin header, 1x29, 2.54mm pitch, single row, style 2 (pin 1 right) +Surface mounted pin header SMD 1x29 2.54mm single row style2 pin1 right +0 +29 +29 +Connector_PinHeader_2.54mm +PinHeader_1x30_P2.54mm_Horizontal +Through hole angled pin header, 1x30, 2.54mm pitch, 6mm pin length, single row +Through hole angled pin header THT 1x30 2.54mm single row +0 +30 +30 +Connector_PinHeader_2.54mm +PinHeader_1x30_P2.54mm_Vertical +Through hole straight pin header, 1x30, 2.54mm pitch, single row +Through hole pin header THT 1x30 2.54mm single row +0 +30 +30 +Connector_PinHeader_2.54mm +PinHeader_1x30_P2.54mm_Vertical_SMD_Pin1Left +surface-mounted straight pin header, 1x30, 2.54mm pitch, single row, style 1 (pin 1 left) +Surface mounted pin header SMD 1x30 2.54mm single row style1 pin1 left +0 +30 +30 +Connector_PinHeader_2.54mm +PinHeader_1x30_P2.54mm_Vertical_SMD_Pin1Right +surface-mounted straight pin header, 1x30, 2.54mm pitch, single row, style 2 (pin 1 right) +Surface mounted pin header SMD 1x30 2.54mm single row style2 pin1 right +0 +30 +30 +Connector_PinHeader_2.54mm +PinHeader_1x31_P2.54mm_Horizontal +Through hole angled pin header, 1x31, 2.54mm pitch, 6mm pin length, single row +Through hole angled pin header THT 1x31 2.54mm single row +0 +31 +31 +Connector_PinHeader_2.54mm +PinHeader_1x31_P2.54mm_Vertical +Through hole straight pin header, 1x31, 2.54mm pitch, single row +Through hole pin header THT 1x31 2.54mm single row +0 +31 +31 +Connector_PinHeader_2.54mm +PinHeader_1x31_P2.54mm_Vertical_SMD_Pin1Left +surface-mounted straight pin header, 1x31, 2.54mm pitch, single row, style 1 (pin 1 left) +Surface mounted pin header SMD 1x31 2.54mm single row style1 pin1 left +0 +31 +31 +Connector_PinHeader_2.54mm +PinHeader_1x31_P2.54mm_Vertical_SMD_Pin1Right +surface-mounted straight pin header, 1x31, 2.54mm pitch, single row, style 2 (pin 1 right) +Surface mounted pin header SMD 1x31 2.54mm single row style2 pin1 right +0 +31 +31 +Connector_PinHeader_2.54mm +PinHeader_1x32_P2.54mm_Horizontal +Through hole angled pin header, 1x32, 2.54mm pitch, 6mm pin length, single row +Through hole angled pin header THT 1x32 2.54mm single row +0 +32 +32 +Connector_PinHeader_2.54mm +PinHeader_1x32_P2.54mm_Vertical +Through hole straight pin header, 1x32, 2.54mm pitch, single row +Through hole pin header THT 1x32 2.54mm single row +0 +32 +32 +Connector_PinHeader_2.54mm +PinHeader_1x32_P2.54mm_Vertical_SMD_Pin1Left +surface-mounted straight pin header, 1x32, 2.54mm pitch, single row, style 1 (pin 1 left) +Surface mounted pin header SMD 1x32 2.54mm single row style1 pin1 left +0 +32 +32 +Connector_PinHeader_2.54mm +PinHeader_1x32_P2.54mm_Vertical_SMD_Pin1Right +surface-mounted straight pin header, 1x32, 2.54mm pitch, single row, style 2 (pin 1 right) +Surface mounted pin header SMD 1x32 2.54mm single row style2 pin1 right +0 +32 +32 +Connector_PinHeader_2.54mm +PinHeader_1x33_P2.54mm_Horizontal +Through hole angled pin header, 1x33, 2.54mm pitch, 6mm pin length, single row +Through hole angled pin header THT 1x33 2.54mm single row +0 +33 +33 +Connector_PinHeader_2.54mm +PinHeader_1x33_P2.54mm_Vertical +Through hole straight pin header, 1x33, 2.54mm pitch, single row +Through hole pin header THT 1x33 2.54mm single row +0 +33 +33 +Connector_PinHeader_2.54mm +PinHeader_1x33_P2.54mm_Vertical_SMD_Pin1Left +surface-mounted straight pin header, 1x33, 2.54mm pitch, single row, style 1 (pin 1 left) +Surface mounted pin header SMD 1x33 2.54mm single row style1 pin1 left +0 +33 +33 +Connector_PinHeader_2.54mm +PinHeader_1x33_P2.54mm_Vertical_SMD_Pin1Right +surface-mounted straight pin header, 1x33, 2.54mm pitch, single row, style 2 (pin 1 right) +Surface mounted pin header SMD 1x33 2.54mm single row style2 pin1 right +0 +33 +33 +Connector_PinHeader_2.54mm +PinHeader_1x34_P2.54mm_Horizontal +Through hole angled pin header, 1x34, 2.54mm pitch, 6mm pin length, single row +Through hole angled pin header THT 1x34 2.54mm single row +0 +34 +34 +Connector_PinHeader_2.54mm +PinHeader_1x34_P2.54mm_Vertical +Through hole straight pin header, 1x34, 2.54mm pitch, single row +Through hole pin header THT 1x34 2.54mm single row +0 +34 +34 +Connector_PinHeader_2.54mm +PinHeader_1x34_P2.54mm_Vertical_SMD_Pin1Left +surface-mounted straight pin header, 1x34, 2.54mm pitch, single row, style 1 (pin 1 left) +Surface mounted pin header SMD 1x34 2.54mm single row style1 pin1 left +0 +34 +34 +Connector_PinHeader_2.54mm +PinHeader_1x34_P2.54mm_Vertical_SMD_Pin1Right +surface-mounted straight pin header, 1x34, 2.54mm pitch, single row, style 2 (pin 1 right) +Surface mounted pin header SMD 1x34 2.54mm single row style2 pin1 right +0 +34 +34 +Connector_PinHeader_2.54mm +PinHeader_1x35_P2.54mm_Horizontal +Through hole angled pin header, 1x35, 2.54mm pitch, 6mm pin length, single row +Through hole angled pin header THT 1x35 2.54mm single row +0 +35 +35 +Connector_PinHeader_2.54mm +PinHeader_1x35_P2.54mm_Vertical +Through hole straight pin header, 1x35, 2.54mm pitch, single row +Through hole pin header THT 1x35 2.54mm single row +0 +35 +35 +Connector_PinHeader_2.54mm +PinHeader_1x35_P2.54mm_Vertical_SMD_Pin1Left +surface-mounted straight pin header, 1x35, 2.54mm pitch, single row, style 1 (pin 1 left) +Surface mounted pin header SMD 1x35 2.54mm single row style1 pin1 left +0 +35 +35 +Connector_PinHeader_2.54mm +PinHeader_1x35_P2.54mm_Vertical_SMD_Pin1Right +surface-mounted straight pin header, 1x35, 2.54mm pitch, single row, style 2 (pin 1 right) +Surface mounted pin header SMD 1x35 2.54mm single row style2 pin1 right +0 +35 +35 +Connector_PinHeader_2.54mm +PinHeader_1x36_P2.54mm_Horizontal +Through hole angled pin header, 1x36, 2.54mm pitch, 6mm pin length, single row +Through hole angled pin header THT 1x36 2.54mm single row +0 +36 +36 +Connector_PinHeader_2.54mm +PinHeader_1x36_P2.54mm_Vertical +Through hole straight pin header, 1x36, 2.54mm pitch, single row +Through hole pin header THT 1x36 2.54mm single row +0 +36 +36 +Connector_PinHeader_2.54mm +PinHeader_1x36_P2.54mm_Vertical_SMD_Pin1Left +surface-mounted straight pin header, 1x36, 2.54mm pitch, single row, style 1 (pin 1 left) +Surface mounted pin header SMD 1x36 2.54mm single row style1 pin1 left +0 +36 +36 +Connector_PinHeader_2.54mm +PinHeader_1x36_P2.54mm_Vertical_SMD_Pin1Right +surface-mounted straight pin header, 1x36, 2.54mm pitch, single row, style 2 (pin 1 right) +Surface mounted pin header SMD 1x36 2.54mm single row style2 pin1 right +0 +36 +36 +Connector_PinHeader_2.54mm +PinHeader_1x37_P2.54mm_Horizontal +Through hole angled pin header, 1x37, 2.54mm pitch, 6mm pin length, single row +Through hole angled pin header THT 1x37 2.54mm single row +0 +37 +37 +Connector_PinHeader_2.54mm +PinHeader_1x37_P2.54mm_Vertical +Through hole straight pin header, 1x37, 2.54mm pitch, single row +Through hole pin header THT 1x37 2.54mm single row +0 +37 +37 +Connector_PinHeader_2.54mm +PinHeader_1x37_P2.54mm_Vertical_SMD_Pin1Left +surface-mounted straight pin header, 1x37, 2.54mm pitch, single row, style 1 (pin 1 left) +Surface mounted pin header SMD 1x37 2.54mm single row style1 pin1 left +0 +37 +37 +Connector_PinHeader_2.54mm +PinHeader_1x37_P2.54mm_Vertical_SMD_Pin1Right +surface-mounted straight pin header, 1x37, 2.54mm pitch, single row, style 2 (pin 1 right) +Surface mounted pin header SMD 1x37 2.54mm single row style2 pin1 right +0 +37 +37 +Connector_PinHeader_2.54mm +PinHeader_1x38_P2.54mm_Horizontal +Through hole angled pin header, 1x38, 2.54mm pitch, 6mm pin length, single row +Through hole angled pin header THT 1x38 2.54mm single row +0 +38 +38 +Connector_PinHeader_2.54mm +PinHeader_1x38_P2.54mm_Vertical +Through hole straight pin header, 1x38, 2.54mm pitch, single row +Through hole pin header THT 1x38 2.54mm single row +0 +38 +38 +Connector_PinHeader_2.54mm +PinHeader_1x38_P2.54mm_Vertical_SMD_Pin1Left +surface-mounted straight pin header, 1x38, 2.54mm pitch, single row, style 1 (pin 1 left) +Surface mounted pin header SMD 1x38 2.54mm single row style1 pin1 left +0 +38 +38 +Connector_PinHeader_2.54mm +PinHeader_1x38_P2.54mm_Vertical_SMD_Pin1Right +surface-mounted straight pin header, 1x38, 2.54mm pitch, single row, style 2 (pin 1 right) +Surface mounted pin header SMD 1x38 2.54mm single row style2 pin1 right +0 +38 +38 +Connector_PinHeader_2.54mm +PinHeader_1x39_P2.54mm_Horizontal +Through hole angled pin header, 1x39, 2.54mm pitch, 6mm pin length, single row +Through hole angled pin header THT 1x39 2.54mm single row +0 +39 +39 +Connector_PinHeader_2.54mm +PinHeader_1x39_P2.54mm_Vertical +Through hole straight pin header, 1x39, 2.54mm pitch, single row +Through hole pin header THT 1x39 2.54mm single row +0 +39 +39 +Connector_PinHeader_2.54mm +PinHeader_1x39_P2.54mm_Vertical_SMD_Pin1Left +surface-mounted straight pin header, 1x39, 2.54mm pitch, single row, style 1 (pin 1 left) +Surface mounted pin header SMD 1x39 2.54mm single row style1 pin1 left +0 +39 +39 +Connector_PinHeader_2.54mm +PinHeader_1x39_P2.54mm_Vertical_SMD_Pin1Right +surface-mounted straight pin header, 1x39, 2.54mm pitch, single row, style 2 (pin 1 right) +Surface mounted pin header SMD 1x39 2.54mm single row style2 pin1 right +0 +39 +39 +Connector_PinHeader_2.54mm +PinHeader_1x40_P2.54mm_Horizontal +Through hole angled pin header, 1x40, 2.54mm pitch, 6mm pin length, single row +Through hole angled pin header THT 1x40 2.54mm single row +0 +40 +40 +Connector_PinHeader_2.54mm +PinHeader_1x40_P2.54mm_Vertical +Through hole straight pin header, 1x40, 2.54mm pitch, single row +Through hole pin header THT 1x40 2.54mm single row +0 +40 +40 +Connector_PinHeader_2.54mm +PinHeader_1x40_P2.54mm_Vertical_SMD_Pin1Left +surface-mounted straight pin header, 1x40, 2.54mm pitch, single row, style 1 (pin 1 left) +Surface mounted pin header SMD 1x40 2.54mm single row style1 pin1 left +0 +40 +40 +Connector_PinHeader_2.54mm +PinHeader_1x40_P2.54mm_Vertical_SMD_Pin1Right +surface-mounted straight pin header, 1x40, 2.54mm pitch, single row, style 2 (pin 1 right) +Surface mounted pin header SMD 1x40 2.54mm single row style2 pin1 right +0 +40 +40 +Connector_PinHeader_2.54mm +PinHeader_2x01_P2.54mm_Horizontal +Through hole angled pin header, 2x01, 2.54mm pitch, 6mm pin length, double rows +Through hole angled pin header THT 2x01 2.54mm double row +0 +2 +2 +Connector_PinHeader_2.54mm +PinHeader_2x01_P2.54mm_Vertical +Through hole straight pin header, 2x01, 2.54mm pitch, double rows +Through hole pin header THT 2x01 2.54mm double row +0 +2 +2 +Connector_PinHeader_2.54mm +PinHeader_2x01_P2.54mm_Vertical_SMD +surface-mounted straight pin header, 2x01, 2.54mm pitch, double rows +Surface mounted pin header SMD 2x01 2.54mm double row +0 +2 +2 +Connector_PinHeader_2.54mm +PinHeader_2x02_P2.54mm_Horizontal +Through hole angled pin header, 2x02, 2.54mm pitch, 6mm pin length, double rows +Through hole angled pin header THT 2x02 2.54mm double row +0 +4 +4 +Connector_PinHeader_2.54mm +PinHeader_2x02_P2.54mm_Vertical +Through hole straight pin header, 2x02, 2.54mm pitch, double rows +Through hole pin header THT 2x02 2.54mm double row +0 +4 +4 +Connector_PinHeader_2.54mm +PinHeader_2x02_P2.54mm_Vertical_SMD +surface-mounted straight pin header, 2x02, 2.54mm pitch, double rows +Surface mounted pin header SMD 2x02 2.54mm double row +0 +4 +4 +Connector_PinHeader_2.54mm +PinHeader_2x03_P2.54mm_Horizontal +Through hole angled pin header, 2x03, 2.54mm pitch, 6mm pin length, double rows +Through hole angled pin header THT 2x03 2.54mm double row +0 +6 +6 +Connector_PinHeader_2.54mm +PinHeader_2x03_P2.54mm_Vertical +Through hole straight pin header, 2x03, 2.54mm pitch, double rows +Through hole pin header THT 2x03 2.54mm double row +0 +6 +6 +Connector_PinHeader_2.54mm +PinHeader_2x03_P2.54mm_Vertical_SMD +surface-mounted straight pin header, 2x03, 2.54mm pitch, double rows +Surface mounted pin header SMD 2x03 2.54mm double row +0 +6 +6 +Connector_PinHeader_2.54mm +PinHeader_2x04_P2.54mm_Horizontal +Through hole angled pin header, 2x04, 2.54mm pitch, 6mm pin length, double rows +Through hole angled pin header THT 2x04 2.54mm double row +0 +8 +8 +Connector_PinHeader_2.54mm +PinHeader_2x04_P2.54mm_Vertical +Through hole straight pin header, 2x04, 2.54mm pitch, double rows +Through hole pin header THT 2x04 2.54mm double row +0 +8 +8 +Connector_PinHeader_2.54mm +PinHeader_2x04_P2.54mm_Vertical_SMD +surface-mounted straight pin header, 2x04, 2.54mm pitch, double rows +Surface mounted pin header SMD 2x04 2.54mm double row +0 +8 +8 +Connector_PinHeader_2.54mm +PinHeader_2x05_P2.54mm_Horizontal +Through hole angled pin header, 2x05, 2.54mm pitch, 6mm pin length, double rows +Through hole angled pin header THT 2x05 2.54mm double row +0 +10 +10 +Connector_PinHeader_2.54mm +PinHeader_2x05_P2.54mm_Vertical +Through hole straight pin header, 2x05, 2.54mm pitch, double rows +Through hole pin header THT 2x05 2.54mm double row +0 +10 +10 +Connector_PinHeader_2.54mm +PinHeader_2x05_P2.54mm_Vertical_SMD +surface-mounted straight pin header, 2x05, 2.54mm pitch, double rows +Surface mounted pin header SMD 2x05 2.54mm double row +0 +10 +10 +Connector_PinHeader_2.54mm +PinHeader_2x06_P2.54mm_Horizontal +Through hole angled pin header, 2x06, 2.54mm pitch, 6mm pin length, double rows +Through hole angled pin header THT 2x06 2.54mm double row +0 +12 +12 +Connector_PinHeader_2.54mm +PinHeader_2x06_P2.54mm_Vertical +Through hole straight pin header, 2x06, 2.54mm pitch, double rows +Through hole pin header THT 2x06 2.54mm double row +0 +12 +12 +Connector_PinHeader_2.54mm +PinHeader_2x06_P2.54mm_Vertical_SMD +surface-mounted straight pin header, 2x06, 2.54mm pitch, double rows +Surface mounted pin header SMD 2x06 2.54mm double row +0 +12 +12 +Connector_PinHeader_2.54mm +PinHeader_2x07_P2.54mm_Horizontal +Through hole angled pin header, 2x07, 2.54mm pitch, 6mm pin length, double rows +Through hole angled pin header THT 2x07 2.54mm double row +0 +14 +14 +Connector_PinHeader_2.54mm +PinHeader_2x07_P2.54mm_Vertical +Through hole straight pin header, 2x07, 2.54mm pitch, double rows +Through hole pin header THT 2x07 2.54mm double row +0 +14 +14 +Connector_PinHeader_2.54mm +PinHeader_2x07_P2.54mm_Vertical_SMD +surface-mounted straight pin header, 2x07, 2.54mm pitch, double rows +Surface mounted pin header SMD 2x07 2.54mm double row +0 +14 +14 +Connector_PinHeader_2.54mm +PinHeader_2x08_P2.54mm_Horizontal +Through hole angled pin header, 2x08, 2.54mm pitch, 6mm pin length, double rows +Through hole angled pin header THT 2x08 2.54mm double row +0 +16 +16 +Connector_PinHeader_2.54mm +PinHeader_2x08_P2.54mm_Vertical +Through hole straight pin header, 2x08, 2.54mm pitch, double rows +Through hole pin header THT 2x08 2.54mm double row +0 +16 +16 +Connector_PinHeader_2.54mm +PinHeader_2x08_P2.54mm_Vertical_SMD +surface-mounted straight pin header, 2x08, 2.54mm pitch, double rows +Surface mounted pin header SMD 2x08 2.54mm double row +0 +16 +16 +Connector_PinHeader_2.54mm +PinHeader_2x09_P2.54mm_Horizontal +Through hole angled pin header, 2x09, 2.54mm pitch, 6mm pin length, double rows +Through hole angled pin header THT 2x09 2.54mm double row +0 +18 +18 +Connector_PinHeader_2.54mm +PinHeader_2x09_P2.54mm_Vertical +Through hole straight pin header, 2x09, 2.54mm pitch, double rows +Through hole pin header THT 2x09 2.54mm double row +0 +18 +18 +Connector_PinHeader_2.54mm +PinHeader_2x09_P2.54mm_Vertical_SMD +surface-mounted straight pin header, 2x09, 2.54mm pitch, double rows +Surface mounted pin header SMD 2x09 2.54mm double row +0 +18 +18 +Connector_PinHeader_2.54mm +PinHeader_2x10_P2.54mm_Horizontal +Through hole angled pin header, 2x10, 2.54mm pitch, 6mm pin length, double rows +Through hole angled pin header THT 2x10 2.54mm double row +0 +20 +20 +Connector_PinHeader_2.54mm +PinHeader_2x10_P2.54mm_Vertical +Through hole straight pin header, 2x10, 2.54mm pitch, double rows +Through hole pin header THT 2x10 2.54mm double row +0 +20 +20 +Connector_PinHeader_2.54mm +PinHeader_2x10_P2.54mm_Vertical_SMD +surface-mounted straight pin header, 2x10, 2.54mm pitch, double rows +Surface mounted pin header SMD 2x10 2.54mm double row +0 +20 +20 +Connector_PinHeader_2.54mm +PinHeader_2x11_P2.54mm_Horizontal +Through hole angled pin header, 2x11, 2.54mm pitch, 6mm pin length, double rows +Through hole angled pin header THT 2x11 2.54mm double row +0 +22 +22 +Connector_PinHeader_2.54mm +PinHeader_2x11_P2.54mm_Vertical +Through hole straight pin header, 2x11, 2.54mm pitch, double rows +Through hole pin header THT 2x11 2.54mm double row +0 +22 +22 +Connector_PinHeader_2.54mm +PinHeader_2x11_P2.54mm_Vertical_SMD +surface-mounted straight pin header, 2x11, 2.54mm pitch, double rows +Surface mounted pin header SMD 2x11 2.54mm double row +0 +22 +22 +Connector_PinHeader_2.54mm +PinHeader_2x12_P2.54mm_Horizontal +Through hole angled pin header, 2x12, 2.54mm pitch, 6mm pin length, double rows +Through hole angled pin header THT 2x12 2.54mm double row +0 +24 +24 +Connector_PinHeader_2.54mm +PinHeader_2x12_P2.54mm_Vertical +Through hole straight pin header, 2x12, 2.54mm pitch, double rows +Through hole pin header THT 2x12 2.54mm double row +0 +24 +24 +Connector_PinHeader_2.54mm +PinHeader_2x12_P2.54mm_Vertical_SMD +surface-mounted straight pin header, 2x12, 2.54mm pitch, double rows +Surface mounted pin header SMD 2x12 2.54mm double row +0 +24 +24 +Connector_PinHeader_2.54mm +PinHeader_2x13_P2.54mm_Horizontal +Through hole angled pin header, 2x13, 2.54mm pitch, 6mm pin length, double rows +Through hole angled pin header THT 2x13 2.54mm double row +0 +26 +26 +Connector_PinHeader_2.54mm +PinHeader_2x13_P2.54mm_Vertical +Through hole straight pin header, 2x13, 2.54mm pitch, double rows +Through hole pin header THT 2x13 2.54mm double row +0 +26 +26 +Connector_PinHeader_2.54mm +PinHeader_2x13_P2.54mm_Vertical_SMD +surface-mounted straight pin header, 2x13, 2.54mm pitch, double rows +Surface mounted pin header SMD 2x13 2.54mm double row +0 +26 +26 +Connector_PinHeader_2.54mm +PinHeader_2x14_P2.54mm_Horizontal +Through hole angled pin header, 2x14, 2.54mm pitch, 6mm pin length, double rows +Through hole angled pin header THT 2x14 2.54mm double row +0 +28 +28 +Connector_PinHeader_2.54mm +PinHeader_2x14_P2.54mm_Vertical +Through hole straight pin header, 2x14, 2.54mm pitch, double rows +Through hole pin header THT 2x14 2.54mm double row +0 +28 +28 +Connector_PinHeader_2.54mm +PinHeader_2x14_P2.54mm_Vertical_SMD +surface-mounted straight pin header, 2x14, 2.54mm pitch, double rows +Surface mounted pin header SMD 2x14 2.54mm double row +0 +28 +28 +Connector_PinHeader_2.54mm +PinHeader_2x15_P2.54mm_Horizontal +Through hole angled pin header, 2x15, 2.54mm pitch, 6mm pin length, double rows +Through hole angled pin header THT 2x15 2.54mm double row +0 +30 +30 +Connector_PinHeader_2.54mm +PinHeader_2x15_P2.54mm_Vertical +Through hole straight pin header, 2x15, 2.54mm pitch, double rows +Through hole pin header THT 2x15 2.54mm double row +0 +30 +30 +Connector_PinHeader_2.54mm +PinHeader_2x15_P2.54mm_Vertical_SMD +surface-mounted straight pin header, 2x15, 2.54mm pitch, double rows +Surface mounted pin header SMD 2x15 2.54mm double row +0 +30 +30 +Connector_PinHeader_2.54mm +PinHeader_2x16_P2.54mm_Horizontal +Through hole angled pin header, 2x16, 2.54mm pitch, 6mm pin length, double rows +Through hole angled pin header THT 2x16 2.54mm double row +0 +32 +32 +Connector_PinHeader_2.54mm +PinHeader_2x16_P2.54mm_Vertical +Through hole straight pin header, 2x16, 2.54mm pitch, double rows +Through hole pin header THT 2x16 2.54mm double row +0 +32 +32 +Connector_PinHeader_2.54mm +PinHeader_2x16_P2.54mm_Vertical_SMD +surface-mounted straight pin header, 2x16, 2.54mm pitch, double rows +Surface mounted pin header SMD 2x16 2.54mm double row +0 +32 +32 +Connector_PinHeader_2.54mm +PinHeader_2x17_P2.54mm_Horizontal +Through hole angled pin header, 2x17, 2.54mm pitch, 6mm pin length, double rows +Through hole angled pin header THT 2x17 2.54mm double row +0 +34 +34 +Connector_PinHeader_2.54mm +PinHeader_2x17_P2.54mm_Vertical +Through hole straight pin header, 2x17, 2.54mm pitch, double rows +Through hole pin header THT 2x17 2.54mm double row +0 +34 +34 +Connector_PinHeader_2.54mm +PinHeader_2x17_P2.54mm_Vertical_SMD +surface-mounted straight pin header, 2x17, 2.54mm pitch, double rows +Surface mounted pin header SMD 2x17 2.54mm double row +0 +34 +34 +Connector_PinHeader_2.54mm +PinHeader_2x18_P2.54mm_Horizontal +Through hole angled pin header, 2x18, 2.54mm pitch, 6mm pin length, double rows +Through hole angled pin header THT 2x18 2.54mm double row +0 +36 +36 +Connector_PinHeader_2.54mm +PinHeader_2x18_P2.54mm_Vertical +Through hole straight pin header, 2x18, 2.54mm pitch, double rows +Through hole pin header THT 2x18 2.54mm double row +0 +36 +36 +Connector_PinHeader_2.54mm +PinHeader_2x18_P2.54mm_Vertical_SMD +surface-mounted straight pin header, 2x18, 2.54mm pitch, double rows +Surface mounted pin header SMD 2x18 2.54mm double row +0 +36 +36 +Connector_PinHeader_2.54mm +PinHeader_2x19_P2.54mm_Horizontal +Through hole angled pin header, 2x19, 2.54mm pitch, 6mm pin length, double rows +Through hole angled pin header THT 2x19 2.54mm double row +0 +38 +38 +Connector_PinHeader_2.54mm +PinHeader_2x19_P2.54mm_Vertical +Through hole straight pin header, 2x19, 2.54mm pitch, double rows +Through hole pin header THT 2x19 2.54mm double row +0 +38 +38 +Connector_PinHeader_2.54mm +PinHeader_2x19_P2.54mm_Vertical_SMD +surface-mounted straight pin header, 2x19, 2.54mm pitch, double rows +Surface mounted pin header SMD 2x19 2.54mm double row +0 +38 +38 +Connector_PinHeader_2.54mm +PinHeader_2x20_P2.54mm_Horizontal +Through hole angled pin header, 2x20, 2.54mm pitch, 6mm pin length, double rows +Through hole angled pin header THT 2x20 2.54mm double row +0 +40 +40 +Connector_PinHeader_2.54mm +PinHeader_2x20_P2.54mm_Vertical +Through hole straight pin header, 2x20, 2.54mm pitch, double rows +Through hole pin header THT 2x20 2.54mm double row +0 +40 +40 +Connector_PinHeader_2.54mm +PinHeader_2x20_P2.54mm_Vertical_SMD +surface-mounted straight pin header, 2x20, 2.54mm pitch, double rows +Surface mounted pin header SMD 2x20 2.54mm double row +0 +40 +40 +Connector_PinHeader_2.54mm +PinHeader_2x21_P2.54mm_Horizontal +Through hole angled pin header, 2x21, 2.54mm pitch, 6mm pin length, double rows +Through hole angled pin header THT 2x21 2.54mm double row +0 +42 +42 +Connector_PinHeader_2.54mm +PinHeader_2x21_P2.54mm_Vertical +Through hole straight pin header, 2x21, 2.54mm pitch, double rows +Through hole pin header THT 2x21 2.54mm double row +0 +42 +42 +Connector_PinHeader_2.54mm +PinHeader_2x21_P2.54mm_Vertical_SMD +surface-mounted straight pin header, 2x21, 2.54mm pitch, double rows +Surface mounted pin header SMD 2x21 2.54mm double row +0 +42 +42 +Connector_PinHeader_2.54mm +PinHeader_2x22_P2.54mm_Horizontal +Through hole angled pin header, 2x22, 2.54mm pitch, 6mm pin length, double rows +Through hole angled pin header THT 2x22 2.54mm double row +0 +44 +44 +Connector_PinHeader_2.54mm +PinHeader_2x22_P2.54mm_Vertical +Through hole straight pin header, 2x22, 2.54mm pitch, double rows +Through hole pin header THT 2x22 2.54mm double row +0 +44 +44 +Connector_PinHeader_2.54mm +PinHeader_2x22_P2.54mm_Vertical_SMD +surface-mounted straight pin header, 2x22, 2.54mm pitch, double rows +Surface mounted pin header SMD 2x22 2.54mm double row +0 +44 +44 +Connector_PinHeader_2.54mm +PinHeader_2x23_P2.54mm_Horizontal +Through hole angled pin header, 2x23, 2.54mm pitch, 6mm pin length, double rows +Through hole angled pin header THT 2x23 2.54mm double row +0 +46 +46 +Connector_PinHeader_2.54mm +PinHeader_2x23_P2.54mm_Vertical +Through hole straight pin header, 2x23, 2.54mm pitch, double rows +Through hole pin header THT 2x23 2.54mm double row +0 +46 +46 +Connector_PinHeader_2.54mm +PinHeader_2x23_P2.54mm_Vertical_SMD +surface-mounted straight pin header, 2x23, 2.54mm pitch, double rows +Surface mounted pin header SMD 2x23 2.54mm double row +0 +46 +46 +Connector_PinHeader_2.54mm +PinHeader_2x24_P2.54mm_Horizontal +Through hole angled pin header, 2x24, 2.54mm pitch, 6mm pin length, double rows +Through hole angled pin header THT 2x24 2.54mm double row +0 +48 +48 +Connector_PinHeader_2.54mm +PinHeader_2x24_P2.54mm_Vertical +Through hole straight pin header, 2x24, 2.54mm pitch, double rows +Through hole pin header THT 2x24 2.54mm double row +0 +48 +48 +Connector_PinHeader_2.54mm +PinHeader_2x24_P2.54mm_Vertical_SMD +surface-mounted straight pin header, 2x24, 2.54mm pitch, double rows +Surface mounted pin header SMD 2x24 2.54mm double row +0 +48 +48 +Connector_PinHeader_2.54mm +PinHeader_2x25_P2.54mm_Horizontal +Through hole angled pin header, 2x25, 2.54mm pitch, 6mm pin length, double rows +Through hole angled pin header THT 2x25 2.54mm double row +0 +50 +50 +Connector_PinHeader_2.54mm +PinHeader_2x25_P2.54mm_Vertical +Through hole straight pin header, 2x25, 2.54mm pitch, double rows +Through hole pin header THT 2x25 2.54mm double row +0 +50 +50 +Connector_PinHeader_2.54mm +PinHeader_2x25_P2.54mm_Vertical_SMD +surface-mounted straight pin header, 2x25, 2.54mm pitch, double rows +Surface mounted pin header SMD 2x25 2.54mm double row +0 +50 +50 +Connector_PinHeader_2.54mm +PinHeader_2x26_P2.54mm_Horizontal +Through hole angled pin header, 2x26, 2.54mm pitch, 6mm pin length, double rows +Through hole angled pin header THT 2x26 2.54mm double row +0 +52 +52 +Connector_PinHeader_2.54mm +PinHeader_2x26_P2.54mm_Vertical +Through hole straight pin header, 2x26, 2.54mm pitch, double rows +Through hole pin header THT 2x26 2.54mm double row +0 +52 +52 +Connector_PinHeader_2.54mm +PinHeader_2x26_P2.54mm_Vertical_SMD +surface-mounted straight pin header, 2x26, 2.54mm pitch, double rows +Surface mounted pin header SMD 2x26 2.54mm double row +0 +52 +52 +Connector_PinHeader_2.54mm +PinHeader_2x27_P2.54mm_Horizontal +Through hole angled pin header, 2x27, 2.54mm pitch, 6mm pin length, double rows +Through hole angled pin header THT 2x27 2.54mm double row +0 +54 +54 +Connector_PinHeader_2.54mm +PinHeader_2x27_P2.54mm_Vertical +Through hole straight pin header, 2x27, 2.54mm pitch, double rows +Through hole pin header THT 2x27 2.54mm double row +0 +54 +54 +Connector_PinHeader_2.54mm +PinHeader_2x27_P2.54mm_Vertical_SMD +surface-mounted straight pin header, 2x27, 2.54mm pitch, double rows +Surface mounted pin header SMD 2x27 2.54mm double row +0 +54 +54 +Connector_PinHeader_2.54mm +PinHeader_2x28_P2.54mm_Horizontal +Through hole angled pin header, 2x28, 2.54mm pitch, 6mm pin length, double rows +Through hole angled pin header THT 2x28 2.54mm double row +0 +56 +56 +Connector_PinHeader_2.54mm +PinHeader_2x28_P2.54mm_Vertical +Through hole straight pin header, 2x28, 2.54mm pitch, double rows +Through hole pin header THT 2x28 2.54mm double row +0 +56 +56 +Connector_PinHeader_2.54mm +PinHeader_2x28_P2.54mm_Vertical_SMD +surface-mounted straight pin header, 2x28, 2.54mm pitch, double rows +Surface mounted pin header SMD 2x28 2.54mm double row +0 +56 +56 +Connector_PinHeader_2.54mm +PinHeader_2x29_P2.54mm_Horizontal +Through hole angled pin header, 2x29, 2.54mm pitch, 6mm pin length, double rows +Through hole angled pin header THT 2x29 2.54mm double row +0 +58 +58 +Connector_PinHeader_2.54mm +PinHeader_2x29_P2.54mm_Vertical +Through hole straight pin header, 2x29, 2.54mm pitch, double rows +Through hole pin header THT 2x29 2.54mm double row +0 +58 +58 +Connector_PinHeader_2.54mm +PinHeader_2x29_P2.54mm_Vertical_SMD +surface-mounted straight pin header, 2x29, 2.54mm pitch, double rows +Surface mounted pin header SMD 2x29 2.54mm double row +0 +58 +58 +Connector_PinHeader_2.54mm +PinHeader_2x30_P2.54mm_Horizontal +Through hole angled pin header, 2x30, 2.54mm pitch, 6mm pin length, double rows +Through hole angled pin header THT 2x30 2.54mm double row +0 +60 +60 +Connector_PinHeader_2.54mm +PinHeader_2x30_P2.54mm_Vertical +Through hole straight pin header, 2x30, 2.54mm pitch, double rows +Through hole pin header THT 2x30 2.54mm double row +0 +60 +60 +Connector_PinHeader_2.54mm +PinHeader_2x30_P2.54mm_Vertical_SMD +surface-mounted straight pin header, 2x30, 2.54mm pitch, double rows +Surface mounted pin header SMD 2x30 2.54mm double row +0 +60 +60 +Connector_PinHeader_2.54mm +PinHeader_2x31_P2.54mm_Horizontal +Through hole angled pin header, 2x31, 2.54mm pitch, 6mm pin length, double rows +Through hole angled pin header THT 2x31 2.54mm double row +0 +62 +62 +Connector_PinHeader_2.54mm +PinHeader_2x31_P2.54mm_Vertical +Through hole straight pin header, 2x31, 2.54mm pitch, double rows +Through hole pin header THT 2x31 2.54mm double row +0 +62 +62 +Connector_PinHeader_2.54mm +PinHeader_2x31_P2.54mm_Vertical_SMD +surface-mounted straight pin header, 2x31, 2.54mm pitch, double rows +Surface mounted pin header SMD 2x31 2.54mm double row +0 +62 +62 +Connector_PinHeader_2.54mm +PinHeader_2x32_P2.54mm_Horizontal +Through hole angled pin header, 2x32, 2.54mm pitch, 6mm pin length, double rows +Through hole angled pin header THT 2x32 2.54mm double row +0 +64 +64 +Connector_PinHeader_2.54mm +PinHeader_2x32_P2.54mm_Vertical +Through hole straight pin header, 2x32, 2.54mm pitch, double rows +Through hole pin header THT 2x32 2.54mm double row +0 +64 +64 +Connector_PinHeader_2.54mm +PinHeader_2x32_P2.54mm_Vertical_SMD +surface-mounted straight pin header, 2x32, 2.54mm pitch, double rows +Surface mounted pin header SMD 2x32 2.54mm double row +0 +64 +64 +Connector_PinHeader_2.54mm +PinHeader_2x33_P2.54mm_Horizontal +Through hole angled pin header, 2x33, 2.54mm pitch, 6mm pin length, double rows +Through hole angled pin header THT 2x33 2.54mm double row +0 +66 +66 +Connector_PinHeader_2.54mm +PinHeader_2x33_P2.54mm_Vertical +Through hole straight pin header, 2x33, 2.54mm pitch, double rows +Through hole pin header THT 2x33 2.54mm double row +0 +66 +66 +Connector_PinHeader_2.54mm +PinHeader_2x33_P2.54mm_Vertical_SMD +surface-mounted straight pin header, 2x33, 2.54mm pitch, double rows +Surface mounted pin header SMD 2x33 2.54mm double row +0 +66 +66 +Connector_PinHeader_2.54mm +PinHeader_2x34_P2.54mm_Horizontal +Through hole angled pin header, 2x34, 2.54mm pitch, 6mm pin length, double rows +Through hole angled pin header THT 2x34 2.54mm double row +0 +68 +68 +Connector_PinHeader_2.54mm +PinHeader_2x34_P2.54mm_Vertical +Through hole straight pin header, 2x34, 2.54mm pitch, double rows +Through hole pin header THT 2x34 2.54mm double row +0 +68 +68 +Connector_PinHeader_2.54mm +PinHeader_2x34_P2.54mm_Vertical_SMD +surface-mounted straight pin header, 2x34, 2.54mm pitch, double rows +Surface mounted pin header SMD 2x34 2.54mm double row +0 +68 +68 +Connector_PinHeader_2.54mm +PinHeader_2x35_P2.54mm_Horizontal +Through hole angled pin header, 2x35, 2.54mm pitch, 6mm pin length, double rows +Through hole angled pin header THT 2x35 2.54mm double row +0 +70 +70 +Connector_PinHeader_2.54mm +PinHeader_2x35_P2.54mm_Vertical +Through hole straight pin header, 2x35, 2.54mm pitch, double rows +Through hole pin header THT 2x35 2.54mm double row +0 +70 +70 +Connector_PinHeader_2.54mm +PinHeader_2x35_P2.54mm_Vertical_SMD +surface-mounted straight pin header, 2x35, 2.54mm pitch, double rows +Surface mounted pin header SMD 2x35 2.54mm double row +0 +70 +70 +Connector_PinHeader_2.54mm +PinHeader_2x36_P2.54mm_Horizontal +Through hole angled pin header, 2x36, 2.54mm pitch, 6mm pin length, double rows +Through hole angled pin header THT 2x36 2.54mm double row +0 +72 +72 +Connector_PinHeader_2.54mm +PinHeader_2x36_P2.54mm_Vertical +Through hole straight pin header, 2x36, 2.54mm pitch, double rows +Through hole pin header THT 2x36 2.54mm double row +0 +72 +72 +Connector_PinHeader_2.54mm +PinHeader_2x36_P2.54mm_Vertical_SMD +surface-mounted straight pin header, 2x36, 2.54mm pitch, double rows +Surface mounted pin header SMD 2x36 2.54mm double row +0 +72 +72 +Connector_PinHeader_2.54mm +PinHeader_2x37_P2.54mm_Horizontal +Through hole angled pin header, 2x37, 2.54mm pitch, 6mm pin length, double rows +Through hole angled pin header THT 2x37 2.54mm double row +0 +74 +74 +Connector_PinHeader_2.54mm +PinHeader_2x37_P2.54mm_Vertical +Through hole straight pin header, 2x37, 2.54mm pitch, double rows +Through hole pin header THT 2x37 2.54mm double row +0 +74 +74 +Connector_PinHeader_2.54mm +PinHeader_2x37_P2.54mm_Vertical_SMD +surface-mounted straight pin header, 2x37, 2.54mm pitch, double rows +Surface mounted pin header SMD 2x37 2.54mm double row +0 +74 +74 +Connector_PinHeader_2.54mm +PinHeader_2x38_P2.54mm_Horizontal +Through hole angled pin header, 2x38, 2.54mm pitch, 6mm pin length, double rows +Through hole angled pin header THT 2x38 2.54mm double row +0 +76 +76 +Connector_PinHeader_2.54mm +PinHeader_2x38_P2.54mm_Vertical +Through hole straight pin header, 2x38, 2.54mm pitch, double rows +Through hole pin header THT 2x38 2.54mm double row +0 +76 +76 +Connector_PinHeader_2.54mm +PinHeader_2x38_P2.54mm_Vertical_SMD +surface-mounted straight pin header, 2x38, 2.54mm pitch, double rows +Surface mounted pin header SMD 2x38 2.54mm double row +0 +76 +76 +Connector_PinHeader_2.54mm +PinHeader_2x39_P2.54mm_Horizontal +Through hole angled pin header, 2x39, 2.54mm pitch, 6mm pin length, double rows +Through hole angled pin header THT 2x39 2.54mm double row +0 +78 +78 +Connector_PinHeader_2.54mm +PinHeader_2x39_P2.54mm_Vertical +Through hole straight pin header, 2x39, 2.54mm pitch, double rows +Through hole pin header THT 2x39 2.54mm double row +0 +78 +78 +Connector_PinHeader_2.54mm +PinHeader_2x39_P2.54mm_Vertical_SMD +surface-mounted straight pin header, 2x39, 2.54mm pitch, double rows +Surface mounted pin header SMD 2x39 2.54mm double row +0 +78 +78 +Connector_PinHeader_2.54mm +PinHeader_2x40_P2.54mm_Horizontal +Through hole angled pin header, 2x40, 2.54mm pitch, 6mm pin length, double rows +Through hole angled pin header THT 2x40 2.54mm double row +0 +80 +80 +Connector_PinHeader_2.54mm +PinHeader_2x40_P2.54mm_Vertical +Through hole straight pin header, 2x40, 2.54mm pitch, double rows +Through hole pin header THT 2x40 2.54mm double row +0 +80 +80 +Connector_PinHeader_2.54mm +PinHeader_2x40_P2.54mm_Vertical_SMD +surface-mounted straight pin header, 2x40, 2.54mm pitch, double rows +Surface mounted pin header SMD 2x40 2.54mm double row +0 +80 +80 diff --git a/ide_cable_select_switch/gerber/ide_cable_select_switch-B_Cu.gbr b/ide_cable_select_switch/gerber/ide_cable_select_switch-B_Cu.gbr new file mode 100644 index 0000000..b93e314 --- /dev/null +++ b/ide_cable_select_switch/gerber/ide_cable_select_switch-B_Cu.gbr @@ -0,0 +1,3251 @@ +%TF.GenerationSoftware,KiCad,Pcbnew,(6.0.4-0)*% +%TF.CreationDate,2022-06-07T12:02:57-06:00*% +%TF.ProjectId,ide_cable_select_switch,6964655f-6361-4626-9c65-5f73656c6563,rev?*% +%TF.SameCoordinates,Original*% +%TF.FileFunction,Copper,L2,Bot*% +%TF.FilePolarity,Positive*% +%FSLAX46Y46*% +G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* +G04 Created by KiCad (PCBNEW (6.0.4-0)) date 2022-06-07 12:02:57* +%MOMM*% +%LPD*% +G01* +G04 APERTURE LIST* +%TA.AperFunction,SMDPad,CuDef*% +%ADD10R,3.150000X1.000000*% +%TD*% +%TA.AperFunction,ViaPad*% +%ADD11C,0.800000*% +%TD*% +%TA.AperFunction,Conductor*% +%ADD12C,0.250000*% +%TD*% +G04 APERTURE END LIST* +D10* +%TO.P,J1,1,Pin_1*% +%TO.N,RESET*% +X157465000Y-60452000D03* +%TO.P,J1,2,Pin_2*% +%TO.N,GND*% +X152415000Y-60452000D03* +%TO.P,J1,3,Pin_3*% +%TO.N,DB7*% +X157465000Y-62992000D03* +%TO.P,J1,4,Pin_4*% +%TO.N,DB8*% +X152415000Y-62992000D03* +%TO.P,J1,5,Pin_5*% +%TO.N,DB6*% +X157465000Y-65532000D03* +%TO.P,J1,6,Pin_6*% +%TO.N,DB9*% +X152415000Y-65532000D03* +%TO.P,J1,7,Pin_7*% +%TO.N,DB5*% +X157465000Y-68072000D03* +%TO.P,J1,8,Pin_8*% +%TO.N,DB10*% +X152415000Y-68072000D03* +%TO.P,J1,9,Pin_9*% +%TO.N,DB4*% +X157465000Y-70612000D03* +%TO.P,J1,10,Pin_10*% +%TO.N,DB11*% +X152415000Y-70612000D03* +%TO.P,J1,11,Pin_11*% +%TO.N,DB3*% +X157465000Y-73152000D03* +%TO.P,J1,12,Pin_12*% +%TO.N,DB12*% +X152415000Y-73152000D03* +%TO.P,J1,13,Pin_13*% +%TO.N,DB2*% +X157465000Y-75692000D03* +%TO.P,J1,14,Pin_14*% +%TO.N,DB13*% +X152415000Y-75692000D03* +%TO.P,J1,15,Pin_15*% +%TO.N,DB1*% +X157465000Y-78232000D03* +%TO.P,J1,16,Pin_16*% +%TO.N,DB14*% +X152415000Y-78232000D03* +%TO.P,J1,17,Pin_17*% +%TO.N,DB0*% +X157465000Y-80772000D03* +%TO.P,J1,18,Pin_18*% +%TO.N,DB15*% +X152415000Y-80772000D03* +%TO.P,J1,19,Pin_19*% +%TO.N,GND*% +X157465000Y-83312000D03* +%TO.P,J1,20,Pin_20*% +%TO.N,unconnected-(J1-Pad20)*% +X152415000Y-83312000D03* +%TO.P,J1,21,Pin_21*% +%TO.N,DRQ3*% +X157465000Y-85852000D03* +%TO.P,J1,22,Pin_22*% +%TO.N,GND*% +X152415000Y-85852000D03* +%TO.P,J1,23,Pin_23*% +%TO.N,IOW*% +X157465000Y-88392000D03* +%TO.P,J1,24,Pin_24*% +%TO.N,GND*% +X152415000Y-88392000D03* +%TO.P,J1,25,Pin_25*% +%TO.N,IOR*% +X157465000Y-90932000D03* +%TO.P,J1,26,Pin_26*% +%TO.N,GND*% +X152415000Y-90932000D03* +%TO.P,J1,27,Pin_27*% +%TO.N,IO_CH_RDY*% +X157465000Y-93472000D03* +%TO.P,J1,28,Pin_28*% +%TO.N,CSEL_IN*% +X152415000Y-93472000D03* +%TO.P,J1,29,Pin_29*% +%TO.N,DACK3*% +X157465000Y-96012000D03* +%TO.P,J1,30,Pin_30*% +%TO.N,GND*% +X152415000Y-96012000D03* +%TO.P,J1,31,Pin_31*% +%TO.N,IRQ14*% +X157465000Y-98552000D03* +%TO.P,J1,32,Pin_32*% +%TO.N,RESERVED*% +X152415000Y-98552000D03* +%TO.P,J1,33,Pin_33*% +%TO.N,ADDRESS_BIT_1*% +X157465000Y-101092000D03* +%TO.P,J1,34,Pin_34*% +%TO.N,PDIAG*% +X152415000Y-101092000D03* +%TO.P,J1,35,Pin_35*% +%TO.N,ADDRESS_BIT_0*% +X157465000Y-103632000D03* +%TO.P,J1,36,Pin_36*% +%TO.N,ADDRESS_BIT_2*% +X152415000Y-103632000D03* +%TO.P,J1,37,Pin_37*% +%TO.N,CS1FX*% +X157465000Y-106172000D03* +%TO.P,J1,38,Pin_38*% +%TO.N,CS3FX*% +X152415000Y-106172000D03* +%TO.P,J1,39,Pin_39*% +%TO.N,DA_SP*% +X157465000Y-108712000D03* +%TO.P,J1,40,Pin_40*% +%TO.N,GND*% +X152415000Y-108712000D03* +%TD*% +D11* +%TO.N,GND*% +X161290000Y-109220000D03* +%TO.N,CSEL_OUT_A*% +X149225000Y-111760000D03* +%TO.N,CSEL_OUT_B*% +X161290000Y-111125000D03* +%TO.N,RESET*% +X154940000Y-60960000D03* +%TO.N,GND*% +X148590000Y-109220000D03* +X162560000Y-84455000D03* +X148590000Y-84455000D03* +X154940000Y-59690000D03* +%TO.N,DB7*% +X154940000Y-63500000D03* +%TO.N,DB8*% +X154940000Y-62230000D03* +%TO.N,DB6*% +X154940000Y-66040000D03* +%TO.N,DB9*% +X154940000Y-64770000D03* +%TO.N,DB5*% +X154940000Y-68580000D03* +%TO.N,DB10*% +X154940000Y-67310000D03* +%TO.N,DB4*% +X154940000Y-71120000D03* +%TO.N,DB11*% +X154940000Y-69850000D03* +%TO.N,DB3*% +X154940000Y-73660000D03* +%TO.N,DB12*% +X154940000Y-72390000D03* +%TO.N,DB2*% +X154940000Y-76200000D03* +%TO.N,DB13*% +X154940000Y-74930000D03* +%TO.N,DB1*% +X154940000Y-78740000D03* +%TO.N,DB14*% +X154940000Y-77470000D03* +%TO.N,DB0*% +X154940000Y-81280000D03* +%TO.N,DB15*% +X154940000Y-80010000D03* +%TO.N,DRQ3*% +X154940000Y-86360000D03* +%TO.N,IOW*% +X154940000Y-88900000D03* +%TO.N,IOR*% +X154940000Y-91440000D03* +%TO.N,IO_CH_RDY*% +X154940000Y-93980000D03* +%TO.N,DACK3*% +X154940000Y-96520000D03* +%TO.N,IRQ14*% +X154940000Y-99060000D03* +%TO.N,RESERVED*% +X154940000Y-97790000D03* +%TO.N,ADDRESS_BIT_1*% +X154940000Y-101600000D03* +%TO.N,PDIAG*% +X154940000Y-100330000D03* +%TO.N,ADDRESS_BIT_0*% +X154940000Y-104140000D03* +%TO.N,ADDRESS_BIT_2*% +X154940000Y-102870000D03* +%TO.N,CS1FX*% +X154940000Y-106680000D03* +%TO.N,CS3FX*% +X154940000Y-105410000D03* +%TO.N,DA_SP*% +X154940000Y-109220000D03* +%TO.N,CSEL_OUT_A*% +X148590000Y-92880498D03* +%TO.N,CSEL_OUT_B*% +X162560000Y-94615000D03* +%TD*% +D12* +%TO.N,CSEL_OUT_B*% +X161290000Y-111125000D02* +X162560000Y-109855000D01* +X162560000Y-109855000D02* +X162560000Y-94615000D01* +%TO.N,CSEL_OUT_A*% +X147865489Y-110400489D02* +X149225000Y-111760000D01* +X147865489Y-93605009D02* +X147865489Y-110400489D01* +X148590000Y-92880498D02* +X147865489Y-93605009D01* +%TO.N,RESERVED*% +X154178000Y-98552000D02* +X154940000Y-97790000D01* +X152415000Y-98552000D02* +X154178000Y-98552000D01* +%TO.N,PDIAG*% +X154178000Y-101092000D02* +X154940000Y-100330000D01* +X152415000Y-101092000D02* +X154178000Y-101092000D01* +%TO.N,ADDRESS_BIT_2*% +X152415000Y-103632000D02* +X154178000Y-103632000D01* +X154178000Y-103632000D02* +X154940000Y-102870000D01* +%TO.N,CS3FX*% +X154178000Y-106172000D02* +X154940000Y-105410000D01* +X152415000Y-106172000D02* +X154178000Y-106172000D01* +%TO.N,RESET*% +X157465000Y-60452000D02* +X155448000Y-60452000D01* +X155448000Y-60452000D02* +X154940000Y-60960000D01* +%TO.N,DB7*% +X157465000Y-62992000D02* +X155448000Y-62992000D01* +X155448000Y-62992000D02* +X154940000Y-63500000D01* +%TO.N,DB8*% +X154178000Y-62992000D02* +X154940000Y-62230000D01* +X152415000Y-62992000D02* +X154178000Y-62992000D01* +%TO.N,DB6*% +X157465000Y-65532000D02* +X155448000Y-65532000D01* +X155448000Y-65532000D02* +X154940000Y-66040000D01* +%TO.N,DB9*% +X152415000Y-65532000D02* +X154178000Y-65532000D01* +X154178000Y-65532000D02* +X154940000Y-64770000D01* +%TO.N,DB5*% +X157465000Y-68072000D02* +X155448000Y-68072000D01* +X155448000Y-68072000D02* +X154940000Y-68580000D01* +%TO.N,DB10*% +X154178000Y-68072000D02* +X154940000Y-67310000D01* +X152415000Y-68072000D02* +X154178000Y-68072000D01* +%TO.N,DB4*% +X157465000Y-70612000D02* +X155448000Y-70612000D01* +X155448000Y-70612000D02* +X154940000Y-71120000D01* +%TO.N,DB11*% +X152415000Y-70612000D02* +X154178000Y-70612000D01* +X154178000Y-70612000D02* +X154940000Y-69850000D01* +%TO.N,DB3*% +X157465000Y-73152000D02* +X155448000Y-73152000D01* +X155448000Y-73152000D02* +X154940000Y-73660000D01* +%TO.N,DB12*% +X154178000Y-73152000D02* +X154940000Y-72390000D01* +X152415000Y-73152000D02* +X154178000Y-73152000D01* +%TO.N,DB2*% +X157465000Y-75692000D02* +X155448000Y-75692000D01* +X155448000Y-75692000D02* +X154940000Y-76200000D01* +%TO.N,DB13*% +X154178000Y-75692000D02* +X154940000Y-74930000D01* +X152415000Y-75692000D02* +X154178000Y-75692000D01* +%TO.N,DB1*% +X157465000Y-78232000D02* +X155448000Y-78232000D01* +X155448000Y-78232000D02* +X154940000Y-78740000D01* +%TO.N,DB14*% +X152415000Y-78232000D02* +X154178000Y-78232000D01* +X154178000Y-78232000D02* +X154940000Y-77470000D01* +%TO.N,DB0*% +X157465000Y-80772000D02* +X155448000Y-80772000D01* +X155448000Y-80772000D02* +X154940000Y-81280000D01* +%TO.N,DB15*% +X152415000Y-80772000D02* +X154178000Y-80772000D01* +X154178000Y-80772000D02* +X154940000Y-80010000D01* +%TO.N,DRQ3*% +X155448000Y-85852000D02* +X154940000Y-86360000D01* +X157465000Y-85852000D02* +X155448000Y-85852000D01* +%TO.N,IOW*% +X157465000Y-88392000D02* +X155448000Y-88392000D01* +X155448000Y-88392000D02* +X154940000Y-88900000D01* +%TO.N,IOR*% +X157465000Y-90932000D02* +X155448000Y-90932000D01* +X155448000Y-90932000D02* +X154940000Y-91440000D01* +%TO.N,IO_CH_RDY*% +X155448000Y-93472000D02* +X154940000Y-93980000D01* +X157465000Y-93472000D02* +X155448000Y-93472000D01* +%TO.N,DACK3*% +X157465000Y-96012000D02* +X155448000Y-96012000D01* +X155448000Y-96012000D02* +X154940000Y-96520000D01* +%TO.N,IRQ14*% +X155448000Y-98552000D02* +X154940000Y-99060000D01* +X157465000Y-98552000D02* +X155448000Y-98552000D01* +%TO.N,ADDRESS_BIT_1*% +X155448000Y-101092000D02* +X154940000Y-101600000D01* +X157465000Y-101092000D02* +X155448000Y-101092000D01* +%TO.N,ADDRESS_BIT_0*% +X157465000Y-103632000D02* +X155448000Y-103632000D01* +X155448000Y-103632000D02* +X154940000Y-104140000D01* +%TO.N,CS1FX*% +X155448000Y-106172000D02* +X154940000Y-106680000D01* +X157465000Y-106172000D02* +X155448000Y-106172000D01* +%TO.N,DA_SP*% +X157465000Y-108712000D02* +X155448000Y-108712000D01* +X155448000Y-108712000D02* +X154940000Y-109220000D01* +%TD*% +%TA.AperFunction,Conductor*% +%TO.N,GND*% +G36* +X165578018Y-58930000D02* +G01* +X165592852Y-58932310D01* +X165592855Y-58932310D01* +X165601724Y-58933691D01* +X165611659Y-58932392D01* +X165612746Y-58932250D01* +X165641431Y-58931793D01* +X165714741Y-58939013D01* +X165744212Y-58941916D01* +X165768432Y-58946733D01* +X165887546Y-58982866D01* +X165910355Y-58992315D01* +X166020124Y-59050987D01* +X166040655Y-59064705D01* +X166136876Y-59143671D01* +X166154329Y-59161124D01* +X166233295Y-59257345D01* +X166247013Y-59277876D01* +X166305685Y-59387645D01* +X166315134Y-59410454D01* +X166351267Y-59529568D01* +X166356084Y-59553789D01* +X166365541Y-59649809D01* +X166365091Y-59665868D01* +X166365800Y-59665877D01* +X166365690Y-59674853D01* +X166364309Y-59683724D01* +X166365473Y-59692626D01* +X166365473Y-59692628D01* +X166368436Y-59715283D01* +X166369500Y-59731621D01* +X166369499Y-113615632D01* +X166367999Y-113635017D01* +X166367268Y-113639716D01* +X166364308Y-113658723D01* +X166365472Y-113667625D01* +X166365749Y-113669745D01* +X166366206Y-113698430D01* +X166365541Y-113705183D01* +X166356083Y-113801212D01* +X166351264Y-113825438D01* +X166315136Y-113944536D01* +X166305685Y-113967355D01* +X166275189Y-114024409D01* +X166247012Y-114077124D01* +X166233294Y-114097654D01* +X166154328Y-114193875D01* +X166136875Y-114211328D01* +X166040654Y-114290294D01* +X166020127Y-114304010D01* +X165928771Y-114352841D01* +X165910356Y-114362684D01* +X165887546Y-114372133D01* +X165768432Y-114408266D01* +X165744211Y-114413083D01* +X165648190Y-114422540D01* +X165632131Y-114422090D01* +X165632122Y-114422799D01* +X165623146Y-114422689D01* +X165614275Y-114421308D01* +X165605373Y-114422472D01* +X165605371Y-114422472D01* +X165592855Y-114424109D01* +X165582713Y-114425435D01* +X165566378Y-114426499D01* +X156980279Y-114426499D01* +X156912158Y-114406497D01* +X156865665Y-114352841D01* +X156855561Y-114282567D01* +X156885055Y-114217987D01* +X156919192Y-114190297D01* +X156984271Y-114154223D01* +X156984274Y-114154221D01* +X156989850Y-114151130D01* +X156994691Y-114146981D01* +X156994695Y-114146978D01* +X157132855Y-114028560D01* +X157137698Y-114024409D01* +X157181952Y-113967358D01* +X157253131Y-113875594D01* +X157257046Y-113870547D01* +X157279243Y-113825438D01* +X157340200Y-113701556D01* +X157343018Y-113695829D01* +X157347779Y-113677551D01* +X157390492Y-113513575D01* +X157390492Y-113513572D01* +X157392102Y-113507393D01* +X157402293Y-113312936D01* +X157373175Y-113120401D01* +X157370972Y-113114415D01* +X157370971Y-113114409D01* +X157308140Y-112943640D01* +X157308138Y-112943635D01* +X157305937Y-112937654D01* +X157203326Y-112772160D01* +X157069534Y-112630678D01* +X156910025Y-112518989D01* +X156862013Y-112498212D01* +X156737175Y-112444190D01* +X156737171Y-112444189D01* +X156731316Y-112441655D01* +X156725069Y-112440350D01* +X156725066Y-112440349D01* +X156545443Y-112402824D01* +X156545438Y-112402823D01* +X156540707Y-112401835D01* +X156534315Y-112401500D01* +X156391337Y-112401500D01* +X156322049Y-112408538D01* +X156252622Y-112415590D01* +X156252621Y-112415590D01* +X156246273Y-112416235D01* +X156189939Y-112433889D01* +X156066549Y-112472556D01* +X156066544Y-112472558D01* +X156060459Y-112474465D01* +X155984713Y-112516452D01* +X155895729Y-112565777D01* +X155895726Y-112565779D01* +X155890150Y-112568870D01* +X155885309Y-112573019D01* +X155885305Y-112573022D01* +X155747145Y-112691440D01* +X155742302Y-112695591D01* +X155622954Y-112849453D01* +X155620138Y-112855176D01* +X155620136Y-112855179D01* +X155576608Y-112943640D01* +X155536982Y-113024171D01* +X155535373Y-113030349D01* +X155535372Y-113030351D01* +X155513477Y-113114409D01* +X155487898Y-113212607D01* +X155477707Y-113407064D01* +X155506825Y-113599599D01* +X155509028Y-113605585D01* +X155509029Y-113605591D01* +X155571860Y-113776360D01* +X155571862Y-113776365D01* +X155574063Y-113782346D01* +X155600781Y-113825438D01* +X155658639Y-113918752D01* +X155676674Y-113947840D01* +X155810466Y-114089322D01* +X155815696Y-114092984D01* +X155815697Y-114092985D01* +X155964655Y-114197286D01* +X156008984Y-114252743D01* +X156016293Y-114323362D01* +X155984263Y-114386723D01* +X155923061Y-114422708D01* +X155892385Y-114426499D01* +X153980279Y-114426499D01* +X153912158Y-114406497D01* +X153865665Y-114352841D01* +X153855561Y-114282567D01* +X153885055Y-114217987D01* +X153919192Y-114190297D01* +X153984271Y-114154223D01* +X153984274Y-114154221D01* +X153989850Y-114151130D01* +X153994691Y-114146981D01* +X153994695Y-114146978D01* +X154132855Y-114028560D01* +X154137698Y-114024409D01* +X154181952Y-113967358D01* +X154253131Y-113875594D01* +X154257046Y-113870547D01* +X154279243Y-113825438D01* +X154340200Y-113701556D01* +X154343018Y-113695829D01* +X154347779Y-113677551D01* +X154390492Y-113513575D01* +X154390492Y-113513572D01* +X154392102Y-113507393D01* +X154402293Y-113312936D01* +X154373175Y-113120401D01* +X154370972Y-113114415D01* +X154370971Y-113114409D01* +X154308140Y-112943640D01* +X154308138Y-112943635D01* +X154305937Y-112937654D01* +X154203326Y-112772160D01* +X154069534Y-112630678D01* +X153910025Y-112518989D01* +X153862013Y-112498212D01* +X153737175Y-112444190D01* +X153737171Y-112444189D01* +X153731316Y-112441655D01* +X153725069Y-112440350D01* +X153725066Y-112440349D01* +X153545443Y-112402824D01* +X153545438Y-112402823D01* +X153540707Y-112401835D01* +X153534315Y-112401500D01* +X153391337Y-112401500D01* +X153322049Y-112408538D01* +X153252622Y-112415590D01* +X153252621Y-112415590D01* +X153246273Y-112416235D01* +X153189939Y-112433889D01* +X153066549Y-112472556D01* +X153066544Y-112472558D01* +X153060459Y-112474465D01* +X152984713Y-112516452D01* +X152895729Y-112565777D01* +X152895726Y-112565779D01* +X152890150Y-112568870D01* +X152885309Y-112573019D01* +X152885305Y-112573022D01* +X152747145Y-112691440D01* +X152742302Y-112695591D01* +X152622954Y-112849453D01* +X152620138Y-112855176D01* +X152620136Y-112855179D01* +X152576608Y-112943640D01* +X152536982Y-113024171D01* +X152535373Y-113030349D01* +X152535372Y-113030351D01* +X152513477Y-113114409D01* +X152487898Y-113212607D01* +X152477707Y-113407064D01* +X152506825Y-113599599D01* +X152509028Y-113605585D01* +X152509029Y-113605591D01* +X152571860Y-113776360D01* +X152571862Y-113776365D01* +X152574063Y-113782346D01* +X152600781Y-113825438D01* +X152658639Y-113918752D01* +X152676674Y-113947840D01* +X152810466Y-114089322D01* +X152815696Y-114092984D01* +X152815697Y-114092985D01* +X152964655Y-114197286D01* +X153008984Y-114252743D01* +X153016293Y-114323362D01* +X152984263Y-114386723D01* +X152923061Y-114422708D01* +X152892385Y-114426499D01* +X144321367Y-114426499D01* +X144301982Y-114424999D01* +X144287148Y-114422689D01* +X144287145Y-114422689D01* +X144278276Y-114421308D01* +X144268341Y-114422607D01* +X144267254Y-114422749D01* +X144238569Y-114423206D01* +X144165259Y-114415986D01* +X144135788Y-114413083D01* +X144111568Y-114408266D01* +X143992454Y-114372133D01* +X143969644Y-114362684D01* +X143951229Y-114352841D01* +X143859873Y-114304010D01* +X143839346Y-114290294D01* +X143743125Y-114211328D01* +X143725672Y-114193875D01* +X143646707Y-114097656D01* +X143632990Y-114077127D01* +X143604811Y-114024409D01* +X143574315Y-113967355D01* +X143564865Y-113944542D01* +X143528732Y-113825427D01* +X143523916Y-113801208D01* +X143522593Y-113787768D01* +X143514459Y-113705181D01* +X143514912Y-113689129D01* +X143514200Y-113689120D01* +X143514310Y-113680147D01* +X143515691Y-113671275D01* +X143512890Y-113649851D01* +X143511564Y-113639716D01* +X143510500Y-113623378D01* +X143510500Y-93584952D01* +X147227269Y-93584952D01* +X147228015Y-93592844D01* +X147231430Y-93628970D01* +X147231989Y-93640828D01* +X147231989Y-110321722D01* +X147231462Y-110332905D01* +X147229787Y-110340398D01* +X147230036Y-110348324D01* +X147230036Y-110348325D01* +X147231927Y-110408475D01* +X147231989Y-110412434D01* +X147231989Y-110440345D01* +X147232486Y-110444279D01* +X147232486Y-110444280D01* +X147232494Y-110444345D01* +X147233427Y-110456182D01* +X147234816Y-110500378D01* +X147240467Y-110519828D01* +X147244476Y-110539189D01* +X147247015Y-110559286D01* +X147249934Y-110566657D01* +X147249934Y-110566659D01* +X147263293Y-110600401D01* +X147267138Y-110611631D01* +X147279471Y-110654082D01* +X147283504Y-110660901D01* +X147283506Y-110660906D01* +X147289782Y-110671517D01* +X147298477Y-110689265D01* +X147305937Y-110708106D01* +X147310599Y-110714522D01* +X147310599Y-110714523D01* +X147331925Y-110743876D01* +X147338441Y-110753796D01* +X147360947Y-110791851D01* +X147375268Y-110806172D01* +X147388108Y-110821205D01* +X147400017Y-110837596D01* +X147434094Y-110865787D01* +X147442873Y-110873777D01* +X148277878Y-111708782D01* +X148311904Y-111771094D01* +X148314092Y-111784703D01* +X148316515Y-111807749D01* +X148327497Y-111912237D01* +X148331458Y-111949928D01* +X148390473Y-112131556D01* +X148485960Y-112296944D01* +X148490378Y-112301851D01* +X148490379Y-112301852D01* +X148580179Y-112401585D01* +X148613747Y-112438866D01* +X148621075Y-112444190D01* +X148720535Y-112516452D01* +X148768248Y-112551118D01* +X148774276Y-112553802D01* +X148774278Y-112553803D01* +X148817445Y-112573022D01* +X148942712Y-112628794D01* +X149036112Y-112648647D01* +X149123056Y-112667128D01* +X149123061Y-112667128D01* +X149129513Y-112668500D01* +X149320487Y-112668500D01* +X149326939Y-112667128D01* +X149326944Y-112667128D01* +X149413888Y-112648647D01* +X149507288Y-112628794D01* +X149632555Y-112573022D01* +X149675722Y-112553803D01* +X149675724Y-112553802D01* +X149681752Y-112551118D01* +X149729466Y-112516452D01* +X149828925Y-112444190D01* +X149836253Y-112438866D01* +X149869821Y-112401585D01* +X149959621Y-112301852D01* +X149959622Y-112301851D01* +X149964040Y-112296944D01* +X150059527Y-112131556D01* +X150118542Y-111949928D01* +X150121814Y-111918803D01* +X150137814Y-111766565D01* +X150138504Y-111760000D01* +X150118542Y-111570072D01* +X150059527Y-111388444D01* +X149964040Y-111223056D01* +X149875750Y-111125000D01* +X160376496Y-111125000D01* +X160396458Y-111314928D01* +X160455473Y-111496556D01* +X160550960Y-111661944D01* +X160678747Y-111803866D01* +X160833248Y-111916118D01* +X160839276Y-111918802D01* +X160839278Y-111918803D01* +X161001681Y-111991109D01* +X161007712Y-111993794D01* +X161101112Y-112013647D01* +X161188056Y-112032128D01* +X161188061Y-112032128D01* +X161194513Y-112033500D01* +X161385487Y-112033500D01* +X161391939Y-112032128D01* +X161391944Y-112032128D01* +X161478888Y-112013647D01* +X161572288Y-111993794D01* +X161578319Y-111991109D01* +X161740722Y-111918803D01* +X161740724Y-111918802D01* +X161746752Y-111916118D01* +X161901253Y-111803866D01* +X162029040Y-111661944D01* +X162124527Y-111496556D01* +X162183542Y-111314928D01* +X162200907Y-111149706D01* +X162227920Y-111084050D01* +X162237122Y-111073782D01* +X162952247Y-110358657D01* +X162960537Y-110351113D01* +X162967018Y-110347000D01* +X163013659Y-110297332D01* +X163016413Y-110294491D01* +X163036134Y-110274770D01* +X163038612Y-110271575D01* +X163046318Y-110262553D01* +X163071158Y-110236101D01* +X163076586Y-110230321D01* +X163086346Y-110212568D01* +X163097199Y-110196045D01* +X163104753Y-110186306D01* +X163109613Y-110180041D01* +X163127176Y-110139457D01* +X163132383Y-110128827D01* +X163153695Y-110090060D01* +X163155666Y-110082383D01* +X163155668Y-110082378D01* +X163158732Y-110070442D01* +X163165138Y-110051730D01* +X163170033Y-110040419D01* +X163173181Y-110033145D01* +X163174421Y-110025317D01* +X163174423Y-110025310D01* +X163180099Y-109989476D01* +X163182505Y-109977856D01* +X163191528Y-109942711D01* +X163191528Y-109942710D01* +X163193500Y-109935030D01* +X163193500Y-109914776D01* +X163195051Y-109895065D01* +X163196980Y-109882886D01* +X163198220Y-109875057D01* +X163194059Y-109831038D01* +X163193500Y-109819181D01* +X163193500Y-95317524D01* +X163213502Y-95249403D01* +X163225858Y-95233221D01* +X163299040Y-95151944D01* +X163383490Y-95005672D01* +X163391223Y-94992279D01* +X163391224Y-94992278D01* +X163394527Y-94986556D01* +X163453542Y-94804928D01* +X163456814Y-94773803D01* +X163472814Y-94621565D01* +X163473504Y-94615000D01* +X163453542Y-94425072D01* +X163394527Y-94243444D01* +X163299040Y-94078056D01* +X163171253Y-93936134D01* +X163016752Y-93823882D01* +X163010724Y-93821198D01* +X163010722Y-93821197D01* +X162848319Y-93748891D01* +X162848318Y-93748891D01* +X162842288Y-93746206D01* +X162748888Y-93726353D01* +X162661944Y-93707872D01* +X162661939Y-93707872D01* +X162655487Y-93706500D01* +X162464513Y-93706500D01* +X162458061Y-93707872D01* +X162458056Y-93707872D01* +X162371113Y-93726353D01* +X162277712Y-93746206D01* +X162271682Y-93748891D01* +X162271681Y-93748891D01* +X162109278Y-93821197D01* +X162109276Y-93821198D01* +X162103248Y-93823882D01* +X161948747Y-93936134D01* +X161820960Y-94078056D01* +X161725473Y-94243444D01* +X161666458Y-94425072D01* +X161646496Y-94615000D01* +X161647186Y-94621565D01* +X161663187Y-94773803D01* +X161666458Y-94804928D01* +X161725473Y-94986556D01* +X161728776Y-94992278D01* +X161728777Y-94992279D01* +X161736510Y-95005672D01* +X161820960Y-95151944D01* +X161894137Y-95233215D01* +X161924853Y-95297221D01* +X161926500Y-95317524D01* +X161926500Y-109540405D01* +X161906498Y-109608526D01* +X161889595Y-109629500D01* +X161339500Y-110179595D01* +X161277188Y-110213621D01* +X161250405Y-110216500D01* +X161194513Y-110216500D01* +X161188061Y-110217872D01* +X161188056Y-110217872D01* +X161102298Y-110236101D01* +X161007712Y-110256206D01* +X161001682Y-110258891D01* +X161001681Y-110258891D01* +X160839278Y-110331197D01* +X160839276Y-110331198D01* +X160833248Y-110333882D01* +X160827907Y-110337762D01* +X160827906Y-110337763D01* +X160813369Y-110348325D01* +X160678747Y-110446134D01* +X160674326Y-110451044D01* +X160674325Y-110451045D01* +X160576865Y-110559286D01* +X160550960Y-110588056D01* +X160455473Y-110753444D01* +X160396458Y-110935072D01* +X160395768Y-110941633D01* +X160395768Y-110941635D01* +X160381879Y-111073782D01* +X160376496Y-111125000D01* +X149875750Y-111125000D01* +X149836253Y-111081134D01* +X149681752Y-110968882D01* +X149675724Y-110966198D01* +X149675722Y-110966197D01* +X149513319Y-110893891D01* +X149513318Y-110893891D01* +X149507288Y-110891206D01* +X149413887Y-110871353D01* +X149326944Y-110852872D01* +X149326939Y-110852872D01* +X149320487Y-110851500D01* +X149264595Y-110851500D01* +X149196474Y-110831498D01* +X149175499Y-110814595D01* +X148535893Y-110174988D01* +X148501868Y-110112676D01* +X148498989Y-110085893D01* +X148498989Y-109256669D01* +X150332001Y-109256669D01* +X150332371Y-109263490D01* +X150337895Y-109314352D01* +X150341521Y-109329604D01* +X150386676Y-109450054D01* +X150395214Y-109465649D01* +X150471715Y-109567724D01* +X150484276Y-109580285D01* +X150586351Y-109656786D01* +X150601946Y-109665324D01* +X150722394Y-109710478D01* +X150737649Y-109714105D01* +X150788514Y-109719631D01* +X150795328Y-109720000D01* +X152142885Y-109720000D01* +X152158124Y-109715525D01* +X152159329Y-109714135D01* +X152161000Y-109706452D01* +X152161000Y-109701884D01* +X152669000Y-109701884D01* +X152673475Y-109717123D01* +X152674865Y-109718328D01* +X152682548Y-109719999D01* +X154034669Y-109719999D01* +X154041488Y-109719630D01* +X154092348Y-109714105D01* +X154162230Y-109726634D01* +X154199532Y-109758230D01* +X154200960Y-109756944D01* +X154314359Y-109882886D01* +X154328747Y-109898866D01* +X154483248Y-110011118D01* +X154489276Y-110013802D01* +X154489278Y-110013803D01* +X154643301Y-110082378D01* +X154657712Y-110088794D01* +X154751113Y-110108647D01* +X154838056Y-110127128D01* +X154838061Y-110127128D01* +X154844513Y-110128500D01* +X155035487Y-110128500D01* +X155041939Y-110127128D01* +X155041944Y-110127128D01* +X155128887Y-110108647D01* +X155222288Y-110088794D01* +X155236699Y-110082378D01* +X155390722Y-110013803D01* +X155390724Y-110013802D01* +X155396752Y-110011118D01* +X155551253Y-109898866D01* +X155565642Y-109882886D01* +X155679040Y-109756944D01* +X155680966Y-109758678D01* +X155728019Y-109722412D01* +X155787313Y-109714574D01* +X155838467Y-109720131D01* +X155838471Y-109720131D01* +X155841866Y-109720500D01* +X159088134Y-109720500D01* +X159150316Y-109713745D01* +X159286705Y-109662615D01* +X159403261Y-109575261D01* +X159490615Y-109458705D01* +X159541745Y-109322316D01* +X159548500Y-109260134D01* +X159548500Y-108163866D01* +X159541745Y-108101684D01* +X159490615Y-107965295D01* +X159403261Y-107848739D01* +X159286705Y-107761385D01* +X159150316Y-107710255D01* +X159088134Y-107703500D01* +X155841866Y-107703500D01* +X155779684Y-107710255D01* +X155643295Y-107761385D01* +X155526739Y-107848739D01* +X155521358Y-107855919D01* +X155444767Y-107958113D01* +X155444765Y-107958116D01* +X155439385Y-107965295D01* +X155436234Y-107973699D01* +X155436234Y-107973700D01* +X155423899Y-108006604D01* +X155381258Y-108063369D01* +X155341064Y-108083374D01* +X155332327Y-108085912D01* +X155328654Y-108086979D01* +X155309306Y-108090986D01* +X155297068Y-108092532D01* +X155297066Y-108092533D01* +X155289203Y-108093526D01* +X155248086Y-108109806D01* +X155236885Y-108113641D01* +X155194406Y-108125982D01* +X155187587Y-108130015D01* +X155187582Y-108130017D01* +X155176971Y-108136293D01* +X155159221Y-108144990D01* +X155140383Y-108152448D01* +X155133967Y-108157109D01* +X155133966Y-108157110D01* +X155104625Y-108178428D01* +X155094701Y-108184947D01* +X155063460Y-108203422D01* +X155063455Y-108203426D01* +X155056637Y-108207458D01* +X155042313Y-108221782D01* +X155027281Y-108234621D01* +X155010893Y-108246528D01* +X155005842Y-108252634D01* +X154994936Y-108265817D01* +X154936102Y-108305554D01* +X154897852Y-108311500D01* +X154844513Y-108311500D01* +X154838061Y-108312872D01* +X154838056Y-108312872D01* +X154664171Y-108349833D01* +X154657712Y-108351206D01* +X154657538Y-108350389D01* +X154591966Y-108352261D01* +X154531169Y-108315598D01* +X154499844Y-108251885D01* +X154497999Y-108230401D01* +X154497999Y-108167331D01* +X154497629Y-108160510D01* +X154492105Y-108109648D01* +X154488479Y-108094396D01* +X154443324Y-107973946D01* +X154434786Y-107958351D01* +X154358285Y-107856276D01* +X154345724Y-107843715D01* +X154243649Y-107767214D01* +X154228054Y-107758676D01* +X154107606Y-107713522D01* +X154092351Y-107709895D01* +X154041486Y-107704369D01* +X154034672Y-107704000D01* +X152687115Y-107704000D01* +X152671876Y-107708475D01* +X152670671Y-107709865D01* +X152669000Y-107717548D01* +X152669000Y-109701884D01* +X152161000Y-109701884D01* +X152161000Y-108984115D01* +X152156525Y-108968876D01* +X152155135Y-108967671D01* +X152147452Y-108966000D01* +X150350116Y-108966000D01* +X150334877Y-108970475D01* +X150333672Y-108971865D01* +X150332001Y-108979548D01* +X150332001Y-109256669D01* +X148498989Y-109256669D01* +X148498989Y-108439885D01* +X150332000Y-108439885D01* +X150336475Y-108455124D01* +X150337865Y-108456329D01* +X150345548Y-108458000D01* +X152142885Y-108458000D01* +X152158124Y-108453525D01* +X152159329Y-108452135D01* +X152161000Y-108444452D01* +X152161000Y-107722116D01* +X152156525Y-107706877D01* +X152155135Y-107705672D01* +X152147452Y-107704001D01* +X150795331Y-107704001D01* +X150788510Y-107704371D01* +X150737648Y-107709895D01* +X150722396Y-107713521D01* +X150601946Y-107758676D01* +X150586351Y-107767214D01* +X150484276Y-107843715D01* +X150471715Y-107856276D01* +X150395214Y-107958351D01* +X150386676Y-107973946D01* +X150341522Y-108094394D01* +X150337895Y-108109649D01* +X150332369Y-108160514D01* +X150332000Y-108167328D01* +X150332000Y-108439885D01* +X148498989Y-108439885D01* +X148498989Y-106720134D01* +X150331500Y-106720134D01* +X150338255Y-106782316D01* +X150389385Y-106918705D01* +X150476739Y-107035261D01* +X150593295Y-107122615D01* +X150729684Y-107173745D01* +X150791866Y-107180500D01* +X154038134Y-107180500D01* +X154041529Y-107180131D01* +X154041533Y-107180131D01* +X154092687Y-107174574D01* +X154162569Y-107187102D01* +X154199433Y-107218319D01* +X154200960Y-107216944D01* +X154328747Y-107358866D01* +X154483248Y-107471118D01* +X154489276Y-107473802D01* +X154489278Y-107473803D01* +X154651681Y-107546109D01* +X154657712Y-107548794D01* +X154751113Y-107568647D01* +X154838056Y-107587128D01* +X154838061Y-107587128D01* +X154844513Y-107588500D01* +X155035487Y-107588500D01* +X155041939Y-107587128D01* +X155041944Y-107587128D01* +X155128888Y-107568647D01* +X155222288Y-107548794D01* +X155228319Y-107546109D01* +X155390722Y-107473803D01* +X155390724Y-107473802D01* +X155396752Y-107471118D01* +X155551253Y-107358866D01* +X155679040Y-107216944D01* +X155680966Y-107218678D01* +X155728019Y-107182412D01* +X155787313Y-107174574D01* +X155838467Y-107180131D01* +X155838471Y-107180131D01* +X155841866Y-107180500D01* +X159088134Y-107180500D01* +X159150316Y-107173745D01* +X159286705Y-107122615D01* +X159403261Y-107035261D01* +X159490615Y-106918705D01* +X159541745Y-106782316D01* +X159548500Y-106720134D01* +X159548500Y-105623866D01* +X159541745Y-105561684D01* +X159490615Y-105425295D01* +X159403261Y-105308739D01* +X159286705Y-105221385D01* +X159150316Y-105170255D01* +X159088134Y-105163500D01* +X155906704Y-105163500D01* +X155838583Y-105143498D01* +X155792090Y-105089842D01* +X155786871Y-105076435D01* +X155776570Y-105044731D01* +X155776569Y-105044729D01* +X155774527Y-105038444D01* +X155679040Y-104873056D01* +X155666662Y-104859309D01* +X155635946Y-104795303D01* +X155644710Y-104724850D01* +X155666661Y-104690693D01* +X155679040Y-104676944D01* +X155679040Y-104676943D01* +X155680967Y-104678678D01* +X155728019Y-104642412D01* +X155787313Y-104634574D01* +X155838467Y-104640131D01* +X155838471Y-104640131D01* +X155841866Y-104640500D01* +X159088134Y-104640500D01* +X159150316Y-104633745D01* +X159286705Y-104582615D01* +X159403261Y-104495261D01* +X159490615Y-104378705D01* +X159541745Y-104242316D01* +X159548500Y-104180134D01* +X159548500Y-103083866D01* +X159541745Y-103021684D01* +X159490615Y-102885295D01* +X159403261Y-102768739D01* +X159286705Y-102681385D01* +X159150316Y-102630255D01* +X159088134Y-102623500D01* +X155906704Y-102623500D01* +X155838583Y-102603498D01* +X155792090Y-102549842D01* +X155786871Y-102536435D01* +X155776570Y-102504731D01* +X155776569Y-102504729D01* +X155774527Y-102498444D01* +X155679040Y-102333056D01* +X155666662Y-102319309D01* +X155635946Y-102255303D01* +X155644710Y-102184850D01* +X155666661Y-102150693D01* +X155679040Y-102136944D01* +X155679040Y-102136943D01* +X155680967Y-102138678D01* +X155728019Y-102102412D01* +X155787313Y-102094574D01* +X155838467Y-102100131D01* +X155838471Y-102100131D01* +X155841866Y-102100500D01* +X159088134Y-102100500D01* +X159150316Y-102093745D01* +X159286705Y-102042615D01* +X159403261Y-101955261D01* +X159490615Y-101838705D01* +X159541745Y-101702316D01* +X159548500Y-101640134D01* +X159548500Y-100543866D01* +X159541745Y-100481684D01* +X159490615Y-100345295D01* +X159403261Y-100228739D01* +X159286705Y-100141385D01* +X159150316Y-100090255D01* +X159088134Y-100083500D01* +X155906704Y-100083500D01* +X155838583Y-100063498D01* +X155792090Y-100009842D01* +X155786871Y-99996435D01* +X155776570Y-99964731D01* +X155776569Y-99964729D01* +X155774527Y-99958444D01* +X155679040Y-99793056D01* +X155666662Y-99779309D01* +X155635946Y-99715303D01* +X155644710Y-99644850D01* +X155666661Y-99610693D01* +X155679040Y-99596944D01* +X155679040Y-99596943D01* +X155680967Y-99598678D01* +X155728019Y-99562412D01* +X155787313Y-99554574D01* +X155838467Y-99560131D01* +X155838471Y-99560131D01* +X155841866Y-99560500D01* +X159088134Y-99560500D01* +X159150316Y-99553745D01* +X159286705Y-99502615D01* +X159403261Y-99415261D01* +X159490615Y-99298705D01* +X159541745Y-99162316D01* +X159548500Y-99100134D01* +X159548500Y-98003866D01* +X159541745Y-97941684D01* +X159490615Y-97805295D01* +X159403261Y-97688739D01* +X159286705Y-97601385D01* +X159150316Y-97550255D01* +X159088134Y-97543500D01* +X155906704Y-97543500D01* +X155838583Y-97523498D01* +X155792090Y-97469842D01* +X155786871Y-97456435D01* +X155776570Y-97424731D01* +X155776569Y-97424729D01* +X155774527Y-97418444D01* +X155679040Y-97253056D01* +X155666662Y-97239309D01* +X155635946Y-97175303D01* +X155644710Y-97104850D01* +X155666661Y-97070693D01* +X155679040Y-97056944D01* +X155679040Y-97056943D01* +X155680967Y-97058678D01* +X155728019Y-97022412D01* +X155787313Y-97014574D01* +X155838467Y-97020131D01* +X155838471Y-97020131D01* +X155841866Y-97020500D01* +X159088134Y-97020500D01* +X159150316Y-97013745D01* +X159286705Y-96962615D01* +X159403261Y-96875261D01* +X159490615Y-96758705D01* +X159541745Y-96622316D01* +X159548500Y-96560134D01* +X159548500Y-95463866D01* +X159541745Y-95401684D01* +X159490615Y-95265295D01* +X159403261Y-95148739D01* +X159286705Y-95061385D01* +X159150316Y-95010255D01* +X159088134Y-95003500D01* +X155841866Y-95003500D01* +X155779684Y-95010255D01* +X155643295Y-95061385D01* +X155526739Y-95148739D01* +X155521358Y-95155919D01* +X155444767Y-95258113D01* +X155444765Y-95258116D01* +X155439385Y-95265295D01* +X155436234Y-95273699D01* +X155436234Y-95273700D01* +X155423899Y-95306604D01* +X155381258Y-95363369D01* +X155341064Y-95383374D01* +X155332327Y-95385912D01* +X155328654Y-95386979D01* +X155309306Y-95390986D01* +X155297068Y-95392532D01* +X155297066Y-95392533D01* +X155289203Y-95393526D01* +X155248086Y-95409806D01* +X155236885Y-95413641D01* +X155194406Y-95425982D01* +X155187587Y-95430015D01* +X155187582Y-95430017D01* +X155176971Y-95436293D01* +X155159221Y-95444990D01* +X155140383Y-95452448D01* +X155133967Y-95457109D01* +X155133966Y-95457110D01* +X155104625Y-95478428D01* +X155094701Y-95484947D01* +X155063460Y-95503422D01* +X155063455Y-95503426D01* +X155056637Y-95507458D01* +X155042313Y-95521782D01* +X155027281Y-95534621D01* +X155010893Y-95546528D01* +X155005842Y-95552634D01* +X154994936Y-95565817D01* +X154936102Y-95605554D01* +X154897852Y-95611500D01* +X154844513Y-95611500D01* +X154838061Y-95612872D01* +X154838056Y-95612872D01* +X154664171Y-95649833D01* +X154657712Y-95651206D01* +X154657538Y-95650389D01* +X154591966Y-95652261D01* +X154531169Y-95615598D01* +X154499844Y-95551885D01* +X154497999Y-95530401D01* +X154497999Y-95467331D01* +X154497629Y-95460510D01* +X154492105Y-95409648D01* +X154488479Y-95394396D01* +X154443324Y-95273946D01* +X154434786Y-95258351D01* +X154358285Y-95156276D01* +X154345724Y-95143715D01* +X154243649Y-95067214D01* +X154228054Y-95058676D01* +X154107606Y-95013522D01* +X154092351Y-95009895D01* +X154041486Y-95004369D01* +X154034672Y-95004000D01* +X152687115Y-95004000D01* +X152671876Y-95008475D01* +X152670671Y-95009865D01* +X152669000Y-95017548D01* +X152669000Y-97001884D01* +X152673475Y-97017123D01* +X152674865Y-97018328D01* +X152682548Y-97019999D01* +X154034669Y-97019999D01* +X154041488Y-97019630D01* +X154092348Y-97014105D01* +X154162230Y-97026634D01* +X154199531Y-97058230D01* +X154200960Y-97056943D01* +X154200960Y-97056944D01* +X154213338Y-97070691D01* +X154244054Y-97134697D01* +X154235290Y-97205150D01* +X154213339Y-97239307D01* +X154200960Y-97253056D01* +X154105473Y-97418444D01* +X154103431Y-97424729D01* +X154103430Y-97424731D01* +X154093129Y-97456435D01* +X154053056Y-97515041D01* +X153987660Y-97542679D01* +X153973296Y-97543500D01* +X150791866Y-97543500D01* +X150729684Y-97550255D01* +X150593295Y-97601385D01* +X150476739Y-97688739D01* +X150389385Y-97805295D01* +X150338255Y-97941684D01* +X150331500Y-98003866D01* +X150331500Y-99100134D01* +X150338255Y-99162316D01* +X150389385Y-99298705D01* +X150476739Y-99415261D01* +X150593295Y-99502615D01* +X150729684Y-99553745D01* +X150791866Y-99560500D01* +X154038134Y-99560500D01* +X154041529Y-99560131D01* +X154041533Y-99560131D01* +X154092687Y-99554574D01* +X154162569Y-99567102D01* +X154199433Y-99598318D01* +X154200960Y-99596943D01* +X154200960Y-99596944D01* +X154213338Y-99610691D01* +X154244054Y-99674697D01* +X154235290Y-99745150D01* +X154213339Y-99779307D01* +X154200960Y-99793056D01* +X154105473Y-99958444D01* +X154103431Y-99964729D01* +X154103430Y-99964731D01* +X154093129Y-99996435D01* +X154053056Y-100055041D01* +X153987660Y-100082679D01* +X153973296Y-100083500D01* +X150791866Y-100083500D01* +X150729684Y-100090255D01* +X150593295Y-100141385D01* +X150476739Y-100228739D01* +X150389385Y-100345295D01* +X150338255Y-100481684D01* +X150331500Y-100543866D01* +X150331500Y-101640134D01* +X150338255Y-101702316D01* +X150389385Y-101838705D01* +X150476739Y-101955261D01* +X150593295Y-102042615D01* +X150729684Y-102093745D01* +X150791866Y-102100500D01* +X154038134Y-102100500D01* +X154041529Y-102100131D01* +X154041533Y-102100131D01* +X154092687Y-102094574D01* +X154162569Y-102107102D01* +X154199433Y-102138318D01* +X154200960Y-102136943D01* +X154200960Y-102136944D01* +X154213338Y-102150691D01* +X154244054Y-102214697D01* +X154235290Y-102285150D01* +X154213339Y-102319307D01* +X154200960Y-102333056D01* +X154105473Y-102498444D01* +X154103431Y-102504729D01* +X154103430Y-102504731D01* +X154093129Y-102536435D01* +X154053056Y-102595041D01* +X153987660Y-102622679D01* +X153973296Y-102623500D01* +X150791866Y-102623500D01* +X150729684Y-102630255D01* +X150593295Y-102681385D01* +X150476739Y-102768739D01* +X150389385Y-102885295D01* +X150338255Y-103021684D01* +X150331500Y-103083866D01* +X150331500Y-104180134D01* +X150338255Y-104242316D01* +X150389385Y-104378705D01* +X150476739Y-104495261D01* +X150593295Y-104582615D01* +X150729684Y-104633745D01* +X150791866Y-104640500D01* +X154038134Y-104640500D01* +X154041529Y-104640131D01* +X154041533Y-104640131D01* +X154092687Y-104634574D01* +X154162569Y-104647102D01* +X154199433Y-104678318D01* +X154200960Y-104676943D01* +X154200960Y-104676944D01* +X154213338Y-104690691D01* +X154244054Y-104754697D01* +X154235290Y-104825150D01* +X154213339Y-104859307D01* +X154200960Y-104873056D01* +X154105473Y-105038444D01* +X154103431Y-105044729D01* +X154103430Y-105044731D01* +X154093129Y-105076435D01* +X154053056Y-105135041D01* +X153987660Y-105162679D01* +X153973296Y-105163500D01* +X150791866Y-105163500D01* +X150729684Y-105170255D01* +X150593295Y-105221385D01* +X150476739Y-105308739D01* +X150389385Y-105425295D01* +X150338255Y-105561684D01* +X150331500Y-105623866D01* +X150331500Y-106720134D01* +X148498989Y-106720134D01* +X148498989Y-96556669D01* +X150332001Y-96556669D01* +X150332371Y-96563490D01* +X150337895Y-96614352D01* +X150341521Y-96629604D01* +X150386676Y-96750054D01* +X150395214Y-96765649D01* +X150471715Y-96867724D01* +X150484276Y-96880285D01* +X150586351Y-96956786D01* +X150601946Y-96965324D01* +X150722394Y-97010478D01* +X150737649Y-97014105D01* +X150788514Y-97019631D01* +X150795328Y-97020000D01* +X152142885Y-97020000D01* +X152158124Y-97015525D01* +X152159329Y-97014135D01* +X152161000Y-97006452D01* +X152161000Y-96284115D01* +X152156525Y-96268876D01* +X152155135Y-96267671D01* +X152147452Y-96266000D01* +X150350116Y-96266000D01* +X150334877Y-96270475D01* +X150333672Y-96271865D01* +X150332001Y-96279548D01* +X150332001Y-96556669D01* +X148498989Y-96556669D01* +X148498989Y-95739885D01* +X150332000Y-95739885D01* +X150336475Y-95755124D01* +X150337865Y-95756329D01* +X150345548Y-95758000D01* +X152142885Y-95758000D01* +X152158124Y-95753525D01* +X152159329Y-95752135D01* +X152161000Y-95744452D01* +X152161000Y-95022116D01* +X152156525Y-95006877D01* +X152155135Y-95005672D01* +X152147452Y-95004001D01* +X150795331Y-95004001D01* +X150788510Y-95004371D01* +X150737648Y-95009895D01* +X150722396Y-95013521D01* +X150601946Y-95058676D01* +X150586351Y-95067214D01* +X150484276Y-95143715D01* +X150471715Y-95156276D01* +X150395214Y-95258351D01* +X150386676Y-95273946D01* +X150341522Y-95394394D01* +X150337895Y-95409649D01* +X150332369Y-95460514D01* +X150332000Y-95467328D01* +X150332000Y-95739885D01* +X148498989Y-95739885D01* +X148498989Y-94020134D01* +X150331500Y-94020134D01* +X150338255Y-94082316D01* +X150389385Y-94218705D01* +X150476739Y-94335261D01* +X150593295Y-94422615D01* +X150729684Y-94473745D01* +X150791866Y-94480500D01* +X154038134Y-94480500D01* +X154041529Y-94480131D01* +X154041533Y-94480131D01* +X154092687Y-94474574D01* +X154162569Y-94487102D01* +X154199433Y-94518319D01* +X154200960Y-94516944D01* +X154328747Y-94658866D01* +X154483248Y-94771118D01* +X154489276Y-94773802D01* +X154489278Y-94773803D01* +X154651681Y-94846109D01* +X154657712Y-94848794D01* +X154751113Y-94868647D01* +X154838056Y-94887128D01* +X154838061Y-94887128D01* +X154844513Y-94888500D01* +X155035487Y-94888500D01* +X155041939Y-94887128D01* +X155041944Y-94887128D01* +X155128888Y-94868647D01* +X155222288Y-94848794D01* +X155228319Y-94846109D01* +X155390722Y-94773803D01* +X155390724Y-94773802D01* +X155396752Y-94771118D01* +X155551253Y-94658866D01* +X155679040Y-94516944D01* +X155680966Y-94518678D01* +X155728019Y-94482412D01* +X155787313Y-94474574D01* +X155838467Y-94480131D01* +X155838471Y-94480131D01* +X155841866Y-94480500D01* +X159088134Y-94480500D01* +X159150316Y-94473745D01* +X159286705Y-94422615D01* +X159403261Y-94335261D01* +X159490615Y-94218705D01* +X159541745Y-94082316D01* +X159548500Y-94020134D01* +X159548500Y-92923866D01* +X159541745Y-92861684D01* +X159490615Y-92725295D01* +X159403261Y-92608739D01* +X159286705Y-92521385D01* +X159150316Y-92470255D01* +X159088134Y-92463500D01* +X155841866Y-92463500D01* +X155779684Y-92470255D01* +X155643295Y-92521385D01* +X155526739Y-92608739D01* +X155521358Y-92615919D01* +X155444767Y-92718113D01* +X155444765Y-92718116D01* +X155439385Y-92725295D01* +X155436234Y-92733699D01* +X155436234Y-92733700D01* +X155423899Y-92766604D01* +X155381258Y-92823369D01* +X155341064Y-92843374D01* +X155332327Y-92845912D01* +X155328654Y-92846979D01* +X155309306Y-92850986D01* +X155297068Y-92852532D01* +X155297066Y-92852533D01* +X155289203Y-92853526D01* +X155248086Y-92869806D01* +X155236885Y-92873641D01* +X155194406Y-92885982D01* +X155187587Y-92890015D01* +X155187582Y-92890017D01* +X155176971Y-92896293D01* +X155159221Y-92904990D01* +X155140383Y-92912448D01* +X155133967Y-92917109D01* +X155133966Y-92917110D01* +X155104625Y-92938428D01* +X155094701Y-92944947D01* +X155063460Y-92963422D01* +X155063455Y-92963426D01* +X155056637Y-92967458D01* +X155042313Y-92981782D01* +X155027281Y-92994621D01* +X155010893Y-93006528D01* +X155005842Y-93012634D01* +X154994936Y-93025817D01* +X154936102Y-93065554D01* +X154897852Y-93071500D01* +X154844513Y-93071500D01* +X154838061Y-93072872D01* +X154838056Y-93072872D01* +X154683373Y-93105752D01* +X154657712Y-93111206D01* +X154657507Y-93110242D01* +X154592464Y-93112097D01* +X154531667Y-93075432D01* +X154500345Y-93011719D01* +X154498500Y-92990238D01* +X154498500Y-92923866D01* +X154491745Y-92861684D01* +X154440615Y-92725295D01* +X154353261Y-92608739D01* +X154236705Y-92521385D01* +X154100316Y-92470255D01* +X154038134Y-92463500D01* +X150791866Y-92463500D01* +X150729684Y-92470255D01* +X150593295Y-92521385D01* +X150476739Y-92608739D01* +X150389385Y-92725295D01* +X150338255Y-92861684D01* +X150331500Y-92923866D01* +X150331500Y-94020134D01* +X148498989Y-94020134D01* +X148498989Y-93919603D01* +X148518991Y-93851482D01* +X148535894Y-93830508D01* +X148540499Y-93825903D01* +X148602811Y-93791877D01* +X148629594Y-93788998D01* +X148685487Y-93788998D01* +X148691939Y-93787626D01* +X148691944Y-93787626D01* +X148778888Y-93769145D01* +X148872288Y-93749292D01* +X148878319Y-93746607D01* +X149040722Y-93674301D01* +X149040724Y-93674300D01* +X149046752Y-93671616D01* +X149201253Y-93559364D01* +X149313504Y-93434697D01* +X149324621Y-93422350D01* +X149324622Y-93422349D01* +X149329040Y-93417442D01* +X149424527Y-93252054D01* +X149483542Y-93070426D01* +X149490748Y-93001870D01* +X149502814Y-92887063D01* +X149503504Y-92880498D01* +X149497500Y-92823369D01* +X149484232Y-92697133D01* +X149484232Y-92697131D01* +X149483542Y-92690570D01* +X149424527Y-92508942D01* +X149329040Y-92343554D01* +X149298978Y-92310166D01* +X149205675Y-92206543D01* +X149205674Y-92206542D01* +X149201253Y-92201632D01* +X149087336Y-92118866D01* +X149052094Y-92093261D01* +X149052093Y-92093260D01* +X149046752Y-92089380D01* +X149040724Y-92086696D01* +X149040722Y-92086695D01* +X148878319Y-92014389D01* +X148878318Y-92014389D01* +X148872288Y-92011704D01* +X148778887Y-91991851D01* +X148691944Y-91973370D01* +X148691939Y-91973370D01* +X148685487Y-91971998D01* +X148494513Y-91971998D01* +X148488061Y-91973370D01* +X148488056Y-91973370D01* +X148401113Y-91991851D01* +X148307712Y-92011704D01* +X148301682Y-92014389D01* +X148301681Y-92014389D01* +X148139278Y-92086695D01* +X148139276Y-92086696D01* +X148133248Y-92089380D01* +X148127907Y-92093260D01* +X148127906Y-92093261D01* +X148092664Y-92118866D01* +X147978747Y-92201632D01* +X147974326Y-92206542D01* +X147974325Y-92206543D01* +X147881023Y-92310166D01* +X147850960Y-92343554D01* +X147755473Y-92508942D01* +X147696458Y-92690570D01* +X147695768Y-92697131D01* +X147695768Y-92697133D01* +X147679093Y-92855790D01* +X147652080Y-92921447D01* +X147642878Y-92931715D01* +X147473236Y-93101357D01* +X147464950Y-93108897D01* +X147458471Y-93113009D01* +X147453046Y-93118786D01* +X147411846Y-93162660D01* +X147409091Y-93165502D01* +X147389354Y-93185239D01* +X147386874Y-93188436D01* +X147379171Y-93197456D01* +X147348903Y-93229688D01* +X147345084Y-93236634D01* +X147345082Y-93236637D01* +X147339141Y-93247443D01* +X147328290Y-93263962D01* +X147315875Y-93279968D01* +X147312730Y-93287237D01* +X147312727Y-93287241D01* +X147298315Y-93320546D01* +X147293098Y-93331196D01* +X147271794Y-93369949D01* +X147269823Y-93377624D01* +X147269823Y-93377625D01* +X147266756Y-93389571D01* +X147260352Y-93408275D01* +X147258860Y-93411724D01* +X147252308Y-93426864D01* +X147251069Y-93434687D01* +X147251066Y-93434697D01* +X147245390Y-93470533D01* +X147242984Y-93482153D01* +X147231989Y-93524979D01* +X147231989Y-93545233D01* +X147230438Y-93564943D01* +X147227269Y-93584952D01* +X143510500Y-93584952D01* +X143510500Y-91476669D01* +X150332001Y-91476669D01* +X150332371Y-91483490D01* +X150337895Y-91534352D01* +X150341521Y-91549604D01* +X150386676Y-91670054D01* +X150395214Y-91685649D01* +X150471715Y-91787724D01* +X150484276Y-91800285D01* +X150586351Y-91876786D01* +X150601946Y-91885324D01* +X150722394Y-91930478D01* +X150737649Y-91934105D01* +X150788514Y-91939631D01* +X150795328Y-91940000D01* +X152142885Y-91940000D01* +X152158124Y-91935525D01* +X152159329Y-91934135D01* +X152161000Y-91926452D01* +X152161000Y-91921884D01* +X152669000Y-91921884D01* +X152673475Y-91937123D01* +X152674865Y-91938328D01* +X152682548Y-91939999D01* +X154034669Y-91939999D01* +X154041488Y-91939630D01* +X154092348Y-91934105D01* +X154162230Y-91946634D01* +X154199532Y-91978230D01* +X154200960Y-91976944D01* +X154328747Y-92118866D01* +X154427843Y-92190864D01* +X154449424Y-92206543D01* +X154483248Y-92231118D01* +X154489276Y-92233802D01* +X154489278Y-92233803D01* +X154651681Y-92306109D01* +X154657712Y-92308794D01* +X154751112Y-92328647D01* +X154838056Y-92347128D01* +X154838061Y-92347128D01* +X154844513Y-92348500D01* +X155035487Y-92348500D01* +X155041939Y-92347128D01* +X155041944Y-92347128D01* +X155128888Y-92328647D01* +X155222288Y-92308794D01* +X155228319Y-92306109D01* +X155390722Y-92233803D01* +X155390724Y-92233802D01* +X155396752Y-92231118D01* +X155430577Y-92206543D01* +X155452157Y-92190864D01* +X155551253Y-92118866D01* +X155679040Y-91976944D01* +X155680966Y-91978678D01* +X155728019Y-91942412D01* +X155787313Y-91934574D01* +X155838467Y-91940131D01* +X155838471Y-91940131D01* +X155841866Y-91940500D01* +X159088134Y-91940500D01* +X159150316Y-91933745D01* +X159286705Y-91882615D01* +X159403261Y-91795261D01* +X159490615Y-91678705D01* +X159541745Y-91542316D01* +X159548500Y-91480134D01* +X159548500Y-90383866D01* +X159541745Y-90321684D01* +X159490615Y-90185295D01* +X159403261Y-90068739D01* +X159286705Y-89981385D01* +X159150316Y-89930255D01* +X159088134Y-89923500D01* +X155841866Y-89923500D01* +X155779684Y-89930255D01* +X155643295Y-89981385D01* +X155526739Y-90068739D01* +X155521358Y-90075919D01* +X155444767Y-90178113D01* +X155444765Y-90178116D01* +X155439385Y-90185295D01* +X155436234Y-90193699D01* +X155436234Y-90193700D01* +X155423899Y-90226604D01* +X155381258Y-90283369D01* +X155341064Y-90303374D01* +X155332327Y-90305912D01* +X155328654Y-90306979D01* +X155309306Y-90310986D01* +X155297068Y-90312532D01* +X155297066Y-90312533D01* +X155289203Y-90313526D01* +X155248086Y-90329806D01* +X155236885Y-90333641D01* +X155194406Y-90345982D01* +X155187587Y-90350015D01* +X155187582Y-90350017D01* +X155176971Y-90356293D01* +X155159221Y-90364990D01* +X155140383Y-90372448D01* +X155133967Y-90377109D01* +X155133966Y-90377110D01* +X155104625Y-90398428D01* +X155094701Y-90404947D01* +X155063460Y-90423422D01* +X155063455Y-90423426D01* +X155056637Y-90427458D01* +X155042313Y-90441782D01* +X155027281Y-90454621D01* +X155010893Y-90466528D01* +X155005842Y-90472634D01* +X154994936Y-90485817D01* +X154936102Y-90525554D01* +X154897852Y-90531500D01* +X154844513Y-90531500D01* +X154838061Y-90532872D01* +X154838056Y-90532872D01* +X154664171Y-90569833D01* +X154657712Y-90571206D01* +X154657538Y-90570389D01* +X154591966Y-90572261D01* +X154531169Y-90535598D01* +X154499844Y-90471885D01* +X154497999Y-90450401D01* +X154497999Y-90387331D01* +X154497629Y-90380510D01* +X154492105Y-90329648D01* +X154488479Y-90314396D01* +X154443324Y-90193946D01* +X154434786Y-90178351D01* +X154358285Y-90076276D01* +X154345724Y-90063715D01* +X154243649Y-89987214D01* +X154228054Y-89978676D01* +X154107606Y-89933522D01* +X154092351Y-89929895D01* +X154041486Y-89924369D01* +X154034672Y-89924000D01* +X152687115Y-89924000D01* +X152671876Y-89928475D01* +X152670671Y-89929865D01* +X152669000Y-89937548D01* +X152669000Y-91921884D01* +X152161000Y-91921884D01* +X152161000Y-91204115D01* +X152156525Y-91188876D01* +X152155135Y-91187671D01* +X152147452Y-91186000D01* +X150350116Y-91186000D01* +X150334877Y-91190475D01* +X150333672Y-91191865D01* +X150332001Y-91199548D01* +X150332001Y-91476669D01* +X143510500Y-91476669D01* +X143510500Y-90659885D01* +X150332000Y-90659885D01* +X150336475Y-90675124D01* +X150337865Y-90676329D01* +X150345548Y-90678000D01* +X152142885Y-90678000D01* +X152158124Y-90673525D01* +X152159329Y-90672135D01* +X152161000Y-90664452D01* +X152161000Y-89942116D01* +X152156525Y-89926877D01* +X152155135Y-89925672D01* +X152147452Y-89924001D01* +X150795331Y-89924001D01* +X150788510Y-89924371D01* +X150737648Y-89929895D01* +X150722396Y-89933521D01* +X150601946Y-89978676D01* +X150586351Y-89987214D01* +X150484276Y-90063715D01* +X150471715Y-90076276D01* +X150395214Y-90178351D01* +X150386676Y-90193946D01* +X150341522Y-90314394D01* +X150337895Y-90329649D01* +X150332369Y-90380514D01* +X150332000Y-90387328D01* +X150332000Y-90659885D01* +X143510500Y-90659885D01* +X143510500Y-88936669D01* +X150332001Y-88936669D01* +X150332371Y-88943490D01* +X150337895Y-88994352D01* +X150341521Y-89009604D01* +X150386676Y-89130054D01* +X150395214Y-89145649D01* +X150471715Y-89247724D01* +X150484276Y-89260285D01* +X150586351Y-89336786D01* +X150601946Y-89345324D01* +X150722394Y-89390478D01* +X150737649Y-89394105D01* +X150788514Y-89399631D01* +X150795328Y-89400000D01* +X152142885Y-89400000D01* +X152158124Y-89395525D01* +X152159329Y-89394135D01* +X152161000Y-89386452D01* +X152161000Y-89381884D01* +X152669000Y-89381884D01* +X152673475Y-89397123D01* +X152674865Y-89398328D01* +X152682548Y-89399999D01* +X154034669Y-89399999D01* +X154041488Y-89399630D01* +X154092348Y-89394105D01* +X154162230Y-89406634D01* +X154199532Y-89438230D01* +X154200960Y-89436944D01* +X154328747Y-89578866D01* +X154483248Y-89691118D01* +X154489276Y-89693802D01* +X154489278Y-89693803D01* +X154651681Y-89766109D01* +X154657712Y-89768794D01* +X154751112Y-89788647D01* +X154838056Y-89807128D01* +X154838061Y-89807128D01* +X154844513Y-89808500D01* +X155035487Y-89808500D01* +X155041939Y-89807128D01* +X155041944Y-89807128D01* +X155128887Y-89788647D01* +X155222288Y-89768794D01* +X155228319Y-89766109D01* +X155390722Y-89693803D01* +X155390724Y-89693802D01* +X155396752Y-89691118D01* +X155551253Y-89578866D01* +X155679040Y-89436944D01* +X155680966Y-89438678D01* +X155728019Y-89402412D01* +X155787313Y-89394574D01* +X155838467Y-89400131D01* +X155838471Y-89400131D01* +X155841866Y-89400500D01* +X159088134Y-89400500D01* +X159150316Y-89393745D01* +X159286705Y-89342615D01* +X159403261Y-89255261D01* +X159490615Y-89138705D01* +X159541745Y-89002316D01* +X159548500Y-88940134D01* +X159548500Y-87843866D01* +X159541745Y-87781684D01* +X159490615Y-87645295D01* +X159403261Y-87528739D01* +X159286705Y-87441385D01* +X159150316Y-87390255D01* +X159088134Y-87383500D01* +X155841866Y-87383500D01* +X155779684Y-87390255D01* +X155643295Y-87441385D01* +X155526739Y-87528739D01* +X155521358Y-87535919D01* +X155444767Y-87638113D01* +X155444765Y-87638116D01* +X155439385Y-87645295D01* +X155436234Y-87653699D01* +X155436234Y-87653700D01* +X155423899Y-87686604D01* +X155381258Y-87743369D01* +X155341064Y-87763374D01* +X155332327Y-87765912D01* +X155328654Y-87766979D01* +X155309306Y-87770986D01* +X155297068Y-87772532D01* +X155297066Y-87772533D01* +X155289203Y-87773526D01* +X155248086Y-87789806D01* +X155236885Y-87793641D01* +X155194406Y-87805982D01* +X155187587Y-87810015D01* +X155187582Y-87810017D01* +X155176971Y-87816293D01* +X155159221Y-87824990D01* +X155140383Y-87832448D01* +X155133967Y-87837109D01* +X155133966Y-87837110D01* +X155104625Y-87858428D01* +X155094701Y-87864947D01* +X155063460Y-87883422D01* +X155063455Y-87883426D01* +X155056637Y-87887458D01* +X155042313Y-87901782D01* +X155027281Y-87914621D01* +X155010893Y-87926528D01* +X155005842Y-87932634D01* +X154994936Y-87945817D01* +X154936102Y-87985554D01* +X154897852Y-87991500D01* +X154844513Y-87991500D01* +X154838061Y-87992872D01* +X154838056Y-87992872D01* +X154664171Y-88029833D01* +X154657712Y-88031206D01* +X154657538Y-88030389D01* +X154591966Y-88032261D01* +X154531169Y-87995598D01* +X154499844Y-87931885D01* +X154497999Y-87910401D01* +X154497999Y-87847331D01* +X154497629Y-87840510D01* +X154492105Y-87789648D01* +X154488479Y-87774396D01* +X154443324Y-87653946D01* +X154434786Y-87638351D01* +X154358285Y-87536276D01* +X154345724Y-87523715D01* +X154243649Y-87447214D01* +X154228054Y-87438676D01* +X154107606Y-87393522D01* +X154092351Y-87389895D01* +X154041486Y-87384369D01* +X154034672Y-87384000D01* +X152687115Y-87384000D01* +X152671876Y-87388475D01* +X152670671Y-87389865D01* +X152669000Y-87397548D01* +X152669000Y-89381884D01* +X152161000Y-89381884D01* +X152161000Y-88664115D01* +X152156525Y-88648876D01* +X152155135Y-88647671D01* +X152147452Y-88646000D01* +X150350116Y-88646000D01* +X150334877Y-88650475D01* +X150333672Y-88651865D01* +X150332001Y-88659548D01* +X150332001Y-88936669D01* +X143510500Y-88936669D01* +X143510500Y-88119885D01* +X150332000Y-88119885D01* +X150336475Y-88135124D01* +X150337865Y-88136329D01* +X150345548Y-88138000D01* +X152142885Y-88138000D01* +X152158124Y-88133525D01* +X152159329Y-88132135D01* +X152161000Y-88124452D01* +X152161000Y-87402116D01* +X152156525Y-87386877D01* +X152155135Y-87385672D01* +X152147452Y-87384001D01* +X150795331Y-87384001D01* +X150788510Y-87384371D01* +X150737648Y-87389895D01* +X150722396Y-87393521D01* +X150601946Y-87438676D01* +X150586351Y-87447214D01* +X150484276Y-87523715D01* +X150471715Y-87536276D01* +X150395214Y-87638351D01* +X150386676Y-87653946D01* +X150341522Y-87774394D01* +X150337895Y-87789649D01* +X150332369Y-87840514D01* +X150332000Y-87847328D01* +X150332000Y-88119885D01* +X143510500Y-88119885D01* +X143510500Y-86396669D01* +X150332001Y-86396669D01* +X150332371Y-86403490D01* +X150337895Y-86454352D01* +X150341521Y-86469604D01* +X150386676Y-86590054D01* +X150395214Y-86605649D01* +X150471715Y-86707724D01* +X150484276Y-86720285D01* +X150586351Y-86796786D01* +X150601946Y-86805324D01* +X150722394Y-86850478D01* +X150737649Y-86854105D01* +X150788514Y-86859631D01* +X150795328Y-86860000D01* +X152142885Y-86860000D01* +X152158124Y-86855525D01* +X152159329Y-86854135D01* +X152161000Y-86846452D01* +X152161000Y-86841884D01* +X152669000Y-86841884D01* +X152673475Y-86857123D01* +X152674865Y-86858328D01* +X152682548Y-86859999D01* +X154034669Y-86859999D01* +X154041488Y-86859630D01* +X154092348Y-86854105D01* +X154162230Y-86866634D01* +X154199532Y-86898230D01* +X154200960Y-86896944D01* +X154328747Y-87038866D01* +X154483248Y-87151118D01* +X154489276Y-87153802D01* +X154489278Y-87153803D01* +X154651681Y-87226109D01* +X154657712Y-87228794D01* +X154751113Y-87248647D01* +X154838056Y-87267128D01* +X154838061Y-87267128D01* +X154844513Y-87268500D01* +X155035487Y-87268500D01* +X155041939Y-87267128D01* +X155041944Y-87267128D01* +X155128888Y-87248647D01* +X155222288Y-87228794D01* +X155228319Y-87226109D01* +X155390722Y-87153803D01* +X155390724Y-87153802D01* +X155396752Y-87151118D01* +X155551253Y-87038866D01* +X155679040Y-86896944D01* +X155680966Y-86898678D01* +X155728019Y-86862412D01* +X155787313Y-86854574D01* +X155838467Y-86860131D01* +X155838471Y-86860131D01* +X155841866Y-86860500D01* +X159088134Y-86860500D01* +X159150316Y-86853745D01* +X159286705Y-86802615D01* +X159403261Y-86715261D01* +X159490615Y-86598705D01* +X159541745Y-86462316D01* +X159548500Y-86400134D01* +X159548500Y-85303866D01* +X159541745Y-85241684D01* +X159490615Y-85105295D01* +X159403261Y-84988739D01* +X159286705Y-84901385D01* +X159150316Y-84850255D01* +X159088134Y-84843500D01* +X155841866Y-84843500D01* +X155779684Y-84850255D01* +X155643295Y-84901385D01* +X155526739Y-84988739D01* +X155521358Y-84995919D01* +X155444767Y-85098113D01* +X155444765Y-85098116D01* +X155439385Y-85105295D01* +X155436234Y-85113699D01* +X155436234Y-85113700D01* +X155423899Y-85146604D01* +X155381258Y-85203369D01* +X155341064Y-85223374D01* +X155332327Y-85225912D01* +X155328654Y-85226979D01* +X155309306Y-85230986D01* +X155297068Y-85232532D01* +X155297066Y-85232533D01* +X155289203Y-85233526D01* +X155248086Y-85249806D01* +X155236885Y-85253641D01* +X155194406Y-85265982D01* +X155187587Y-85270015D01* +X155187582Y-85270017D01* +X155176971Y-85276293D01* +X155159221Y-85284990D01* +X155140383Y-85292448D01* +X155133967Y-85297109D01* +X155133966Y-85297110D01* +X155104625Y-85318428D01* +X155094701Y-85324947D01* +X155063460Y-85343422D01* +X155063455Y-85343426D01* +X155056637Y-85347458D01* +X155042313Y-85361782D01* +X155027281Y-85374621D01* +X155010893Y-85386528D01* +X155005842Y-85392634D01* +X154994936Y-85405817D01* +X154936102Y-85445554D01* +X154897852Y-85451500D01* +X154844513Y-85451500D01* +X154838061Y-85452872D01* +X154838056Y-85452872D01* +X154664171Y-85489833D01* +X154657712Y-85491206D01* +X154657538Y-85490389D01* +X154591966Y-85492261D01* +X154531169Y-85455598D01* +X154499844Y-85391885D01* +X154497999Y-85370401D01* +X154497999Y-85307331D01* +X154497629Y-85300510D01* +X154492105Y-85249648D01* +X154488479Y-85234396D01* +X154443324Y-85113946D01* +X154434786Y-85098351D01* +X154358285Y-84996276D01* +X154345724Y-84983715D01* +X154243649Y-84907214D01* +X154228054Y-84898676D01* +X154107606Y-84853522D01* +X154092351Y-84849895D01* +X154041486Y-84844369D01* +X154034672Y-84844000D01* +X152687115Y-84844000D01* +X152671876Y-84848475D01* +X152670671Y-84849865D01* +X152669000Y-84857548D01* +X152669000Y-86841884D01* +X152161000Y-86841884D01* +X152161000Y-86124115D01* +X152156525Y-86108876D01* +X152155135Y-86107671D01* +X152147452Y-86106000D01* +X150350116Y-86106000D01* +X150334877Y-86110475D01* +X150333672Y-86111865D01* +X150332001Y-86119548D01* +X150332001Y-86396669D01* +X143510500Y-86396669D01* +X143510500Y-85579885D01* +X150332000Y-85579885D01* +X150336475Y-85595124D01* +X150337865Y-85596329D01* +X150345548Y-85598000D01* +X152142885Y-85598000D01* +X152158124Y-85593525D01* +X152159329Y-85592135D01* +X152161000Y-85584452D01* +X152161000Y-84862116D01* +X152156525Y-84846877D01* +X152155135Y-84845672D01* +X152147452Y-84844001D01* +X150795331Y-84844001D01* +X150788510Y-84844371D01* +X150737648Y-84849895D01* +X150722396Y-84853521D01* +X150601946Y-84898676D01* +X150586351Y-84907214D01* +X150484276Y-84983715D01* +X150471715Y-84996276D01* +X150395214Y-85098351D01* +X150386676Y-85113946D01* +X150341522Y-85234394D01* +X150337895Y-85249649D01* +X150332369Y-85300514D01* +X150332000Y-85307328D01* +X150332000Y-85579885D01* +X143510500Y-85579885D01* +X143510500Y-83860134D01* +X150331500Y-83860134D01* +X150338255Y-83922316D01* +X150389385Y-84058705D01* +X150476739Y-84175261D01* +X150593295Y-84262615D01* +X150729684Y-84313745D01* +X150791866Y-84320500D01* +X154038134Y-84320500D01* +X154100316Y-84313745D01* +X154236705Y-84262615D01* +X154353261Y-84175261D01* +X154440615Y-84058705D01* +X154491745Y-83922316D01* +X154498500Y-83860134D01* +X154498500Y-83856669D01* +X155382001Y-83856669D01* +X155382371Y-83863490D01* +X155387895Y-83914352D01* +X155391521Y-83929604D01* +X155436676Y-84050054D01* +X155445214Y-84065649D01* +X155521715Y-84167724D01* +X155534276Y-84180285D01* +X155636351Y-84256786D01* +X155651946Y-84265324D01* +X155772394Y-84310478D01* +X155787649Y-84314105D01* +X155838514Y-84319631D01* +X155845328Y-84320000D01* +X157192885Y-84320000D01* +X157208124Y-84315525D01* +X157209329Y-84314135D01* +X157211000Y-84306452D01* +X157211000Y-84301884D01* +X157719000Y-84301884D01* +X157723475Y-84317123D01* +X157724865Y-84318328D01* +X157732548Y-84319999D01* +X159084669Y-84319999D01* +X159091490Y-84319629D01* +X159142352Y-84314105D01* +X159157604Y-84310479D01* +X159278054Y-84265324D01* +X159293649Y-84256786D01* +X159395724Y-84180285D01* +X159408285Y-84167724D01* +X159484786Y-84065649D01* +X159493324Y-84050054D01* +X159538478Y-83929606D01* +X159542105Y-83914351D01* +X159547631Y-83863486D01* +X159548000Y-83856672D01* +X159548000Y-83584115D01* +X159543525Y-83568876D01* +X159542135Y-83567671D01* +X159534452Y-83566000D01* +X157737115Y-83566000D01* +X157721876Y-83570475D01* +X157720671Y-83571865D01* +X157719000Y-83579548D01* +X157719000Y-84301884D01* +X157211000Y-84301884D01* +X157211000Y-83584115D01* +X157206525Y-83568876D01* +X157205135Y-83567671D01* +X157197452Y-83566000D01* +X155400116Y-83566000D01* +X155384877Y-83570475D01* +X155383672Y-83571865D01* +X155382001Y-83579548D01* +X155382001Y-83856669D01* +X154498500Y-83856669D01* +X154498500Y-83039885D01* +X155382000Y-83039885D01* +X155386475Y-83055124D01* +X155387865Y-83056329D01* +X155395548Y-83058000D01* +X157192885Y-83058000D01* +X157208124Y-83053525D01* +X157209329Y-83052135D01* +X157211000Y-83044452D01* +X157211000Y-83039885D01* +X157719000Y-83039885D01* +X157723475Y-83055124D01* +X157724865Y-83056329D01* +X157732548Y-83058000D01* +X159529884Y-83058000D01* +X159545123Y-83053525D01* +X159546328Y-83052135D01* +X159547999Y-83044452D01* +X159547999Y-82767331D01* +X159547629Y-82760510D01* +X159542105Y-82709648D01* +X159538479Y-82694396D01* +X159493324Y-82573946D01* +X159484786Y-82558351D01* +X159408285Y-82456276D01* +X159395724Y-82443715D01* +X159293649Y-82367214D01* +X159278054Y-82358676D01* +X159157606Y-82313522D01* +X159142351Y-82309895D01* +X159091486Y-82304369D01* +X159084672Y-82304000D01* +X157737115Y-82304000D01* +X157721876Y-82308475D01* +X157720671Y-82309865D01* +X157719000Y-82317548D01* +X157719000Y-83039885D01* +X157211000Y-83039885D01* +X157211000Y-82322116D01* +X157206525Y-82306877D01* +X157205135Y-82305672D01* +X157197452Y-82304001D01* +X155845331Y-82304001D01* +X155838510Y-82304371D01* +X155787648Y-82309895D01* +X155772396Y-82313521D01* +X155651946Y-82358676D01* +X155636351Y-82367214D01* +X155534276Y-82443715D01* +X155521715Y-82456276D01* +X155445214Y-82558351D01* +X155436676Y-82573946D01* +X155391522Y-82694394D01* +X155387895Y-82709649D01* +X155382369Y-82760514D01* +X155382000Y-82767328D01* +X155382000Y-83039885D01* +X154498500Y-83039885D01* +X154498500Y-82763866D01* +X154491745Y-82701684D01* +X154440615Y-82565295D01* +X154353261Y-82448739D01* +X154236705Y-82361385D01* +X154100316Y-82310255D01* +X154038134Y-82303500D01* +X150791866Y-82303500D01* +X150729684Y-82310255D01* +X150593295Y-82361385D01* +X150476739Y-82448739D01* +X150389385Y-82565295D01* +X150338255Y-82701684D01* +X150331500Y-82763866D01* +X150331500Y-83860134D01* +X143510500Y-83860134D01* +X143510500Y-81320134D01* +X150331500Y-81320134D01* +X150338255Y-81382316D01* +X150389385Y-81518705D01* +X150476739Y-81635261D01* +X150593295Y-81722615D01* +X150729684Y-81773745D01* +X150791866Y-81780500D01* +X154038134Y-81780500D01* +X154041529Y-81780131D01* +X154041533Y-81780131D01* +X154092687Y-81774574D01* +X154162569Y-81787102D01* +X154199433Y-81818319D01* +X154200960Y-81816944D01* +X154328747Y-81958866D01* +X154483248Y-82071118D01* +X154489276Y-82073802D01* +X154489278Y-82073803D01* +X154651681Y-82146109D01* +X154657712Y-82148794D01* +X154751112Y-82168647D01* +X154838056Y-82187128D01* +X154838061Y-82187128D01* +X154844513Y-82188500D01* +X155035487Y-82188500D01* +X155041939Y-82187128D01* +X155041944Y-82187128D01* +X155128887Y-82168647D01* +X155222288Y-82148794D01* +X155228319Y-82146109D01* +X155390722Y-82073803D01* +X155390724Y-82073802D01* +X155396752Y-82071118D01* +X155551253Y-81958866D01* +X155679040Y-81816944D01* +X155680966Y-81818678D01* +X155728019Y-81782412D01* +X155787313Y-81774574D01* +X155838467Y-81780131D01* +X155838471Y-81780131D01* +X155841866Y-81780500D01* +X159088134Y-81780500D01* +X159150316Y-81773745D01* +X159286705Y-81722615D01* +X159403261Y-81635261D01* +X159490615Y-81518705D01* +X159541745Y-81382316D01* +X159548500Y-81320134D01* +X159548500Y-80223866D01* +X159541745Y-80161684D01* +X159490615Y-80025295D01* +X159403261Y-79908739D01* +X159286705Y-79821385D01* +X159150316Y-79770255D01* +X159088134Y-79763500D01* +X155906704Y-79763500D01* +X155838583Y-79743498D01* +X155792090Y-79689842D01* +X155786871Y-79676435D01* +X155776570Y-79644731D01* +X155776569Y-79644729D01* +X155774527Y-79638444D01* +X155679040Y-79473056D01* +X155666662Y-79459309D01* +X155635946Y-79395303D01* +X155644710Y-79324850D01* +X155666661Y-79290693D01* +X155679040Y-79276944D01* +X155679040Y-79276943D01* +X155680967Y-79278678D01* +X155728019Y-79242412D01* +X155787313Y-79234574D01* +X155838467Y-79240131D01* +X155838471Y-79240131D01* +X155841866Y-79240500D01* +X159088134Y-79240500D01* +X159150316Y-79233745D01* +X159286705Y-79182615D01* +X159403261Y-79095261D01* +X159490615Y-78978705D01* +X159541745Y-78842316D01* +X159548500Y-78780134D01* +X159548500Y-77683866D01* +X159541745Y-77621684D01* +X159490615Y-77485295D01* +X159403261Y-77368739D01* +X159286705Y-77281385D01* +X159150316Y-77230255D01* +X159088134Y-77223500D01* +X155906704Y-77223500D01* +X155838583Y-77203498D01* +X155792090Y-77149842D01* +X155786871Y-77136435D01* +X155776570Y-77104731D01* +X155776569Y-77104729D01* +X155774527Y-77098444D01* +X155679040Y-76933056D01* +X155666662Y-76919309D01* +X155635946Y-76855303D01* +X155644710Y-76784850D01* +X155666661Y-76750693D01* +X155679040Y-76736944D01* +X155679040Y-76736943D01* +X155680967Y-76738678D01* +X155728019Y-76702412D01* +X155787313Y-76694574D01* +X155838467Y-76700131D01* +X155838471Y-76700131D01* +X155841866Y-76700500D01* +X159088134Y-76700500D01* +X159150316Y-76693745D01* +X159286705Y-76642615D01* +X159403261Y-76555261D01* +X159490615Y-76438705D01* +X159541745Y-76302316D01* +X159548500Y-76240134D01* +X159548500Y-75143866D01* +X159541745Y-75081684D01* +X159490615Y-74945295D01* +X159403261Y-74828739D01* +X159286705Y-74741385D01* +X159150316Y-74690255D01* +X159088134Y-74683500D01* +X155906704Y-74683500D01* +X155838583Y-74663498D01* +X155792090Y-74609842D01* +X155786871Y-74596435D01* +X155776570Y-74564731D01* +X155776569Y-74564729D01* +X155774527Y-74558444D01* +X155679040Y-74393056D01* +X155666662Y-74379309D01* +X155635946Y-74315303D01* +X155644710Y-74244850D01* +X155666661Y-74210693D01* +X155679040Y-74196944D01* +X155679040Y-74196943D01* +X155680967Y-74198678D01* +X155728019Y-74162412D01* +X155787313Y-74154574D01* +X155838467Y-74160131D01* +X155838471Y-74160131D01* +X155841866Y-74160500D01* +X159088134Y-74160500D01* +X159150316Y-74153745D01* +X159286705Y-74102615D01* +X159403261Y-74015261D01* +X159490615Y-73898705D01* +X159541745Y-73762316D01* +X159548500Y-73700134D01* +X159548500Y-72603866D01* +X159541745Y-72541684D01* +X159490615Y-72405295D01* +X159403261Y-72288739D01* +X159286705Y-72201385D01* +X159150316Y-72150255D01* +X159088134Y-72143500D01* +X155906704Y-72143500D01* +X155838583Y-72123498D01* +X155792090Y-72069842D01* +X155786871Y-72056435D01* +X155776570Y-72024731D01* +X155776569Y-72024729D01* +X155774527Y-72018444D01* +X155679040Y-71853056D01* +X155666662Y-71839309D01* +X155635946Y-71775303D01* +X155644710Y-71704850D01* +X155666661Y-71670693D01* +X155679040Y-71656944D01* +X155679040Y-71656943D01* +X155680967Y-71658678D01* +X155728019Y-71622412D01* +X155787313Y-71614574D01* +X155838467Y-71620131D01* +X155838471Y-71620131D01* +X155841866Y-71620500D01* +X159088134Y-71620500D01* +X159150316Y-71613745D01* +X159286705Y-71562615D01* +X159403261Y-71475261D01* +X159490615Y-71358705D01* +X159541745Y-71222316D01* +X159548500Y-71160134D01* +X159548500Y-70063866D01* +X159541745Y-70001684D01* +X159490615Y-69865295D01* +X159403261Y-69748739D01* +X159286705Y-69661385D01* +X159150316Y-69610255D01* +X159088134Y-69603500D01* +X155906704Y-69603500D01* +X155838583Y-69583498D01* +X155792090Y-69529842D01* +X155786871Y-69516435D01* +X155776570Y-69484731D01* +X155776569Y-69484729D01* +X155774527Y-69478444D01* +X155679040Y-69313056D01* +X155666662Y-69299309D01* +X155635946Y-69235303D01* +X155644710Y-69164850D01* +X155666661Y-69130693D01* +X155679040Y-69116944D01* +X155679040Y-69116943D01* +X155680967Y-69118678D01* +X155728019Y-69082412D01* +X155787313Y-69074574D01* +X155838467Y-69080131D01* +X155838471Y-69080131D01* +X155841866Y-69080500D01* +X159088134Y-69080500D01* +X159150316Y-69073745D01* +X159286705Y-69022615D01* +X159403261Y-68935261D01* +X159490615Y-68818705D01* +X159541745Y-68682316D01* +X159548500Y-68620134D01* +X159548500Y-67523866D01* +X159541745Y-67461684D01* +X159490615Y-67325295D01* +X159403261Y-67208739D01* +X159286705Y-67121385D01* +X159150316Y-67070255D01* +X159088134Y-67063500D01* +X155906704Y-67063500D01* +X155838583Y-67043498D01* +X155792090Y-66989842D01* +X155786871Y-66976435D01* +X155776570Y-66944731D01* +X155776569Y-66944729D01* +X155774527Y-66938444D01* +X155679040Y-66773056D01* +X155666662Y-66759309D01* +X155635946Y-66695303D01* +X155644710Y-66624850D01* +X155666661Y-66590693D01* +X155679040Y-66576944D01* +X155679040Y-66576943D01* +X155680967Y-66578678D01* +X155728019Y-66542412D01* +X155787313Y-66534574D01* +X155838467Y-66540131D01* +X155838471Y-66540131D01* +X155841866Y-66540500D01* +X159088134Y-66540500D01* +X159150316Y-66533745D01* +X159286705Y-66482615D01* +X159403261Y-66395261D01* +X159490615Y-66278705D01* +X159541745Y-66142316D01* +X159548500Y-66080134D01* +X159548500Y-64983866D01* +X159541745Y-64921684D01* +X159490615Y-64785295D01* +X159403261Y-64668739D01* +X159286705Y-64581385D01* +X159150316Y-64530255D01* +X159088134Y-64523500D01* +X155906704Y-64523500D01* +X155838583Y-64503498D01* +X155792090Y-64449842D01* +X155786871Y-64436435D01* +X155776570Y-64404731D01* +X155776569Y-64404729D01* +X155774527Y-64398444D01* +X155679040Y-64233056D01* +X155666662Y-64219309D01* +X155635946Y-64155303D01* +X155644710Y-64084850D01* +X155666661Y-64050693D01* +X155679040Y-64036944D01* +X155679040Y-64036943D01* +X155680967Y-64038678D01* +X155728019Y-64002412D01* +X155787313Y-63994574D01* +X155838467Y-64000131D01* +X155838471Y-64000131D01* +X155841866Y-64000500D01* +X159088134Y-64000500D01* +X159150316Y-63993745D01* +X159286705Y-63942615D01* +X159403261Y-63855261D01* +X159490615Y-63738705D01* +X159541745Y-63602316D01* +X159548500Y-63540134D01* +X159548500Y-62443866D01* +X159541745Y-62381684D01* +X159490615Y-62245295D01* +X159403261Y-62128739D01* +X159286705Y-62041385D01* +X159150316Y-61990255D01* +X159088134Y-61983500D01* +X155906704Y-61983500D01* +X155838583Y-61963498D01* +X155792090Y-61909842D01* +X155786871Y-61896435D01* +X155776570Y-61864731D01* +X155776569Y-61864729D01* +X155774527Y-61858444D01* +X155679040Y-61693056D01* +X155666662Y-61679309D01* +X155635946Y-61615303D01* +X155644710Y-61544850D01* +X155666661Y-61510693D01* +X155679040Y-61496944D01* +X155679040Y-61496943D01* +X155680967Y-61498678D01* +X155728019Y-61462412D01* +X155787313Y-61454574D01* +X155838467Y-61460131D01* +X155838471Y-61460131D01* +X155841866Y-61460500D01* +X159088134Y-61460500D01* +X159150316Y-61453745D01* +X159286705Y-61402615D01* +X159403261Y-61315261D01* +X159490615Y-61198705D01* +X159541745Y-61062316D01* +X159548500Y-61000134D01* +X159548500Y-59903866D01* +X159541745Y-59841684D01* +X159490615Y-59705295D01* +X159403261Y-59588739D01* +X159286705Y-59501385D01* +X159150316Y-59450255D01* +X159088134Y-59443500D01* +X155841866Y-59443500D01* +X155779684Y-59450255D01* +X155643295Y-59501385D01* +X155526739Y-59588739D01* +X155521358Y-59595919D01* +X155444767Y-59698113D01* +X155444765Y-59698116D01* +X155439385Y-59705295D01* +X155436234Y-59713699D01* +X155436234Y-59713700D01* +X155423899Y-59746604D01* +X155381258Y-59803369D01* +X155341064Y-59823374D01* +X155332327Y-59825912D01* +X155328654Y-59826979D01* +X155309306Y-59830986D01* +X155297068Y-59832532D01* +X155297066Y-59832533D01* +X155289203Y-59833526D01* +X155248086Y-59849806D01* +X155236885Y-59853641D01* +X155194406Y-59865982D01* +X155187587Y-59870015D01* +X155187582Y-59870017D01* +X155176971Y-59876293D01* +X155159221Y-59884990D01* +X155140383Y-59892448D01* +X155133967Y-59897109D01* +X155133966Y-59897110D01* +X155104625Y-59918428D01* +X155094701Y-59924947D01* +X155063460Y-59943422D01* +X155063455Y-59943426D01* +X155056637Y-59947458D01* +X155042313Y-59961782D01* +X155027281Y-59974621D01* +X155010893Y-59986528D01* +X155005842Y-59992634D01* +X154994936Y-60005817D01* +X154936102Y-60045554D01* +X154897852Y-60051500D01* +X154844513Y-60051500D01* +X154838061Y-60052872D01* +X154838056Y-60052872D01* +X154664171Y-60089833D01* +X154657712Y-60091206D01* +X154657538Y-60090389D01* +X154591966Y-60092261D01* +X154531169Y-60055598D01* +X154499844Y-59991885D01* +X154497999Y-59970401D01* +X154497999Y-59907331D01* +X154497629Y-59900510D01* +X154492105Y-59849648D01* +X154488479Y-59834396D01* +X154443324Y-59713946D01* +X154434786Y-59698351D01* +X154358285Y-59596276D01* +X154345724Y-59583715D01* +X154243649Y-59507214D01* +X154228054Y-59498676D01* +X154107606Y-59453522D01* +X154092351Y-59449895D01* +X154041486Y-59444369D01* +X154034672Y-59444000D01* +X152687115Y-59444000D01* +X152671876Y-59448475D01* +X152670671Y-59449865D01* +X152669000Y-59457548D01* +X152669000Y-61441884D01* +X152673475Y-61457123D01* +X152674865Y-61458328D01* +X152682548Y-61459999D01* +X154034669Y-61459999D01* +X154041488Y-61459630D01* +X154092348Y-61454105D01* +X154162230Y-61466634D01* +X154199531Y-61498230D01* +X154200960Y-61496943D01* +X154200960Y-61496944D01* +X154213338Y-61510691D01* +X154244054Y-61574697D01* +X154235290Y-61645150D01* +X154213339Y-61679307D01* +X154200960Y-61693056D01* +X154105473Y-61858444D01* +X154103431Y-61864729D01* +X154103430Y-61864731D01* +X154093129Y-61896435D01* +X154053056Y-61955041D01* +X153987660Y-61982679D01* +X153973296Y-61983500D01* +X150791866Y-61983500D01* +X150729684Y-61990255D01* +X150593295Y-62041385D01* +X150476739Y-62128739D01* +X150389385Y-62245295D01* +X150338255Y-62381684D01* +X150331500Y-62443866D01* +X150331500Y-63540134D01* +X150338255Y-63602316D01* +X150389385Y-63738705D01* +X150476739Y-63855261D01* +X150593295Y-63942615D01* +X150729684Y-63993745D01* +X150791866Y-64000500D01* +X154038134Y-64000500D01* +X154041529Y-64000131D01* +X154041533Y-64000131D01* +X154092687Y-63994574D01* +X154162569Y-64007102D01* +X154199433Y-64038318D01* +X154200960Y-64036943D01* +X154200960Y-64036944D01* +X154213338Y-64050691D01* +X154244054Y-64114697D01* +X154235290Y-64185150D01* +X154213339Y-64219307D01* +X154200960Y-64233056D01* +X154105473Y-64398444D01* +X154103431Y-64404729D01* +X154103430Y-64404731D01* +X154093129Y-64436435D01* +X154053056Y-64495041D01* +X153987660Y-64522679D01* +X153973296Y-64523500D01* +X150791866Y-64523500D01* +X150729684Y-64530255D01* +X150593295Y-64581385D01* +X150476739Y-64668739D01* +X150389385Y-64785295D01* +X150338255Y-64921684D01* +X150331500Y-64983866D01* +X150331500Y-66080134D01* +X150338255Y-66142316D01* +X150389385Y-66278705D01* +X150476739Y-66395261D01* +X150593295Y-66482615D01* +X150729684Y-66533745D01* +X150791866Y-66540500D01* +X154038134Y-66540500D01* +X154041529Y-66540131D01* +X154041533Y-66540131D01* +X154092687Y-66534574D01* +X154162569Y-66547102D01* +X154199433Y-66578318D01* +X154200960Y-66576943D01* +X154200960Y-66576944D01* +X154213338Y-66590691D01* +X154244054Y-66654697D01* +X154235290Y-66725150D01* +X154213339Y-66759307D01* +X154200960Y-66773056D01* +X154105473Y-66938444D01* +X154103431Y-66944729D01* +X154103430Y-66944731D01* +X154093129Y-66976435D01* +X154053056Y-67035041D01* +X153987660Y-67062679D01* +X153973296Y-67063500D01* +X150791866Y-67063500D01* +X150729684Y-67070255D01* +X150593295Y-67121385D01* +X150476739Y-67208739D01* +X150389385Y-67325295D01* +X150338255Y-67461684D01* +X150331500Y-67523866D01* +X150331500Y-68620134D01* +X150338255Y-68682316D01* +X150389385Y-68818705D01* +X150476739Y-68935261D01* +X150593295Y-69022615D01* +X150729684Y-69073745D01* +X150791866Y-69080500D01* +X154038134Y-69080500D01* +X154041529Y-69080131D01* +X154041533Y-69080131D01* +X154092687Y-69074574D01* +X154162569Y-69087102D01* +X154199433Y-69118318D01* +X154200960Y-69116943D01* +X154200960Y-69116944D01* +X154213338Y-69130691D01* +X154244054Y-69194697D01* +X154235290Y-69265150D01* +X154213339Y-69299307D01* +X154200960Y-69313056D01* +X154105473Y-69478444D01* +X154103431Y-69484729D01* +X154103430Y-69484731D01* +X154093129Y-69516435D01* +X154053056Y-69575041D01* +X153987660Y-69602679D01* +X153973296Y-69603500D01* +X150791866Y-69603500D01* +X150729684Y-69610255D01* +X150593295Y-69661385D01* +X150476739Y-69748739D01* +X150389385Y-69865295D01* +X150338255Y-70001684D01* +X150331500Y-70063866D01* +X150331500Y-71160134D01* +X150338255Y-71222316D01* +X150389385Y-71358705D01* +X150476739Y-71475261D01* +X150593295Y-71562615D01* +X150729684Y-71613745D01* +X150791866Y-71620500D01* +X154038134Y-71620500D01* +X154041529Y-71620131D01* +X154041533Y-71620131D01* +X154092687Y-71614574D01* +X154162569Y-71627102D01* +X154199433Y-71658318D01* +X154200960Y-71656943D01* +X154200960Y-71656944D01* +X154213338Y-71670691D01* +X154244054Y-71734697D01* +X154235290Y-71805150D01* +X154213339Y-71839307D01* +X154200960Y-71853056D01* +X154105473Y-72018444D01* +X154103431Y-72024729D01* +X154103430Y-72024731D01* +X154093129Y-72056435D01* +X154053056Y-72115041D01* +X153987660Y-72142679D01* +X153973296Y-72143500D01* +X150791866Y-72143500D01* +X150729684Y-72150255D01* +X150593295Y-72201385D01* +X150476739Y-72288739D01* +X150389385Y-72405295D01* +X150338255Y-72541684D01* +X150331500Y-72603866D01* +X150331500Y-73700134D01* +X150338255Y-73762316D01* +X150389385Y-73898705D01* +X150476739Y-74015261D01* +X150593295Y-74102615D01* +X150729684Y-74153745D01* +X150791866Y-74160500D01* +X154038134Y-74160500D01* +X154041529Y-74160131D01* +X154041533Y-74160131D01* +X154092687Y-74154574D01* +X154162569Y-74167102D01* +X154199433Y-74198318D01* +X154200960Y-74196943D01* +X154200960Y-74196944D01* +X154213338Y-74210691D01* +X154244054Y-74274697D01* +X154235290Y-74345150D01* +X154213339Y-74379307D01* +X154200960Y-74393056D01* +X154105473Y-74558444D01* +X154103431Y-74564729D01* +X154103430Y-74564731D01* +X154093129Y-74596435D01* +X154053056Y-74655041D01* +X153987660Y-74682679D01* +X153973296Y-74683500D01* +X150791866Y-74683500D01* +X150729684Y-74690255D01* +X150593295Y-74741385D01* +X150476739Y-74828739D01* +X150389385Y-74945295D01* +X150338255Y-75081684D01* +X150331500Y-75143866D01* +X150331500Y-76240134D01* +X150338255Y-76302316D01* +X150389385Y-76438705D01* +X150476739Y-76555261D01* +X150593295Y-76642615D01* +X150729684Y-76693745D01* +X150791866Y-76700500D01* +X154038134Y-76700500D01* +X154041529Y-76700131D01* +X154041533Y-76700131D01* +X154092687Y-76694574D01* +X154162569Y-76707102D01* +X154199433Y-76738318D01* +X154200960Y-76736943D01* +X154200960Y-76736944D01* +X154213338Y-76750691D01* +X154244054Y-76814697D01* +X154235290Y-76885150D01* +X154213339Y-76919307D01* +X154200960Y-76933056D01* +X154105473Y-77098444D01* +X154103431Y-77104729D01* +X154103430Y-77104731D01* +X154093129Y-77136435D01* +X154053056Y-77195041D01* +X153987660Y-77222679D01* +X153973296Y-77223500D01* +X150791866Y-77223500D01* +X150729684Y-77230255D01* +X150593295Y-77281385D01* +X150476739Y-77368739D01* +X150389385Y-77485295D01* +X150338255Y-77621684D01* +X150331500Y-77683866D01* +X150331500Y-78780134D01* +X150338255Y-78842316D01* +X150389385Y-78978705D01* +X150476739Y-79095261D01* +X150593295Y-79182615D01* +X150729684Y-79233745D01* +X150791866Y-79240500D01* +X154038134Y-79240500D01* +X154041529Y-79240131D01* +X154041533Y-79240131D01* +X154092687Y-79234574D01* +X154162569Y-79247102D01* +X154199433Y-79278318D01* +X154200960Y-79276943D01* +X154200960Y-79276944D01* +X154213338Y-79290691D01* +X154244054Y-79354697D01* +X154235290Y-79425150D01* +X154213339Y-79459307D01* +X154200960Y-79473056D01* +X154105473Y-79638444D01* +X154103431Y-79644729D01* +X154103430Y-79644731D01* +X154093129Y-79676435D01* +X154053056Y-79735041D01* +X153987660Y-79762679D01* +X153973296Y-79763500D01* +X150791866Y-79763500D01* +X150729684Y-79770255D01* +X150593295Y-79821385D01* +X150476739Y-79908739D01* +X150389385Y-80025295D01* +X150338255Y-80161684D01* +X150331500Y-80223866D01* +X150331500Y-81320134D01* +X143510500Y-81320134D01* +X143510500Y-60996669D01* +X150332001Y-60996669D01* +X150332371Y-61003490D01* +X150337895Y-61054352D01* +X150341521Y-61069604D01* +X150386676Y-61190054D01* +X150395214Y-61205649D01* +X150471715Y-61307724D01* +X150484276Y-61320285D01* +X150586351Y-61396786D01* +X150601946Y-61405324D01* +X150722394Y-61450478D01* +X150737649Y-61454105D01* +X150788514Y-61459631D01* +X150795328Y-61460000D01* +X152142885Y-61460000D01* +X152158124Y-61455525D01* +X152159329Y-61454135D01* +X152161000Y-61446452D01* +X152161000Y-60724115D01* +X152156525Y-60708876D01* +X152155135Y-60707671D01* +X152147452Y-60706000D01* +X150350116Y-60706000D01* +X150334877Y-60710475D01* +X150333672Y-60711865D01* +X150332001Y-60719548D01* +X150332001Y-60996669D01* +X143510500Y-60996669D01* +X143510500Y-60179885D01* +X150332000Y-60179885D01* +X150336475Y-60195124D01* +X150337865Y-60196329D01* +X150345548Y-60198000D01* +X152142885Y-60198000D01* +X152158124Y-60193525D01* +X152159329Y-60192135D01* +X152161000Y-60184452D01* +X152161000Y-59462116D01* +X152156525Y-59446877D01* +X152155135Y-59445672D01* +X152147452Y-59444001D01* +X150795331Y-59444001D01* +X150788510Y-59444371D01* +X150737648Y-59449895D01* +X150722396Y-59453521D01* +X150601946Y-59498676D01* +X150586351Y-59507214D01* +X150484276Y-59583715D01* +X150471715Y-59596276D01* +X150395214Y-59698351D01* +X150386676Y-59713946D01* +X150341522Y-59834394D01* +X150337895Y-59849649D01* +X150332369Y-59900514D01* +X150332000Y-59907328D01* +X150332000Y-60179885D01* +X143510500Y-60179885D01* +X143510500Y-59743250D01* +X143512246Y-59722345D01* +X143514770Y-59707344D01* +X143514770Y-59707341D01* +X143515576Y-59702552D01* +X143515729Y-59690000D01* +X143514788Y-59683429D01* +X143514123Y-59653218D01* +X143523916Y-59553789D01* +X143528733Y-59529568D01* +X143564866Y-59410454D01* +X143574315Y-59387645D01* +X143632987Y-59277876D01* +X143646705Y-59257345D01* +X143725671Y-59161124D01* +X143743124Y-59143671D01* +X143839345Y-59064705D01* +X143859876Y-59050987D01* +X143969645Y-58992315D01* +X143992454Y-58982866D01* +X144111568Y-58946733D01* +X144135789Y-58941916D01* +X144231809Y-58932459D01* +X144247868Y-58932909D01* +X144247877Y-58932200D01* +X144256853Y-58932310D01* +X144265724Y-58933691D01* +X144274626Y-58932527D01* +X144274628Y-58932527D01* +X144291059Y-58930378D01* +X144297286Y-58929564D01* +X144313621Y-58928500D01* +X165558633Y-58928500D01* +X165578018Y-58930000D01* +G37* +%TD.AperFunction*% +%TD*% +M02* diff --git a/ide_cable_select_switch/gerber/ide_cable_select_switch-B_Mask.gbr b/ide_cable_select_switch/gerber/ide_cable_select_switch-B_Mask.gbr new file mode 100644 index 0000000..902d838 --- /dev/null +++ b/ide_cable_select_switch/gerber/ide_cable_select_switch-B_Mask.gbr @@ -0,0 +1,65 @@ +%TF.GenerationSoftware,KiCad,Pcbnew,(6.0.4-0)*% +%TF.CreationDate,2022-06-07T12:02:57-06:00*% +%TF.ProjectId,ide_cable_select_switch,6964655f-6361-4626-9c65-5f73656c6563,rev?*% +%TF.SameCoordinates,Original*% +%TF.FileFunction,Soldermask,Bot*% +%TF.FilePolarity,Negative*% +%FSLAX46Y46*% +G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* +G04 Created by KiCad (PCBNEW (6.0.4-0)) date 2022-06-07 12:02:57* +%MOMM*% +%LPD*% +G01* +G04 APERTURE LIST* +%ADD10C,0.900000*% +%ADD11R,3.150000X1.000000*% +G04 APERTURE END LIST* +D10* +%TO.C,SW1*% +X156440000Y-113360000D03* +X153440000Y-113360000D03* +%TD*% +D11* +%TO.C,J1*% +X157465000Y-60452000D03* +X152415000Y-60452000D03* +X157465000Y-62992000D03* +X152415000Y-62992000D03* +X157465000Y-65532000D03* +X152415000Y-65532000D03* +X157465000Y-68072000D03* +X152415000Y-68072000D03* +X157465000Y-70612000D03* +X152415000Y-70612000D03* +X157465000Y-73152000D03* +X152415000Y-73152000D03* +X157465000Y-75692000D03* +X152415000Y-75692000D03* +X157465000Y-78232000D03* +X152415000Y-78232000D03* +X157465000Y-80772000D03* +X152415000Y-80772000D03* +X157465000Y-83312000D03* +X152415000Y-83312000D03* +X157465000Y-85852000D03* +X152415000Y-85852000D03* +X157465000Y-88392000D03* +X152415000Y-88392000D03* +X157465000Y-90932000D03* +X152415000Y-90932000D03* +X157465000Y-93472000D03* +X152415000Y-93472000D03* +X157465000Y-96012000D03* +X152415000Y-96012000D03* +X157465000Y-98552000D03* +X152415000Y-98552000D03* +X157465000Y-101092000D03* +X152415000Y-101092000D03* +X157465000Y-103632000D03* +X152415000Y-103632000D03* +X157465000Y-106172000D03* +X152415000Y-106172000D03* +X157465000Y-108712000D03* +X152415000Y-108712000D03* +%TD*% +M02* diff --git a/ide_cable_select_switch/gerber/ide_cable_select_switch-B_Paste.gbr b/ide_cable_select_switch/gerber/ide_cable_select_switch-B_Paste.gbr new file mode 100644 index 0000000..d4a07ff --- /dev/null +++ b/ide_cable_select_switch/gerber/ide_cable_select_switch-B_Paste.gbr @@ -0,0 +1,59 @@ +%TF.GenerationSoftware,KiCad,Pcbnew,(6.0.4-0)*% +%TF.CreationDate,2022-06-07T12:02:57-06:00*% +%TF.ProjectId,ide_cable_select_switch,6964655f-6361-4626-9c65-5f73656c6563,rev?*% +%TF.SameCoordinates,Original*% +%TF.FileFunction,Paste,Bot*% +%TF.FilePolarity,Positive*% +%FSLAX46Y46*% +G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* +G04 Created by KiCad (PCBNEW (6.0.4-0)) date 2022-06-07 12:02:57* +%MOMM*% +%LPD*% +G01* +G04 APERTURE LIST* +%ADD10R,3.150000X1.000000*% +G04 APERTURE END LIST* +D10* +%TO.C,J1*% +X157465000Y-60452000D03* +X152415000Y-60452000D03* +X157465000Y-62992000D03* +X152415000Y-62992000D03* +X157465000Y-65532000D03* +X152415000Y-65532000D03* +X157465000Y-68072000D03* +X152415000Y-68072000D03* +X157465000Y-70612000D03* +X152415000Y-70612000D03* +X157465000Y-73152000D03* +X152415000Y-73152000D03* +X157465000Y-75692000D03* +X152415000Y-75692000D03* +X157465000Y-78232000D03* +X152415000Y-78232000D03* +X157465000Y-80772000D03* +X152415000Y-80772000D03* +X157465000Y-83312000D03* +X152415000Y-83312000D03* +X157465000Y-85852000D03* +X152415000Y-85852000D03* +X157465000Y-88392000D03* +X152415000Y-88392000D03* +X157465000Y-90932000D03* +X152415000Y-90932000D03* +X157465000Y-93472000D03* +X152415000Y-93472000D03* +X157465000Y-96012000D03* +X152415000Y-96012000D03* +X157465000Y-98552000D03* +X152415000Y-98552000D03* +X157465000Y-101092000D03* +X152415000Y-101092000D03* +X157465000Y-103632000D03* +X152415000Y-103632000D03* +X157465000Y-106172000D03* +X152415000Y-106172000D03* +X157465000Y-108712000D03* +X152415000Y-108712000D03* +%TD*% +M02* diff --git a/ide_cable_select_switch/gerber/ide_cable_select_switch-B_Silkscreen.gbr b/ide_cable_select_switch/gerber/ide_cable_select_switch-B_Silkscreen.gbr new file mode 100644 index 0000000..43cc0f5 --- /dev/null +++ b/ide_cable_select_switch/gerber/ide_cable_select_switch-B_Silkscreen.gbr @@ -0,0 +1,2017 @@ +%TF.GenerationSoftware,KiCad,Pcbnew,(6.0.4-0)*% +%TF.CreationDate,2022-06-07T12:02:57-06:00*% +%TF.ProjectId,ide_cable_select_switch,6964655f-6361-4626-9c65-5f73656c6563,rev?*% +%TF.SameCoordinates,Original*% +%TF.FileFunction,Legend,Bot*% +%TF.FilePolarity,Positive*% +%FSLAX46Y46*% +G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* +G04 Created by KiCad (PCBNEW (6.0.4-0)) date 2022-06-07 12:02:57* +%MOMM*% +%LPD*% +G01* +G04 APERTURE LIST* +%ADD10C,0.150000*% +%ADD11C,0.120000*% +%ADD12C,0.900000*% +%ADD13R,3.150000X1.000000*% +G04 APERTURE END LIST* +D10* +X165019285Y-78183571D02* +X164209761Y-78183571D01* +X164114523Y-78231190D01* +X164066904Y-78278809D01* +X164019285Y-78374047D01* +X164019285Y-78516904D01* +X164066904Y-78612142D01* +X164400238Y-78183571D02* +X164352619Y-78278809D01* +X164352619Y-78469285D01* +X164400238Y-78564523D01* +X164447857Y-78612142D01* +X164543095Y-78659761D01* +X164828809Y-78659761D01* +X164924047Y-78612142D01* +X164971666Y-78564523D01* +X165019285Y-78469285D01* +X165019285Y-78278809D01* +X164971666Y-78183571D01* +X164352619Y-77707380D02* +X165019285Y-77707380D01* +X165352619Y-77707380D02* +X165305000Y-77755000D01* +X165257380Y-77707380D01* +X165305000Y-77659761D01* +X165352619Y-77707380D01* +X165257380Y-77707380D01* +X165019285Y-77374047D02* +X165019285Y-76993095D01* +X165352619Y-77231190D02* +X164495476Y-77231190D01* +X164400238Y-77183571D01* +X164352619Y-77088333D01* +X164352619Y-76993095D01* +X164352619Y-76659761D02* +X165352619Y-76659761D01* +X164352619Y-76231190D02* +X164876428Y-76231190D01* +X164971666Y-76278809D01* +X165019285Y-76374047D01* +X165019285Y-76516904D01* +X164971666Y-76612142D01* +X164924047Y-76659761D01* +X165019285Y-75326428D02* +X164352619Y-75326428D01* +X165019285Y-75755000D02* +X164495476Y-75755000D01* +X164400238Y-75707380D01* +X164352619Y-75612142D01* +X164352619Y-75469285D01* +X164400238Y-75374047D01* +X164447857Y-75326428D01* +X164352619Y-74850238D02* +X165352619Y-74850238D01* +X164971666Y-74850238D02* +X165019285Y-74755000D01* +X165019285Y-74564523D01* +X164971666Y-74469285D01* +X164924047Y-74421666D01* +X164828809Y-74374047D01* +X164543095Y-74374047D01* +X164447857Y-74421666D01* +X164400238Y-74469285D01* +X164352619Y-74564523D01* +X164352619Y-74755000D01* +X164400238Y-74850238D01* +X164447857Y-73945476D02* +X164400238Y-73897857D01* +X164352619Y-73945476D01* +X164400238Y-73993095D01* +X164447857Y-73945476D01* +X164352619Y-73945476D01* +X164400238Y-73040714D02* +X164352619Y-73135952D01* +X164352619Y-73326428D01* +X164400238Y-73421666D01* +X164447857Y-73469285D01* +X164543095Y-73516904D01* +X164828809Y-73516904D01* +X164924047Y-73469285D01* +X164971666Y-73421666D01* +X165019285Y-73326428D01* +X165019285Y-73135952D01* +X164971666Y-73040714D01* +X164352619Y-72469285D02* +X164400238Y-72564523D01* +X164447857Y-72612142D01* +X164543095Y-72659761D01* +X164828809Y-72659761D01* +X164924047Y-72612142D01* +X164971666Y-72564523D01* +X165019285Y-72469285D01* +X165019285Y-72326428D01* +X164971666Y-72231190D01* +X164924047Y-72183571D01* +X164828809Y-72135952D01* +X164543095Y-72135952D01* +X164447857Y-72183571D01* +X164400238Y-72231190D01* +X164352619Y-72326428D01* +X164352619Y-72469285D01* +X164352619Y-71707380D02* +X165019285Y-71707380D01* +X164924047Y-71707380D02* +X164971666Y-71659761D01* +X165019285Y-71564523D01* +X165019285Y-71421666D01* +X164971666Y-71326428D01* +X164876428Y-71278809D01* +X164352619Y-71278809D01* +X164876428Y-71278809D02* +X164971666Y-71231190D01* +X165019285Y-71135952D01* +X165019285Y-70993095D01* +X164971666Y-70897857D01* +X164876428Y-70850238D01* +X164352619Y-70850238D01* +X165400238Y-69659761D02* +X164114523Y-70516904D01* +X164352619Y-69421666D02* +X165019285Y-68897857D01* +X165019285Y-69421666D02* +X164352619Y-68897857D01* +X165019285Y-68088333D02* +X164352619Y-68088333D01* +X165019285Y-68516904D02* +X164495476Y-68516904D01* +X164400238Y-68469285D01* +X164352619Y-68374047D01* +X164352619Y-68231190D01* +X164400238Y-68135952D01* +X164447857Y-68088333D01* +X165019285Y-67612142D02* +X164352619Y-67612142D01* +X164924047Y-67612142D02* +X164971666Y-67564523D01* +X165019285Y-67469285D01* +X165019285Y-67326428D01* +X164971666Y-67231190D01* +X164876428Y-67183571D01* +X164352619Y-67183571D01* +X164352619Y-66707380D02* +X165352619Y-66707380D01* +X164733571Y-66612142D02* +X164352619Y-66326428D01* +X165019285Y-66326428D02* +X164638333Y-66707380D01* +X164400238Y-65516904D02* +X164352619Y-65612142D01* +X164352619Y-65802619D01* +X164400238Y-65897857D01* +X164495476Y-65945476D01* +X164876428Y-65945476D01* +X164971666Y-65897857D01* +X165019285Y-65802619D01* +X165019285Y-65612142D01* +X164971666Y-65516904D01* +X164876428Y-65469285D01* +X164781190Y-65469285D01* +X164685952Y-65945476D01* +X164352619Y-65040714D02* +X165019285Y-65040714D01* +X164828809Y-65040714D02* +X164924047Y-64993095D01* +X164971666Y-64945476D01* +X165019285Y-64850238D01* +X165019285Y-64755000D01* +X162361666Y-74683571D02* +X162409285Y-74731190D01* +X162552142Y-74826428D01* +X162647380Y-74874047D01* +X162790238Y-74921666D01* +X163028333Y-74969285D01* +X163218809Y-74969285D01* +X163456904Y-74921666D01* +X163599761Y-74874047D01* +X163695000Y-74826428D01* +X163837857Y-74731190D01* +X163885476Y-74683571D01* +X162837857Y-73731190D02* +X162790238Y-73778809D01* +X162742619Y-73921666D01* +X162742619Y-74016904D01* +X162790238Y-74159761D01* +X162885476Y-74255000D01* +X162980714Y-74302619D01* +X163171190Y-74350238D01* +X163314047Y-74350238D01* +X163504523Y-74302619D01* +X163599761Y-74255000D01* +X163695000Y-74159761D01* +X163742619Y-74016904D01* +X163742619Y-73921666D01* +X163695000Y-73778809D01* +X163647380Y-73731190D01* +X162361666Y-73397857D02* +X162409285Y-73350238D01* +X162552142Y-73255000D01* +X162647380Y-73207380D01* +X162790238Y-73159761D01* +X163028333Y-73112142D01* +X163218809Y-73112142D01* +X163456904Y-73159761D01* +X163599761Y-73207380D01* +X163695000Y-73255000D01* +X163837857Y-73350238D01* +X163885476Y-73397857D01* +X163647380Y-71921666D02* +X163695000Y-71874047D01* +X163742619Y-71778809D01* +X163742619Y-71540714D01* +X163695000Y-71445476D01* +X163647380Y-71397857D01* +X163552142Y-71350238D01* +X163456904Y-71350238D01* +X163314047Y-71397857D01* +X162742619Y-71969285D01* +X162742619Y-71350238D01* +X163742619Y-70731190D02* +X163742619Y-70635952D01* +X163695000Y-70540714D01* +X163647380Y-70493095D01* +X163552142Y-70445476D01* +X163361666Y-70397857D01* +X163123571Y-70397857D01* +X162933095Y-70445476D01* +X162837857Y-70493095D01* +X162790238Y-70540714D01* +X162742619Y-70635952D01* +X162742619Y-70731190D01* +X162790238Y-70826428D01* +X162837857Y-70874047D01* +X162933095Y-70921666D01* +X163123571Y-70969285D01* +X163361666Y-70969285D01* +X163552142Y-70921666D01* +X163647380Y-70874047D01* +X163695000Y-70826428D01* +X163742619Y-70731190D01* +X163647380Y-70016904D02* +X163695000Y-69969285D01* +X163742619Y-69874047D01* +X163742619Y-69635952D01* +X163695000Y-69540714D01* +X163647380Y-69493095D01* +X163552142Y-69445476D01* +X163456904Y-69445476D01* +X163314047Y-69493095D01* +X162742619Y-70064523D01* +X162742619Y-69445476D01* +X163647380Y-69064523D02* +X163695000Y-69016904D01* +X163742619Y-68921666D01* +X163742619Y-68683571D01* +X163695000Y-68588333D01* +X163647380Y-68540714D01* +X163552142Y-68493095D01* +X163456904Y-68493095D01* +X163314047Y-68540714D01* +X162742619Y-69112142D01* +X162742619Y-68493095D01* +X161227857Y-77993095D02* +X161180238Y-78040714D01* +X161132619Y-78183571D01* +X161132619Y-78278809D01* +X161180238Y-78421666D01* +X161275476Y-78516904D01* +X161370714Y-78564523D01* +X161561190Y-78612142D01* +X161704047Y-78612142D01* +X161894523Y-78564523D01* +X161989761Y-78516904D01* +X162085000Y-78421666D01* +X162132619Y-78278809D01* +X162132619Y-78183571D01* +X162085000Y-78040714D01* +X162037380Y-77993095D01* +X161227857Y-76993095D02* +X161180238Y-77040714D01* +X161132619Y-77183571D01* +X161132619Y-77278809D01* +X161180238Y-77421666D01* +X161275476Y-77516904D01* +X161370714Y-77564523D01* +X161561190Y-77612142D01* +X161704047Y-77612142D01* +X161894523Y-77564523D01* +X161989761Y-77516904D01* +X162085000Y-77421666D01* +X162132619Y-77278809D01* +X162132619Y-77183571D01* +X162085000Y-77040714D01* +X162037380Y-76993095D01* +X161132619Y-75802619D02* +X162132619Y-75802619D01* +X161132619Y-75231190D01* +X162132619Y-75231190D01* +X161227857Y-74183571D02* +X161180238Y-74231190D01* +X161132619Y-74374047D01* +X161132619Y-74469285D01* +X161180238Y-74612142D01* +X161275476Y-74707380D01* +X161370714Y-74755000D01* +X161561190Y-74802619D01* +X161704047Y-74802619D01* +X161894523Y-74755000D01* +X161989761Y-74707380D01* +X162085000Y-74612142D01* +X162132619Y-74469285D01* +X162132619Y-74374047D01* +X162085000Y-74231190D01* +X162037380Y-74183571D01* +X161513571Y-73755000D02* +X161513571Y-72993095D01* +X161656428Y-72183571D02* +X161608809Y-72040714D01* +X161561190Y-71993095D01* +X161465952Y-71945476D01* +X161323095Y-71945476D01* +X161227857Y-71993095D01* +X161180238Y-72040714D01* +X161132619Y-72135952D01* +X161132619Y-72516904D01* +X162132619Y-72516904D01* +X162132619Y-72183571D01* +X162085000Y-72088333D01* +X162037380Y-72040714D01* +X161942142Y-71993095D01* +X161846904Y-71993095D01* +X161751666Y-72040714D01* +X161704047Y-72088333D01* +X161656428Y-72183571D01* +X161656428Y-72516904D01* +X161608809Y-71326428D02* +X161132619Y-71326428D01* +X162132619Y-71659761D02* +X161608809Y-71326428D01* +X162132619Y-70993095D01* +X161513571Y-70659761D02* +X161513571Y-69897857D01* +X161180238Y-69469285D02* +X161132619Y-69326428D01* +X161132619Y-69088333D01* +X161180238Y-68993095D01* +X161227857Y-68945476D01* +X161323095Y-68897857D01* +X161418333Y-68897857D01* +X161513571Y-68945476D01* +X161561190Y-68993095D01* +X161608809Y-69088333D01* +X161656428Y-69278809D01* +X161704047Y-69374047D01* +X161751666Y-69421666D01* +X161846904Y-69469285D01* +X161942142Y-69469285D01* +X162037380Y-69421666D01* +X162085000Y-69374047D01* +X162132619Y-69278809D01* +X162132619Y-69040714D01* +X162085000Y-68897857D01* +X161418333Y-68516904D02* +X161418333Y-68040714D01* +X161132619Y-68612142D02* +X162132619Y-68278809D01* +X161132619Y-67945476D01* +X161799285Y-66421666D02* +X161132619Y-66421666D01* +X162180238Y-66659761D02* +X161465952Y-66897857D01* +X161465952Y-66278809D01* +X161227857Y-65897857D02* +X161180238Y-65850238D01* +X161132619Y-65897857D01* +X161180238Y-65945476D01* +X161227857Y-65897857D01* +X161132619Y-65897857D01* +X162132619Y-65231190D02* +X162132619Y-65135952D01* +X162085000Y-65040714D01* +X162037380Y-64993095D01* +X161942142Y-64945476D01* +X161751666Y-64897857D01* +X161513571Y-64897857D01* +X161323095Y-64945476D01* +X161227857Y-64993095D01* +X161180238Y-65040714D01* +X161132619Y-65135952D01* +X161132619Y-65231190D01* +X161180238Y-65326428D01* +X161227857Y-65374047D01* +X161323095Y-65421666D01* +X161513571Y-65469285D01* +X161751666Y-65469285D01* +X161942142Y-65421666D01* +X162037380Y-65374047D01* +X162085000Y-65326428D01* +X162132619Y-65231190D01* +X146013142Y-98185000D02* +X146013142Y-98356428D01* +X146056000Y-98442142D01* +X146141714Y-98527857D01* +X146313142Y-98570714D01* +X146613142Y-98570714D01* +X146784571Y-98527857D01* +X146870285Y-98442142D01* +X146913142Y-98356428D01* +X146913142Y-98185000D01* +X146870285Y-98099285D01* +X146784571Y-98013571D01* +X146613142Y-97970714D01* +X146313142Y-97970714D01* +X146141714Y-98013571D01* +X146056000Y-98099285D01* +X146013142Y-98185000D01* +X146913142Y-98956428D02* +X146013142Y-98956428D01* +X146913142Y-99470714D01* +X146013142Y-99470714D01* +X146913142Y-100327857D02* +X146913142Y-99899285D01* +X146013142Y-99899285D01* +X146484571Y-100799285D02* +X146913142Y-100799285D01* +X146013142Y-100499285D02* +X146484571Y-100799285D01* +X146013142Y-101099285D01* +X146870285Y-102470714D02* +X146913142Y-102385000D01* +X146913142Y-102213571D01* +X146870285Y-102127857D01* +X146827428Y-102085000D01* +X146741714Y-102042142D01* +X146484571Y-102042142D01* +X146398857Y-102085000D01* +X146356000Y-102127857D01* +X146313142Y-102213571D01* +X146313142Y-102385000D01* +X146356000Y-102470714D01* +X146913142Y-102856428D02* +X146013142Y-102856428D01* +X146913142Y-103242142D02* +X146441714Y-103242142D01* +X146356000Y-103199285D01* +X146313142Y-103113571D01* +X146313142Y-102985000D01* +X146356000Y-102899285D01* +X146398857Y-102856428D01* +X146913142Y-104056428D02* +X146441714Y-104056428D01* +X146356000Y-104013571D01* +X146313142Y-103927857D01* +X146313142Y-103756428D01* +X146356000Y-103670714D01* +X146870285Y-104056428D02* +X146913142Y-103970714D01* +X146913142Y-103756428D01* +X146870285Y-103670714D01* +X146784571Y-103627857D01* +X146698857Y-103627857D01* +X146613142Y-103670714D01* +X146570285Y-103756428D01* +X146570285Y-103970714D01* +X146527428Y-104056428D01* +X146313142Y-104485000D02* +X146913142Y-104485000D01* +X146398857Y-104485000D02* +X146356000Y-104527857D01* +X146313142Y-104613571D01* +X146313142Y-104742142D01* +X146356000Y-104827857D01* +X146441714Y-104870714D01* +X146913142Y-104870714D01* +X146313142Y-105685000D02* +X147041714Y-105685000D01* +X147127428Y-105642142D01* +X147170285Y-105599285D01* +X147213142Y-105513571D01* +X147213142Y-105385000D01* +X147170285Y-105299285D01* +X146870285Y-105685000D02* +X146913142Y-105599285D01* +X146913142Y-105427857D01* +X146870285Y-105342142D01* +X146827428Y-105299285D01* +X146741714Y-105256428D01* +X146484571Y-105256428D01* +X146398857Y-105299285D01* +X146356000Y-105342142D01* +X146313142Y-105427857D01* +X146313142Y-105599285D01* +X146356000Y-105685000D01* +X146870285Y-106456428D02* +X146913142Y-106370714D01* +X146913142Y-106199285D01* +X146870285Y-106113571D01* +X146784571Y-106070714D01* +X146441714Y-106070714D01* +X146356000Y-106113571D01* +X146313142Y-106199285D01* +X146313142Y-106370714D01* +X146356000Y-106456428D01* +X146441714Y-106499285D01* +X146527428Y-106499285D01* +X146613142Y-106070714D01* +X148362142Y-93299285D02* +X147462142Y-93299285D01* +X148105000Y-93599285D01* +X147462142Y-93899285D01* +X148362142Y-93899285D01* +X148362142Y-94713571D02* +X147890714Y-94713571D01* +X147805000Y-94670714D01* +X147762142Y-94585000D01* +X147762142Y-94413571D01* +X147805000Y-94327857D01* +X148319285Y-94713571D02* +X148362142Y-94627857D01* +X148362142Y-94413571D01* +X148319285Y-94327857D01* +X148233571Y-94285000D01* +X148147857Y-94285000D01* +X148062142Y-94327857D01* +X148019285Y-94413571D01* +X148019285Y-94627857D01* +X147976428Y-94713571D01* +X148319285Y-95099285D02* +X148362142Y-95185000D01* +X148362142Y-95356428D01* +X148319285Y-95442142D01* +X148233571Y-95485000D01* +X148190714Y-95485000D01* +X148105000Y-95442142D01* +X148062142Y-95356428D01* +X148062142Y-95227857D01* +X148019285Y-95142142D01* +X147933571Y-95099285D01* +X147890714Y-95099285D01* +X147805000Y-95142142D01* +X147762142Y-95227857D01* +X147762142Y-95356428D01* +X147805000Y-95442142D01* +X147762142Y-95742142D02* +X147762142Y-96085000D01* +X147462142Y-95870714D02* +X148233571Y-95870714D01* +X148319285Y-95913571D01* +X148362142Y-95999285D01* +X148362142Y-96085000D01* +X148319285Y-96727857D02* +X148362142Y-96642142D01* +X148362142Y-96470714D01* +X148319285Y-96385000D01* +X148233571Y-96342142D01* +X147890714Y-96342142D01* +X147805000Y-96385000D01* +X147762142Y-96470714D01* +X147762142Y-96642142D01* +X147805000Y-96727857D01* +X147890714Y-96770714D01* +X147976428Y-96770714D01* +X148062142Y-96342142D01* +X148362142Y-97156428D02* +X147762142Y-97156428D01* +X147933571Y-97156428D02* +X147847857Y-97199285D01* +X147805000Y-97242142D01* +X147762142Y-97327857D01* +X147762142Y-97413571D01* +X148362142Y-98399285D02* +X147462142Y-98399285D01* +X147462142Y-98613571D01* +X147505000Y-98742142D01* +X147590714Y-98827857D01* +X147676428Y-98870714D01* +X147847857Y-98913571D01* +X147976428Y-98913571D01* +X148147857Y-98870714D01* +X148233571Y-98827857D01* +X148319285Y-98742142D01* +X148362142Y-98613571D01* +X148362142Y-98399285D01* +X148362142Y-99299285D02* +X147762142Y-99299285D01* +X147933571Y-99299285D02* +X147847857Y-99342142D01* +X147805000Y-99385000D01* +X147762142Y-99470714D01* +X147762142Y-99556428D01* +X148362142Y-99856428D02* +X147762142Y-99856428D01* +X147462142Y-99856428D02* +X147505000Y-99813571D01* +X147547857Y-99856428D01* +X147505000Y-99899285D01* +X147462142Y-99856428D01* +X147547857Y-99856428D01* +X147762142Y-100199285D02* +X148362142Y-100413571D01* +X147762142Y-100627857D01* +X148319285Y-101313571D02* +X148362142Y-101227857D01* +X148362142Y-101056428D01* +X148319285Y-100970714D01* +X148233571Y-100927857D01* +X147890714Y-100927857D01* +X147805000Y-100970714D01* +X147762142Y-101056428D01* +X147762142Y-101227857D01* +X147805000Y-101313571D01* +X147890714Y-101356428D01* +X147976428Y-101356428D01* +X148062142Y-100927857D01* +X148319285Y-102385000D02* +X148362142Y-102513571D01* +X148362142Y-102727857D01* +X148319285Y-102813571D01* +X148276428Y-102856428D01* +X148190714Y-102899285D01* +X148105000Y-102899285D01* +X148019285Y-102856428D01* +X147976428Y-102813571D01* +X147933571Y-102727857D01* +X147890714Y-102556428D01* +X147847857Y-102470714D01* +X147805000Y-102427857D01* +X147719285Y-102385000D01* +X147633571Y-102385000D01* +X147547857Y-102427857D01* +X147505000Y-102470714D01* +X147462142Y-102556428D01* +X147462142Y-102770714D01* +X147505000Y-102899285D01* +X148319285Y-103627857D02* +X148362142Y-103542142D01* +X148362142Y-103370714D01* +X148319285Y-103285000D01* +X148233571Y-103242142D01* +X147890714Y-103242142D01* +X147805000Y-103285000D01* +X147762142Y-103370714D01* +X147762142Y-103542142D01* +X147805000Y-103627857D01* +X147890714Y-103670714D01* +X147976428Y-103670714D01* +X148062142Y-103242142D01* +X148362142Y-104185000D02* +X148319285Y-104099285D01* +X148233571Y-104056428D01* +X147462142Y-104056428D01* +X148319285Y-104870714D02* +X148362142Y-104785000D01* +X148362142Y-104613571D01* +X148319285Y-104527857D01* +X148233571Y-104485000D01* +X147890714Y-104485000D01* +X147805000Y-104527857D01* +X147762142Y-104613571D01* +X147762142Y-104785000D01* +X147805000Y-104870714D01* +X147890714Y-104913571D01* +X147976428Y-104913571D01* +X148062142Y-104485000D01* +X148319285Y-105685000D02* +X148362142Y-105599285D01* +X148362142Y-105427857D01* +X148319285Y-105342142D01* +X148276428Y-105299285D01* +X148190714Y-105256428D01* +X147933571Y-105256428D01* +X147847857Y-105299285D01* +X147805000Y-105342142D01* +X147762142Y-105427857D01* +X147762142Y-105599285D01* +X147805000Y-105685000D01* +X147762142Y-105942142D02* +X147762142Y-106285000D01* +X147462142Y-106070714D02* +X148233571Y-106070714D01* +X148319285Y-106113571D01* +X148362142Y-106199285D01* +X148362142Y-106285000D01* +X148319285Y-107227857D02* +X148362142Y-107356428D01* +X148362142Y-107570714D01* +X148319285Y-107656428D01* +X148276428Y-107699285D01* +X148190714Y-107742142D01* +X148105000Y-107742142D01* +X148019285Y-107699285D01* +X147976428Y-107656428D01* +X147933571Y-107570714D01* +X147890714Y-107399285D01* +X147847857Y-107313571D01* +X147805000Y-107270714D01* +X147719285Y-107227857D01* +X147633571Y-107227857D01* +X147547857Y-107270714D01* +X147505000Y-107313571D01* +X147462142Y-107399285D01* +X147462142Y-107613571D01* +X147505000Y-107742142D01* +X147762142Y-108042142D02* +X148362142Y-108213571D01* +X147933571Y-108385000D01* +X148362142Y-108556428D01* +X147762142Y-108727857D01* +X148362142Y-109070714D02* +X147762142Y-109070714D01* +X147462142Y-109070714D02* +X147505000Y-109027857D01* +X147547857Y-109070714D01* +X147505000Y-109113571D01* +X147462142Y-109070714D01* +X147547857Y-109070714D01* +X147762142Y-109370714D02* +X147762142Y-109713571D01* +X147462142Y-109499285D02* +X148233571Y-109499285D01* +X148319285Y-109542142D01* +X148362142Y-109627857D01* +X148362142Y-109713571D01* +X148319285Y-110399285D02* +X148362142Y-110313571D01* +X148362142Y-110142142D01* +X148319285Y-110056428D01* +X148276428Y-110013571D01* +X148190714Y-109970714D01* +X147933571Y-109970714D01* +X147847857Y-110013571D01* +X147805000Y-110056428D01* +X147762142Y-110142142D01* +X147762142Y-110313571D01* +X147805000Y-110399285D01* +X148362142Y-110785000D02* +X147462142Y-110785000D01* +X148362142Y-111170714D02* +X147890714Y-111170714D01* +X147805000Y-111127857D01* +X147762142Y-111042142D01* +X147762142Y-110913571D01* +X147805000Y-110827857D01* +X147847857Y-110785000D01* +X149211142Y-93192142D02* +X149811142Y-93363571D01* +X149382571Y-93535000D01* +X149811142Y-93706428D01* +X149211142Y-93877857D01* +X149811142Y-94220714D02* +X148911142Y-94220714D01* +X149811142Y-94606428D02* +X149339714Y-94606428D01* +X149254000Y-94563571D01* +X149211142Y-94477857D01* +X149211142Y-94349285D01* +X149254000Y-94263571D01* +X149296857Y-94220714D01* +X149811142Y-95035000D02* +X149211142Y-95035000D01* +X148911142Y-95035000D02* +X148954000Y-94992142D01* +X148996857Y-95035000D01* +X148954000Y-95077857D01* +X148911142Y-95035000D01* +X148996857Y-95035000D01* +X149811142Y-95592142D02* +X149768285Y-95506428D01* +X149682571Y-95463571D01* +X148911142Y-95463571D01* +X149768285Y-96277857D02* +X149811142Y-96192142D01* +X149811142Y-96020714D01* +X149768285Y-95935000D01* +X149682571Y-95892142D01* +X149339714Y-95892142D01* +X149254000Y-95935000D01* +X149211142Y-96020714D01* +X149211142Y-96192142D01* +X149254000Y-96277857D01* +X149339714Y-96320714D01* +X149425428Y-96320714D01* +X149511142Y-95892142D01* +X149768285Y-97349285D02* +X149811142Y-97435000D01* +X149811142Y-97606428D01* +X149768285Y-97692142D01* +X149682571Y-97735000D01* +X149639714Y-97735000D01* +X149554000Y-97692142D01* +X149511142Y-97606428D01* +X149511142Y-97477857D01* +X149468285Y-97392142D01* +X149382571Y-97349285D01* +X149339714Y-97349285D01* +X149254000Y-97392142D01* +X149211142Y-97477857D01* +X149211142Y-97606428D01* +X149254000Y-97692142D01* +X149211142Y-98035000D02* +X149811142Y-98249285D01* +X149211142Y-98463571D02* +X149811142Y-98249285D01* +X150025428Y-98163571D01* +X150068285Y-98120714D01* +X150111142Y-98035000D01* +X149768285Y-98763571D02* +X149811142Y-98849285D01* +X149811142Y-99020714D01* +X149768285Y-99106428D01* +X149682571Y-99149285D01* +X149639714Y-99149285D01* +X149554000Y-99106428D01* +X149511142Y-99020714D01* +X149511142Y-98892142D01* +X149468285Y-98806428D01* +X149382571Y-98763571D01* +X149339714Y-98763571D01* +X149254000Y-98806428D01* +X149211142Y-98892142D01* +X149211142Y-99020714D01* +X149254000Y-99106428D01* +X149211142Y-99406428D02* +X149211142Y-99749285D01* +X148911142Y-99535000D02* +X149682571Y-99535000D01* +X149768285Y-99577857D01* +X149811142Y-99663571D01* +X149811142Y-99749285D01* +X149768285Y-100392142D02* +X149811142Y-100306428D01* +X149811142Y-100135000D01* +X149768285Y-100049285D01* +X149682571Y-100006428D01* +X149339714Y-100006428D01* +X149254000Y-100049285D01* +X149211142Y-100135000D01* +X149211142Y-100306428D01* +X149254000Y-100392142D01* +X149339714Y-100435000D01* +X149425428Y-100435000D01* +X149511142Y-100006428D01* +X149811142Y-100820714D02* +X149211142Y-100820714D01* +X149296857Y-100820714D02* +X149254000Y-100863571D01* +X149211142Y-100949285D01* +X149211142Y-101077857D01* +X149254000Y-101163571D01* +X149339714Y-101206428D01* +X149811142Y-101206428D01* +X149339714Y-101206428D02* +X149254000Y-101249285D01* +X149211142Y-101335000D01* +X149211142Y-101463571D01* +X149254000Y-101549285D01* +X149339714Y-101592142D01* +X149811142Y-101592142D01* +X149211142Y-102706428D02* +X150111142Y-102706428D01* +X149254000Y-102706428D02* +X149211142Y-102792142D01* +X149211142Y-102963571D01* +X149254000Y-103049285D01* +X149296857Y-103092142D01* +X149382571Y-103135000D01* +X149639714Y-103135000D01* +X149725428Y-103092142D01* +X149768285Y-103049285D01* +X149811142Y-102963571D01* +X149811142Y-102792142D01* +X149768285Y-102706428D01* +X149811142Y-103649285D02* +X149768285Y-103563571D01* +X149725428Y-103520714D01* +X149639714Y-103477857D01* +X149382571Y-103477857D01* +X149296857Y-103520714D01* +X149254000Y-103563571D01* +X149211142Y-103649285D01* +X149211142Y-103777857D01* +X149254000Y-103863571D01* +X149296857Y-103906428D01* +X149382571Y-103949285D01* +X149639714Y-103949285D01* +X149725428Y-103906428D01* +X149768285Y-103863571D01* +X149811142Y-103777857D01* +X149811142Y-103649285D01* +X149211142Y-104249285D02* +X149811142Y-104420714D01* +X149382571Y-104592142D01* +X149811142Y-104763571D01* +X149211142Y-104935000D01* +X149768285Y-105620714D02* +X149811142Y-105535000D01* +X149811142Y-105363571D01* +X149768285Y-105277857D01* +X149682571Y-105235000D01* +X149339714Y-105235000D01* +X149254000Y-105277857D01* +X149211142Y-105363571D01* +X149211142Y-105535000D01* +X149254000Y-105620714D01* +X149339714Y-105663571D01* +X149425428Y-105663571D01* +X149511142Y-105235000D01* +X149811142Y-106049285D02* +X149211142Y-106049285D01* +X149382571Y-106049285D02* +X149296857Y-106092142D01* +X149254000Y-106135000D01* +X149211142Y-106220714D01* +X149211142Y-106306428D01* +X149811142Y-107292142D02* +X149211142Y-107292142D01* +X148911142Y-107292142D02* +X148954000Y-107249285D01* +X148996857Y-107292142D01* +X148954000Y-107335000D01* +X148911142Y-107292142D01* +X148996857Y-107292142D01* +X149768285Y-107677857D02* +X149811142Y-107763571D01* +X149811142Y-107935000D01* +X149768285Y-108020714D01* +X149682571Y-108063571D01* +X149639714Y-108063571D01* +X149554000Y-108020714D01* +X149511142Y-107935000D01* +X149511142Y-107806428D01* +X149468285Y-107720714D01* +X149382571Y-107677857D01* +X149339714Y-107677857D01* +X149254000Y-107720714D01* +X149211142Y-107806428D01* +X149211142Y-107935000D01* +X149254000Y-108020714D01* +X148911142Y-109306428D02* +X148911142Y-109477857D01* +X148954000Y-109563571D01* +X149039714Y-109649285D01* +X149211142Y-109692142D01* +X149511142Y-109692142D01* +X149682571Y-109649285D01* +X149768285Y-109563571D01* +X149811142Y-109477857D01* +X149811142Y-109306428D01* +X149768285Y-109220714D01* +X149682571Y-109135000D01* +X149511142Y-109092142D01* +X149211142Y-109092142D01* +X149039714Y-109135000D01* +X148954000Y-109220714D01* +X148911142Y-109306428D01* +X149339714Y-110377857D02* +X149339714Y-110077857D01* +X149811142Y-110077857D02* +X148911142Y-110077857D01* +X148911142Y-110506428D01* +X149339714Y-111149285D02* +X149339714Y-110849285D01* +X149811142Y-110849285D02* +X148911142Y-110849285D01* +X148911142Y-111277857D01* +X148229785Y-61974642D02* +X148272642Y-62103214D01* +X148272642Y-62317500D01* +X148229785Y-62403214D01* +X148186928Y-62446071D01* +X148101214Y-62488928D01* +X148015500Y-62488928D01* +X147929785Y-62446071D01* +X147886928Y-62403214D01* +X147844071Y-62317500D01* +X147801214Y-62146071D01* +X147758357Y-62060357D01* +X147715500Y-62017500D01* +X147629785Y-61974642D01* +X147544071Y-61974642D01* +X147458357Y-62017500D01* +X147415500Y-62060357D01* +X147372642Y-62146071D01* +X147372642Y-62360357D01* +X147415500Y-62488928D01* +X148229785Y-63217500D02* +X148272642Y-63131785D01* +X148272642Y-62960357D01* +X148229785Y-62874642D01* +X148144071Y-62831785D01* +X147801214Y-62831785D01* +X147715500Y-62874642D01* +X147672642Y-62960357D01* +X147672642Y-63131785D01* +X147715500Y-63217500D01* +X147801214Y-63260357D01* +X147886928Y-63260357D01* +X147972642Y-62831785D01* +X147672642Y-63517500D02* +X147672642Y-63860357D01* +X147372642Y-63646071D02* +X148144071Y-63646071D01* +X148229785Y-63688928D01* +X148272642Y-63774642D01* +X148272642Y-63860357D01* +X148272642Y-64846071D02* +X147372642Y-64846071D01* +X147715500Y-64846071D02* +X147672642Y-64931785D01* +X147672642Y-65103214D01* +X147715500Y-65188928D01* +X147758357Y-65231785D01* +X147844071Y-65274642D01* +X148101214Y-65274642D01* +X148186928Y-65231785D01* +X148229785Y-65188928D01* +X148272642Y-65103214D01* +X148272642Y-64931785D01* +X148229785Y-64846071D01* +X148272642Y-65788928D02* +X148229785Y-65703214D01* +X148186928Y-65660357D01* +X148101214Y-65617500D01* +X147844071Y-65617500D01* +X147758357Y-65660357D01* +X147715500Y-65703214D01* +X147672642Y-65788928D01* +X147672642Y-65917500D01* +X147715500Y-66003214D01* +X147758357Y-66046071D01* +X147844071Y-66088928D01* +X148101214Y-66088928D01* +X148186928Y-66046071D01* +X148229785Y-66003214D01* +X148272642Y-65917500D01* +X148272642Y-65788928D01* +X147672642Y-66346071D02* +X147672642Y-66688928D01* +X147372642Y-66474642D02* +X148144071Y-66474642D01* +X148229785Y-66517500D01* +X148272642Y-66603214D01* +X148272642Y-66688928D01* +X148272642Y-66988928D02* +X147372642Y-66988928D01* +X148272642Y-67374642D02* +X147801214Y-67374642D01* +X147715500Y-67331785D01* +X147672642Y-67246071D01* +X147672642Y-67117500D01* +X147715500Y-67031785D01* +X147758357Y-66988928D01* +X148229785Y-68874642D02* +X148272642Y-68788928D01* +X148272642Y-68617500D01* +X148229785Y-68531785D01* +X148186928Y-68488928D01* +X148101214Y-68446071D01* +X147844071Y-68446071D01* +X147758357Y-68488928D01* +X147715500Y-68531785D01* +X147672642Y-68617500D01* +X147672642Y-68788928D01* +X147715500Y-68874642D01* +X148272642Y-69388928D02* +X148229785Y-69303214D01* +X148186928Y-69260357D01* +X148101214Y-69217500D01* +X147844071Y-69217500D01* +X147758357Y-69260357D01* +X147715500Y-69303214D01* +X147672642Y-69388928D01* +X147672642Y-69517500D01* +X147715500Y-69603214D01* +X147758357Y-69646071D01* +X147844071Y-69688928D01* +X148101214Y-69688928D01* +X148186928Y-69646071D01* +X148229785Y-69603214D01* +X148272642Y-69517500D01* +X148272642Y-69388928D01* +X147672642Y-70074642D02* +X148272642Y-70074642D01* +X147758357Y-70074642D02* +X147715500Y-70117500D01* +X147672642Y-70203214D01* +X147672642Y-70331785D01* +X147715500Y-70417500D01* +X147801214Y-70460357D01* +X148272642Y-70460357D01* +X147672642Y-70888928D02* +X148272642Y-70888928D01* +X147758357Y-70888928D02* +X147715500Y-70931785D01* +X147672642Y-71017500D01* +X147672642Y-71146071D01* +X147715500Y-71231785D01* +X147801214Y-71274642D01* +X148272642Y-71274642D01* +X148229785Y-72046071D02* +X148272642Y-71960357D01* +X148272642Y-71788928D01* +X148229785Y-71703214D01* +X148144071Y-71660357D01* +X147801214Y-71660357D01* +X147715500Y-71703214D01* +X147672642Y-71788928D01* +X147672642Y-71960357D01* +X147715500Y-72046071D01* +X147801214Y-72088928D01* +X147886928Y-72088928D01* +X147972642Y-71660357D01* +X148229785Y-72860357D02* +X148272642Y-72774642D01* +X148272642Y-72603214D01* +X148229785Y-72517500D01* +X148186928Y-72474642D01* +X148101214Y-72431785D01* +X147844071Y-72431785D01* +X147758357Y-72474642D01* +X147715500Y-72517500D01* +X147672642Y-72603214D01* +X147672642Y-72774642D01* +X147715500Y-72860357D01* +X147672642Y-73117500D02* +X147672642Y-73460357D01* +X147372642Y-73246071D02* +X148144071Y-73246071D01* +X148229785Y-73288928D01* +X148272642Y-73374642D01* +X148272642Y-73460357D01* +X148229785Y-74103214D02* +X148272642Y-74017500D01* +X148272642Y-73846071D01* +X148229785Y-73760357D01* +X148144071Y-73717500D01* +X147801214Y-73717500D01* +X147715500Y-73760357D01* +X147672642Y-73846071D01* +X147672642Y-74017500D01* +X147715500Y-74103214D01* +X147801214Y-74146071D01* +X147886928Y-74146071D01* +X147972642Y-73717500D01* +X148272642Y-74917500D02* +X147372642Y-74917500D01* +X148229785Y-74917500D02* +X148272642Y-74831785D01* +X148272642Y-74660357D01* +X148229785Y-74574642D01* +X148186928Y-74531785D01* +X148101214Y-74488928D01* +X147844071Y-74488928D01* +X147758357Y-74531785D01* +X147715500Y-74574642D01* +X147672642Y-74660357D01* +X147672642Y-74831785D01* +X147715500Y-74917500D01* +X148272642Y-76417500D02* +X147372642Y-76417500D01* +X148229785Y-76417500D02* +X148272642Y-76331785D01* +X148272642Y-76160357D01* +X148229785Y-76074642D01* +X148186928Y-76031785D01* +X148101214Y-75988928D01* +X147844071Y-75988928D01* +X147758357Y-76031785D01* +X147715500Y-76074642D01* +X147672642Y-76160357D01* +X147672642Y-76331785D01* +X147715500Y-76417500D01* +X148272642Y-76846071D02* +X147672642Y-76846071D01* +X147844071Y-76846071D02* +X147758357Y-76888928D01* +X147715500Y-76931785D01* +X147672642Y-77017500D01* +X147672642Y-77103214D01* +X148272642Y-77403214D02* +X147672642Y-77403214D01* +X147372642Y-77403214D02* +X147415500Y-77360357D01* +X147458357Y-77403214D01* +X147415500Y-77446071D01* +X147372642Y-77403214D01* +X147458357Y-77403214D01* +X147672642Y-77746071D02* +X148272642Y-77960357D01* +X147672642Y-78174642D01* +X148229785Y-78860357D02* +X148272642Y-78774642D01* +X148272642Y-78603214D01* +X148229785Y-78517500D01* +X148144071Y-78474642D01* +X147801214Y-78474642D01* +X147715500Y-78517500D01* +X147672642Y-78603214D01* +X147672642Y-78774642D01* +X147715500Y-78860357D01* +X147801214Y-78903214D01* +X147886928Y-78903214D01* +X147972642Y-78474642D01* +X148229785Y-79246071D02* +X148272642Y-79331785D01* +X148272642Y-79503214D01* +X148229785Y-79588928D01* +X148144071Y-79631785D01* +X148101214Y-79631785D01* +X148015500Y-79588928D01* +X147972642Y-79503214D01* +X147972642Y-79374642D01* +X147929785Y-79288928D01* +X147844071Y-79246071D01* +X147801214Y-79246071D01* +X147715500Y-79288928D01* +X147672642Y-79374642D01* +X147672642Y-79503214D01* +X147715500Y-79588928D01* +X147672642Y-80574642D02* +X147672642Y-80917500D01* +X147372642Y-80703214D02* +X148144071Y-80703214D01* +X148229785Y-80746071D01* +X148272642Y-80831785D01* +X148272642Y-80917500D01* +X148272642Y-81346071D02* +X148229785Y-81260357D01* +X148186928Y-81217500D01* +X148101214Y-81174642D01* +X147844071Y-81174642D01* +X147758357Y-81217500D01* +X147715500Y-81260357D01* +X147672642Y-81346071D01* +X147672642Y-81474642D01* +X147715500Y-81560357D01* +X147758357Y-81603214D01* +X147844071Y-81646071D01* +X148101214Y-81646071D01* +X148186928Y-81603214D01* +X148229785Y-81560357D01* +X148272642Y-81474642D01* +X148272642Y-81346071D01* +X148821642Y-60903214D02* +X148993071Y-60903214D01* +X148821642Y-61246071D02* +X148993071Y-61246071D01* +X149635928Y-62146071D02* +X149678785Y-62103214D01* +X149721642Y-61974642D01* +X149721642Y-61888928D01* +X149678785Y-61760357D01* +X149593071Y-61674642D01* +X149507357Y-61631785D01* +X149335928Y-61588928D01* +X149207357Y-61588928D01* +X149035928Y-61631785D01* +X148950214Y-61674642D01* +X148864500Y-61760357D01* +X148821642Y-61888928D01* +X148821642Y-61974642D01* +X148864500Y-62103214D01* +X148907357Y-62146071D01* +X149678785Y-62488928D02* +X149721642Y-62617500D01* +X149721642Y-62831785D01* +X149678785Y-62917500D01* +X149635928Y-62960357D01* +X149550214Y-63003214D01* +X149464500Y-63003214D01* +X149378785Y-62960357D01* +X149335928Y-62917500D01* +X149293071Y-62831785D01* +X149250214Y-62660357D01* +X149207357Y-62574642D01* +X149164500Y-62531785D01* +X149078785Y-62488928D01* +X148993071Y-62488928D01* +X148907357Y-62531785D01* +X148864500Y-62574642D01* +X148821642Y-62660357D01* +X148821642Y-62874642D01* +X148864500Y-63003214D01* +X148821642Y-63346071D02* +X148993071Y-63346071D01* +X148821642Y-63688928D02* +X148993071Y-63688928D01* +X149721642Y-64888928D02* +X149678785Y-64803214D01* +X149635928Y-64760357D01* +X149550214Y-64717500D01* +X149293071Y-64717500D01* +X149207357Y-64760357D01* +X149164500Y-64803214D01* +X149121642Y-64888928D01* +X149121642Y-65017500D01* +X149164500Y-65103214D01* +X149207357Y-65146071D01* +X149293071Y-65188928D01* +X149550214Y-65188928D01* +X149635928Y-65146071D01* +X149678785Y-65103214D01* +X149721642Y-65017500D01* +X149721642Y-64888928D01* +X149721642Y-65574642D02* +X149121642Y-65574642D01* +X149293071Y-65574642D02* +X149207357Y-65617500D01* +X149164500Y-65660357D01* +X149121642Y-65746071D01* +X149121642Y-65831785D01* +X148821642Y-66774642D02* +X148993071Y-66774642D01* +X148821642Y-67117500D02* +X148993071Y-67117500D01* +X149635928Y-68017500D02* +X149678785Y-67974642D01* +X149721642Y-67846071D01* +X149721642Y-67760357D01* +X149678785Y-67631785D01* +X149593071Y-67546071D01* +X149507357Y-67503214D01* +X149335928Y-67460357D01* +X149207357Y-67460357D01* +X149035928Y-67503214D01* +X148950214Y-67546071D01* +X148864500Y-67631785D01* +X148821642Y-67760357D01* +X148821642Y-67846071D01* +X148864500Y-67974642D01* +X148907357Y-68017500D01* +X149678785Y-68360357D02* +X149721642Y-68488928D01* +X149721642Y-68703214D01* +X149678785Y-68788928D01* +X149635928Y-68831785D01* +X149550214Y-68874642D01* +X149464500Y-68874642D01* +X149378785Y-68831785D01* +X149335928Y-68788928D01* +X149293071Y-68703214D01* +X149250214Y-68531785D01* +X149207357Y-68446071D01* +X149164500Y-68403214D01* +X149078785Y-68360357D01* +X148993071Y-68360357D01* +X148907357Y-68403214D01* +X148864500Y-68446071D01* +X148821642Y-68531785D01* +X148821642Y-68746071D01* +X148864500Y-68874642D01* +X149250214Y-69260357D02* +X149250214Y-69560357D01* +X149721642Y-69688928D02* +X149721642Y-69260357D01* +X148821642Y-69260357D01* +X148821642Y-69688928D01* +X149721642Y-70503214D02* +X149721642Y-70074642D01* +X148821642Y-70074642D01* +X148821642Y-70760357D02* +X148993071Y-70760357D01* +X148821642Y-71103214D02* +X148993071Y-71103214D01* +X149121642Y-72174642D02* +X149893071Y-72174642D01* +X149978785Y-72131785D01* +X150021642Y-72046071D01* +X150021642Y-72003214D01* +X148821642Y-72174642D02* +X148864500Y-72131785D01* +X148907357Y-72174642D01* +X148864500Y-72217500D01* +X148821642Y-72174642D01* +X148907357Y-72174642D01* +X149121642Y-72988928D02* +X149721642Y-72988928D01* +X149121642Y-72603214D02* +X149593071Y-72603214D01* +X149678785Y-72646071D01* +X149721642Y-72731785D01* +X149721642Y-72860357D01* +X149678785Y-72946071D01* +X149635928Y-72988928D01* +X149721642Y-73417500D02* +X149121642Y-73417500D01* +X149207357Y-73417500D02* +X149164500Y-73460357D01* +X149121642Y-73546071D01* +X149121642Y-73674642D01* +X149164500Y-73760357D01* +X149250214Y-73803214D01* +X149721642Y-73803214D01* +X149250214Y-73803214D02* +X149164500Y-73846071D01* +X149121642Y-73931785D01* +X149121642Y-74060357D01* +X149164500Y-74146071D01* +X149250214Y-74188928D01* +X149721642Y-74188928D01* +X149121642Y-74617500D02* +X150021642Y-74617500D01* +X149164500Y-74617500D02* +X149121642Y-74703214D01* +X149121642Y-74874642D01* +X149164500Y-74960357D01* +X149207357Y-75003214D01* +X149293071Y-75046071D01* +X149550214Y-75046071D01* +X149635928Y-75003214D01* +X149678785Y-74960357D01* +X149721642Y-74874642D01* +X149721642Y-74703214D01* +X149678785Y-74617500D01* +X149678785Y-75774642D02* +X149721642Y-75688928D01* +X149721642Y-75517500D01* +X149678785Y-75431785D01* +X149593071Y-75388928D01* +X149250214Y-75388928D01* +X149164500Y-75431785D01* +X149121642Y-75517500D01* +X149121642Y-75688928D01* +X149164500Y-75774642D01* +X149250214Y-75817500D01* +X149335928Y-75817500D01* +X149421642Y-75388928D01* +X149721642Y-76203214D02* +X149121642Y-76203214D01* +X149293071Y-76203214D02* +X149207357Y-76246071D01* +X149164500Y-76288928D01* +X149121642Y-76374642D01* +X149121642Y-76460357D01* +X149678785Y-77403214D02* +X149721642Y-77488928D01* +X149721642Y-77660357D01* +X149678785Y-77746071D01* +X149593071Y-77788928D01* +X149550214Y-77788928D01* +X149464500Y-77746071D01* +X149421642Y-77660357D01* +X149421642Y-77531785D01* +X149378785Y-77446071D01* +X149293071Y-77403214D01* +X149250214Y-77403214D01* +X149164500Y-77446071D01* +X149121642Y-77531785D01* +X149121642Y-77660357D01* +X149164500Y-77746071D01* +X149678785Y-78517500D02* +X149721642Y-78431785D01* +X149721642Y-78260357D01* +X149678785Y-78174642D01* +X149593071Y-78131785D01* +X149250214Y-78131785D01* +X149164500Y-78174642D01* +X149121642Y-78260357D01* +X149121642Y-78431785D01* +X149164500Y-78517500D01* +X149250214Y-78560357D01* +X149335928Y-78560357D01* +X149421642Y-78131785D01* +X149121642Y-78817500D02* +X149121642Y-79160357D01* +X148821642Y-78946071D02* +X149593071Y-78946071D01* +X149678785Y-78988928D01* +X149721642Y-79074642D01* +X149721642Y-79160357D01* +X149121642Y-79331785D02* +X149121642Y-79674642D01* +X148821642Y-79460357D02* +X149593071Y-79460357D01* +X149678785Y-79503214D01* +X149721642Y-79588928D01* +X149721642Y-79674642D01* +X149721642Y-79974642D02* +X149121642Y-79974642D01* +X148821642Y-79974642D02* +X148864500Y-79931785D01* +X148907357Y-79974642D01* +X148864500Y-80017500D01* +X148821642Y-79974642D01* +X148907357Y-79974642D01* +X149121642Y-80403214D02* +X149721642Y-80403214D01* +X149207357Y-80403214D02* +X149164500Y-80446071D01* +X149121642Y-80531785D01* +X149121642Y-80660357D01* +X149164500Y-80746071D01* +X149250214Y-80788928D01* +X149721642Y-80788928D01* +X149121642Y-81603214D02* +X149850214Y-81603214D01* +X149935928Y-81560357D01* +X149978785Y-81517500D01* +X150021642Y-81431785D01* +X150021642Y-81303214D01* +X149978785Y-81217500D01* +X149678785Y-81603214D02* +X149721642Y-81517500D01* +X149721642Y-81346071D01* +X149678785Y-81260357D01* +X149635928Y-81217500D01* +X149550214Y-81174642D01* +X149293071Y-81174642D01* +X149207357Y-81217500D01* +X149164500Y-81260357D01* +X149121642Y-81346071D01* +X149121642Y-81517500D01* +X149164500Y-81603214D01* +X160837619Y-85597857D02* +X161837619Y-85597857D01* +X160837619Y-85026428D02* +X161409047Y-85455000D01* +X161837619Y-85026428D02* +X161266190Y-85597857D01* +X161361428Y-84597857D02* +X161361428Y-84264523D01* +X160837619Y-84121666D02* +X160837619Y-84597857D01* +X161837619Y-84597857D01* +X161837619Y-84121666D01* +X161313809Y-83502619D02* +X160837619Y-83502619D01* +X161837619Y-83835952D02* +X161313809Y-83502619D01* +X161837619Y-83169285D01* +X159829523Y-85367714D02* +X160067619Y-85367714D01* +X160067619Y-83939142D01* +X159829523Y-83939142D01* +X145345476Y-67593690D02* +X144095476Y-67593690D01* +X145345476Y-68188928D02* +X144095476Y-68188928D01* +X144095476Y-68486547D01* +X144155000Y-68665119D01* +X144274047Y-68784166D01* +X144393095Y-68843690D01* +X144631190Y-68903214D01* +X144809761Y-68903214D01* +X145047857Y-68843690D01* +X145166904Y-68784166D01* +X145285952Y-68665119D01* +X145345476Y-68486547D01* +X145345476Y-68188928D01* +X144690714Y-69438928D02* +X144690714Y-69855595D01* +X145345476Y-70034166D02* +X145345476Y-69438928D01* +X144095476Y-69438928D01* +X144095476Y-70034166D01* +X145345476Y-71522261D02* +X144095476Y-71522261D01* +X144095476Y-71819880D01* +X144155000Y-71998452D01* +X144274047Y-72117500D01* +X144393095Y-72177023D01* +X144631190Y-72236547D01* +X144809761Y-72236547D01* +X145047857Y-72177023D01* +X145166904Y-72117500D01* +X145285952Y-71998452D01* +X145345476Y-71819880D01* +X145345476Y-71522261D01* +X145345476Y-73486547D02* +X144750238Y-73069880D01* +X145345476Y-72772261D02* +X144095476Y-72772261D01* +X144095476Y-73248452D01* +X144155000Y-73367500D01* +X144214523Y-73427023D01* +X144333571Y-73486547D01* +X144512142Y-73486547D01* +X144631190Y-73427023D01* +X144690714Y-73367500D01* +X144750238Y-73248452D01* +X144750238Y-72772261D01* +X145345476Y-74022261D02* +X144095476Y-74022261D01* +X144095476Y-74438928D02* +X145345476Y-74855595D01* +X144095476Y-75272261D01* +X144690714Y-75688928D02* +X144690714Y-76105595D01* +X145345476Y-76284166D02* +X145345476Y-75688928D01* +X144095476Y-75688928D01* +X144095476Y-76284166D01* +X145226428Y-78486547D02* +X145285952Y-78427023D01* +X145345476Y-78248452D01* +X145345476Y-78129404D01* +X145285952Y-77950833D01* +X145166904Y-77831785D01* +X145047857Y-77772261D01* +X144809761Y-77712738D01* +X144631190Y-77712738D01* +X144393095Y-77772261D01* +X144274047Y-77831785D01* +X144155000Y-77950833D01* +X144095476Y-78129404D01* +X144095476Y-78248452D01* +X144155000Y-78427023D01* +X144214523Y-78486547D01* +X144988333Y-78962738D02* +X144988333Y-79557976D01* +X145345476Y-78843690D02* +X144095476Y-79260357D01* +X145345476Y-79677023D01* +X144690714Y-80510357D02* +X144750238Y-80688928D01* +X144809761Y-80748452D01* +X144928809Y-80807976D01* +X145107380Y-80807976D01* +X145226428Y-80748452D01* +X145285952Y-80688928D01* +X145345476Y-80569880D01* +X145345476Y-80093690D01* +X144095476Y-80093690D01* +X144095476Y-80510357D01* +X144155000Y-80629404D01* +X144214523Y-80688928D01* +X144333571Y-80748452D01* +X144452619Y-80748452D01* +X144571666Y-80688928D01* +X144631190Y-80629404D01* +X144690714Y-80510357D01* +X144690714Y-80093690D01* +X145345476Y-81938928D02* +X145345476Y-81343690D01* +X144095476Y-81343690D01* +X144690714Y-82355595D02* +X144690714Y-82772261D01* +X145345476Y-82950833D02* +X145345476Y-82355595D01* +X144095476Y-82355595D01* +X144095476Y-82950833D01* +X145285952Y-84379404D02* +X145345476Y-84557976D01* +X145345476Y-84855595D01* +X145285952Y-84974642D01* +X145226428Y-85034166D01* +X145107380Y-85093690D01* +X144988333Y-85093690D01* +X144869285Y-85034166D01* +X144809761Y-84974642D01* +X144750238Y-84855595D01* +X144690714Y-84617500D01* +X144631190Y-84498452D01* +X144571666Y-84438928D01* +X144452619Y-84379404D01* +X144333571Y-84379404D01* +X144214523Y-84438928D01* +X144155000Y-84498452D01* +X144095476Y-84617500D01* +X144095476Y-84915119D01* +X144155000Y-85093690D01* +X144690714Y-85629404D02* +X144690714Y-86046071D01* +X145345476Y-86224642D02* +X145345476Y-85629404D01* +X144095476Y-85629404D01* +X144095476Y-86224642D01* +X145345476Y-87355595D02* +X145345476Y-86760357D01* +X144095476Y-86760357D01* +X144690714Y-87772261D02* +X144690714Y-88188928D01* +X145345476Y-88367500D02* +X145345476Y-87772261D01* +X144095476Y-87772261D01* +X144095476Y-88367500D01* +X145226428Y-89617500D02* +X145285952Y-89557976D01* +X145345476Y-89379404D01* +X145345476Y-89260357D01* +X145285952Y-89081785D01* +X145166904Y-88962738D01* +X145047857Y-88903214D01* +X144809761Y-88843690D01* +X144631190Y-88843690D01* +X144393095Y-88903214D01* +X144274047Y-88962738D01* +X144155000Y-89081785D01* +X144095476Y-89260357D01* +X144095476Y-89379404D01* +X144155000Y-89557976D01* +X144214523Y-89617500D01* +X144095476Y-89974642D02* +X144095476Y-90688928D01* +X145345476Y-90331785D02* +X144095476Y-90331785D01* +X145285952Y-91998452D02* +X145345476Y-92177023D01* +X145345476Y-92474642D01* +X145285952Y-92593690D01* +X145226428Y-92653214D01* +X145107380Y-92712738D01* +X144988333Y-92712738D01* +X144869285Y-92653214D01* +X144809761Y-92593690D01* +X144750238Y-92474642D01* +X144690714Y-92236547D01* +X144631190Y-92117500D01* +X144571666Y-92057976D01* +X144452619Y-91998452D01* +X144333571Y-91998452D01* +X144214523Y-92057976D01* +X144155000Y-92117500D01* +X144095476Y-92236547D01* +X144095476Y-92534166D01* +X144155000Y-92712738D01* +X144095476Y-93129404D02* +X145345476Y-93427023D01* +X144452619Y-93665119D01* +X145345476Y-93903214D01* +X144095476Y-94200833D01* +X145345476Y-94677023D02* +X144095476Y-94677023D01* +X144095476Y-95093690D02* +X144095476Y-95807976D01* +X145345476Y-95450833D02* +X144095476Y-95450833D01* +X145226428Y-96938928D02* +X145285952Y-96879404D01* +X145345476Y-96700833D01* +X145345476Y-96581785D01* +X145285952Y-96403214D01* +X145166904Y-96284166D01* +X145047857Y-96224642D01* +X144809761Y-96165119D01* +X144631190Y-96165119D01* +X144393095Y-96224642D01* +X144274047Y-96284166D01* +X144155000Y-96403214D01* +X144095476Y-96581785D01* +X144095476Y-96700833D01* +X144155000Y-96879404D01* +X144214523Y-96938928D01* +X145345476Y-97474642D02* +X144095476Y-97474642D01* +X144690714Y-97474642D02* +X144690714Y-98188928D01* +X145345476Y-98188928D02* +X144095476Y-98188928D01* +X144690714Y-98784166D02* +X144690714Y-99200833D01* +X145345476Y-99379404D02* +X145345476Y-98784166D01* +X144095476Y-98784166D01* +X144095476Y-99379404D01* +X145345476Y-100629404D02* +X144750238Y-100212738D01* +X145345476Y-99915119D02* +X144095476Y-99915119D01* +X144095476Y-100391309D01* +X144155000Y-100510357D01* +X144214523Y-100569880D01* +X144333571Y-100629404D01* +X144512142Y-100629404D01* +X144631190Y-100569880D01* +X144690714Y-100510357D01* +X144750238Y-100391309D01* +X144750238Y-99915119D01* +X158178095Y-111577380D02* +X158178095Y-110577380D01* +X158178095Y-111053571D02* +X157606666Y-111053571D01* +X157606666Y-111577380D02* +X157606666Y-110577380D01* +X156940000Y-110577380D02* +X156749523Y-110577380D01* +X156654285Y-110625000D01* +X156559047Y-110720238D01* +X156511428Y-110910714D01* +X156511428Y-111244047D01* +X156559047Y-111434523D01* +X156654285Y-111529761D01* +X156749523Y-111577380D01* +X156940000Y-111577380D01* +X157035238Y-111529761D01* +X157130476Y-111434523D01* +X157178095Y-111244047D01* +X157178095Y-110910714D01* +X157130476Y-110720238D01* +X157035238Y-110625000D01* +X156940000Y-110577380D01* +X156130476Y-111529761D02* +X155987619Y-111577380D01* +X155749523Y-111577380D01* +X155654285Y-111529761D01* +X155606666Y-111482142D01* +X155559047Y-111386904D01* +X155559047Y-111291666D01* +X155606666Y-111196428D01* +X155654285Y-111148809D01* +X155749523Y-111101190D01* +X155940000Y-111053571D01* +X156035238Y-111005952D01* +X156082857Y-110958333D01* +X156130476Y-110863095D01* +X156130476Y-110767857D01* +X156082857Y-110672619D01* +X156035238Y-110625000D01* +X155940000Y-110577380D01* +X155701904Y-110577380D01* +X155559047Y-110625000D01* +X155273333Y-110577380D02* +X154701904Y-110577380D01* +X154987619Y-111577380D02* +X154987619Y-110577380D01* +X153606666Y-111577380D02* +X153606666Y-110577380D01* +X153130476Y-111577380D02* +X153130476Y-110577380D01* +X152892380Y-110577380D01* +X152749523Y-110625000D01* +X152654285Y-110720238D01* +X152606666Y-110815476D01* +X152559047Y-111005952D01* +X152559047Y-111148809D01* +X152606666Y-111339285D01* +X152654285Y-111434523D01* +X152749523Y-111529761D01* +X152892380Y-111577380D01* +X153130476Y-111577380D01* +X152130476Y-111053571D02* +X151797142Y-111053571D01* +X151654285Y-111577380D02* +X152130476Y-111577380D01* +X152130476Y-110577380D01* +X151654285Y-110577380D01* +X160369285Y-60142380D02* +X160940714Y-60142380D01* +X160655000Y-60142380D02* +X160655000Y-59142380D01* +X160750238Y-59285238D01* +X160845476Y-59380476D01* +X160940714Y-59428095D01* +%TO.C,J1*% +X155423333Y-59777380D02* +X155423333Y-60491666D01* +X155470952Y-60634523D01* +X155566190Y-60729761D01* +X155709047Y-60777380D01* +X155804285Y-60777380D01* +X154423333Y-60777380D02* +X154994761Y-60777380D01* +X154709047Y-60777380D02* +X154709047Y-59777380D01* +X154804285Y-59920238D01* +X154899523Y-60015476D01* +X154994761Y-60063095D01* +D11* +X157540000Y-99312000D02* +X157540000Y-100332000D01* +X152340000Y-101852000D02* +X152340000Y-102872000D01* +X157540000Y-81532000D02* +X157540000Y-82552000D01* +X152340000Y-71372000D02* +X152340000Y-72392000D01* +X152340000Y-96772000D02* +X152340000Y-97792000D01* +X157540000Y-110042000D02* +X152340000Y-110042000D01* +X152340000Y-63752000D02* +X152340000Y-64772000D01* +X157540000Y-61212000D02* +X157540000Y-62232000D01* +X157540000Y-78992000D02* +X157540000Y-80012000D01* +X157540000Y-94232000D02* +X157540000Y-95252000D01* +X152340000Y-89152000D02* +X152340000Y-90172000D01* +X152340000Y-106932000D02* +X152340000Y-107952000D01* +X157540000Y-66292000D02* +X157540000Y-67312000D01* +X152340000Y-104392000D02* +X152340000Y-105412000D01* +X157540000Y-84072000D02* +X157540000Y-85092000D01* +X157540000Y-89152000D02* +X157540000Y-90172000D01* +X157540000Y-96772000D02* +X157540000Y-97792000D01* +X152340000Y-78992000D02* +X152340000Y-80012000D01* +X152340000Y-61212000D02* +X152340000Y-62232000D01* +X157540000Y-86612000D02* +X157540000Y-87632000D01* +X152340000Y-68832000D02* +X152340000Y-69852000D01* +X152340000Y-94232000D02* +X152340000Y-95252000D01* +X152340000Y-66292000D02* +X152340000Y-67312000D01* +X157540000Y-109472000D02* +X157540000Y-110042000D01* +X157540000Y-63752000D02* +X157540000Y-64772000D01* +X152340000Y-59122000D02* +X152340000Y-59692000D01* +X157540000Y-68832000D02* +X157540000Y-69852000D01* +X157540000Y-106932000D02* +X157540000Y-107952000D01* +X152340000Y-73912000D02* +X152340000Y-74932000D01* +X152340000Y-86612000D02* +X152340000Y-87632000D01* +X152340000Y-109472000D02* +X152340000Y-110042000D01* +X158980000Y-59692000D02* +X157540000Y-59692000D01* +X152340000Y-84072000D02* +X152340000Y-85092000D01* +X157540000Y-73912000D02* +X157540000Y-74932000D01* +X157540000Y-59122000D02* +X157540000Y-59692000D01* +X157540000Y-104392000D02* +X157540000Y-105412000D01* +X157540000Y-101852000D02* +X157540000Y-102872000D01* +X157540000Y-59122000D02* +X152340000Y-59122000D01* +X152340000Y-99312000D02* +X152340000Y-100332000D01* +X157540000Y-76452000D02* +X157540000Y-77472000D01* +X152340000Y-91692000D02* +X152340000Y-92712000D01* +X152340000Y-81532000D02* +X152340000Y-82552000D01* +X157540000Y-71372000D02* +X157540000Y-72392000D01* +X152340000Y-76452000D02* +X152340000Y-77472000D01* +X157540000Y-91692000D02* +X157540000Y-92712000D01* +%TD*% +%LPC*% +D12* +%TO.C,SW1*% +X156440000Y-113360000D03* +X153440000Y-113360000D03* +%TD*% +D13* +%TO.C,J1*% +X157465000Y-60452000D03* +X152415000Y-60452000D03* +X157465000Y-62992000D03* +X152415000Y-62992000D03* +X157465000Y-65532000D03* +X152415000Y-65532000D03* +X157465000Y-68072000D03* +X152415000Y-68072000D03* +X157465000Y-70612000D03* +X152415000Y-70612000D03* +X157465000Y-73152000D03* +X152415000Y-73152000D03* +X157465000Y-75692000D03* +X152415000Y-75692000D03* +X157465000Y-78232000D03* +X152415000Y-78232000D03* +X157465000Y-80772000D03* +X152415000Y-80772000D03* +X157465000Y-83312000D03* +X152415000Y-83312000D03* +X157465000Y-85852000D03* +X152415000Y-85852000D03* +X157465000Y-88392000D03* +X152415000Y-88392000D03* +X157465000Y-90932000D03* +X152415000Y-90932000D03* +X157465000Y-93472000D03* +X152415000Y-93472000D03* +X157465000Y-96012000D03* +X152415000Y-96012000D03* +X157465000Y-98552000D03* +X152415000Y-98552000D03* +X157465000Y-101092000D03* +X152415000Y-101092000D03* +X157465000Y-103632000D03* +X152415000Y-103632000D03* +X157465000Y-106172000D03* +X152415000Y-106172000D03* +X157465000Y-108712000D03* +X152415000Y-108712000D03* +%TD*% +M02* diff --git a/ide_cable_select_switch/gerber/ide_cable_select_switch-Edge_Cuts.gbr b/ide_cable_select_switch/gerber/ide_cable_select_switch-Edge_Cuts.gbr new file mode 100644 index 0000000..df5242b --- /dev/null +++ b/ide_cable_select_switch/gerber/ide_cable_select_switch-Edge_Cuts.gbr @@ -0,0 +1,46 @@ +%TF.GenerationSoftware,KiCad,Pcbnew,(6.0.4-0)*% +%TF.CreationDate,2022-06-07T12:02:57-06:00*% +%TF.ProjectId,ide_cable_select_switch,6964655f-6361-4626-9c65-5f73656c6563,rev?*% +%TF.SameCoordinates,Original*% +%TF.FileFunction,Profile,NP*% +%FSLAX46Y46*% +G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* +G04 Created by KiCad (PCBNEW (6.0.4-0)) date 2022-06-07 12:02:57* +%MOMM*% +%LPD*% +G01* +G04 APERTURE LIST* +%TA.AperFunction,Profile*% +%ADD10C,0.100000*% +%TD*% +G04 APERTURE END LIST* +D10* +X143002000Y-113664999D02* +G75* +G03* +X144272000Y-114934999I1269999J-1D01* +G01* +X165607999Y-114934999D02* +G75* +G03* +X166877999Y-113664999I1J1269999D01* +G01* +X166878000Y-59690000D02* +G75* +G03* +X165608000Y-58420000I-1269999J1D01* +G01* +X144272000Y-58420000D02* +G75* +G03* +X143002000Y-59690000I0J-1270000D01* +G01* +X166877999Y-113664999D02* +X166878000Y-59690000D01* +X165608000Y-58420000D02* +X144272000Y-58420000D01* +X144272000Y-114934999D02* +X165607999Y-114934999D01* +X143002000Y-59690000D02* +X143002000Y-113664999D01* +M02* diff --git a/ide_cable_select_switch/gerber/ide_cable_select_switch-F_Cu.gbr b/ide_cable_select_switch/gerber/ide_cable_select_switch-F_Cu.gbr new file mode 100644 index 0000000..2c79703 --- /dev/null +++ b/ide_cable_select_switch/gerber/ide_cable_select_switch-F_Cu.gbr @@ -0,0 +1,4694 @@ +%TF.GenerationSoftware,KiCad,Pcbnew,(6.0.4-0)*% +%TF.CreationDate,2022-06-07T12:02:57-06:00*% +%TF.ProjectId,ide_cable_select_switch,6964655f-6361-4626-9c65-5f73656c6563,rev?*% +%TF.SameCoordinates,Original*% +%TF.FileFunction,Copper,L1,Top*% +%TF.FilePolarity,Positive*% +%FSLAX46Y46*% +G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* +G04 Created by KiCad (PCBNEW (6.0.4-0)) date 2022-06-07 12:02:57* +%MOMM*% +%LPD*% +G01* +G04 APERTURE LIST* +%TA.AperFunction,SMDPad,CuDef*% +%ADD10R,0.700000X1.500000*% +%TD*% +%TA.AperFunction,SMDPad,CuDef*% +%ADD11R,1.000000X0.800000*% +%TD*% +%TA.AperFunction,SMDPad,CuDef*% +%ADD12R,3.150000X1.000000*% +%TD*% +%TA.AperFunction,ViaPad*% +%ADD13C,0.800000*% +%TD*% +%TA.AperFunction,Conductor*% +%ADD14C,0.250000*% +%TD*% +G04 APERTURE END LIST* +D10* +%TO.P,SW1,3,C*% +%TO.N,CSEL_OUT_B*% +X157190000Y-111600000D03* +%TO.P,SW1,2,B*% +%TO.N,GND*% +X155690000Y-111600000D03* +%TO.P,SW1,1,A*% +%TO.N,CSEL_OUT_A*% +X152690000Y-111600000D03* +D11* +%TO.P,SW1,*% +%TO.N,*% +X151290000Y-112250000D03* +X151290000Y-114460000D03* +X158590000Y-114460000D03* +X158590000Y-112250000D03* +%TD*% +D12* +%TO.P,J2,1,Pin_1*% +%TO.N,RESET*% +X146065000Y-60452000D03* +%TO.P,J2,2,Pin_2*% +%TO.N,GND*% +X151115000Y-60452000D03* +%TO.P,J2,3,Pin_3*% +%TO.N,DB7*% +X146065000Y-62992000D03* +%TO.P,J2,4,Pin_4*% +%TO.N,DB8*% +X151115000Y-62992000D03* +%TO.P,J2,5,Pin_5*% +%TO.N,DB6*% +X146065000Y-65532000D03* +%TO.P,J2,6,Pin_6*% +%TO.N,DB9*% +X151115000Y-65532000D03* +%TO.P,J2,7,Pin_7*% +%TO.N,DB5*% +X146065000Y-68072000D03* +%TO.P,J2,8,Pin_8*% +%TO.N,DB10*% +X151115000Y-68072000D03* +%TO.P,J2,9,Pin_9*% +%TO.N,DB4*% +X146065000Y-70612000D03* +%TO.P,J2,10,Pin_10*% +%TO.N,DB11*% +X151115000Y-70612000D03* +%TO.P,J2,11,Pin_11*% +%TO.N,DB3*% +X146065000Y-73152000D03* +%TO.P,J2,12,Pin_12*% +%TO.N,DB12*% +X151115000Y-73152000D03* +%TO.P,J2,13,Pin_13*% +%TO.N,DB2*% +X146065000Y-75692000D03* +%TO.P,J2,14,Pin_14*% +%TO.N,DB13*% +X151115000Y-75692000D03* +%TO.P,J2,15,Pin_15*% +%TO.N,DB1*% +X146065000Y-78232000D03* +%TO.P,J2,16,Pin_16*% +%TO.N,DB14*% +X151115000Y-78232000D03* +%TO.P,J2,17,Pin_17*% +%TO.N,DB0*% +X146065000Y-80772000D03* +%TO.P,J2,18,Pin_18*% +%TO.N,DB15*% +X151115000Y-80772000D03* +%TO.P,J2,19,Pin_19*% +%TO.N,GND*% +X146065000Y-83312000D03* +%TO.P,J2,20,Pin_20*% +%TO.N,unconnected-(J2-Pad20)*% +X151115000Y-83312000D03* +%TO.P,J2,21,Pin_21*% +%TO.N,DRQ3*% +X146065000Y-85852000D03* +%TO.P,J2,22,Pin_22*% +%TO.N,GND*% +X151115000Y-85852000D03* +%TO.P,J2,23,Pin_23*% +%TO.N,IOW*% +X146065000Y-88392000D03* +%TO.P,J2,24,Pin_24*% +%TO.N,GND*% +X151115000Y-88392000D03* +%TO.P,J2,25,Pin_25*% +%TO.N,IOR*% +X146065000Y-90932000D03* +%TO.P,J2,26,Pin_26*% +%TO.N,GND*% +X151115000Y-90932000D03* +%TO.P,J2,27,Pin_27*% +%TO.N,IO_CH_RDY*% +X146065000Y-93472000D03* +%TO.P,J2,28,Pin_28*% +%TO.N,CSEL_OUT_A*% +X151115000Y-93472000D03* +%TO.P,J2,29,Pin_29*% +%TO.N,DACK3*% +X146065000Y-96012000D03* +%TO.P,J2,30,Pin_30*% +%TO.N,GND*% +X151115000Y-96012000D03* +%TO.P,J2,31,Pin_31*% +%TO.N,IRQ14*% +X146065000Y-98552000D03* +%TO.P,J2,32,Pin_32*% +%TO.N,RESERVED*% +X151115000Y-98552000D03* +%TO.P,J2,33,Pin_33*% +%TO.N,ADDRESS_BIT_1*% +X146065000Y-101092000D03* +%TO.P,J2,34,Pin_34*% +%TO.N,PDIAG*% +X151115000Y-101092000D03* +%TO.P,J2,35,Pin_35*% +%TO.N,ADDRESS_BIT_0*% +X146065000Y-103632000D03* +%TO.P,J2,36,Pin_36*% +%TO.N,ADDRESS_BIT_2*% +X151115000Y-103632000D03* +%TO.P,J2,37,Pin_37*% +%TO.N,CS1FX*% +X146065000Y-106172000D03* +%TO.P,J2,38,Pin_38*% +%TO.N,CS3FX*% +X151115000Y-106172000D03* +%TO.P,J2,39,Pin_39*% +%TO.N,DA_SP*% +X146065000Y-108712000D03* +%TO.P,J2,40,Pin_40*% +%TO.N,GND*% +X151115000Y-108712000D03* +%TD*% +%TO.P,J3,1,Pin_1*% +%TO.N,RESET*% +X158765000Y-60452000D03* +%TO.P,J3,2,Pin_2*% +%TO.N,GND*% +X163815000Y-60452000D03* +%TO.P,J3,3,Pin_3*% +%TO.N,DB7*% +X158765000Y-62992000D03* +%TO.P,J3,4,Pin_4*% +%TO.N,DB8*% +X163815000Y-62992000D03* +%TO.P,J3,5,Pin_5*% +%TO.N,DB6*% +X158765000Y-65532000D03* +%TO.P,J3,6,Pin_6*% +%TO.N,DB9*% +X163815000Y-65532000D03* +%TO.P,J3,7,Pin_7*% +%TO.N,DB5*% +X158765000Y-68072000D03* +%TO.P,J3,8,Pin_8*% +%TO.N,DB10*% +X163815000Y-68072000D03* +%TO.P,J3,9,Pin_9*% +%TO.N,DB4*% +X158765000Y-70612000D03* +%TO.P,J3,10,Pin_10*% +%TO.N,DB11*% +X163815000Y-70612000D03* +%TO.P,J3,11,Pin_11*% +%TO.N,DB3*% +X158765000Y-73152000D03* +%TO.P,J3,12,Pin_12*% +%TO.N,DB12*% +X163815000Y-73152000D03* +%TO.P,J3,13,Pin_13*% +%TO.N,DB2*% +X158765000Y-75692000D03* +%TO.P,J3,14,Pin_14*% +%TO.N,DB13*% +X163815000Y-75692000D03* +%TO.P,J3,15,Pin_15*% +%TO.N,DB1*% +X158765000Y-78232000D03* +%TO.P,J3,16,Pin_16*% +%TO.N,DB14*% +X163815000Y-78232000D03* +%TO.P,J3,17,Pin_17*% +%TO.N,DB0*% +X158765000Y-80772000D03* +%TO.P,J3,18,Pin_18*% +%TO.N,DB15*% +X163815000Y-80772000D03* +%TO.P,J3,19,Pin_19*% +%TO.N,GND*% +X158765000Y-83312000D03* +%TO.P,J3,20,Pin_20*% +%TO.N,unconnected-(J3-Pad20)*% +X163815000Y-83312000D03* +%TO.P,J3,21,Pin_21*% +%TO.N,DRQ3*% +X158765000Y-85852000D03* +%TO.P,J3,22,Pin_22*% +%TO.N,GND*% +X163815000Y-85852000D03* +%TO.P,J3,23,Pin_23*% +%TO.N,IOW*% +X158765000Y-88392000D03* +%TO.P,J3,24,Pin_24*% +%TO.N,GND*% +X163815000Y-88392000D03* +%TO.P,J3,25,Pin_25*% +%TO.N,IOR*% +X158765000Y-90932000D03* +%TO.P,J3,26,Pin_26*% +%TO.N,GND*% +X163815000Y-90932000D03* +%TO.P,J3,27,Pin_27*% +%TO.N,IO_CH_RDY*% +X158765000Y-93472000D03* +%TO.P,J3,28,Pin_28*% +%TO.N,CSEL_OUT_B*% +X163815000Y-93472000D03* +%TO.P,J3,29,Pin_29*% +%TO.N,DACK3*% +X158765000Y-96012000D03* +%TO.P,J3,30,Pin_30*% +%TO.N,GND*% +X163815000Y-96012000D03* +%TO.P,J3,31,Pin_31*% +%TO.N,IRQ14*% +X158765000Y-98552000D03* +%TO.P,J3,32,Pin_32*% +%TO.N,RESERVED*% +X163815000Y-98552000D03* +%TO.P,J3,33,Pin_33*% +%TO.N,ADDRESS_BIT_1*% +X158765000Y-101092000D03* +%TO.P,J3,34,Pin_34*% +%TO.N,PDIAG*% +X163815000Y-101092000D03* +%TO.P,J3,35,Pin_35*% +%TO.N,ADDRESS_BIT_0*% +X158765000Y-103632000D03* +%TO.P,J3,36,Pin_36*% +%TO.N,ADDRESS_BIT_2*% +X163815000Y-103632000D03* +%TO.P,J3,37,Pin_37*% +%TO.N,CS1FX*% +X158765000Y-106172000D03* +%TO.P,J3,38,Pin_38*% +%TO.N,CS3FX*% +X163815000Y-106172000D03* +%TO.P,J3,39,Pin_39*% +%TO.N,DA_SP*% +X158765000Y-108712000D03* +%TO.P,J3,40,Pin_40*% +%TO.N,GND*% +X163815000Y-108712000D03* +%TD*% +D13* +%TO.N,GND*% +X161290000Y-109220000D03* +%TO.N,CSEL_OUT_A*% +X149225000Y-111760000D03* +%TO.N,CSEL_OUT_B*% +X161290000Y-111125000D03* +%TO.N,RESET*% +X154940000Y-60960000D03* +%TO.N,GND*% +X148590000Y-109220000D03* +X162560000Y-84455000D03* +X148590000Y-84455000D03* +X154940000Y-59690000D03* +%TO.N,DB7*% +X154940000Y-63500000D03* +%TO.N,DB8*% +X154940000Y-62230000D03* +%TO.N,DB6*% +X154940000Y-66040000D03* +%TO.N,DB9*% +X154940000Y-64770000D03* +%TO.N,DB5*% +X154940000Y-68580000D03* +%TO.N,DB10*% +X154940000Y-67310000D03* +%TO.N,DB4*% +X154940000Y-71120000D03* +%TO.N,DB11*% +X154940000Y-69850000D03* +%TO.N,DB3*% +X154940000Y-73660000D03* +%TO.N,DB12*% +X154940000Y-72390000D03* +%TO.N,DB2*% +X154940000Y-76200000D03* +%TO.N,DB13*% +X154940000Y-74930000D03* +%TO.N,DB1*% +X154940000Y-78740000D03* +%TO.N,DB14*% +X154940000Y-77470000D03* +%TO.N,DB0*% +X154940000Y-81280000D03* +%TO.N,DB15*% +X154940000Y-80010000D03* +%TO.N,DRQ3*% +X154940000Y-86360000D03* +%TO.N,IOW*% +X154940000Y-88900000D03* +%TO.N,IOR*% +X154940000Y-91440000D03* +%TO.N,IO_CH_RDY*% +X154940000Y-93980000D03* +%TO.N,DACK3*% +X154940000Y-96520000D03* +%TO.N,IRQ14*% +X154940000Y-99060000D03* +%TO.N,RESERVED*% +X154940000Y-97790000D03* +%TO.N,ADDRESS_BIT_1*% +X154940000Y-101600000D03* +%TO.N,PDIAG*% +X154940000Y-100330000D03* +%TO.N,ADDRESS_BIT_0*% +X154940000Y-104140000D03* +%TO.N,ADDRESS_BIT_2*% +X154940000Y-102870000D03* +%TO.N,CS1FX*% +X154940000Y-106680000D03* +%TO.N,CS3FX*% +X154940000Y-105410000D03* +%TO.N,DA_SP*% +X154940000Y-109220000D03* +%TO.N,CSEL_OUT_A*% +X148590000Y-92880498D03* +%TO.N,CSEL_OUT_B*% +X162560000Y-94615000D03* +%TD*% +D14* +%TO.N,CSEL_OUT_B*% +X161290000Y-111125000D02* +X157665000Y-111125000D01* +X157665000Y-111125000D02* +X157190000Y-111600000D01* +%TO.N,DA_SP*% +X146065000Y-108712000D02* +X146889511Y-107887489D01* +X146889511Y-107887489D02* +X153607489Y-107887489D01* +X153607489Y-107887489D02* +X154940000Y-109220000D01* +%TO.N,CSEL_OUT_A*% +X152690000Y-111600000D02* +X152290000Y-111200000D01* +X149785000Y-111200000D02* +X149225000Y-111760000D01* +X152290000Y-111200000D02* +X149785000Y-111200000D01* +%TO.N,RESERVED*% +X163815000Y-98552000D02* +X162990489Y-97727489D01* +X155002511Y-97727489D02* +X154940000Y-97790000D01* +X162990489Y-97727489D02* +X155002511Y-97727489D01* +X151115000Y-98552000D02* +X154178000Y-98552000D01* +X154178000Y-98552000D02* +X154940000Y-97790000D01* +%TO.N,PDIAG*% +X151115000Y-101092000D02* +X154178000Y-101092000D01* +X162990489Y-100267489D02* +X155002511Y-100267489D01* +X163815000Y-101092000D02* +X162990489Y-100267489D01* +X155002511Y-100267489D02* +X154940000Y-100330000D01* +X154178000Y-101092000D02* +X154940000Y-100330000D01* +%TO.N,ADDRESS_BIT_2*% +X163815000Y-103632000D02* +X162990489Y-102807489D01* +X162990489Y-102807489D02* +X155002511Y-102807489D01* +X155002511Y-102807489D02* +X154940000Y-102870000D01* +X151115000Y-103632000D02* +X154178000Y-103632000D01* +X154178000Y-103632000D02* +X154940000Y-102870000D01* +%TO.N,CS3FX*% +X162990489Y-105347489D02* +X155002511Y-105347489D01* +X151115000Y-106172000D02* +X154178000Y-106172000D01* +X154178000Y-106172000D02* +X154940000Y-105410000D01* +X155002511Y-105347489D02* +X154940000Y-105410000D01* +X163815000Y-106172000D02* +X162990489Y-105347489D01* +%TO.N,CSEL_OUT_B*% +X162560000Y-94615000D02* +X162672000Y-94615000D01* +X162672000Y-94615000D02* +X163815000Y-93472000D01* +%TO.N,RESET*% +X146889511Y-61276511D02* +X154623489Y-61276511D01* +X146065000Y-60452000D02* +X146889511Y-61276511D01* +X155448000Y-60452000D02* +X154940000Y-60960000D01* +X154623489Y-61276511D02* +X154940000Y-60960000D01* +X158765000Y-60452000D02* +X155448000Y-60452000D01* +%TO.N,DB7*% +X146889511Y-63816511D02* +X154623489Y-63816511D01* +X155448000Y-62992000D02* +X154940000Y-63500000D01* +X154623489Y-63816511D02* +X154940000Y-63500000D01* +X158765000Y-62992000D02* +X155448000Y-62992000D01* +X146065000Y-62992000D02* +X146889511Y-63816511D01* +%TO.N,DB8*% +X154940000Y-62230000D02* +X155002511Y-62167489D01* +X162990489Y-62167489D02* +X163815000Y-62992000D01* +X151115000Y-62992000D02* +X154178000Y-62992000D01* +X155002511Y-62167489D02* +X162990489Y-62167489D01* +X154178000Y-62992000D02* +X154940000Y-62230000D01* +%TO.N,DB6*% +X146889511Y-66356511D02* +X154623489Y-66356511D01* +X155448000Y-65532000D02* +X154940000Y-66040000D01* +X146065000Y-65532000D02* +X146889511Y-66356511D01* +X158765000Y-65532000D02* +X155448000Y-65532000D01* +X154623489Y-66356511D02* +X154940000Y-66040000D01* +%TO.N,DB9*% +X154940000Y-64770000D02* +X155002511Y-64707489D01* +X154178000Y-65532000D02* +X154940000Y-64770000D01* +X162990489Y-64707489D02* +X163815000Y-65532000D01* +X151115000Y-65532000D02* +X154178000Y-65532000D01* +X155002511Y-64707489D02* +X162990489Y-64707489D01* +%TO.N,DB5*% +X146065000Y-68072000D02* +X146889511Y-68896511D01* +X154623489Y-68896511D02* +X154940000Y-68580000D01* +X146889511Y-68896511D02* +X154623489Y-68896511D01* +X155448000Y-68072000D02* +X154940000Y-68580000D01* +X158765000Y-68072000D02* +X155448000Y-68072000D01* +%TO.N,DB10*% +X155002511Y-67247489D02* +X162990489Y-67247489D01* +X151115000Y-68072000D02* +X154178000Y-68072000D01* +X162990489Y-67247489D02* +X163815000Y-68072000D01* +X154178000Y-68072000D02* +X154940000Y-67310000D01* +X154940000Y-67310000D02* +X155002511Y-67247489D01* +%TO.N,DB4*% +X155448000Y-70612000D02* +X154940000Y-71120000D01* +X146889511Y-71436511D02* +X154623489Y-71436511D01* +X146065000Y-70612000D02* +X146889511Y-71436511D01* +X154623489Y-71436511D02* +X154940000Y-71120000D01* +X158765000Y-70612000D02* +X155448000Y-70612000D01* +%TO.N,DB11*% +X162990489Y-69787489D02* +X163815000Y-70612000D01* +X155002511Y-69787489D02* +X162990489Y-69787489D01* +X151115000Y-70612000D02* +X154178000Y-70612000D01* +X154940000Y-69850000D02* +X155002511Y-69787489D01* +X154178000Y-70612000D02* +X154940000Y-69850000D01* +%TO.N,DB3*% +X146889511Y-73976511D02* +X154623489Y-73976511D01* +X155448000Y-73152000D02* +X154940000Y-73660000D01* +X146065000Y-73152000D02* +X146889511Y-73976511D01* +X158765000Y-73152000D02* +X155448000Y-73152000D01* +X154623489Y-73976511D02* +X154940000Y-73660000D01* +%TO.N,DB12*% +X155002511Y-72327489D02* +X162990489Y-72327489D01* +X151115000Y-73152000D02* +X154178000Y-73152000D01* +X154940000Y-72390000D02* +X155002511Y-72327489D01* +X154178000Y-73152000D02* +X154940000Y-72390000D01* +X162990489Y-72327489D02* +X163815000Y-73152000D01* +%TO.N,DB2*% +X154623489Y-76516511D02* +X154940000Y-76200000D01* +X146889511Y-76516511D02* +X154623489Y-76516511D01* +X155448000Y-75692000D02* +X154940000Y-76200000D01* +X158765000Y-75692000D02* +X155448000Y-75692000D01* +X146065000Y-75692000D02* +X146889511Y-76516511D01* +%TO.N,DB13*% +X155002511Y-74867489D02* +X162990489Y-74867489D01* +X151115000Y-75692000D02* +X154178000Y-75692000D01* +X162990489Y-74867489D02* +X163815000Y-75692000D01* +X154940000Y-74930000D02* +X155002511Y-74867489D01* +X154178000Y-75692000D02* +X154940000Y-74930000D01* +%TO.N,DB1*% +X155448000Y-78232000D02* +X154940000Y-78740000D01* +X154623489Y-79056511D02* +X154940000Y-78740000D01* +X146065000Y-78232000D02* +X146889511Y-79056511D01* +X158765000Y-78232000D02* +X155448000Y-78232000D01* +X146889511Y-79056511D02* +X154623489Y-79056511D01* +%TO.N,DB14*% +X154940000Y-77470000D02* +X155002511Y-77407489D01* +X155002511Y-77407489D02* +X162990489Y-77407489D01* +X151115000Y-78232000D02* +X154178000Y-78232000D01* +X162990489Y-77407489D02* +X163815000Y-78232000D01* +X154178000Y-78232000D02* +X154940000Y-77470000D01* +%TO.N,DB0*% +X146065000Y-80772000D02* +X146889511Y-81596511D01* +X158765000Y-80772000D02* +X155448000Y-80772000D01* +X155448000Y-80772000D02* +X154940000Y-81280000D01* +X146889511Y-81596511D02* +X154623489Y-81596511D01* +X154623489Y-81596511D02* +X154940000Y-81280000D01* +%TO.N,DB15*% +X151115000Y-80772000D02* +X154178000Y-80772000D01* +X162990489Y-79947489D02* +X163815000Y-80772000D01* +X155002511Y-79947489D02* +X162990489Y-79947489D01* +X154940000Y-80010000D02* +X155002511Y-79947489D01* +X154178000Y-80772000D02* +X154940000Y-80010000D01* +%TO.N,DRQ3*% +X158765000Y-85852000D02* +X155448000Y-85852000D01* +X154623489Y-86676511D02* +X154940000Y-86360000D01* +X146889511Y-86676511D02* +X154623489Y-86676511D01* +X146065000Y-85852000D02* +X146889511Y-86676511D01* +X155448000Y-85852000D02* +X154940000Y-86360000D01* +%TO.N,IOW*% +X154623489Y-89216511D02* +X154940000Y-88900000D01* +X146889511Y-89216511D02* +X154623489Y-89216511D01* +X155448000Y-88392000D02* +X154940000Y-88900000D01* +X146065000Y-88392000D02* +X146889511Y-89216511D01* +X158765000Y-88392000D02* +X155448000Y-88392000D01* +%TO.N,IOR*% +X146889511Y-90107489D02* +X153607489Y-90107489D01* +X158765000Y-90932000D02* +X155448000Y-90932000D01* +X146065000Y-90932000D02* +X146889511Y-90107489D01* +X153607489Y-90107489D02* +X154940000Y-91440000D01* +X155448000Y-90932000D02* +X154940000Y-91440000D01* +%TO.N,IO_CH_RDY*% +X153115987Y-92155987D02* +X154940000Y-93980000D01* +X146065000Y-93472000D02* +X147381013Y-92155987D01* +X147381013Y-92155987D02* +X153115987Y-92155987D01* +X155448000Y-93472000D02* +X154940000Y-93980000D01* +X158765000Y-93472000D02* +X155448000Y-93472000D01* +%TO.N,DACK3*% +X154623489Y-96836511D02* +X154940000Y-96520000D01* +X146889511Y-96836511D02* +X154623489Y-96836511D01* +X155448000Y-96012000D02* +X154940000Y-96520000D01* +X158765000Y-96012000D02* +X155448000Y-96012000D01* +X146065000Y-96012000D02* +X146889511Y-96836511D01* +%TO.N,IRQ14*% +X146889511Y-99376511D02* +X154623489Y-99376511D01* +X155448000Y-98552000D02* +X154940000Y-99060000D01* +X146065000Y-98552000D02* +X146889511Y-99376511D01* +X154623489Y-99376511D02* +X154940000Y-99060000D01* +X158765000Y-98552000D02* +X155448000Y-98552000D01* +%TO.N,ADDRESS_BIT_1*% +X147118489Y-102145489D02* +X154394511Y-102145489D01* +X155448000Y-101092000D02* +X154940000Y-101600000D01* +X146065000Y-101092000D02* +X147118489Y-102145489D01* +X158765000Y-101092000D02* +X155448000Y-101092000D01* +X154394511Y-102145489D02* +X154940000Y-101600000D01* +%TO.N,ADDRESS_BIT_0*% +X158765000Y-103632000D02* +X155448000Y-103632000D01* +X154623489Y-104456511D02* +X154940000Y-104140000D01* +X155448000Y-103632000D02* +X154940000Y-104140000D01* +X146889511Y-104456511D02* +X154623489Y-104456511D01* +X146065000Y-103632000D02* +X146889511Y-104456511D01* +%TO.N,CS1FX*% +X155448000Y-106172000D02* +X154940000Y-106680000D01* +X146889511Y-106996511D02* +X154623489Y-106996511D01* +X154623489Y-106996511D02* +X154940000Y-106680000D01* +X146065000Y-106172000D02* +X146889511Y-106996511D01* +X158765000Y-106172000D02* +X155448000Y-106172000D01* +%TO.N,DA_SP*% +X155448000Y-108712000D02* +X154940000Y-109220000D01* +X158765000Y-108712000D02* +X155448000Y-108712000D01* +%TO.N,CSEL_OUT_A*% +X148590000Y-92880498D02* +X149181502Y-93472000D01* +X149181502Y-93472000D02* +X151115000Y-93472000D01* +%TD*% +%TA.AperFunction,Conductor*% +%TO.N,GND*% +G36* +X165578018Y-58930000D02* +G01* +X165592852Y-58932310D01* +X165592855Y-58932310D01* +X165601724Y-58933691D01* +X165611659Y-58932392D01* +X165612746Y-58932250D01* +X165641431Y-58931793D01* +X165714741Y-58939013D01* +X165744212Y-58941916D01* +X165768432Y-58946733D01* +X165887546Y-58982866D01* +X165910355Y-58992315D01* +X166020124Y-59050987D01* +X166040655Y-59064705D01* +X166136876Y-59143671D01* +X166154329Y-59161124D01* +X166233295Y-59257345D01* +X166247013Y-59277876D01* +X166305685Y-59387645D01* +X166315134Y-59410454D01* +X166351267Y-59529568D01* +X166356084Y-59553789D01* +X166365541Y-59649809D01* +X166365091Y-59665868D01* +X166365800Y-59665877D01* +X166365690Y-59674853D01* +X166364309Y-59683724D01* +X166365473Y-59692626D01* +X166365473Y-59692628D01* +X166368436Y-59715283D01* +X166369500Y-59731621D01* +X166369499Y-113615632D01* +X166367999Y-113635017D01* +X166367268Y-113639716D01* +X166364308Y-113658723D01* +X166365472Y-113667625D01* +X166365749Y-113669745D01* +X166366206Y-113698430D01* +X166365541Y-113705183D01* +X166356083Y-113801212D01* +X166351264Y-113825438D01* +X166315136Y-113944536D01* +X166305685Y-113967355D01* +X166275189Y-114024409D01* +X166247012Y-114077124D01* +X166233294Y-114097654D01* +X166154328Y-114193875D01* +X166136875Y-114211328D01* +X166040654Y-114290294D01* +X166020127Y-114304010D01* +X165928771Y-114352841D01* +X165910356Y-114362684D01* +X165887546Y-114372133D01* +X165768432Y-114408266D01* +X165744211Y-114413083D01* +X165648190Y-114422540D01* +X165632131Y-114422090D01* +X165632122Y-114422799D01* +X165623146Y-114422689D01* +X165614275Y-114421308D01* +X165605373Y-114422472D01* +X165605371Y-114422472D01* +X165592855Y-114424109D01* +X165582713Y-114425435D01* +X165566378Y-114426499D01* +X159724500Y-114426499D01* +X159656379Y-114406497D01* +X159609886Y-114352841D01* +X159598500Y-114300499D01* +X159598500Y-114011866D01* +X159591745Y-113949684D01* +X159540615Y-113813295D01* +X159453261Y-113696739D01* +X159336705Y-113609385D01* +X159200316Y-113558255D01* +X159138134Y-113551500D01* +X158041866Y-113551500D01* +X157979684Y-113558255D01* +X157843295Y-113609385D01* +X157726739Y-113696739D01* +X157639385Y-113813295D01* +X157588255Y-113949684D01* +X157581500Y-114011866D01* +X157581500Y-114300499D01* +X157561498Y-114368620D01* +X157507842Y-114415113D01* +X157455500Y-114426499D01* +X156980279Y-114426499D01* +X156912158Y-114406497D01* +X156865665Y-114352841D01* +X156855561Y-114282567D01* +X156885055Y-114217987D01* +X156919192Y-114190297D01* +X156984271Y-114154223D01* +X156984274Y-114154221D01* +X156989850Y-114151130D01* +X156994691Y-114146981D01* +X156994695Y-114146978D01* +X157132855Y-114028560D01* +X157137698Y-114024409D01* +X157147428Y-114011866D01* +X157253131Y-113875594D01* +X157257046Y-113870547D01* +X157279243Y-113825438D01* +X157340200Y-113701556D01* +X157343018Y-113695829D01* +X157347779Y-113677551D01* +X157390492Y-113513575D01* +X157390492Y-113513572D01* +X157392102Y-113507393D01* +X157402293Y-113312936D01* +X157373175Y-113120401D01* +X157370972Y-113114415D01* +X157370971Y-113114409D01* +X157339181Y-113028008D01* +X157334431Y-112957170D01* +X157368732Y-112895010D01* +X157431195Y-112861262D01* +X157457431Y-112858500D01* +X157547725Y-112858500D01* +X157615846Y-112878502D01* +X157648551Y-112908935D01* +X157726739Y-113013261D01* +X157843295Y-113100615D01* +X157979684Y-113151745D01* +X158041866Y-113158500D01* +X159138134Y-113158500D01* +X159200316Y-113151745D01* +X159336705Y-113100615D01* +X159453261Y-113013261D01* +X159540615Y-112896705D01* +X159591745Y-112760316D01* +X159598500Y-112698134D01* +X159598500Y-111884500D01* +X159618502Y-111816379D01* +X159672158Y-111769886D01* +X159724500Y-111758500D01* +X160581800Y-111758500D01* +X160649921Y-111778502D01* +X160669147Y-111794843D01* +X160669420Y-111794540D01* +X160674332Y-111798963D01* +X160678747Y-111803866D01* +X160695970Y-111816379D01* +X160753910Y-111858475D01* +X160833248Y-111916118D01* +X160839276Y-111918802D01* +X160839278Y-111918803D01* +X161001681Y-111991109D01* +X161007712Y-111993794D01* +X161101112Y-112013647D01* +X161188056Y-112032128D01* +X161188061Y-112032128D01* +X161194513Y-112033500D01* +X161385487Y-112033500D01* +X161391939Y-112032128D01* +X161391944Y-112032128D01* +X161478888Y-112013647D01* +X161572288Y-111993794D01* +X161578319Y-111991109D01* +X161740722Y-111918803D01* +X161740724Y-111918802D01* +X161746752Y-111916118D01* +X161901253Y-111803866D01* +X162029040Y-111661944D01* +X162124527Y-111496556D01* +X162183542Y-111314928D01* +X162203504Y-111125000D01* +X162198485Y-111077251D01* +X162184232Y-110941635D01* +X162184232Y-110941633D01* +X162183542Y-110935072D01* +X162124527Y-110753444D01* +X162103367Y-110716793D01* +X162074293Y-110666437D01* +X162029040Y-110588056D01* +X162023161Y-110581526D01* +X161905675Y-110451045D01* +X161905674Y-110451044D01* +X161901253Y-110446134D01* +X161758436Y-110342371D01* +X161752094Y-110337763D01* +X161752093Y-110337762D01* +X161746752Y-110333882D01* +X161740724Y-110331198D01* +X161740722Y-110331197D01* +X161578319Y-110258891D01* +X161578318Y-110258891D01* +X161572288Y-110256206D01* +X161478888Y-110236353D01* +X161391944Y-110217872D01* +X161391939Y-110217872D01* +X161385487Y-110216500D01* +X161194513Y-110216500D01* +X161188061Y-110217872D01* +X161188056Y-110217872D01* +X161101112Y-110236353D01* +X161007712Y-110256206D01* +X161001682Y-110258891D01* +X161001681Y-110258891D01* +X160839278Y-110331197D01* +X160839276Y-110331198D01* +X160833248Y-110333882D01* +X160827907Y-110337762D01* +X160827906Y-110337763D01* +X160746820Y-110396676D01* +X160678747Y-110446134D01* +X160674332Y-110451037D01* +X160669420Y-110455460D01* +X160668295Y-110454211D01* +X160614986Y-110487051D01* +X160581800Y-110491500D01* +X157951589Y-110491500D01* +X157883468Y-110471498D01* +X157876024Y-110466326D01* +X157864852Y-110457953D01* +X157786705Y-110399385D01* +X157650316Y-110348255D01* +X157588134Y-110341500D01* +X156791866Y-110341500D01* +X156729684Y-110348255D01* +X156593295Y-110399385D01* +X156536099Y-110442251D01* +X156515148Y-110457953D01* +X156448642Y-110482801D01* +X156379259Y-110467748D01* +X156364018Y-110457953D01* +X156293648Y-110405214D01* +X156278054Y-110396676D01* +X156157606Y-110351522D01* +X156142351Y-110347895D01* +X156091486Y-110342369D01* +X156084672Y-110342000D01* +X155962115Y-110342000D01* +X155946876Y-110346475D01* +X155945671Y-110347865D01* +X155944000Y-110355548D01* +X155944000Y-111728000D01* +X155923998Y-111796121D01* +X155870342Y-111842614D01* +X155818000Y-111854000D01* +X154850116Y-111854000D01* +X154834877Y-111858475D01* +X154833672Y-111859865D01* +X154832001Y-111867548D01* +X154832001Y-112394669D01* +X154832371Y-112401490D01* +X154837895Y-112452352D01* +X154841521Y-112467604D01* +X154886676Y-112588054D01* +X154895214Y-112603649D01* +X154971715Y-112705724D01* +X154984276Y-112718285D01* +X155086351Y-112794786D01* +X155101946Y-112803324D01* +X155222394Y-112848478D01* +X155237649Y-112852105D01* +X155288514Y-112857631D01* +X155295328Y-112858000D01* +X155418179Y-112858000D01* +X155486300Y-112878002D01* +X155532793Y-112931658D01* +X155542897Y-113001932D01* +X155537499Y-113023121D01* +X155536982Y-113024171D01* +X155487898Y-113212607D01* +X155477707Y-113407064D01* +X155506825Y-113599599D01* +X155509028Y-113605585D01* +X155509029Y-113605591D01* +X155571860Y-113776360D01* +X155571862Y-113776365D01* +X155574063Y-113782346D01* +X155600781Y-113825438D01* +X155658639Y-113918752D01* +X155676674Y-113947840D01* +X155810466Y-114089322D01* +X155815696Y-114092984D01* +X155815697Y-114092985D01* +X155964655Y-114197286D01* +X156008984Y-114252743D01* +X156016293Y-114323362D01* +X155984263Y-114386723D01* +X155923061Y-114422708D01* +X155892385Y-114426499D01* +X153980279Y-114426499D01* +X153912158Y-114406497D01* +X153865665Y-114352841D01* +X153855561Y-114282567D01* +X153885055Y-114217987D01* +X153919192Y-114190297D01* +X153984271Y-114154223D01* +X153984274Y-114154221D01* +X153989850Y-114151130D01* +X153994691Y-114146981D01* +X153994695Y-114146978D01* +X154132855Y-114028560D01* +X154137698Y-114024409D01* +X154147428Y-114011866D01* +X154253131Y-113875594D01* +X154257046Y-113870547D01* +X154279243Y-113825438D01* +X154340200Y-113701556D01* +X154343018Y-113695829D01* +X154347779Y-113677551D01* +X154390492Y-113513575D01* +X154390492Y-113513572D01* +X154392102Y-113507393D01* +X154402293Y-113312936D01* +X154373175Y-113120401D01* +X154370972Y-113114415D01* +X154370971Y-113114409D01* +X154308140Y-112943640D01* +X154308138Y-112943635D01* +X154305937Y-112937654D01* +X154203326Y-112772160D01* +X154069534Y-112630678D01* +X154030933Y-112603649D01* +X153915259Y-112522654D01* +X153910025Y-112518989D01* +X153791281Y-112467604D01* +X153737175Y-112444190D01* +X153737171Y-112444189D01* +X153731316Y-112441655D01* +X153725069Y-112440350D01* +X153725066Y-112440349D01* +X153648734Y-112424403D01* +X153586143Y-112390894D01* +X153551605Y-112328865D01* +X153548500Y-112301066D01* +X153548500Y-111327885D01* +X154832000Y-111327885D01* +X154836475Y-111343124D01* +X154837865Y-111344329D01* +X154845548Y-111346000D01* +X155417885Y-111346000D01* +X155433124Y-111341525D01* +X155434329Y-111340135D01* +X155436000Y-111332452D01* +X155436000Y-110360116D01* +X155431525Y-110344877D01* +X155430135Y-110343672D01* +X155422452Y-110342001D01* +X155295331Y-110342001D01* +X155288510Y-110342371D01* +X155237648Y-110347895D01* +X155222396Y-110351521D01* +X155101946Y-110396676D01* +X155086351Y-110405214D01* +X154984276Y-110481715D01* +X154971715Y-110494276D01* +X154895214Y-110596351D01* +X154886676Y-110611946D01* +X154841522Y-110732394D01* +X154837895Y-110747649D01* +X154832369Y-110798514D01* +X154832000Y-110805328D01* +X154832000Y-111327885D01* +X153548500Y-111327885D01* +X153548500Y-110801866D01* +X153541745Y-110739684D01* +X153490615Y-110603295D01* +X153403261Y-110486739D01* +X153286705Y-110399385D01* +X153150316Y-110348255D01* +X153088134Y-110341500D01* +X152291866Y-110341500D01* +X152229684Y-110348255D01* +X152093295Y-110399385D01* +X151976739Y-110486739D01* +X151954759Y-110516067D01* +X151897900Y-110558580D01* +X151853934Y-110566500D01* +X149863768Y-110566500D01* +X149852585Y-110565973D01* +X149845092Y-110564298D01* +X149837166Y-110564547D01* +X149837165Y-110564547D01* +X149777002Y-110566438D01* +X149773044Y-110566500D01* +X149745144Y-110566500D01* +X149741154Y-110567004D01* +X149729320Y-110567936D01* +X149685111Y-110569326D01* +X149677495Y-110571539D01* +X149677493Y-110571539D01* +X149665652Y-110574979D01* +X149646293Y-110578988D01* +X149644983Y-110579154D01* +X149626203Y-110581526D01* +X149618837Y-110584442D01* +X149618831Y-110584444D01* +X149585098Y-110597800D01* +X149573868Y-110601645D01* +X149568189Y-110603295D01* +X149531407Y-110613981D01* +X149524584Y-110618016D01* +X149513966Y-110624295D01* +X149496213Y-110632992D01* +X149488568Y-110636019D01* +X149477383Y-110640448D01* +X149470968Y-110645109D01* +X149441612Y-110666437D01* +X149431695Y-110672951D01* +X149393638Y-110695458D01* +X149379317Y-110709779D01* +X149364284Y-110722619D01* +X149347893Y-110734528D01* +X149342840Y-110740636D01* +X149319708Y-110768598D01* +X149311718Y-110777378D01* +X149274501Y-110814595D01* +X149212189Y-110848621D01* +X149185406Y-110851500D01* +X149129513Y-110851500D01* +X149123061Y-110852872D01* +X149123056Y-110852872D01* +X149036113Y-110871353D01* +X148942712Y-110891206D01* +X148936682Y-110893891D01* +X148936681Y-110893891D01* +X148774278Y-110966197D01* +X148774276Y-110966198D01* +X148768248Y-110968882D01* +X148613747Y-111081134D01* +X148485960Y-111223056D01* +X148390473Y-111388444D01* +X148331458Y-111570072D01* +X148311496Y-111760000D01* +X148312186Y-111766565D01* +X148328187Y-111918803D01* +X148331458Y-111949928D01* +X148390473Y-112131556D01* +X148485960Y-112296944D01* +X148490378Y-112301851D01* +X148490379Y-112301852D01* +X148581764Y-112403345D01* +X148613747Y-112438866D01* +X148662745Y-112474465D01* +X148720535Y-112516452D01* +X148768248Y-112551118D01* +X148774276Y-112553802D01* +X148774278Y-112553803D01* +X148851208Y-112588054D01* +X148942712Y-112628794D01* +X149036112Y-112648647D01* +X149123056Y-112667128D01* +X149123061Y-112667128D01* +X149129513Y-112668500D01* +X149320487Y-112668500D01* +X149326939Y-112667128D01* +X149326944Y-112667128D01* +X149413888Y-112648647D01* +X149507288Y-112628794D01* +X149598792Y-112588054D01* +X149675722Y-112553803D01* +X149675724Y-112553802D01* +X149681752Y-112551118D01* +X149729466Y-112516452D01* +X149787255Y-112474465D01* +X149836253Y-112438866D01* +X149868236Y-112403345D01* +X149959621Y-112301852D01* +X149959622Y-112301851D01* +X149964040Y-112296944D01* +X150046382Y-112154324D01* +X150097763Y-112105332D01* +X150167477Y-112091896D01* +X150233388Y-112118282D01* +X150274570Y-112176114D01* +X150281500Y-112217325D01* +X150281500Y-112698134D01* +X150288255Y-112760316D01* +X150339385Y-112896705D01* +X150426739Y-113013261D01* +X150543295Y-113100615D01* +X150679684Y-113151745D01* +X150741866Y-113158500D01* +X151838134Y-113158500D01* +X151900316Y-113151745D01* +X152036705Y-113100615D01* +X152153261Y-113013261D01* +X152231449Y-112908935D01* +X152288308Y-112866420D01* +X152332275Y-112858500D01* +X152417992Y-112858500D01* +X152486113Y-112878502D01* +X152532606Y-112932158D01* +X152542710Y-113002432D01* +X152537378Y-113023366D01* +X152536982Y-113024171D01* +X152535375Y-113030341D01* +X152535373Y-113030346D01* +X152489508Y-113206425D01* +X152487898Y-113212607D01* +X152477707Y-113407064D01* +X152506825Y-113599599D01* +X152509028Y-113605585D01* +X152509029Y-113605591D01* +X152571860Y-113776360D01* +X152571862Y-113776365D01* +X152574063Y-113782346D01* +X152600781Y-113825438D01* +X152658639Y-113918752D01* +X152676674Y-113947840D01* +X152810466Y-114089322D01* +X152815696Y-114092984D01* +X152815697Y-114092985D01* +X152964655Y-114197286D01* +X153008984Y-114252743D01* +X153016293Y-114323362D01* +X152984263Y-114386723D01* +X152923061Y-114422708D01* +X152892385Y-114426499D01* +X152424500Y-114426499D01* +X152356379Y-114406497D01* +X152309886Y-114352841D01* +X152298500Y-114300499D01* +X152298500Y-114011866D01* +X152291745Y-113949684D01* +X152240615Y-113813295D01* +X152153261Y-113696739D01* +X152036705Y-113609385D01* +X151900316Y-113558255D01* +X151838134Y-113551500D01* +X150741866Y-113551500D01* +X150679684Y-113558255D01* +X150543295Y-113609385D01* +X150426739Y-113696739D01* +X150339385Y-113813295D01* +X150288255Y-113949684D01* +X150281500Y-114011866D01* +X150281500Y-114300499D01* +X150261498Y-114368620D01* +X150207842Y-114415113D01* +X150155500Y-114426499D01* +X144321367Y-114426499D01* +X144301982Y-114424999D01* +X144287148Y-114422689D01* +X144287145Y-114422689D01* +X144278276Y-114421308D01* +X144268341Y-114422607D01* +X144267254Y-114422749D01* +X144238569Y-114423206D01* +X144165259Y-114415986D01* +X144135788Y-114413083D01* +X144111568Y-114408266D01* +X143992454Y-114372133D01* +X143969644Y-114362684D01* +X143951229Y-114352841D01* +X143859873Y-114304010D01* +X143839346Y-114290294D01* +X143743125Y-114211328D01* +X143725672Y-114193875D01* +X143646707Y-114097656D01* +X143632990Y-114077127D01* +X143604811Y-114024409D01* +X143574315Y-113967355D01* +X143564865Y-113944542D01* +X143528732Y-113825427D01* +X143523916Y-113801208D01* +X143522593Y-113787768D01* +X143514459Y-113705181D01* +X143514912Y-113689129D01* +X143514200Y-113689120D01* +X143514310Y-113680147D01* +X143515691Y-113671275D01* +X143512890Y-113649851D01* +X143511564Y-113639716D01* +X143510500Y-113623378D01* +X143510500Y-109260134D01* +X143981500Y-109260134D01* +X143988255Y-109322316D01* +X144039385Y-109458705D01* +X144126739Y-109575261D01* +X144243295Y-109662615D01* +X144379684Y-109713745D01* +X144441866Y-109720500D01* +X147688134Y-109720500D01* +X147750316Y-109713745D01* +X147886705Y-109662615D01* +X148003261Y-109575261D01* +X148090615Y-109458705D01* +X148141745Y-109322316D01* +X148148500Y-109260134D01* +X148148500Y-109256669D01* +X149032001Y-109256669D01* +X149032371Y-109263490D01* +X149037895Y-109314352D01* +X149041521Y-109329604D01* +X149086676Y-109450054D01* +X149095214Y-109465649D01* +X149171715Y-109567724D01* +X149184276Y-109580285D01* +X149286351Y-109656786D01* +X149301946Y-109665324D01* +X149422394Y-109710478D01* +X149437649Y-109714105D01* +X149488514Y-109719631D01* +X149495328Y-109720000D01* +X150842885Y-109720000D01* +X150858124Y-109715525D01* +X150859329Y-109714135D01* +X150861000Y-109706452D01* +X150861000Y-109701884D01* +X151369000Y-109701884D01* +X151373475Y-109717123D01* +X151374865Y-109718328D01* +X151382548Y-109719999D01* +X152734669Y-109719999D01* +X152741490Y-109719629D01* +X152792352Y-109714105D01* +X152807604Y-109710479D01* +X152928054Y-109665324D01* +X152943649Y-109656786D01* +X153045724Y-109580285D01* +X153058285Y-109567724D01* +X153134786Y-109465649D01* +X153143324Y-109450054D01* +X153188478Y-109329606D01* +X153192105Y-109314351D01* +X153197631Y-109263486D01* +X153198000Y-109256672D01* +X153198000Y-108984115D01* +X153193525Y-108968876D01* +X153192135Y-108967671D01* +X153184452Y-108966000D01* +X151387115Y-108966000D01* +X151371876Y-108970475D01* +X151370671Y-108971865D01* +X151369000Y-108979548D01* +X151369000Y-109701884D01* +X150861000Y-109701884D01* +X150861000Y-108984115D01* +X150856525Y-108968876D01* +X150855135Y-108967671D01* +X150847452Y-108966000D01* +X149050116Y-108966000D01* +X149034877Y-108970475D01* +X149033672Y-108971865D01* +X149032001Y-108979548D01* +X149032001Y-109256669D01* +X148148500Y-109256669D01* +X148148500Y-108646989D01* +X148168502Y-108578868D01* +X148222158Y-108532375D01* +X148274500Y-108520989D01* +X153292895Y-108520989D01* +X153361016Y-108540991D01* +X153381990Y-108557894D01* +X153992878Y-109168782D01* +X154026904Y-109231094D01* +X154029092Y-109244703D01* +X154046458Y-109409928D01* +X154105473Y-109591556D01* +X154200960Y-109756944D01* +X154328747Y-109898866D01* +X154483248Y-110011118D01* +X154489276Y-110013802D01* +X154489278Y-110013803D01* +X154651681Y-110086109D01* +X154657712Y-110088794D01* +X154751113Y-110108647D01* +X154838056Y-110127128D01* +X154838061Y-110127128D01* +X154844513Y-110128500D01* +X155035487Y-110128500D01* +X155041939Y-110127128D01* +X155041944Y-110127128D01* +X155128887Y-110108647D01* +X155222288Y-110088794D01* +X155228319Y-110086109D01* +X155390722Y-110013803D01* +X155390724Y-110013802D01* +X155396752Y-110011118D01* +X155551253Y-109898866D01* +X155679040Y-109756944D01* +X155774527Y-109591556D01* +X155826187Y-109432564D01* +X155866261Y-109373958D01* +X155931657Y-109346321D01* +X155946020Y-109345500D01* +X156609618Y-109345500D01* +X156677739Y-109365502D01* +X156724232Y-109419158D01* +X156727599Y-109427269D01* +X156736231Y-109450293D01* +X156739385Y-109458705D01* +X156826739Y-109575261D01* +X156943295Y-109662615D01* +X157079684Y-109713745D01* +X157141866Y-109720500D01* +X160388134Y-109720500D01* +X160450316Y-109713745D01* +X160586705Y-109662615D01* +X160703261Y-109575261D01* +X160790615Y-109458705D01* +X160841745Y-109322316D01* +X160848500Y-109260134D01* +X160848500Y-109256669D01* +X161732001Y-109256669D01* +X161732371Y-109263490D01* +X161737895Y-109314352D01* +X161741521Y-109329604D01* +X161786676Y-109450054D01* +X161795214Y-109465649D01* +X161871715Y-109567724D01* +X161884276Y-109580285D01* +X161986351Y-109656786D01* +X162001946Y-109665324D01* +X162122394Y-109710478D01* +X162137649Y-109714105D01* +X162188514Y-109719631D01* +X162195328Y-109720000D01* +X163542885Y-109720000D01* +X163558124Y-109715525D01* +X163559329Y-109714135D01* +X163561000Y-109706452D01* +X163561000Y-109701884D01* +X164069000Y-109701884D01* +X164073475Y-109717123D01* +X164074865Y-109718328D01* +X164082548Y-109719999D01* +X165434669Y-109719999D01* +X165441490Y-109719629D01* +X165492352Y-109714105D01* +X165507604Y-109710479D01* +X165628054Y-109665324D01* +X165643649Y-109656786D01* +X165745724Y-109580285D01* +X165758285Y-109567724D01* +X165834786Y-109465649D01* +X165843324Y-109450054D01* +X165888478Y-109329606D01* +X165892105Y-109314351D01* +X165897631Y-109263486D01* +X165898000Y-109256672D01* +X165898000Y-108984115D01* +X165893525Y-108968876D01* +X165892135Y-108967671D01* +X165884452Y-108966000D01* +X164087115Y-108966000D01* +X164071876Y-108970475D01* +X164070671Y-108971865D01* +X164069000Y-108979548D01* +X164069000Y-109701884D01* +X163561000Y-109701884D01* +X163561000Y-108984115D01* +X163556525Y-108968876D01* +X163555135Y-108967671D01* +X163547452Y-108966000D01* +X161750116Y-108966000D01* +X161734877Y-108970475D01* +X161733672Y-108971865D01* +X161732001Y-108979548D01* +X161732001Y-109256669D01* +X160848500Y-109256669D01* +X160848500Y-108439885D01* +X161732000Y-108439885D01* +X161736475Y-108455124D01* +X161737865Y-108456329D01* +X161745548Y-108458000D01* +X163542885Y-108458000D01* +X163558124Y-108453525D01* +X163559329Y-108452135D01* +X163561000Y-108444452D01* +X163561000Y-108439885D01* +X164069000Y-108439885D01* +X164073475Y-108455124D01* +X164074865Y-108456329D01* +X164082548Y-108458000D01* +X165879884Y-108458000D01* +X165895123Y-108453525D01* +X165896328Y-108452135D01* +X165897999Y-108444452D01* +X165897999Y-108167331D01* +X165897629Y-108160510D01* +X165892105Y-108109648D01* +X165888479Y-108094396D01* +X165843324Y-107973946D01* +X165834786Y-107958351D01* +X165758285Y-107856276D01* +X165745724Y-107843715D01* +X165643649Y-107767214D01* +X165628054Y-107758676D01* +X165507606Y-107713522D01* +X165492351Y-107709895D01* +X165441486Y-107704369D01* +X165434672Y-107704000D01* +X164087115Y-107704000D01* +X164071876Y-107708475D01* +X164070671Y-107709865D01* +X164069000Y-107717548D01* +X164069000Y-108439885D01* +X163561000Y-108439885D01* +X163561000Y-107722116D01* +X163556525Y-107706877D01* +X163555135Y-107705672D01* +X163547452Y-107704001D01* +X162195331Y-107704001D01* +X162188510Y-107704371D01* +X162137648Y-107709895D01* +X162122396Y-107713521D01* +X162001946Y-107758676D01* +X161986351Y-107767214D01* +X161884276Y-107843715D01* +X161871715Y-107856276D01* +X161795214Y-107958351D01* +X161786676Y-107973946D01* +X161741522Y-108094394D01* +X161737895Y-108109649D01* +X161732369Y-108160514D01* +X161732000Y-108167328D01* +X161732000Y-108439885D01* +X160848500Y-108439885D01* +X160848500Y-108163866D01* +X160841745Y-108101684D01* +X160790615Y-107965295D01* +X160703261Y-107848739D01* +X160586705Y-107761385D01* +X160450316Y-107710255D01* +X160388134Y-107703500D01* +X157141866Y-107703500D01* +X157079684Y-107710255D01* +X156943295Y-107761385D01* +X156826739Y-107848739D01* +X156739385Y-107965295D01* +X156736233Y-107973703D01* +X156736231Y-107973707D01* +X156727599Y-107996731D01* +X156684957Y-108053495D01* +X156618395Y-108078194D01* +X156609618Y-108078500D01* +X155526763Y-108078500D01* +X155515579Y-108077973D01* +X155508091Y-108076299D01* +X155500168Y-108076548D01* +X155440033Y-108078438D01* +X155436075Y-108078500D01* +X155408144Y-108078500D01* +X155404229Y-108078995D01* +X155404225Y-108078995D01* +X155404167Y-108079003D01* +X155404138Y-108079006D01* +X155392296Y-108079939D01* +X155348110Y-108081327D01* +X155330744Y-108086372D01* +X155328658Y-108086978D01* +X155309306Y-108090986D01* +X155297068Y-108092532D01* +X155297066Y-108092533D01* +X155289203Y-108093526D01* +X155248086Y-108109806D01* +X155236885Y-108113641D01* +X155194406Y-108125982D01* +X155187587Y-108130015D01* +X155187582Y-108130017D01* +X155176971Y-108136293D01* +X155159221Y-108144990D01* +X155140383Y-108152448D01* +X155133967Y-108157109D01* +X155133966Y-108157110D01* +X155104625Y-108178428D01* +X155094701Y-108184947D01* +X155063460Y-108203422D01* +X155063455Y-108203426D01* +X155056637Y-108207458D01* +X155042313Y-108221782D01* +X155027275Y-108234626D01* +X155011963Y-108245750D01* +X154945095Y-108269606D01* +X154875944Y-108253523D01* +X154848810Y-108232906D01* +X154461573Y-107845668D01* +X154427548Y-107783356D01* +X154432613Y-107712540D01* +X154475160Y-107655705D01* +X154541680Y-107630894D01* +X154558352Y-107631085D01* +X154563398Y-107632213D01* +X154571319Y-107631964D01* +X154631475Y-107630073D01* +X154635434Y-107630011D01* +X154663345Y-107630011D01* +X154667280Y-107629514D01* +X154667345Y-107629506D01* +X154679182Y-107628573D01* +X154711440Y-107627559D01* +X154715459Y-107627433D01* +X154723378Y-107627184D01* +X154742832Y-107621532D01* +X154762189Y-107617524D01* +X154774419Y-107615979D01* +X154774420Y-107615979D01* +X154782286Y-107614985D01* +X154789657Y-107612066D01* +X154789659Y-107612066D01* +X154823392Y-107598710D01* +X154834634Y-107594861D01* +X154839320Y-107593500D01* +X154874463Y-107588500D01* +X155035487Y-107588500D01* +X155041939Y-107587128D01* +X155041944Y-107587128D01* +X155128888Y-107568647D01* +X155222288Y-107548794D01* +X155363257Y-107486031D01* +X155390722Y-107473803D01* +X155390724Y-107473802D01* +X155396752Y-107471118D01* +X155551253Y-107358866D01* +X155679040Y-107216944D01* +X155774527Y-107051556D01* +X155826187Y-106892564D01* +X155866261Y-106833958D01* +X155931657Y-106806321D01* +X155946020Y-106805500D01* +X156609618Y-106805500D01* +X156677739Y-106825502D01* +X156724232Y-106879158D01* +X156727599Y-106887269D01* +X156736231Y-106910293D01* +X156739385Y-106918705D01* +X156826739Y-107035261D01* +X156943295Y-107122615D01* +X157079684Y-107173745D01* +X157141866Y-107180500D01* +X160388134Y-107180500D01* +X160450316Y-107173745D01* +X160586705Y-107122615D01* +X160703261Y-107035261D01* +X160790615Y-106918705D01* +X160841745Y-106782316D01* +X160848500Y-106720134D01* +X160848500Y-106106989D01* +X160868502Y-106038868D01* +X160922158Y-105992375D01* +X160974500Y-105980989D01* +X161605500Y-105980989D01* +X161673621Y-106000991D01* +X161720114Y-106054647D01* +X161731500Y-106106989D01* +X161731500Y-106720134D01* +X161738255Y-106782316D01* +X161789385Y-106918705D01* +X161876739Y-107035261D01* +X161993295Y-107122615D01* +X162129684Y-107173745D01* +X162191866Y-107180500D01* +X165438134Y-107180500D01* +X165500316Y-107173745D01* +X165636705Y-107122615D01* +X165753261Y-107035261D01* +X165840615Y-106918705D01* +X165891745Y-106782316D01* +X165898500Y-106720134D01* +X165898500Y-105623866D01* +X165891745Y-105561684D01* +X165840615Y-105425295D01* +X165753261Y-105308739D01* +X165636705Y-105221385D01* +X165500316Y-105170255D01* +X165438134Y-105163500D01* +X163754595Y-105163500D01* +X163686474Y-105143498D01* +X163665500Y-105126595D01* +X163494141Y-104955236D01* +X163486601Y-104946950D01* +X163482489Y-104940471D01* +X163432837Y-104893845D01* +X163429996Y-104891091D01* +X163410259Y-104871354D01* +X163407062Y-104868874D01* +X163398041Y-104861170D01* +X163395039Y-104858351D01* +X163359073Y-104797139D01* +X163361910Y-104726199D01* +X163402650Y-104668054D01* +X163468358Y-104641166D01* +X163481291Y-104640500D01* +X165438134Y-104640500D01* +X165500316Y-104633745D01* +X165636705Y-104582615D01* +X165753261Y-104495261D01* +X165840615Y-104378705D01* +X165891745Y-104242316D01* +X165898500Y-104180134D01* +X165898500Y-103083866D01* +X165891745Y-103021684D01* +X165840615Y-102885295D01* +X165753261Y-102768739D01* +X165636705Y-102681385D01* +X165500316Y-102630255D01* +X165438134Y-102623500D01* +X163754595Y-102623500D01* +X163686474Y-102603498D01* +X163665500Y-102586595D01* +X163494141Y-102415236D01* +X163486601Y-102406950D01* +X163482489Y-102400471D01* +X163432837Y-102353845D01* +X163429996Y-102351091D01* +X163410259Y-102331354D01* +X163407062Y-102328874D01* +X163398041Y-102321170D01* +X163395039Y-102318351D01* +X163359073Y-102257139D01* +X163361910Y-102186199D01* +X163402650Y-102128054D01* +X163468358Y-102101166D01* +X163481291Y-102100500D01* +X165438134Y-102100500D01* +X165500316Y-102093745D01* +X165636705Y-102042615D01* +X165753261Y-101955261D01* +X165840615Y-101838705D01* +X165891745Y-101702316D01* +X165898500Y-101640134D01* +X165898500Y-100543866D01* +X165891745Y-100481684D01* +X165840615Y-100345295D01* +X165753261Y-100228739D01* +X165636705Y-100141385D01* +X165500316Y-100090255D01* +X165438134Y-100083500D01* +X163754595Y-100083500D01* +X163686474Y-100063498D01* +X163665500Y-100046595D01* +X163494141Y-99875236D01* +X163486601Y-99866950D01* +X163482489Y-99860471D01* +X163432837Y-99813845D01* +X163429996Y-99811091D01* +X163410259Y-99791354D01* +X163407062Y-99788874D01* +X163398041Y-99781170D01* +X163395039Y-99778351D01* +X163359073Y-99717139D01* +X163361910Y-99646199D01* +X163402650Y-99588054D01* +X163468358Y-99561166D01* +X163481291Y-99560500D01* +X165438134Y-99560500D01* +X165500316Y-99553745D01* +X165636705Y-99502615D01* +X165753261Y-99415261D01* +X165840615Y-99298705D01* +X165891745Y-99162316D01* +X165898500Y-99100134D01* +X165898500Y-98003866D01* +X165891745Y-97941684D01* +X165840615Y-97805295D01* +X165753261Y-97688739D01* +X165636705Y-97601385D01* +X165500316Y-97550255D01* +X165438134Y-97543500D01* +X163754595Y-97543500D01* +X163686474Y-97523498D01* +X163665500Y-97506595D01* +X163494141Y-97335236D01* +X163486601Y-97326950D01* +X163482489Y-97320471D01* +X163432837Y-97273845D01* +X163429996Y-97271091D01* +X163410259Y-97251354D01* +X163407062Y-97248875D01* +X163398035Y-97241164D01* +X163394501Y-97237845D01* +X163358539Y-97176631D01* +X163361381Y-97105691D01* +X163402124Y-97047549D01* +X163467834Y-97020665D01* +X163480759Y-97020000D01* +X163542885Y-97020000D01* +X163558124Y-97015525D01* +X163559329Y-97014135D01* +X163561000Y-97006452D01* +X163561000Y-97001884D01* +X164069000Y-97001884D01* +X164073475Y-97017123D01* +X164074865Y-97018328D01* +X164082548Y-97019999D01* +X165434669Y-97019999D01* +X165441490Y-97019629D01* +X165492352Y-97014105D01* +X165507604Y-97010479D01* +X165628054Y-96965324D01* +X165643649Y-96956786D01* +X165745724Y-96880285D01* +X165758285Y-96867724D01* +X165834786Y-96765649D01* +X165843324Y-96750054D01* +X165888478Y-96629606D01* +X165892105Y-96614351D01* +X165897631Y-96563486D01* +X165898000Y-96556672D01* +X165898000Y-96284115D01* +X165893525Y-96268876D01* +X165892135Y-96267671D01* +X165884452Y-96266000D01* +X164087115Y-96266000D01* +X164071876Y-96270475D01* +X164070671Y-96271865D01* +X164069000Y-96279548D01* +X164069000Y-97001884D01* +X163561000Y-97001884D01* +X163561000Y-96284115D01* +X163556525Y-96268876D01* +X163555135Y-96267671D01* +X163547452Y-96266000D01* +X161750116Y-96266000D01* +X161734877Y-96270475D01* +X161733672Y-96271865D01* +X161732001Y-96279548D01* +X161732001Y-96556669D01* +X161732371Y-96563490D01* +X161737895Y-96614352D01* +X161741521Y-96629604D01* +X161786676Y-96750054D01* +X161795214Y-96765649D01* +X161871715Y-96867724D01* +X161882885Y-96878894D01* +X161916911Y-96941206D01* +X161911846Y-97012021D01* +X161869299Y-97068857D01* +X161802779Y-97093668D01* +X161793790Y-97093989D01* +X160786924Y-97093989D01* +X160718803Y-97073987D01* +X160672310Y-97020331D01* +X160662206Y-96950057D01* +X160691700Y-96885477D01* +X160696480Y-96880343D01* +X160703261Y-96875261D01* +X160790615Y-96758705D01* +X160841745Y-96622316D01* +X160848500Y-96560134D01* +X160848500Y-95463866D01* +X160841745Y-95401684D01* +X160790615Y-95265295D01* +X160703261Y-95148739D01* +X160586705Y-95061385D01* +X160450316Y-95010255D01* +X160388134Y-95003500D01* +X157141866Y-95003500D01* +X157079684Y-95010255D01* +X156943295Y-95061385D01* +X156826739Y-95148739D01* +X156739385Y-95265295D01* +X156736233Y-95273703D01* +X156736231Y-95273707D01* +X156727599Y-95296731D01* +X156684957Y-95353495D01* +X156618395Y-95378194D01* +X156609618Y-95378500D01* +X155526763Y-95378500D01* +X155515579Y-95377973D01* +X155508091Y-95376299D01* +X155500168Y-95376548D01* +X155440033Y-95378438D01* +X155436075Y-95378500D01* +X155408144Y-95378500D01* +X155404229Y-95378995D01* +X155404225Y-95378995D01* +X155404167Y-95379003D01* +X155404138Y-95379006D01* +X155392296Y-95379939D01* +X155348110Y-95381327D01* +X155330744Y-95386372D01* +X155328658Y-95386978D01* +X155309306Y-95390986D01* +X155297068Y-95392532D01* +X155297066Y-95392533D01* +X155289203Y-95393526D01* +X155248086Y-95409806D01* +X155236885Y-95413641D01* +X155194406Y-95425982D01* +X155187587Y-95430015D01* +X155187582Y-95430017D01* +X155176971Y-95436293D01* +X155159221Y-95444990D01* +X155140383Y-95452448D01* +X155133967Y-95457109D01* +X155133966Y-95457110D01* +X155104625Y-95478428D01* +X155094701Y-95484947D01* +X155063460Y-95503422D01* +X155063455Y-95503426D01* +X155056637Y-95507458D01* +X155042313Y-95521782D01* +X155027281Y-95534621D01* +X155010893Y-95546528D01* +X155005842Y-95552634D01* +X154994936Y-95565817D01* +X154936102Y-95605554D01* +X154897852Y-95611500D01* +X154844513Y-95611500D01* +X154838061Y-95612872D01* +X154838056Y-95612872D01* +X154751112Y-95631353D01* +X154657712Y-95651206D01* +X154651682Y-95653891D01* +X154651681Y-95653891D01* +X154489278Y-95726197D01* +X154489276Y-95726198D01* +X154483248Y-95728882D01* +X154477907Y-95732762D01* +X154477906Y-95732763D01* +X154443171Y-95758000D01* +X154328747Y-95841134D01* +X154200960Y-95983056D01* +X154197659Y-95988774D01* +X154110342Y-96140011D01* +X154058960Y-96189004D01* +X154001223Y-96203011D01* +X148274500Y-96203011D01* +X148206379Y-96183009D01* +X148159886Y-96129353D01* +X148148500Y-96077011D01* +X148148500Y-95739885D01* +X149032000Y-95739885D01* +X149036475Y-95755124D01* +X149037865Y-95756329D01* +X149045548Y-95758000D01* +X150842885Y-95758000D01* +X150858124Y-95753525D01* +X150859329Y-95752135D01* +X150861000Y-95744452D01* +X150861000Y-95739885D01* +X151369000Y-95739885D01* +X151373475Y-95755124D01* +X151374865Y-95756329D01* +X151382548Y-95758000D01* +X153179884Y-95758000D01* +X153195123Y-95753525D01* +X153196328Y-95752135D01* +X153197999Y-95744452D01* +X153197999Y-95467331D01* +X153197629Y-95460510D01* +X153192105Y-95409648D01* +X153188479Y-95394396D01* +X153143324Y-95273946D01* +X153134786Y-95258351D01* +X153058285Y-95156276D01* +X153045724Y-95143715D01* +X152943649Y-95067214D01* +X152928054Y-95058676D01* +X152807606Y-95013522D01* +X152792351Y-95009895D01* +X152741486Y-95004369D01* +X152734672Y-95004000D01* +X151387115Y-95004000D01* +X151371876Y-95008475D01* +X151370671Y-95009865D01* +X151369000Y-95017548D01* +X151369000Y-95739885D01* +X150861000Y-95739885D01* +X150861000Y-95022116D01* +X150856525Y-95006877D01* +X150855135Y-95005672D01* +X150847452Y-95004001D01* +X149495331Y-95004001D01* +X149488510Y-95004371D01* +X149437648Y-95009895D01* +X149422396Y-95013521D01* +X149301946Y-95058676D01* +X149286351Y-95067214D01* +X149184276Y-95143715D01* +X149171715Y-95156276D01* +X149095214Y-95258351D01* +X149086676Y-95273946D01* +X149041522Y-95394394D01* +X149037895Y-95409649D01* +X149032369Y-95460514D01* +X149032000Y-95467328D01* +X149032000Y-95739885D01* +X148148500Y-95739885D01* +X148148500Y-95463866D01* +X148141745Y-95401684D01* +X148090615Y-95265295D01* +X148003261Y-95148739D01* +X147886705Y-95061385D01* +X147750316Y-95010255D01* +X147688134Y-95003500D01* +X144441866Y-95003500D01* +X144379684Y-95010255D01* +X144243295Y-95061385D01* +X144126739Y-95148739D01* +X144039385Y-95265295D01* +X143988255Y-95401684D01* +X143981500Y-95463866D01* +X143981500Y-96560134D01* +X143988255Y-96622316D01* +X144039385Y-96758705D01* +X144126739Y-96875261D01* +X144243295Y-96962615D01* +X144379684Y-97013745D01* +X144441866Y-97020500D01* +X146125406Y-97020500D01* +X146193527Y-97040502D01* +X146214501Y-97057405D01* +X146385854Y-97228758D01* +X146393398Y-97237048D01* +X146397511Y-97243529D01* +X146403288Y-97248954D01* +X146447178Y-97290169D01* +X146450020Y-97292924D01* +X146469742Y-97312646D01* +X146472866Y-97315069D01* +X146472870Y-97315073D01* +X146472935Y-97315123D01* +X146481958Y-97322830D01* +X146484962Y-97325651D01* +X146520927Y-97386864D01* +X146518088Y-97457804D01* +X146477347Y-97515947D01* +X146411639Y-97542835D01* +X146398708Y-97543500D01* +X144441866Y-97543500D01* +X144379684Y-97550255D01* +X144243295Y-97601385D01* +X144126739Y-97688739D01* +X144039385Y-97805295D01* +X143988255Y-97941684D01* +X143981500Y-98003866D01* +X143981500Y-99100134D01* +X143988255Y-99162316D01* +X144039385Y-99298705D01* +X144126739Y-99415261D01* +X144243295Y-99502615D01* +X144379684Y-99553745D01* +X144441866Y-99560500D01* +X146125406Y-99560500D01* +X146193527Y-99580502D01* +X146214501Y-99597405D01* +X146385854Y-99768758D01* +X146393398Y-99777048D01* +X146397511Y-99783529D01* +X146403288Y-99788954D01* +X146447178Y-99830169D01* +X146450020Y-99832924D01* +X146469742Y-99852646D01* +X146472866Y-99855069D01* +X146472870Y-99855073D01* +X146472935Y-99855123D01* +X146481958Y-99862830D01* +X146484962Y-99865651D01* +X146520927Y-99926864D01* +X146518088Y-99997804D01* +X146477347Y-100055947D01* +X146411639Y-100082835D01* +X146398708Y-100083500D01* +X144441866Y-100083500D01* +X144379684Y-100090255D01* +X144243295Y-100141385D01* +X144126739Y-100228739D01* +X144039385Y-100345295D01* +X143988255Y-100481684D01* +X143981500Y-100543866D01* +X143981500Y-101640134D01* +X143988255Y-101702316D01* +X144039385Y-101838705D01* +X144126739Y-101955261D01* +X144243295Y-102042615D01* +X144379684Y-102093745D01* +X144441866Y-102100500D01* +X146125406Y-102100500D01* +X146193527Y-102120502D01* +X146214501Y-102137405D01* +X146485501Y-102408405D01* +X146519527Y-102470717D01* +X146514462Y-102541532D01* +X146471915Y-102598368D01* +X146405395Y-102623179D01* +X146396406Y-102623500D01* +X144441866Y-102623500D01* +X144379684Y-102630255D01* +X144243295Y-102681385D01* +X144126739Y-102768739D01* +X144039385Y-102885295D01* +X143988255Y-103021684D01* +X143981500Y-103083866D01* +X143981500Y-104180134D01* +X143988255Y-104242316D01* +X144039385Y-104378705D01* +X144126739Y-104495261D01* +X144243295Y-104582615D01* +X144379684Y-104633745D01* +X144441866Y-104640500D01* +X146125406Y-104640500D01* +X146193527Y-104660502D01* +X146214501Y-104677405D01* +X146385854Y-104848758D01* +X146393398Y-104857048D01* +X146397511Y-104863529D01* +X146403288Y-104868954D01* +X146447178Y-104910169D01* +X146450020Y-104912924D01* +X146469742Y-104932646D01* +X146472866Y-104935069D01* +X146472870Y-104935073D01* +X146472935Y-104935123D01* +X146481958Y-104942830D01* +X146484962Y-104945651D01* +X146520927Y-105006864D01* +X146518088Y-105077804D01* +X146477347Y-105135947D01* +X146411639Y-105162835D01* +X146398708Y-105163500D01* +X144441866Y-105163500D01* +X144379684Y-105170255D01* +X144243295Y-105221385D01* +X144126739Y-105308739D01* +X144039385Y-105425295D01* +X143988255Y-105561684D01* +X143981500Y-105623866D01* +X143981500Y-106720134D01* +X143988255Y-106782316D01* +X144039385Y-106918705D01* +X144126739Y-107035261D01* +X144243295Y-107122615D01* +X144379684Y-107173745D01* +X144441866Y-107180500D01* +X146125406Y-107180500D01* +X146193527Y-107200502D01* +X146214501Y-107217405D01* +X146350000Y-107352904D01* +X146384026Y-107415216D01* +X146378961Y-107486031D01* +X146350001Y-107531094D01* +X146214501Y-107666595D01* +X146152189Y-107700620D01* +X146125405Y-107703500D01* +X144441866Y-107703500D01* +X144379684Y-107710255D01* +X144243295Y-107761385D01* +X144126739Y-107848739D01* +X144039385Y-107965295D01* +X143988255Y-108101684D01* +X143981500Y-108163866D01* +X143981500Y-109260134D01* +X143510500Y-109260134D01* +X143510500Y-94020134D01* +X143981500Y-94020134D01* +X143988255Y-94082316D01* +X144039385Y-94218705D01* +X144126739Y-94335261D01* +X144243295Y-94422615D01* +X144379684Y-94473745D01* +X144441866Y-94480500D01* +X147688134Y-94480500D01* +X147750316Y-94473745D01* +X147886705Y-94422615D01* +X148003261Y-94335261D01* +X148090615Y-94218705D01* +X148141745Y-94082316D01* +X148148500Y-94020134D01* +X148148500Y-93870260D01* +X148168502Y-93802139D01* +X148222158Y-93755646D01* +X148292432Y-93745542D01* +X148306786Y-93748880D01* +X148307712Y-93749292D01* +X148314168Y-93750664D01* +X148314167Y-93750664D01* +X148488056Y-93787626D01* +X148488061Y-93787626D01* +X148494513Y-93788998D01* +X148550406Y-93788998D01* +X148618527Y-93809000D01* +X148639501Y-93825903D01* +X148677845Y-93864247D01* +X148685389Y-93872537D01* +X148689502Y-93879018D01* +X148695279Y-93884443D01* +X148739169Y-93925658D01* +X148742011Y-93928413D01* +X148761732Y-93948134D01* +X148764927Y-93950612D01* +X148773949Y-93958318D01* +X148806181Y-93988586D01* +X148813130Y-93992406D01* +X148823934Y-93998346D01* +X148840458Y-94009199D01* +X148856461Y-94021613D01* +X148897045Y-94039176D01* +X148907675Y-94044383D01* +X148946442Y-94065695D01* +X148954119Y-94067666D01* +X148954124Y-94067668D01* +X148966060Y-94070732D01* +X148984775Y-94077140D01* +X148997157Y-94082499D01* +X149051729Y-94127913D01* +X149065092Y-94153903D01* +X149089385Y-94218705D01* +X149094765Y-94225884D01* +X149094767Y-94225887D01* +X149112630Y-94249721D01* +X149176739Y-94335261D01* +X149293295Y-94422615D01* +X149429684Y-94473745D01* +X149491866Y-94480500D01* +X152738134Y-94480500D01* +X152800316Y-94473745D01* +X152936705Y-94422615D01* +X153053261Y-94335261D01* +X153140615Y-94218705D01* +X153191745Y-94082316D01* +X153198500Y-94020134D01* +X153198500Y-93438595D01* +X153218502Y-93370474D01* +X153272158Y-93323981D01* +X153342432Y-93313877D01* +X153407012Y-93343371D01* +X153413596Y-93349500D01* +X153992879Y-93928784D01* +X154026904Y-93991096D01* +X154029093Y-94004709D01* +X154031201Y-94024761D01* +X154046458Y-94169928D01* +X154105473Y-94351556D01* +X154200960Y-94516944D01* +X154328747Y-94658866D01* +X154483248Y-94771118D01* +X154489276Y-94773802D01* +X154489278Y-94773803D01* +X154636444Y-94839325D01* +X154657712Y-94848794D01* +X154751113Y-94868647D01* +X154838056Y-94887128D01* +X154838061Y-94887128D01* +X154844513Y-94888500D01* +X155035487Y-94888500D01* +X155041939Y-94887128D01* +X155041944Y-94887128D01* +X155128888Y-94868647D01* +X155222288Y-94848794D01* +X155243556Y-94839325D01* +X155390722Y-94773803D01* +X155390724Y-94773802D01* +X155396752Y-94771118D01* +X155551253Y-94658866D01* +X155590750Y-94615000D01* +X161646496Y-94615000D01* +X161647186Y-94621565D01* +X161663187Y-94773803D01* +X161666458Y-94804928D01* +X161725473Y-94986556D01* +X161728776Y-94992278D01* +X161728777Y-94992279D01* +X161802878Y-95120625D01* +X161819616Y-95189620D01* +X161798016Y-95249658D01* +X161799522Y-95250483D01* +X161786676Y-95273946D01* +X161741522Y-95394394D01* +X161737895Y-95409649D01* +X161732369Y-95460514D01* +X161732000Y-95467328D01* +X161732000Y-95739885D01* +X161736475Y-95755124D01* +X161737865Y-95756329D01* +X161745548Y-95758000D01* +X163542885Y-95758000D01* +X163558124Y-95753525D01* +X163559329Y-95752135D01* +X163561000Y-95744452D01* +X163561000Y-95739885D01* +X164069000Y-95739885D01* +X164073475Y-95755124D01* +X164074865Y-95756329D01* +X164082548Y-95758000D01* +X165879884Y-95758000D01* +X165895123Y-95753525D01* +X165896328Y-95752135D01* +X165897999Y-95744452D01* +X165897999Y-95467331D01* +X165897629Y-95460510D01* +X165892105Y-95409648D01* +X165888479Y-95394396D01* +X165843324Y-95273946D01* +X165834786Y-95258351D01* +X165758285Y-95156276D01* +X165745724Y-95143715D01* +X165643649Y-95067214D01* +X165628054Y-95058676D01* +X165507606Y-95013522D01* +X165492351Y-95009895D01* +X165441486Y-95004369D01* +X165434672Y-95004000D01* +X164087115Y-95004000D01* +X164071876Y-95008475D01* +X164070671Y-95009865D01* +X164069000Y-95017548D01* +X164069000Y-95739885D01* +X163561000Y-95739885D01* +X163561000Y-95022116D01* +X163556525Y-95006877D01* +X163555135Y-95005672D01* +X163535416Y-95001383D01* +X163473104Y-94967359D01* +X163439078Y-94905047D01* +X163442365Y-94839327D01* +X163453542Y-94804928D01* +X163457753Y-94764862D01* +X163484766Y-94699205D01* +X163493968Y-94688936D01* +X163665501Y-94517404D01* +X163727813Y-94483379D01* +X163754596Y-94480500D01* +X165438134Y-94480500D01* +X165500316Y-94473745D01* +X165636705Y-94422615D01* +X165753261Y-94335261D01* +X165840615Y-94218705D01* +X165891745Y-94082316D01* +X165898500Y-94020134D01* +X165898500Y-92923866D01* +X165891745Y-92861684D01* +X165840615Y-92725295D01* +X165753261Y-92608739D01* +X165636705Y-92521385D01* +X165500316Y-92470255D01* +X165438134Y-92463500D01* +X162191866Y-92463500D01* +X162129684Y-92470255D01* +X161993295Y-92521385D01* +X161876739Y-92608739D01* +X161789385Y-92725295D01* +X161738255Y-92861684D01* +X161731500Y-92923866D01* +X161731500Y-94020134D01* +X161738255Y-94082316D01* +X161747254Y-94106321D01* +X161748963Y-94110879D01* +X161754146Y-94181686D01* +X161740099Y-94218110D01* +X161735607Y-94225891D01* +X161725473Y-94243444D01* +X161666458Y-94425072D01* +X161646496Y-94615000D01* +X155590750Y-94615000D01* +X155679040Y-94516944D01* +X155774527Y-94351556D01* +X155826187Y-94192564D01* +X155866261Y-94133958D01* +X155931657Y-94106321D01* +X155946020Y-94105500D01* +X156609618Y-94105500D01* +X156677739Y-94125502D01* +X156724232Y-94179158D01* +X156727599Y-94187269D01* +X156736231Y-94210293D01* +X156739385Y-94218705D01* +X156826739Y-94335261D01* +X156943295Y-94422615D01* +X157079684Y-94473745D01* +X157141866Y-94480500D01* +X160388134Y-94480500D01* +X160450316Y-94473745D01* +X160586705Y-94422615D01* +X160703261Y-94335261D01* +X160790615Y-94218705D01* +X160841745Y-94082316D01* +X160848500Y-94020134D01* +X160848500Y-92923866D01* +X160841745Y-92861684D01* +X160790615Y-92725295D01* +X160703261Y-92608739D01* +X160586705Y-92521385D01* +X160450316Y-92470255D01* +X160388134Y-92463500D01* +X157141866Y-92463500D01* +X157079684Y-92470255D01* +X156943295Y-92521385D01* +X156826739Y-92608739D01* +X156739385Y-92725295D01* +X156736233Y-92733703D01* +X156736231Y-92733707D01* +X156727599Y-92756731D01* +X156684957Y-92813495D01* +X156618395Y-92838194D01* +X156609618Y-92838500D01* +X155526763Y-92838500D01* +X155515579Y-92837973D01* +X155508091Y-92836299D01* +X155500168Y-92836548D01* +X155440033Y-92838438D01* +X155436075Y-92838500D01* +X155408144Y-92838500D01* +X155404229Y-92838995D01* +X155404225Y-92838995D01* +X155404167Y-92839003D01* +X155404138Y-92839006D01* +X155392296Y-92839939D01* +X155348110Y-92841327D01* +X155330744Y-92846372D01* +X155328658Y-92846978D01* +X155309306Y-92850986D01* +X155297068Y-92852532D01* +X155297066Y-92852533D01* +X155289203Y-92853526D01* +X155248086Y-92869806D01* +X155236885Y-92873641D01* +X155194406Y-92885982D01* +X155187587Y-92890015D01* +X155187582Y-92890017D01* +X155176971Y-92896293D01* +X155159221Y-92904990D01* +X155140383Y-92912448D01* +X155133967Y-92917109D01* +X155133966Y-92917110D01* +X155104625Y-92938428D01* +X155094701Y-92944947D01* +X155063460Y-92963422D01* +X155063455Y-92963426D01* +X155056637Y-92967458D01* +X155042313Y-92981782D01* +X155027287Y-92994617D01* +X155011965Y-93005749D01* +X154945100Y-93029606D01* +X154875948Y-93013526D01* +X154848814Y-92992910D01* +X154166359Y-92310455D01* +X154155397Y-92290379D01* +X154149496Y-92288768D01* +X154126499Y-92270594D01* +X153619628Y-91763723D01* +X153612099Y-91755449D01* +X153607987Y-91748969D01* +X153558335Y-91702343D01* +X153555494Y-91699589D01* +X153535757Y-91679852D01* +X153532560Y-91677372D01* +X153523538Y-91669667D01* +X153505325Y-91652564D01* +X153491308Y-91639401D01* +X153484362Y-91635582D01* +X153484359Y-91635580D01* +X153473553Y-91629639D01* +X153457034Y-91618788D01* +X153456570Y-91618428D01* +X153441028Y-91606373D01* +X153433759Y-91603228D01* +X153433755Y-91603225D01* +X153400450Y-91588813D01* +X153389800Y-91583596D01* +X153351047Y-91562292D01* +X153331424Y-91557254D01* +X153312721Y-91550850D01* +X153301408Y-91545954D01* +X153301404Y-91545953D01* +X153294132Y-91542806D01* +X153288145Y-91541858D01* +X153229010Y-91504090D01* +X153199334Y-91439593D01* +X153198000Y-91421308D01* +X153198000Y-91204115D01* +X153193525Y-91188876D01* +X153192135Y-91187671D01* +X153184452Y-91186000D01* +X149050116Y-91186000D01* +X149034877Y-91190475D01* +X149033672Y-91191865D01* +X149032001Y-91199548D01* +X149032001Y-91396487D01* +X149011999Y-91464608D01* +X148958343Y-91511101D01* +X148906001Y-91522487D01* +X148274500Y-91522487D01* +X148206379Y-91502485D01* +X148159886Y-91448829D01* +X148148500Y-91396487D01* +X148148500Y-90866989D01* +X148168502Y-90798868D01* +X148222158Y-90752375D01* +X148274500Y-90740989D01* +X153292895Y-90740989D01* +X153361016Y-90760991D01* +X153381990Y-90777894D01* +X153992878Y-91388782D01* +X154026904Y-91451094D01* +X154029092Y-91464703D01* +X154046458Y-91629928D01* +X154105473Y-91811556D01* +X154200960Y-91976944D01* +X154297743Y-92084432D01* +X154309224Y-92097183D01* +X154315735Y-92110750D01* +X154315841Y-92110773D01* +X154329512Y-92119422D01* +X154483248Y-92231118D01* +X154489276Y-92233802D01* +X154489278Y-92233803D01* +X154616351Y-92290379D01* +X154657712Y-92308794D01* +X154751112Y-92328647D01* +X154838056Y-92347128D01* +X154838061Y-92347128D01* +X154844513Y-92348500D01* +X155035487Y-92348500D01* +X155041939Y-92347128D01* +X155041944Y-92347128D01* +X155128888Y-92328647D01* +X155222288Y-92308794D01* +X155263649Y-92290379D01* +X155390722Y-92233803D01* +X155390724Y-92233802D01* +X155396752Y-92231118D01* +X155551253Y-92118866D01* +X155558561Y-92110750D01* +X155674621Y-91981852D01* +X155674622Y-91981851D01* +X155679040Y-91976944D01* +X155774527Y-91811556D01* +X155826187Y-91652564D01* +X155866261Y-91593958D01* +X155931657Y-91566321D01* +X155946020Y-91565500D01* +X156609618Y-91565500D01* +X156677739Y-91585502D01* +X156724232Y-91639158D01* +X156727599Y-91647269D01* +X156736231Y-91670293D01* +X156739385Y-91678705D01* +X156826739Y-91795261D01* +X156943295Y-91882615D01* +X157079684Y-91933745D01* +X157141866Y-91940500D01* +X160388134Y-91940500D01* +X160450316Y-91933745D01* +X160586705Y-91882615D01* +X160703261Y-91795261D01* +X160790615Y-91678705D01* +X160841745Y-91542316D01* +X160848500Y-91480134D01* +X160848500Y-91476669D01* +X161732001Y-91476669D01* +X161732371Y-91483490D01* +X161737895Y-91534352D01* +X161741521Y-91549604D01* +X161786676Y-91670054D01* +X161795214Y-91685649D01* +X161871715Y-91787724D01* +X161884276Y-91800285D01* +X161986351Y-91876786D01* +X162001946Y-91885324D01* +X162122394Y-91930478D01* +X162137649Y-91934105D01* +X162188514Y-91939631D01* +X162195328Y-91940000D01* +X163542885Y-91940000D01* +X163558124Y-91935525D01* +X163559329Y-91934135D01* +X163561000Y-91926452D01* +X163561000Y-91921884D01* +X164069000Y-91921884D01* +X164073475Y-91937123D01* +X164074865Y-91938328D01* +X164082548Y-91939999D01* +X165434669Y-91939999D01* +X165441490Y-91939629D01* +X165492352Y-91934105D01* +X165507604Y-91930479D01* +X165628054Y-91885324D01* +X165643649Y-91876786D01* +X165745724Y-91800285D01* +X165758285Y-91787724D01* +X165834786Y-91685649D01* +X165843324Y-91670054D01* +X165888478Y-91549606D01* +X165892105Y-91534351D01* +X165897631Y-91483486D01* +X165898000Y-91476672D01* +X165898000Y-91204115D01* +X165893525Y-91188876D01* +X165892135Y-91187671D01* +X165884452Y-91186000D01* +X164087115Y-91186000D01* +X164071876Y-91190475D01* +X164070671Y-91191865D01* +X164069000Y-91199548D01* +X164069000Y-91921884D01* +X163561000Y-91921884D01* +X163561000Y-91204115D01* +X163556525Y-91188876D01* +X163555135Y-91187671D01* +X163547452Y-91186000D01* +X161750116Y-91186000D01* +X161734877Y-91190475D01* +X161733672Y-91191865D01* +X161732001Y-91199548D01* +X161732001Y-91476669D01* +X160848500Y-91476669D01* +X160848500Y-90659885D01* +X161732000Y-90659885D01* +X161736475Y-90675124D01* +X161737865Y-90676329D01* +X161745548Y-90678000D01* +X163542885Y-90678000D01* +X163558124Y-90673525D01* +X163559329Y-90672135D01* +X163561000Y-90664452D01* +X163561000Y-90659885D01* +X164069000Y-90659885D01* +X164073475Y-90675124D01* +X164074865Y-90676329D01* +X164082548Y-90678000D01* +X165879884Y-90678000D01* +X165895123Y-90673525D01* +X165896328Y-90672135D01* +X165897999Y-90664452D01* +X165897999Y-90387331D01* +X165897629Y-90380510D01* +X165892105Y-90329648D01* +X165888479Y-90314396D01* +X165843324Y-90193946D01* +X165834786Y-90178351D01* +X165758285Y-90076276D01* +X165745724Y-90063715D01* +X165643649Y-89987214D01* +X165628054Y-89978676D01* +X165507606Y-89933522D01* +X165492351Y-89929895D01* +X165441486Y-89924369D01* +X165434672Y-89924000D01* +X164087115Y-89924000D01* +X164071876Y-89928475D01* +X164070671Y-89929865D01* +X164069000Y-89937548D01* +X164069000Y-90659885D01* +X163561000Y-90659885D01* +X163561000Y-89942116D01* +X163556525Y-89926877D01* +X163555135Y-89925672D01* +X163547452Y-89924001D01* +X162195331Y-89924001D01* +X162188510Y-89924371D01* +X162137648Y-89929895D01* +X162122396Y-89933521D01* +X162001946Y-89978676D01* +X161986351Y-89987214D01* +X161884276Y-90063715D01* +X161871715Y-90076276D01* +X161795214Y-90178351D01* +X161786676Y-90193946D01* +X161741522Y-90314394D01* +X161737895Y-90329649D01* +X161732369Y-90380514D01* +X161732000Y-90387328D01* +X161732000Y-90659885D01* +X160848500Y-90659885D01* +X160848500Y-90383866D01* +X160841745Y-90321684D01* +X160790615Y-90185295D01* +X160703261Y-90068739D01* +X160586705Y-89981385D01* +X160450316Y-89930255D01* +X160388134Y-89923500D01* +X157141866Y-89923500D01* +X157079684Y-89930255D01* +X156943295Y-89981385D01* +X156826739Y-90068739D01* +X156739385Y-90185295D01* +X156736233Y-90193703D01* +X156736231Y-90193707D01* +X156727599Y-90216731D01* +X156684957Y-90273495D01* +X156618395Y-90298194D01* +X156609618Y-90298500D01* +X155526763Y-90298500D01* +X155515579Y-90297973D01* +X155508091Y-90296299D01* +X155500168Y-90296548D01* +X155440033Y-90298438D01* +X155436075Y-90298500D01* +X155408144Y-90298500D01* +X155404229Y-90298995D01* +X155404225Y-90298995D01* +X155404167Y-90299003D01* +X155404138Y-90299006D01* +X155392296Y-90299939D01* +X155348110Y-90301327D01* +X155330744Y-90306372D01* +X155328658Y-90306978D01* +X155309306Y-90310986D01* +X155297068Y-90312532D01* +X155297066Y-90312533D01* +X155289203Y-90313526D01* +X155248086Y-90329806D01* +X155236885Y-90333641D01* +X155194406Y-90345982D01* +X155187587Y-90350015D01* +X155187582Y-90350017D01* +X155176971Y-90356293D01* +X155159221Y-90364990D01* +X155140383Y-90372448D01* +X155133967Y-90377109D01* +X155133966Y-90377110D01* +X155104625Y-90398428D01* +X155094701Y-90404947D01* +X155063460Y-90423422D01* +X155063455Y-90423426D01* +X155056637Y-90427458D01* +X155042313Y-90441782D01* +X155027275Y-90454626D01* +X155011963Y-90465750D01* +X154945095Y-90489606D01* +X154875944Y-90473523D01* +X154848810Y-90452906D01* +X154461573Y-90065668D01* +X154427548Y-90003356D01* +X154432613Y-89932540D01* +X154475160Y-89875705D01* +X154541680Y-89850894D01* +X154558352Y-89851085D01* +X154563398Y-89852213D01* +X154571319Y-89851964D01* +X154631475Y-89850073D01* +X154635434Y-89850011D01* +X154663345Y-89850011D01* +X154667280Y-89849514D01* +X154667345Y-89849506D01* +X154679182Y-89848573D01* +X154711440Y-89847559D01* +X154715459Y-89847433D01* +X154723378Y-89847184D01* +X154742832Y-89841532D01* +X154762189Y-89837524D01* +X154774419Y-89835979D01* +X154774420Y-89835979D01* +X154782286Y-89834985D01* +X154789657Y-89832066D01* +X154789659Y-89832066D01* +X154823392Y-89818710D01* +X154834634Y-89814861D01* +X154839320Y-89813500D01* +X154874463Y-89808500D01* +X155035487Y-89808500D01* +X155041939Y-89807128D01* +X155041944Y-89807128D01* +X155128887Y-89788647D01* +X155222288Y-89768794D01* +X155363257Y-89706031D01* +X155390722Y-89693803D01* +X155390724Y-89693802D01* +X155396752Y-89691118D01* +X155551253Y-89578866D01* +X155679040Y-89436944D01* +X155774527Y-89271556D01* +X155826187Y-89112564D01* +X155866261Y-89053958D01* +X155931657Y-89026321D01* +X155946020Y-89025500D01* +X156609618Y-89025500D01* +X156677739Y-89045502D01* +X156724232Y-89099158D01* +X156727599Y-89107269D01* +X156736231Y-89130293D01* +X156739385Y-89138705D01* +X156826739Y-89255261D01* +X156943295Y-89342615D01* +X157079684Y-89393745D01* +X157141866Y-89400500D01* +X160388134Y-89400500D01* +X160450316Y-89393745D01* +X160586705Y-89342615D01* +X160703261Y-89255261D01* +X160790615Y-89138705D01* +X160841745Y-89002316D01* +X160848500Y-88940134D01* +X160848500Y-88936669D01* +X161732001Y-88936669D01* +X161732371Y-88943490D01* +X161737895Y-88994352D01* +X161741521Y-89009604D01* +X161786676Y-89130054D01* +X161795214Y-89145649D01* +X161871715Y-89247724D01* +X161884276Y-89260285D01* +X161986351Y-89336786D01* +X162001946Y-89345324D01* +X162122394Y-89390478D01* +X162137649Y-89394105D01* +X162188514Y-89399631D01* +X162195328Y-89400000D01* +X163542885Y-89400000D01* +X163558124Y-89395525D01* +X163559329Y-89394135D01* +X163561000Y-89386452D01* +X163561000Y-89381884D01* +X164069000Y-89381884D01* +X164073475Y-89397123D01* +X164074865Y-89398328D01* +X164082548Y-89399999D01* +X165434669Y-89399999D01* +X165441490Y-89399629D01* +X165492352Y-89394105D01* +X165507604Y-89390479D01* +X165628054Y-89345324D01* +X165643649Y-89336786D01* +X165745724Y-89260285D01* +X165758285Y-89247724D01* +X165834786Y-89145649D01* +X165843324Y-89130054D01* +X165888478Y-89009606D01* +X165892105Y-88994351D01* +X165897631Y-88943486D01* +X165898000Y-88936672D01* +X165898000Y-88664115D01* +X165893525Y-88648876D01* +X165892135Y-88647671D01* +X165884452Y-88646000D01* +X164087115Y-88646000D01* +X164071876Y-88650475D01* +X164070671Y-88651865D01* +X164069000Y-88659548D01* +X164069000Y-89381884D01* +X163561000Y-89381884D01* +X163561000Y-88664115D01* +X163556525Y-88648876D01* +X163555135Y-88647671D01* +X163547452Y-88646000D01* +X161750116Y-88646000D01* +X161734877Y-88650475D01* +X161733672Y-88651865D01* +X161732001Y-88659548D01* +X161732001Y-88936669D01* +X160848500Y-88936669D01* +X160848500Y-88119885D01* +X161732000Y-88119885D01* +X161736475Y-88135124D01* +X161737865Y-88136329D01* +X161745548Y-88138000D01* +X163542885Y-88138000D01* +X163558124Y-88133525D01* +X163559329Y-88132135D01* +X163561000Y-88124452D01* +X163561000Y-88119885D01* +X164069000Y-88119885D01* +X164073475Y-88135124D01* +X164074865Y-88136329D01* +X164082548Y-88138000D01* +X165879884Y-88138000D01* +X165895123Y-88133525D01* +X165896328Y-88132135D01* +X165897999Y-88124452D01* +X165897999Y-87847331D01* +X165897629Y-87840510D01* +X165892105Y-87789648D01* +X165888479Y-87774396D01* +X165843324Y-87653946D01* +X165834786Y-87638351D01* +X165758285Y-87536276D01* +X165745724Y-87523715D01* +X165643649Y-87447214D01* +X165628054Y-87438676D01* +X165507606Y-87393522D01* +X165492351Y-87389895D01* +X165441486Y-87384369D01* +X165434672Y-87384000D01* +X164087115Y-87384000D01* +X164071876Y-87388475D01* +X164070671Y-87389865D01* +X164069000Y-87397548D01* +X164069000Y-88119885D01* +X163561000Y-88119885D01* +X163561000Y-87402116D01* +X163556525Y-87386877D01* +X163555135Y-87385672D01* +X163547452Y-87384001D01* +X162195331Y-87384001D01* +X162188510Y-87384371D01* +X162137648Y-87389895D01* +X162122396Y-87393521D01* +X162001946Y-87438676D01* +X161986351Y-87447214D01* +X161884276Y-87523715D01* +X161871715Y-87536276D01* +X161795214Y-87638351D01* +X161786676Y-87653946D01* +X161741522Y-87774394D01* +X161737895Y-87789649D01* +X161732369Y-87840514D01* +X161732000Y-87847328D01* +X161732000Y-88119885D01* +X160848500Y-88119885D01* +X160848500Y-87843866D01* +X160841745Y-87781684D01* +X160790615Y-87645295D01* +X160703261Y-87528739D01* +X160586705Y-87441385D01* +X160450316Y-87390255D01* +X160388134Y-87383500D01* +X157141866Y-87383500D01* +X157079684Y-87390255D01* +X156943295Y-87441385D01* +X156826739Y-87528739D01* +X156739385Y-87645295D01* +X156736233Y-87653703D01* +X156736231Y-87653707D01* +X156727599Y-87676731D01* +X156684957Y-87733495D01* +X156618395Y-87758194D01* +X156609618Y-87758500D01* +X155526763Y-87758500D01* +X155515579Y-87757973D01* +X155508091Y-87756299D01* +X155500168Y-87756548D01* +X155440033Y-87758438D01* +X155436075Y-87758500D01* +X155408144Y-87758500D01* +X155404229Y-87758995D01* +X155404225Y-87758995D01* +X155404167Y-87759003D01* +X155404138Y-87759006D01* +X155392296Y-87759939D01* +X155348110Y-87761327D01* +X155330744Y-87766372D01* +X155328658Y-87766978D01* +X155309306Y-87770986D01* +X155297068Y-87772532D01* +X155297066Y-87772533D01* +X155289203Y-87773526D01* +X155248086Y-87789806D01* +X155236885Y-87793641D01* +X155194406Y-87805982D01* +X155187587Y-87810015D01* +X155187582Y-87810017D01* +X155176971Y-87816293D01* +X155159221Y-87824990D01* +X155140383Y-87832448D01* +X155133967Y-87837109D01* +X155133966Y-87837110D01* +X155104625Y-87858428D01* +X155094701Y-87864947D01* +X155063460Y-87883422D01* +X155063455Y-87883426D01* +X155056637Y-87887458D01* +X155042313Y-87901782D01* +X155027281Y-87914621D01* +X155010893Y-87926528D01* +X155005842Y-87932634D01* +X154994936Y-87945817D01* +X154936102Y-87985554D01* +X154897852Y-87991500D01* +X154844513Y-87991500D01* +X154838061Y-87992872D01* +X154838056Y-87992872D01* +X154751112Y-88011353D01* +X154657712Y-88031206D01* +X154651682Y-88033891D01* +X154651681Y-88033891D01* +X154489278Y-88106197D01* +X154489276Y-88106198D01* +X154483248Y-88108882D01* +X154477907Y-88112762D01* +X154477906Y-88112763D01* +X154443171Y-88138000D01* +X154328747Y-88221134D01* +X154200960Y-88363056D01* +X154197659Y-88368774D01* +X154110342Y-88520011D01* +X154058960Y-88569004D01* +X154001223Y-88583011D01* +X148274500Y-88583011D01* +X148206379Y-88563009D01* +X148159886Y-88509353D01* +X148148500Y-88457011D01* +X148148500Y-87843866D01* +X148141745Y-87781684D01* +X148090615Y-87645295D01* +X148003261Y-87528739D01* +X147997424Y-87524364D01* +X147963803Y-87462794D01* +X147968868Y-87391979D01* +X148011415Y-87335143D01* +X148077935Y-87310332D01* +X148086924Y-87310011D01* +X149093790Y-87310011D01* +X149161911Y-87330013D01* +X149208404Y-87383669D01* +X149218508Y-87453943D01* +X149189014Y-87518523D01* +X149182885Y-87525106D01* +X149171715Y-87536276D01* +X149095214Y-87638351D01* +X149086676Y-87653946D01* +X149041522Y-87774394D01* +X149037895Y-87789649D01* +X149032369Y-87840514D01* +X149032000Y-87847328D01* +X149032000Y-88119885D01* +X149036475Y-88135124D01* +X149037865Y-88136329D01* +X149045548Y-88138000D01* +X153179884Y-88138000D01* +X153195123Y-88133525D01* +X153196328Y-88132135D01* +X153197999Y-88124452D01* +X153197999Y-87847331D01* +X153197629Y-87840510D01* +X153192105Y-87789648D01* +X153188479Y-87774396D01* +X153143324Y-87653946D01* +X153134786Y-87638351D01* +X153058285Y-87536276D01* +X153047115Y-87525106D01* +X153013089Y-87462794D01* +X153018154Y-87391979D01* +X153060701Y-87335143D01* +X153127221Y-87310332D01* +X153136210Y-87310011D01* +X154544722Y-87310011D01* +X154555905Y-87310538D01* +X154563398Y-87312213D01* +X154571324Y-87311964D01* +X154571325Y-87311964D01* +X154631475Y-87310073D01* +X154635434Y-87310011D01* +X154663345Y-87310011D01* +X154667280Y-87309514D01* +X154667345Y-87309506D01* +X154679182Y-87308573D01* +X154711440Y-87307559D01* +X154715459Y-87307433D01* +X154723378Y-87307184D01* +X154742832Y-87301532D01* +X154762189Y-87297524D01* +X154774419Y-87295979D01* +X154774420Y-87295979D01* +X154782286Y-87294985D01* +X154789657Y-87292066D01* +X154789659Y-87292066D01* +X154823392Y-87278710D01* +X154834634Y-87274861D01* +X154839320Y-87273500D01* +X154874463Y-87268500D01* +X155035487Y-87268500D01* +X155041939Y-87267128D01* +X155041944Y-87267128D01* +X155141143Y-87246042D01* +X155222288Y-87228794D01* +X155269817Y-87207633D01* +X155390722Y-87153803D01* +X155390724Y-87153802D01* +X155396752Y-87151118D01* +X155551253Y-87038866D01* +X155679040Y-86896944D01* +X155774527Y-86731556D01* +X155826187Y-86572564D01* +X155866261Y-86513958D01* +X155931657Y-86486321D01* +X155946020Y-86485500D01* +X156609618Y-86485500D01* +X156677739Y-86505502D01* +X156724232Y-86559158D01* +X156727599Y-86567269D01* +X156736231Y-86590293D01* +X156739385Y-86598705D01* +X156826739Y-86715261D01* +X156943295Y-86802615D01* +X157079684Y-86853745D01* +X157141866Y-86860500D01* +X160388134Y-86860500D01* +X160450316Y-86853745D01* +X160586705Y-86802615D01* +X160703261Y-86715261D01* +X160790615Y-86598705D01* +X160841745Y-86462316D01* +X160848500Y-86400134D01* +X160848500Y-86396669D01* +X161732001Y-86396669D01* +X161732371Y-86403490D01* +X161737895Y-86454352D01* +X161741521Y-86469604D01* +X161786676Y-86590054D01* +X161795214Y-86605649D01* +X161871715Y-86707724D01* +X161884276Y-86720285D01* +X161986351Y-86796786D01* +X162001946Y-86805324D01* +X162122394Y-86850478D01* +X162137649Y-86854105D01* +X162188514Y-86859631D01* +X162195328Y-86860000D01* +X163542885Y-86860000D01* +X163558124Y-86855525D01* +X163559329Y-86854135D01* +X163561000Y-86846452D01* +X163561000Y-86841884D01* +X164069000Y-86841884D01* +X164073475Y-86857123D01* +X164074865Y-86858328D01* +X164082548Y-86859999D01* +X165434669Y-86859999D01* +X165441490Y-86859629D01* +X165492352Y-86854105D01* +X165507604Y-86850479D01* +X165628054Y-86805324D01* +X165643649Y-86796786D01* +X165745724Y-86720285D01* +X165758285Y-86707724D01* +X165834786Y-86605649D01* +X165843324Y-86590054D01* +X165888478Y-86469606D01* +X165892105Y-86454351D01* +X165897631Y-86403486D01* +X165898000Y-86396672D01* +X165898000Y-86124115D01* +X165893525Y-86108876D01* +X165892135Y-86107671D01* +X165884452Y-86106000D01* +X164087115Y-86106000D01* +X164071876Y-86110475D01* +X164070671Y-86111865D01* +X164069000Y-86119548D01* +X164069000Y-86841884D01* +X163561000Y-86841884D01* +X163561000Y-86124115D01* +X163556525Y-86108876D01* +X163555135Y-86107671D01* +X163547452Y-86106000D01* +X161750116Y-86106000D01* +X161734877Y-86110475D01* +X161733672Y-86111865D01* +X161732001Y-86119548D01* +X161732001Y-86396669D01* +X160848500Y-86396669D01* +X160848500Y-85579885D01* +X161732000Y-85579885D01* +X161736475Y-85595124D01* +X161737865Y-85596329D01* +X161745548Y-85598000D01* +X163542885Y-85598000D01* +X163558124Y-85593525D01* +X163559329Y-85592135D01* +X163561000Y-85584452D01* +X163561000Y-85579885D01* +X164069000Y-85579885D01* +X164073475Y-85595124D01* +X164074865Y-85596329D01* +X164082548Y-85598000D01* +X165879884Y-85598000D01* +X165895123Y-85593525D01* +X165896328Y-85592135D01* +X165897999Y-85584452D01* +X165897999Y-85307331D01* +X165897629Y-85300510D01* +X165892105Y-85249648D01* +X165888479Y-85234396D01* +X165843324Y-85113946D01* +X165834786Y-85098351D01* +X165758285Y-84996276D01* +X165745724Y-84983715D01* +X165643649Y-84907214D01* +X165628054Y-84898676D01* +X165507606Y-84853522D01* +X165492351Y-84849895D01* +X165441486Y-84844369D01* +X165434672Y-84844000D01* +X164087115Y-84844000D01* +X164071876Y-84848475D01* +X164070671Y-84849865D01* +X164069000Y-84857548D01* +X164069000Y-85579885D01* +X163561000Y-85579885D01* +X163561000Y-84862116D01* +X163556525Y-84846877D01* +X163555135Y-84845672D01* +X163547452Y-84844001D01* +X162195331Y-84844001D01* +X162188510Y-84844371D01* +X162137648Y-84849895D01* +X162122396Y-84853521D01* +X162001946Y-84898676D01* +X161986351Y-84907214D01* +X161884276Y-84983715D01* +X161871715Y-84996276D01* +X161795214Y-85098351D01* +X161786676Y-85113946D01* +X161741522Y-85234394D01* +X161737895Y-85249649D01* +X161732369Y-85300514D01* +X161732000Y-85307328D01* +X161732000Y-85579885D01* +X160848500Y-85579885D01* +X160848500Y-85303866D01* +X160841745Y-85241684D01* +X160790615Y-85105295D01* +X160703261Y-84988739D01* +X160586705Y-84901385D01* +X160450316Y-84850255D01* +X160388134Y-84843500D01* +X157141866Y-84843500D01* +X157079684Y-84850255D01* +X156943295Y-84901385D01* +X156826739Y-84988739D01* +X156739385Y-85105295D01* +X156736233Y-85113703D01* +X156736231Y-85113707D01* +X156727599Y-85136731D01* +X156684957Y-85193495D01* +X156618395Y-85218194D01* +X156609618Y-85218500D01* +X155526763Y-85218500D01* +X155515579Y-85217973D01* +X155508091Y-85216299D01* +X155500168Y-85216548D01* +X155440033Y-85218438D01* +X155436075Y-85218500D01* +X155408144Y-85218500D01* +X155404229Y-85218995D01* +X155404225Y-85218995D01* +X155404167Y-85219003D01* +X155404138Y-85219006D01* +X155392296Y-85219939D01* +X155348110Y-85221327D01* +X155330744Y-85226372D01* +X155328658Y-85226978D01* +X155309306Y-85230986D01* +X155297068Y-85232532D01* +X155297066Y-85232533D01* +X155289203Y-85233526D01* +X155248086Y-85249806D01* +X155236885Y-85253641D01* +X155194406Y-85265982D01* +X155187587Y-85270015D01* +X155187582Y-85270017D01* +X155176971Y-85276293D01* +X155159221Y-85284990D01* +X155140383Y-85292448D01* +X155133967Y-85297109D01* +X155133966Y-85297110D01* +X155104625Y-85318428D01* +X155094701Y-85324947D01* +X155063460Y-85343422D01* +X155063455Y-85343426D01* +X155056637Y-85347458D01* +X155042313Y-85361782D01* +X155027281Y-85374621D01* +X155010893Y-85386528D01* +X155005842Y-85392634D01* +X154994936Y-85405817D01* +X154936102Y-85445554D01* +X154897852Y-85451500D01* +X154844513Y-85451500D01* +X154838061Y-85452872D01* +X154838056Y-85452872D01* +X154751112Y-85471353D01* +X154657712Y-85491206D01* +X154651682Y-85493891D01* +X154651681Y-85493891D01* +X154489278Y-85566197D01* +X154489276Y-85566198D01* +X154483248Y-85568882D01* +X154477907Y-85572762D01* +X154477906Y-85572763D01* +X154443171Y-85598000D01* +X154328747Y-85681134D01* +X154200960Y-85823056D01* +X154197659Y-85828774D01* +X154110342Y-85980011D01* +X154058960Y-86029004D01* +X154001223Y-86043011D01* +X148274500Y-86043011D01* +X148206379Y-86023009D01* +X148159886Y-85969353D01* +X148148500Y-85917011D01* +X148148500Y-85579885D01* +X149032000Y-85579885D01* +X149036475Y-85595124D01* +X149037865Y-85596329D01* +X149045548Y-85598000D01* +X150842885Y-85598000D01* +X150858124Y-85593525D01* +X150859329Y-85592135D01* +X150861000Y-85584452D01* +X150861000Y-85579885D01* +X151369000Y-85579885D01* +X151373475Y-85595124D01* +X151374865Y-85596329D01* +X151382548Y-85598000D01* +X153179884Y-85598000D01* +X153195123Y-85593525D01* +X153196328Y-85592135D01* +X153197999Y-85584452D01* +X153197999Y-85307331D01* +X153197629Y-85300510D01* +X153192105Y-85249648D01* +X153188479Y-85234396D01* +X153143324Y-85113946D01* +X153134786Y-85098351D01* +X153058285Y-84996276D01* +X153045724Y-84983715D01* +X152943649Y-84907214D01* +X152928054Y-84898676D01* +X152807606Y-84853522D01* +X152792351Y-84849895D01* +X152741486Y-84844369D01* +X152734672Y-84844000D01* +X151387115Y-84844000D01* +X151371876Y-84848475D01* +X151370671Y-84849865D01* +X151369000Y-84857548D01* +X151369000Y-85579885D01* +X150861000Y-85579885D01* +X150861000Y-84862116D01* +X150856525Y-84846877D01* +X150855135Y-84845672D01* +X150847452Y-84844001D01* +X149495331Y-84844001D01* +X149488510Y-84844371D01* +X149437648Y-84849895D01* +X149422396Y-84853521D01* +X149301946Y-84898676D01* +X149286351Y-84907214D01* +X149184276Y-84983715D01* +X149171715Y-84996276D01* +X149095214Y-85098351D01* +X149086676Y-85113946D01* +X149041522Y-85234394D01* +X149037895Y-85249649D01* +X149032369Y-85300514D01* +X149032000Y-85307328D01* +X149032000Y-85579885D01* +X148148500Y-85579885D01* +X148148500Y-85303866D01* +X148141745Y-85241684D01* +X148090615Y-85105295D01* +X148003261Y-84988739D01* +X147886705Y-84901385D01* +X147750316Y-84850255D01* +X147688134Y-84843500D01* +X144441866Y-84843500D01* +X144379684Y-84850255D01* +X144243295Y-84901385D01* +X144126739Y-84988739D01* +X144039385Y-85105295D01* +X143988255Y-85241684D01* +X143981500Y-85303866D01* +X143981500Y-86400134D01* +X143988255Y-86462316D01* +X144039385Y-86598705D01* +X144126739Y-86715261D01* +X144243295Y-86802615D01* +X144379684Y-86853745D01* +X144441866Y-86860500D01* +X146125406Y-86860500D01* +X146193527Y-86880502D01* +X146214501Y-86897405D01* +X146385854Y-87068758D01* +X146393398Y-87077048D01* +X146397511Y-87083529D01* +X146403288Y-87088954D01* +X146447178Y-87130169D01* +X146450020Y-87132924D01* +X146469742Y-87152646D01* +X146472866Y-87155069D01* +X146472870Y-87155073D01* +X146472935Y-87155123D01* +X146481958Y-87162830D01* +X146484962Y-87165651D01* +X146520927Y-87226864D01* +X146518088Y-87297804D01* +X146477347Y-87355947D01* +X146411639Y-87382835D01* +X146398708Y-87383500D01* +X144441866Y-87383500D01* +X144379684Y-87390255D01* +X144243295Y-87441385D01* +X144126739Y-87528739D01* +X144039385Y-87645295D01* +X143988255Y-87781684D01* +X143981500Y-87843866D01* +X143981500Y-88940134D01* +X143988255Y-89002316D01* +X144039385Y-89138705D01* +X144126739Y-89255261D01* +X144243295Y-89342615D01* +X144379684Y-89393745D01* +X144441866Y-89400500D01* +X146125406Y-89400500D01* +X146193527Y-89420502D01* +X146214501Y-89437405D01* +X146350000Y-89572904D01* +X146384026Y-89635216D01* +X146378961Y-89706031D01* +X146350001Y-89751094D01* +X146214501Y-89886595D01* +X146152189Y-89920620D01* +X146125405Y-89923500D01* +X144441866Y-89923500D01* +X144379684Y-89930255D01* +X144243295Y-89981385D01* +X144126739Y-90068739D01* +X144039385Y-90185295D01* +X143988255Y-90321684D01* +X143981500Y-90383866D01* +X143981500Y-91480134D01* +X143988255Y-91542316D01* +X144039385Y-91678705D01* +X144126739Y-91795261D01* +X144243295Y-91882615D01* +X144379684Y-91933745D01* +X144441866Y-91940500D01* +X146396405Y-91940500D01* +X146464526Y-91960502D01* +X146511019Y-92014158D01* +X146521123Y-92084432D01* +X146491629Y-92149012D01* +X146485500Y-92155595D01* +X146214500Y-92426595D01* +X146152188Y-92460621D01* +X146125405Y-92463500D01* +X144441866Y-92463500D01* +X144379684Y-92470255D01* +X144243295Y-92521385D01* +X144126739Y-92608739D01* +X144039385Y-92725295D01* +X143988255Y-92861684D01* +X143981500Y-92923866D01* +X143981500Y-94020134D01* +X143510500Y-94020134D01* +X143510500Y-83856669D01* +X143982001Y-83856669D01* +X143982371Y-83863490D01* +X143987895Y-83914352D01* +X143991521Y-83929604D01* +X144036676Y-84050054D01* +X144045214Y-84065649D01* +X144121715Y-84167724D01* +X144134276Y-84180285D01* +X144236351Y-84256786D01* +X144251946Y-84265324D01* +X144372394Y-84310478D01* +X144387649Y-84314105D01* +X144438514Y-84319631D01* +X144445328Y-84320000D01* +X145792885Y-84320000D01* +X145808124Y-84315525D01* +X145809329Y-84314135D01* +X145811000Y-84306452D01* +X145811000Y-84301884D01* +X146319000Y-84301884D01* +X146323475Y-84317123D01* +X146324865Y-84318328D01* +X146332548Y-84319999D01* +X147684669Y-84319999D01* +X147691490Y-84319629D01* +X147742352Y-84314105D01* +X147757604Y-84310479D01* +X147878054Y-84265324D01* +X147893649Y-84256786D01* +X147995724Y-84180285D01* +X148008285Y-84167724D01* +X148084786Y-84065649D01* +X148093324Y-84050054D01* +X148138478Y-83929606D01* +X148142105Y-83914351D01* +X148147631Y-83863486D01* +X148148000Y-83856672D01* +X148148000Y-83584115D01* +X148143525Y-83568876D01* +X148142135Y-83567671D01* +X148134452Y-83566000D01* +X146337115Y-83566000D01* +X146321876Y-83570475D01* +X146320671Y-83571865D01* +X146319000Y-83579548D01* +X146319000Y-84301884D01* +X145811000Y-84301884D01* +X145811000Y-83584115D01* +X145806525Y-83568876D01* +X145805135Y-83567671D01* +X145797452Y-83566000D01* +X144000116Y-83566000D01* +X143984877Y-83570475D01* +X143983672Y-83571865D01* +X143982001Y-83579548D01* +X143982001Y-83856669D01* +X143510500Y-83856669D01* +X143510500Y-83039885D01* +X143982000Y-83039885D01* +X143986475Y-83055124D01* +X143987865Y-83056329D01* +X143995548Y-83058000D01* +X145792885Y-83058000D01* +X145808124Y-83053525D01* +X145809329Y-83052135D01* +X145811000Y-83044452D01* +X145811000Y-82322116D01* +X145806525Y-82306877D01* +X145805135Y-82305672D01* +X145797452Y-82304001D01* +X144445331Y-82304001D01* +X144438510Y-82304371D01* +X144387648Y-82309895D01* +X144372396Y-82313521D01* +X144251946Y-82358676D01* +X144236351Y-82367214D01* +X144134276Y-82443715D01* +X144121715Y-82456276D01* +X144045214Y-82558351D01* +X144036676Y-82573946D01* +X143991522Y-82694394D01* +X143987895Y-82709649D01* +X143982369Y-82760514D01* +X143982000Y-82767328D01* +X143982000Y-83039885D01* +X143510500Y-83039885D01* +X143510500Y-81320134D01* +X143981500Y-81320134D01* +X143988255Y-81382316D01* +X144039385Y-81518705D01* +X144126739Y-81635261D01* +X144243295Y-81722615D01* +X144379684Y-81773745D01* +X144441866Y-81780500D01* +X146125406Y-81780500D01* +X146193527Y-81800502D01* +X146214501Y-81817405D01* +X146385854Y-81988758D01* +X146393398Y-81997048D01* +X146397511Y-82003529D01* +X146403288Y-82008954D01* +X146447178Y-82050169D01* +X146450020Y-82052924D01* +X146469742Y-82072646D01* +X146472866Y-82075069D01* +X146472870Y-82075073D01* +X146472935Y-82075123D01* +X146481947Y-82082820D01* +X146485489Y-82086146D01* +X146521457Y-82147354D01* +X146518624Y-82218294D01* +X146477888Y-82276441D01* +X146412182Y-82303334D01* +X146399241Y-82304000D01* +X146337115Y-82304000D01* +X146321876Y-82308475D01* +X146320671Y-82309865D01* +X146319000Y-82317548D01* +X146319000Y-83039885D01* +X146323475Y-83055124D01* +X146324865Y-83056329D01* +X146332548Y-83058000D01* +X148129884Y-83058000D01* +X148145123Y-83053525D01* +X148146328Y-83052135D01* +X148147999Y-83044452D01* +X148147999Y-82767331D01* +X148147629Y-82760510D01* +X148142105Y-82709648D01* +X148138479Y-82694396D01* +X148093324Y-82573946D01* +X148084786Y-82558351D01* +X148008285Y-82456276D01* +X147997115Y-82445106D01* +X147963089Y-82382794D01* +X147968154Y-82311979D01* +X148010701Y-82255143D01* +X148077221Y-82230332D01* +X148086210Y-82230011D01* +X149093076Y-82230011D01* +X149161197Y-82250013D01* +X149207690Y-82303669D01* +X149217794Y-82373943D01* +X149188300Y-82438523D01* +X149183520Y-82443657D01* +X149176739Y-82448739D01* +X149089385Y-82565295D01* +X149038255Y-82701684D01* +X149031500Y-82763866D01* +X149031500Y-83860134D01* +X149038255Y-83922316D01* +X149089385Y-84058705D01* +X149176739Y-84175261D01* +X149293295Y-84262615D01* +X149429684Y-84313745D01* +X149491866Y-84320500D01* +X152738134Y-84320500D01* +X152800316Y-84313745D01* +X152936705Y-84262615D01* +X153053261Y-84175261D01* +X153140615Y-84058705D01* +X153191745Y-83922316D01* +X153198500Y-83860134D01* +X153198500Y-83856669D01* +X156682001Y-83856669D01* +X156682371Y-83863490D01* +X156687895Y-83914352D01* +X156691521Y-83929604D01* +X156736676Y-84050054D01* +X156745214Y-84065649D01* +X156821715Y-84167724D01* +X156834276Y-84180285D01* +X156936351Y-84256786D01* +X156951946Y-84265324D01* +X157072394Y-84310478D01* +X157087649Y-84314105D01* +X157138514Y-84319631D01* +X157145328Y-84320000D01* +X158492885Y-84320000D01* +X158508124Y-84315525D01* +X158509329Y-84314135D01* +X158511000Y-84306452D01* +X158511000Y-84301884D01* +X159019000Y-84301884D01* +X159023475Y-84317123D01* +X159024865Y-84318328D01* +X159032548Y-84319999D01* +X160384669Y-84319999D01* +X160391490Y-84319629D01* +X160442352Y-84314105D01* +X160457604Y-84310479D01* +X160578054Y-84265324D01* +X160593649Y-84256786D01* +X160695724Y-84180285D01* +X160708285Y-84167724D01* +X160784786Y-84065649D01* +X160793324Y-84050054D01* +X160838478Y-83929606D01* +X160842105Y-83914351D01* +X160847631Y-83863486D01* +X160847813Y-83860134D01* +X161731500Y-83860134D01* +X161738255Y-83922316D01* +X161789385Y-84058705D01* +X161876739Y-84175261D01* +X161993295Y-84262615D01* +X162129684Y-84313745D01* +X162191866Y-84320500D01* +X165438134Y-84320500D01* +X165500316Y-84313745D01* +X165636705Y-84262615D01* +X165753261Y-84175261D01* +X165840615Y-84058705D01* +X165891745Y-83922316D01* +X165898500Y-83860134D01* +X165898500Y-82763866D01* +X165891745Y-82701684D01* +X165840615Y-82565295D01* +X165753261Y-82448739D01* +X165636705Y-82361385D01* +X165500316Y-82310255D01* +X165438134Y-82303500D01* +X162191866Y-82303500D01* +X162129684Y-82310255D01* +X161993295Y-82361385D01* +X161876739Y-82448739D01* +X161789385Y-82565295D01* +X161738255Y-82701684D01* +X161731500Y-82763866D01* +X161731500Y-83860134D01* +X160847813Y-83860134D01* +X160848000Y-83856672D01* +X160848000Y-83584115D01* +X160843525Y-83568876D01* +X160842135Y-83567671D01* +X160834452Y-83566000D01* +X159037115Y-83566000D01* +X159021876Y-83570475D01* +X159020671Y-83571865D01* +X159019000Y-83579548D01* +X159019000Y-84301884D01* +X158511000Y-84301884D01* +X158511000Y-83584115D01* +X158506525Y-83568876D01* +X158505135Y-83567671D01* +X158497452Y-83566000D01* +X156700116Y-83566000D01* +X156684877Y-83570475D01* +X156683672Y-83571865D01* +X156682001Y-83579548D01* +X156682001Y-83856669D01* +X153198500Y-83856669D01* +X153198500Y-83039885D01* +X156682000Y-83039885D01* +X156686475Y-83055124D01* +X156687865Y-83056329D01* +X156695548Y-83058000D01* +X158492885Y-83058000D01* +X158508124Y-83053525D01* +X158509329Y-83052135D01* +X158511000Y-83044452D01* +X158511000Y-83039885D01* +X159019000Y-83039885D01* +X159023475Y-83055124D01* +X159024865Y-83056329D01* +X159032548Y-83058000D01* +X160829884Y-83058000D01* +X160845123Y-83053525D01* +X160846328Y-83052135D01* +X160847999Y-83044452D01* +X160847999Y-82767331D01* +X160847629Y-82760510D01* +X160842105Y-82709648D01* +X160838479Y-82694396D01* +X160793324Y-82573946D01* +X160784786Y-82558351D01* +X160708285Y-82456276D01* +X160695724Y-82443715D01* +X160593649Y-82367214D01* +X160578054Y-82358676D01* +X160457606Y-82313522D01* +X160442351Y-82309895D01* +X160391486Y-82304369D01* +X160384672Y-82304000D01* +X159037115Y-82304000D01* +X159021876Y-82308475D01* +X159020671Y-82309865D01* +X159019000Y-82317548D01* +X159019000Y-83039885D01* +X158511000Y-83039885D01* +X158511000Y-82322116D01* +X158506525Y-82306877D01* +X158505135Y-82305672D01* +X158497452Y-82304001D01* +X157145331Y-82304001D01* +X157138510Y-82304371D01* +X157087648Y-82309895D01* +X157072396Y-82313521D01* +X156951946Y-82358676D01* +X156936351Y-82367214D01* +X156834276Y-82443715D01* +X156821715Y-82456276D01* +X156745214Y-82558351D01* +X156736676Y-82573946D01* +X156691522Y-82694394D01* +X156687895Y-82709649D01* +X156682369Y-82760514D01* +X156682000Y-82767328D01* +X156682000Y-83039885D01* +X153198500Y-83039885D01* +X153198500Y-82763866D01* +X153191745Y-82701684D01* +X153140615Y-82565295D01* +X153053261Y-82448739D01* +X153047424Y-82444364D01* +X153013803Y-82382794D01* +X153018868Y-82311979D01* +X153061415Y-82255143D01* +X153127935Y-82230332D01* +X153136924Y-82230011D01* +X154544722Y-82230011D01* +X154555905Y-82230538D01* +X154563398Y-82232213D01* +X154571324Y-82231964D01* +X154571325Y-82231964D01* +X154631475Y-82230073D01* +X154635434Y-82230011D01* +X154663345Y-82230011D01* +X154667280Y-82229514D01* +X154667345Y-82229506D01* +X154679182Y-82228573D01* +X154711440Y-82227559D01* +X154715459Y-82227433D01* +X154723378Y-82227184D01* +X154742832Y-82221532D01* +X154762189Y-82217524D01* +X154774419Y-82215979D01* +X154774420Y-82215979D01* +X154782286Y-82214985D01* +X154789657Y-82212066D01* +X154789659Y-82212066D01* +X154823392Y-82198710D01* +X154834634Y-82194861D01* +X154839320Y-82193500D01* +X154874463Y-82188500D01* +X155035487Y-82188500D01* +X155041939Y-82187128D01* +X155041944Y-82187128D01* +X155141143Y-82166042D01* +X155222288Y-82148794D01* +X155269817Y-82127633D01* +X155390722Y-82073803D01* +X155390724Y-82073802D01* +X155396752Y-82071118D01* +X155551253Y-81958866D01* +X155679040Y-81816944D01* +X155774527Y-81651556D01* +X155826187Y-81492564D01* +X155866261Y-81433958D01* +X155931657Y-81406321D01* +X155946020Y-81405500D01* +X156609618Y-81405500D01* +X156677739Y-81425502D01* +X156724232Y-81479158D01* +X156727599Y-81487269D01* +X156736231Y-81510293D01* +X156739385Y-81518705D01* +X156826739Y-81635261D01* +X156943295Y-81722615D01* +X157079684Y-81773745D01* +X157141866Y-81780500D01* +X160388134Y-81780500D01* +X160450316Y-81773745D01* +X160586705Y-81722615D01* +X160703261Y-81635261D01* +X160790615Y-81518705D01* +X160841745Y-81382316D01* +X160848500Y-81320134D01* +X160848500Y-80706989D01* +X160868502Y-80638868D01* +X160922158Y-80592375D01* +X160974500Y-80580989D01* +X161605500Y-80580989D01* +X161673621Y-80600991D01* +X161720114Y-80654647D01* +X161731500Y-80706989D01* +X161731500Y-81320134D01* +X161738255Y-81382316D01* +X161789385Y-81518705D01* +X161876739Y-81635261D01* +X161993295Y-81722615D01* +X162129684Y-81773745D01* +X162191866Y-81780500D01* +X165438134Y-81780500D01* +X165500316Y-81773745D01* +X165636705Y-81722615D01* +X165753261Y-81635261D01* +X165840615Y-81518705D01* +X165891745Y-81382316D01* +X165898500Y-81320134D01* +X165898500Y-80223866D01* +X165891745Y-80161684D01* +X165840615Y-80025295D01* +X165753261Y-79908739D01* +X165636705Y-79821385D01* +X165500316Y-79770255D01* +X165438134Y-79763500D01* +X163754595Y-79763500D01* +X163686474Y-79743498D01* +X163665500Y-79726595D01* +X163494141Y-79555236D01* +X163486601Y-79546950D01* +X163482489Y-79540471D01* +X163432837Y-79493845D01* +X163429996Y-79491091D01* +X163410259Y-79471354D01* +X163407062Y-79468874D01* +X163398041Y-79461170D01* +X163395039Y-79458351D01* +X163359073Y-79397139D01* +X163361910Y-79326199D01* +X163402650Y-79268054D01* +X163468358Y-79241166D01* +X163481291Y-79240500D01* +X165438134Y-79240500D01* +X165500316Y-79233745D01* +X165636705Y-79182615D01* +X165753261Y-79095261D01* +X165840615Y-78978705D01* +X165891745Y-78842316D01* +X165898500Y-78780134D01* +X165898500Y-77683866D01* +X165891745Y-77621684D01* +X165840615Y-77485295D01* +X165753261Y-77368739D01* +X165636705Y-77281385D01* +X165500316Y-77230255D01* +X165438134Y-77223500D01* +X163754595Y-77223500D01* +X163686474Y-77203498D01* +X163665500Y-77186595D01* +X163494141Y-77015236D01* +X163486601Y-77006950D01* +X163482489Y-77000471D01* +X163432837Y-76953845D01* +X163429996Y-76951091D01* +X163410259Y-76931354D01* +X163407062Y-76928874D01* +X163398041Y-76921170D01* +X163395039Y-76918351D01* +X163359073Y-76857139D01* +X163361910Y-76786199D01* +X163402650Y-76728054D01* +X163468358Y-76701166D01* +X163481291Y-76700500D01* +X165438134Y-76700500D01* +X165500316Y-76693745D01* +X165636705Y-76642615D01* +X165753261Y-76555261D01* +X165840615Y-76438705D01* +X165891745Y-76302316D01* +X165898500Y-76240134D01* +X165898500Y-75143866D01* +X165891745Y-75081684D01* +X165840615Y-74945295D01* +X165753261Y-74828739D01* +X165636705Y-74741385D01* +X165500316Y-74690255D01* +X165438134Y-74683500D01* +X163754595Y-74683500D01* +X163686474Y-74663498D01* +X163665500Y-74646595D01* +X163494141Y-74475236D01* +X163486601Y-74466950D01* +X163482489Y-74460471D01* +X163432837Y-74413845D01* +X163429996Y-74411091D01* +X163410259Y-74391354D01* +X163407062Y-74388874D01* +X163398041Y-74381170D01* +X163395039Y-74378351D01* +X163359073Y-74317139D01* +X163361910Y-74246199D01* +X163402650Y-74188054D01* +X163468358Y-74161166D01* +X163481291Y-74160500D01* +X165438134Y-74160500D01* +X165500316Y-74153745D01* +X165636705Y-74102615D01* +X165753261Y-74015261D01* +X165840615Y-73898705D01* +X165891745Y-73762316D01* +X165898500Y-73700134D01* +X165898500Y-72603866D01* +X165891745Y-72541684D01* +X165840615Y-72405295D01* +X165753261Y-72288739D01* +X165636705Y-72201385D01* +X165500316Y-72150255D01* +X165438134Y-72143500D01* +X163754595Y-72143500D01* +X163686474Y-72123498D01* +X163665500Y-72106595D01* +X163494141Y-71935236D01* +X163486601Y-71926950D01* +X163482489Y-71920471D01* +X163432837Y-71873845D01* +X163429996Y-71871091D01* +X163410259Y-71851354D01* +X163407062Y-71848874D01* +X163398041Y-71841170D01* +X163395039Y-71838351D01* +X163359073Y-71777139D01* +X163361910Y-71706199D01* +X163402650Y-71648054D01* +X163468358Y-71621166D01* +X163481291Y-71620500D01* +X165438134Y-71620500D01* +X165500316Y-71613745D01* +X165636705Y-71562615D01* +X165753261Y-71475261D01* +X165840615Y-71358705D01* +X165891745Y-71222316D01* +X165898500Y-71160134D01* +X165898500Y-70063866D01* +X165891745Y-70001684D01* +X165840615Y-69865295D01* +X165753261Y-69748739D01* +X165636705Y-69661385D01* +X165500316Y-69610255D01* +X165438134Y-69603500D01* +X163754595Y-69603500D01* +X163686474Y-69583498D01* +X163665500Y-69566595D01* +X163494141Y-69395236D01* +X163486601Y-69386950D01* +X163482489Y-69380471D01* +X163432837Y-69333845D01* +X163429996Y-69331091D01* +X163410259Y-69311354D01* +X163407062Y-69308874D01* +X163398041Y-69301170D01* +X163395039Y-69298351D01* +X163359073Y-69237139D01* +X163361910Y-69166199D01* +X163402650Y-69108054D01* +X163468358Y-69081166D01* +X163481291Y-69080500D01* +X165438134Y-69080500D01* +X165500316Y-69073745D01* +X165636705Y-69022615D01* +X165753261Y-68935261D01* +X165840615Y-68818705D01* +X165891745Y-68682316D01* +X165898500Y-68620134D01* +X165898500Y-67523866D01* +X165891745Y-67461684D01* +X165840615Y-67325295D01* +X165753261Y-67208739D01* +X165636705Y-67121385D01* +X165500316Y-67070255D01* +X165438134Y-67063500D01* +X163754595Y-67063500D01* +X163686474Y-67043498D01* +X163665500Y-67026595D01* +X163494141Y-66855236D01* +X163486601Y-66846950D01* +X163482489Y-66840471D01* +X163432837Y-66793845D01* +X163429996Y-66791091D01* +X163410259Y-66771354D01* +X163407062Y-66768874D01* +X163398041Y-66761170D01* +X163395039Y-66758351D01* +X163359073Y-66697139D01* +X163361910Y-66626199D01* +X163402650Y-66568054D01* +X163468358Y-66541166D01* +X163481291Y-66540500D01* +X165438134Y-66540500D01* +X165500316Y-66533745D01* +X165636705Y-66482615D01* +X165753261Y-66395261D01* +X165840615Y-66278705D01* +X165891745Y-66142316D01* +X165898500Y-66080134D01* +X165898500Y-64983866D01* +X165891745Y-64921684D01* +X165840615Y-64785295D01* +X165753261Y-64668739D01* +X165636705Y-64581385D01* +X165500316Y-64530255D01* +X165438134Y-64523500D01* +X163754595Y-64523500D01* +X163686474Y-64503498D01* +X163665500Y-64486595D01* +X163494141Y-64315236D01* +X163486601Y-64306950D01* +X163482489Y-64300471D01* +X163432837Y-64253845D01* +X163429996Y-64251091D01* +X163410259Y-64231354D01* +X163407062Y-64228874D01* +X163398041Y-64221170D01* +X163395039Y-64218351D01* +X163359073Y-64157139D01* +X163361910Y-64086199D01* +X163402650Y-64028054D01* +X163468358Y-64001166D01* +X163481291Y-64000500D01* +X165438134Y-64000500D01* +X165500316Y-63993745D01* +X165636705Y-63942615D01* +X165753261Y-63855261D01* +X165840615Y-63738705D01* +X165891745Y-63602316D01* +X165898500Y-63540134D01* +X165898500Y-62443866D01* +X165891745Y-62381684D01* +X165840615Y-62245295D01* +X165753261Y-62128739D01* +X165636705Y-62041385D01* +X165500316Y-61990255D01* +X165438134Y-61983500D01* +X163754595Y-61983500D01* +X163686474Y-61963498D01* +X163665500Y-61946595D01* +X163494141Y-61775236D01* +X163486601Y-61766950D01* +X163482489Y-61760471D01* +X163432837Y-61713845D01* +X163429996Y-61711091D01* +X163410259Y-61691354D01* +X163407062Y-61688875D01* +X163398035Y-61681164D01* +X163394501Y-61677845D01* +X163358539Y-61616631D01* +X163361381Y-61545691D01* +X163402124Y-61487549D01* +X163467834Y-61460665D01* +X163480759Y-61460000D01* +X163542885Y-61460000D01* +X163558124Y-61455525D01* +X163559329Y-61454135D01* +X163561000Y-61446452D01* +X163561000Y-61441884D01* +X164069000Y-61441884D01* +X164073475Y-61457123D01* +X164074865Y-61458328D01* +X164082548Y-61459999D01* +X165434669Y-61459999D01* +X165441490Y-61459629D01* +X165492352Y-61454105D01* +X165507604Y-61450479D01* +X165628054Y-61405324D01* +X165643649Y-61396786D01* +X165745724Y-61320285D01* +X165758285Y-61307724D01* +X165834786Y-61205649D01* +X165843324Y-61190054D01* +X165888478Y-61069606D01* +X165892105Y-61054351D01* +X165897631Y-61003486D01* +X165898000Y-60996672D01* +X165898000Y-60724115D01* +X165893525Y-60708876D01* +X165892135Y-60707671D01* +X165884452Y-60706000D01* +X164087115Y-60706000D01* +X164071876Y-60710475D01* +X164070671Y-60711865D01* +X164069000Y-60719548D01* +X164069000Y-61441884D01* +X163561000Y-61441884D01* +X163561000Y-60724115D01* +X163556525Y-60708876D01* +X163555135Y-60707671D01* +X163547452Y-60706000D01* +X161750116Y-60706000D01* +X161734877Y-60710475D01* +X161733672Y-60711865D01* +X161732001Y-60719548D01* +X161732001Y-60996669D01* +X161732371Y-61003490D01* +X161737895Y-61054352D01* +X161741521Y-61069604D01* +X161786676Y-61190054D01* +X161795214Y-61205649D01* +X161871715Y-61307724D01* +X161882885Y-61318894D01* +X161916911Y-61381206D01* +X161911846Y-61452021D01* +X161869299Y-61508857D01* +X161802779Y-61533668D01* +X161793790Y-61533989D01* +X160786924Y-61533989D01* +X160718803Y-61513987D01* +X160672310Y-61460331D01* +X160662206Y-61390057D01* +X160691700Y-61325477D01* +X160696480Y-61320343D01* +X160703261Y-61315261D01* +X160790615Y-61198705D01* +X160841745Y-61062316D01* +X160848500Y-61000134D01* +X160848500Y-60179885D01* +X161732000Y-60179885D01* +X161736475Y-60195124D01* +X161737865Y-60196329D01* +X161745548Y-60198000D01* +X163542885Y-60198000D01* +X163558124Y-60193525D01* +X163559329Y-60192135D01* +X163561000Y-60184452D01* +X163561000Y-60179885D01* +X164069000Y-60179885D01* +X164073475Y-60195124D01* +X164074865Y-60196329D01* +X164082548Y-60198000D01* +X165879884Y-60198000D01* +X165895123Y-60193525D01* +X165896328Y-60192135D01* +X165897999Y-60184452D01* +X165897999Y-59907331D01* +X165897629Y-59900510D01* +X165892105Y-59849648D01* +X165888479Y-59834396D01* +X165843324Y-59713946D01* +X165834786Y-59698351D01* +X165758285Y-59596276D01* +X165745724Y-59583715D01* +X165643649Y-59507214D01* +X165628054Y-59498676D01* +X165507606Y-59453522D01* +X165492351Y-59449895D01* +X165441486Y-59444369D01* +X165434672Y-59444000D01* +X164087115Y-59444000D01* +X164071876Y-59448475D01* +X164070671Y-59449865D01* +X164069000Y-59457548D01* +X164069000Y-60179885D01* +X163561000Y-60179885D01* +X163561000Y-59462116D01* +X163556525Y-59446877D01* +X163555135Y-59445672D01* +X163547452Y-59444001D01* +X162195331Y-59444001D01* +X162188510Y-59444371D01* +X162137648Y-59449895D01* +X162122396Y-59453521D01* +X162001946Y-59498676D01* +X161986351Y-59507214D01* +X161884276Y-59583715D01* +X161871715Y-59596276D01* +X161795214Y-59698351D01* +X161786676Y-59713946D01* +X161741522Y-59834394D01* +X161737895Y-59849649D01* +X161732369Y-59900514D01* +X161732000Y-59907328D01* +X161732000Y-60179885D01* +X160848500Y-60179885D01* +X160848500Y-59903866D01* +X160841745Y-59841684D01* +X160790615Y-59705295D01* +X160703261Y-59588739D01* +X160586705Y-59501385D01* +X160450316Y-59450255D01* +X160388134Y-59443500D01* +X157141866Y-59443500D01* +X157079684Y-59450255D01* +X156943295Y-59501385D01* +X156826739Y-59588739D01* +X156739385Y-59705295D01* +X156736233Y-59713703D01* +X156736231Y-59713707D01* +X156727599Y-59736731D01* +X156684957Y-59793495D01* +X156618395Y-59818194D01* +X156609618Y-59818500D01* +X155526763Y-59818500D01* +X155515579Y-59817973D01* +X155508091Y-59816299D01* +X155500168Y-59816548D01* +X155440033Y-59818438D01* +X155436075Y-59818500D01* +X155408144Y-59818500D01* +X155404229Y-59818995D01* +X155404225Y-59818995D01* +X155404167Y-59819003D01* +X155404138Y-59819006D01* +X155392296Y-59819939D01* +X155348110Y-59821327D01* +X155330744Y-59826372D01* +X155328658Y-59826978D01* +X155309306Y-59830986D01* +X155297068Y-59832532D01* +X155297066Y-59832533D01* +X155289203Y-59833526D01* +X155248086Y-59849806D01* +X155236885Y-59853641D01* +X155194406Y-59865982D01* +X155187587Y-59870015D01* +X155187582Y-59870017D01* +X155176971Y-59876293D01* +X155159221Y-59884990D01* +X155140383Y-59892448D01* +X155133967Y-59897109D01* +X155133966Y-59897110D01* +X155104625Y-59918428D01* +X155094701Y-59924947D01* +X155063460Y-59943422D01* +X155063455Y-59943426D01* +X155056637Y-59947458D01* +X155042313Y-59961782D01* +X155027281Y-59974621D01* +X155010893Y-59986528D01* +X155005842Y-59992634D01* +X154994936Y-60005817D01* +X154936102Y-60045554D01* +X154897852Y-60051500D01* +X154844513Y-60051500D01* +X154838061Y-60052872D01* +X154838056Y-60052872D01* +X154751112Y-60071353D01* +X154657712Y-60091206D01* +X154651682Y-60093891D01* +X154651681Y-60093891D01* +X154489278Y-60166197D01* +X154489276Y-60166198D01* +X154483248Y-60168882D01* +X154477907Y-60172762D01* +X154477906Y-60172763D01* +X154443171Y-60198000D01* +X154328747Y-60281134D01* +X154200960Y-60423056D01* +X154197659Y-60428774D01* +X154110342Y-60580011D01* +X154058960Y-60629004D01* +X154001223Y-60643011D01* +X148274500Y-60643011D01* +X148206379Y-60623009D01* +X148159886Y-60569353D01* +X148148500Y-60517011D01* +X148148500Y-60179885D01* +X149032000Y-60179885D01* +X149036475Y-60195124D01* +X149037865Y-60196329D01* +X149045548Y-60198000D01* +X150842885Y-60198000D01* +X150858124Y-60193525D01* +X150859329Y-60192135D01* +X150861000Y-60184452D01* +X150861000Y-60179885D01* +X151369000Y-60179885D01* +X151373475Y-60195124D01* +X151374865Y-60196329D01* +X151382548Y-60198000D01* +X153179884Y-60198000D01* +X153195123Y-60193525D01* +X153196328Y-60192135D01* +X153197999Y-60184452D01* +X153197999Y-59907331D01* +X153197629Y-59900510D01* +X153192105Y-59849648D01* +X153188479Y-59834396D01* +X153143324Y-59713946D01* +X153134786Y-59698351D01* +X153058285Y-59596276D01* +X153045724Y-59583715D01* +X152943649Y-59507214D01* +X152928054Y-59498676D01* +X152807606Y-59453522D01* +X152792351Y-59449895D01* +X152741486Y-59444369D01* +X152734672Y-59444000D01* +X151387115Y-59444000D01* +X151371876Y-59448475D01* +X151370671Y-59449865D01* +X151369000Y-59457548D01* +X151369000Y-60179885D01* +X150861000Y-60179885D01* +X150861000Y-59462116D01* +X150856525Y-59446877D01* +X150855135Y-59445672D01* +X150847452Y-59444001D01* +X149495331Y-59444001D01* +X149488510Y-59444371D01* +X149437648Y-59449895D01* +X149422396Y-59453521D01* +X149301946Y-59498676D01* +X149286351Y-59507214D01* +X149184276Y-59583715D01* +X149171715Y-59596276D01* +X149095214Y-59698351D01* +X149086676Y-59713946D01* +X149041522Y-59834394D01* +X149037895Y-59849649D01* +X149032369Y-59900514D01* +X149032000Y-59907328D01* +X149032000Y-60179885D01* +X148148500Y-60179885D01* +X148148500Y-59903866D01* +X148141745Y-59841684D01* +X148090615Y-59705295D01* +X148003261Y-59588739D01* +X147886705Y-59501385D01* +X147750316Y-59450255D01* +X147688134Y-59443500D01* +X144441866Y-59443500D01* +X144379684Y-59450255D01* +X144243295Y-59501385D01* +X144126739Y-59588739D01* +X144039385Y-59705295D01* +X143988255Y-59841684D01* +X143981500Y-59903866D01* +X143981500Y-61000134D01* +X143988255Y-61062316D01* +X144039385Y-61198705D01* +X144126739Y-61315261D01* +X144243295Y-61402615D01* +X144379684Y-61453745D01* +X144441866Y-61460500D01* +X146125406Y-61460500D01* +X146193527Y-61480502D01* +X146214501Y-61497405D01* +X146385854Y-61668758D01* +X146393398Y-61677048D01* +X146397511Y-61683529D01* +X146403288Y-61688954D01* +X146447178Y-61730169D01* +X146450020Y-61732924D01* +X146469742Y-61752646D01* +X146472866Y-61755069D01* +X146472870Y-61755073D01* +X146472935Y-61755123D01* +X146481958Y-61762830D01* +X146484962Y-61765651D01* +X146520927Y-61826864D01* +X146518088Y-61897804D01* +X146477347Y-61955947D01* +X146411639Y-61982835D01* +X146398708Y-61983500D01* +X144441866Y-61983500D01* +X144379684Y-61990255D01* +X144243295Y-62041385D01* +X144126739Y-62128739D01* +X144039385Y-62245295D01* +X143988255Y-62381684D01* +X143981500Y-62443866D01* +X143981500Y-63540134D01* +X143988255Y-63602316D01* +X144039385Y-63738705D01* +X144126739Y-63855261D01* +X144243295Y-63942615D01* +X144379684Y-63993745D01* +X144441866Y-64000500D01* +X146125406Y-64000500D01* +X146193527Y-64020502D01* +X146214501Y-64037405D01* +X146385854Y-64208758D01* +X146393398Y-64217048D01* +X146397511Y-64223529D01* +X146403288Y-64228954D01* +X146447178Y-64270169D01* +X146450020Y-64272924D01* +X146469742Y-64292646D01* +X146472866Y-64295069D01* +X146472870Y-64295073D01* +X146472935Y-64295123D01* +X146481958Y-64302830D01* +X146484962Y-64305651D01* +X146520927Y-64366864D01* +X146518088Y-64437804D01* +X146477347Y-64495947D01* +X146411639Y-64522835D01* +X146398708Y-64523500D01* +X144441866Y-64523500D01* +X144379684Y-64530255D01* +X144243295Y-64581385D01* +X144126739Y-64668739D01* +X144039385Y-64785295D01* +X143988255Y-64921684D01* +X143981500Y-64983866D01* +X143981500Y-66080134D01* +X143988255Y-66142316D01* +X144039385Y-66278705D01* +X144126739Y-66395261D01* +X144243295Y-66482615D01* +X144379684Y-66533745D01* +X144441866Y-66540500D01* +X146125406Y-66540500D01* +X146193527Y-66560502D01* +X146214501Y-66577405D01* +X146385854Y-66748758D01* +X146393398Y-66757048D01* +X146397511Y-66763529D01* +X146403288Y-66768954D01* +X146447178Y-66810169D01* +X146450020Y-66812924D01* +X146469742Y-66832646D01* +X146472866Y-66835069D01* +X146472870Y-66835073D01* +X146472935Y-66835123D01* +X146481958Y-66842830D01* +X146484962Y-66845651D01* +X146520927Y-66906864D01* +X146518088Y-66977804D01* +X146477347Y-67035947D01* +X146411639Y-67062835D01* +X146398708Y-67063500D01* +X144441866Y-67063500D01* +X144379684Y-67070255D01* +X144243295Y-67121385D01* +X144126739Y-67208739D01* +X144039385Y-67325295D01* +X143988255Y-67461684D01* +X143981500Y-67523866D01* +X143981500Y-68620134D01* +X143988255Y-68682316D01* +X144039385Y-68818705D01* +X144126739Y-68935261D01* +X144243295Y-69022615D01* +X144379684Y-69073745D01* +X144441866Y-69080500D01* +X146125406Y-69080500D01* +X146193527Y-69100502D01* +X146214501Y-69117405D01* +X146385854Y-69288758D01* +X146393398Y-69297048D01* +X146397511Y-69303529D01* +X146403288Y-69308954D01* +X146447178Y-69350169D01* +X146450020Y-69352924D01* +X146469742Y-69372646D01* +X146472866Y-69375069D01* +X146472870Y-69375073D01* +X146472935Y-69375123D01* +X146481958Y-69382830D01* +X146484962Y-69385651D01* +X146520927Y-69446864D01* +X146518088Y-69517804D01* +X146477347Y-69575947D01* +X146411639Y-69602835D01* +X146398708Y-69603500D01* +X144441866Y-69603500D01* +X144379684Y-69610255D01* +X144243295Y-69661385D01* +X144126739Y-69748739D01* +X144039385Y-69865295D01* +X143988255Y-70001684D01* +X143981500Y-70063866D01* +X143981500Y-71160134D01* +X143988255Y-71222316D01* +X144039385Y-71358705D01* +X144126739Y-71475261D01* +X144243295Y-71562615D01* +X144379684Y-71613745D01* +X144441866Y-71620500D01* +X146125406Y-71620500D01* +X146193527Y-71640502D01* +X146214501Y-71657405D01* +X146385854Y-71828758D01* +X146393398Y-71837048D01* +X146397511Y-71843529D01* +X146403288Y-71848954D01* +X146447178Y-71890169D01* +X146450020Y-71892924D01* +X146469742Y-71912646D01* +X146472866Y-71915069D01* +X146472870Y-71915073D01* +X146472935Y-71915123D01* +X146481958Y-71922830D01* +X146484962Y-71925651D01* +X146520927Y-71986864D01* +X146518088Y-72057804D01* +X146477347Y-72115947D01* +X146411639Y-72142835D01* +X146398708Y-72143500D01* +X144441866Y-72143500D01* +X144379684Y-72150255D01* +X144243295Y-72201385D01* +X144126739Y-72288739D01* +X144039385Y-72405295D01* +X143988255Y-72541684D01* +X143981500Y-72603866D01* +X143981500Y-73700134D01* +X143988255Y-73762316D01* +X144039385Y-73898705D01* +X144126739Y-74015261D01* +X144243295Y-74102615D01* +X144379684Y-74153745D01* +X144441866Y-74160500D01* +X146125406Y-74160500D01* +X146193527Y-74180502D01* +X146214501Y-74197405D01* +X146385854Y-74368758D01* +X146393398Y-74377048D01* +X146397511Y-74383529D01* +X146403288Y-74388954D01* +X146447178Y-74430169D01* +X146450020Y-74432924D01* +X146469742Y-74452646D01* +X146472866Y-74455069D01* +X146472870Y-74455073D01* +X146472935Y-74455123D01* +X146481958Y-74462830D01* +X146484962Y-74465651D01* +X146520927Y-74526864D01* +X146518088Y-74597804D01* +X146477347Y-74655947D01* +X146411639Y-74682835D01* +X146398708Y-74683500D01* +X144441866Y-74683500D01* +X144379684Y-74690255D01* +X144243295Y-74741385D01* +X144126739Y-74828739D01* +X144039385Y-74945295D01* +X143988255Y-75081684D01* +X143981500Y-75143866D01* +X143981500Y-76240134D01* +X143988255Y-76302316D01* +X144039385Y-76438705D01* +X144126739Y-76555261D01* +X144243295Y-76642615D01* +X144379684Y-76693745D01* +X144441866Y-76700500D01* +X146125406Y-76700500D01* +X146193527Y-76720502D01* +X146214501Y-76737405D01* +X146385854Y-76908758D01* +X146393398Y-76917048D01* +X146397511Y-76923529D01* +X146403288Y-76928954D01* +X146447178Y-76970169D01* +X146450020Y-76972924D01* +X146469742Y-76992646D01* +X146472866Y-76995069D01* +X146472870Y-76995073D01* +X146472935Y-76995123D01* +X146481958Y-77002830D01* +X146484962Y-77005651D01* +X146520927Y-77066864D01* +X146518088Y-77137804D01* +X146477347Y-77195947D01* +X146411639Y-77222835D01* +X146398708Y-77223500D01* +X144441866Y-77223500D01* +X144379684Y-77230255D01* +X144243295Y-77281385D01* +X144126739Y-77368739D01* +X144039385Y-77485295D01* +X143988255Y-77621684D01* +X143981500Y-77683866D01* +X143981500Y-78780134D01* +X143988255Y-78842316D01* +X144039385Y-78978705D01* +X144126739Y-79095261D01* +X144243295Y-79182615D01* +X144379684Y-79233745D01* +X144441866Y-79240500D01* +X146125406Y-79240500D01* +X146193527Y-79260502D01* +X146214501Y-79277405D01* +X146385854Y-79448758D01* +X146393398Y-79457048D01* +X146397511Y-79463529D01* +X146403288Y-79468954D01* +X146447178Y-79510169D01* +X146450020Y-79512924D01* +X146469742Y-79532646D01* +X146472866Y-79535069D01* +X146472870Y-79535073D01* +X146472935Y-79535123D01* +X146481958Y-79542830D01* +X146484962Y-79545651D01* +X146520927Y-79606864D01* +X146518088Y-79677804D01* +X146477347Y-79735947D01* +X146411639Y-79762835D01* +X146398708Y-79763500D01* +X144441866Y-79763500D01* +X144379684Y-79770255D01* +X144243295Y-79821385D01* +X144126739Y-79908739D01* +X144039385Y-80025295D01* +X143988255Y-80161684D01* +X143981500Y-80223866D01* +X143981500Y-81320134D01* +X143510500Y-81320134D01* +X143510500Y-59743250D01* +X143512246Y-59722345D01* +X143514770Y-59707344D01* +X143514770Y-59707341D01* +X143515576Y-59702552D01* +X143515729Y-59690000D01* +X143514788Y-59683429D01* +X143514123Y-59653218D01* +X143523916Y-59553789D01* +X143528733Y-59529568D01* +X143564866Y-59410454D01* +X143574315Y-59387645D01* +X143632987Y-59277876D01* +X143646705Y-59257345D01* +X143725671Y-59161124D01* +X143743124Y-59143671D01* +X143839345Y-59064705D01* +X143859876Y-59050987D01* +X143969645Y-58992315D01* +X143992454Y-58982866D01* +X144111568Y-58946733D01* +X144135789Y-58941916D01* +X144231809Y-58932459D01* +X144247868Y-58932909D01* +X144247877Y-58932200D01* +X144256853Y-58932310D01* +X144265724Y-58933691D01* +X144274626Y-58932527D01* +X144274628Y-58932527D01* +X144291059Y-58930378D01* +X144297286Y-58929564D01* +X144313621Y-58928500D01* +X165558633Y-58928500D01* +X165578018Y-58930000D01* +G37* +%TD.AperFunction*% +%TD*% +M02* diff --git a/ide_cable_select_switch/gerber/ide_cable_select_switch-F_Mask.gbr b/ide_cable_select_switch/gerber/ide_cable_select_switch-F_Mask.gbr new file mode 100644 index 0000000..b8fba66 --- /dev/null +++ b/ide_cable_select_switch/gerber/ide_cable_select_switch-F_Mask.gbr @@ -0,0 +1,120 @@ +%TF.GenerationSoftware,KiCad,Pcbnew,(6.0.4-0)*% +%TF.CreationDate,2022-06-07T12:02:57-06:00*% +%TF.ProjectId,ide_cable_select_switch,6964655f-6361-4626-9c65-5f73656c6563,rev?*% +%TF.SameCoordinates,Original*% +%TF.FileFunction,Soldermask,Top*% +%TF.FilePolarity,Negative*% +%FSLAX46Y46*% +G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* +G04 Created by KiCad (PCBNEW (6.0.4-0)) date 2022-06-07 12:02:57* +%MOMM*% +%LPD*% +G01* +G04 APERTURE LIST* +%ADD10R,0.700000X1.500000*% +%ADD11C,0.900000*% +%ADD12R,1.000000X0.800000*% +%ADD13R,3.150000X1.000000*% +G04 APERTURE END LIST* +D10* +%TO.C,SW1*% +X157190000Y-111600000D03* +X155690000Y-111600000D03* +X152690000Y-111600000D03* +D11* +X156440000Y-113360000D03* +D12* +X151290000Y-112250000D03* +X151290000Y-114460000D03* +X158590000Y-114460000D03* +D11* +X153440000Y-113360000D03* +D12* +X158590000Y-112250000D03* +%TD*% +D13* +%TO.C,J2*% +X146065000Y-60452000D03* +X151115000Y-60452000D03* +X146065000Y-62992000D03* +X151115000Y-62992000D03* +X146065000Y-65532000D03* +X151115000Y-65532000D03* +X146065000Y-68072000D03* +X151115000Y-68072000D03* +X146065000Y-70612000D03* +X151115000Y-70612000D03* +X146065000Y-73152000D03* +X151115000Y-73152000D03* +X146065000Y-75692000D03* +X151115000Y-75692000D03* +X146065000Y-78232000D03* +X151115000Y-78232000D03* +X146065000Y-80772000D03* +X151115000Y-80772000D03* +X146065000Y-83312000D03* +X151115000Y-83312000D03* +X146065000Y-85852000D03* +X151115000Y-85852000D03* +X146065000Y-88392000D03* +X151115000Y-88392000D03* +X146065000Y-90932000D03* +X151115000Y-90932000D03* +X146065000Y-93472000D03* +X151115000Y-93472000D03* +X146065000Y-96012000D03* +X151115000Y-96012000D03* +X146065000Y-98552000D03* +X151115000Y-98552000D03* +X146065000Y-101092000D03* +X151115000Y-101092000D03* +X146065000Y-103632000D03* +X151115000Y-103632000D03* +X146065000Y-106172000D03* +X151115000Y-106172000D03* +X146065000Y-108712000D03* +X151115000Y-108712000D03* +%TD*% +%TO.C,J3*% +X158765000Y-60452000D03* +X163815000Y-60452000D03* +X158765000Y-62992000D03* +X163815000Y-62992000D03* +X158765000Y-65532000D03* +X163815000Y-65532000D03* +X158765000Y-68072000D03* +X163815000Y-68072000D03* +X158765000Y-70612000D03* +X163815000Y-70612000D03* +X158765000Y-73152000D03* +X163815000Y-73152000D03* +X158765000Y-75692000D03* +X163815000Y-75692000D03* +X158765000Y-78232000D03* +X163815000Y-78232000D03* +X158765000Y-80772000D03* +X163815000Y-80772000D03* +X158765000Y-83312000D03* +X163815000Y-83312000D03* +X158765000Y-85852000D03* +X163815000Y-85852000D03* +X158765000Y-88392000D03* +X163815000Y-88392000D03* +X158765000Y-90932000D03* +X163815000Y-90932000D03* +X158765000Y-93472000D03* +X163815000Y-93472000D03* +X158765000Y-96012000D03* +X163815000Y-96012000D03* +X158765000Y-98552000D03* +X163815000Y-98552000D03* +X158765000Y-101092000D03* +X163815000Y-101092000D03* +X158765000Y-103632000D03* +X163815000Y-103632000D03* +X158765000Y-106172000D03* +X163815000Y-106172000D03* +X158765000Y-108712000D03* +X163815000Y-108712000D03* +%TD*% +M02* diff --git a/ide_cable_select_switch/gerber/ide_cable_select_switch-F_Paste.gbr b/ide_cable_select_switch/gerber/ide_cable_select_switch-F_Paste.gbr new file mode 100644 index 0000000..f461a40 --- /dev/null +++ b/ide_cable_select_switch/gerber/ide_cable_select_switch-F_Paste.gbr @@ -0,0 +1,114 @@ +%TF.GenerationSoftware,KiCad,Pcbnew,(6.0.4-0)*% +%TF.CreationDate,2022-06-07T12:02:57-06:00*% +%TF.ProjectId,ide_cable_select_switch,6964655f-6361-4626-9c65-5f73656c6563,rev?*% +%TF.SameCoordinates,Original*% +%TF.FileFunction,Paste,Top*% +%TF.FilePolarity,Positive*% +%FSLAX46Y46*% +G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* +G04 Created by KiCad (PCBNEW (6.0.4-0)) date 2022-06-07 12:02:57* +%MOMM*% +%LPD*% +G01* +G04 APERTURE LIST* +%ADD10R,0.700000X1.500000*% +%ADD11R,1.000000X0.800000*% +%ADD12R,3.150000X1.000000*% +G04 APERTURE END LIST* +D10* +%TO.C,SW1*% +X157190000Y-111600000D03* +X155690000Y-111600000D03* +X152690000Y-111600000D03* +D11* +X151290000Y-112250000D03* +X151290000Y-114460000D03* +X158590000Y-114460000D03* +X158590000Y-112250000D03* +%TD*% +D12* +%TO.C,J2*% +X146065000Y-60452000D03* +X151115000Y-60452000D03* +X146065000Y-62992000D03* +X151115000Y-62992000D03* +X146065000Y-65532000D03* +X151115000Y-65532000D03* +X146065000Y-68072000D03* +X151115000Y-68072000D03* +X146065000Y-70612000D03* +X151115000Y-70612000D03* +X146065000Y-73152000D03* +X151115000Y-73152000D03* +X146065000Y-75692000D03* +X151115000Y-75692000D03* +X146065000Y-78232000D03* +X151115000Y-78232000D03* +X146065000Y-80772000D03* +X151115000Y-80772000D03* +X146065000Y-83312000D03* +X151115000Y-83312000D03* +X146065000Y-85852000D03* +X151115000Y-85852000D03* +X146065000Y-88392000D03* +X151115000Y-88392000D03* +X146065000Y-90932000D03* +X151115000Y-90932000D03* +X146065000Y-93472000D03* +X151115000Y-93472000D03* +X146065000Y-96012000D03* +X151115000Y-96012000D03* +X146065000Y-98552000D03* +X151115000Y-98552000D03* +X146065000Y-101092000D03* +X151115000Y-101092000D03* +X146065000Y-103632000D03* +X151115000Y-103632000D03* +X146065000Y-106172000D03* +X151115000Y-106172000D03* +X146065000Y-108712000D03* +X151115000Y-108712000D03* +%TD*% +%TO.C,J3*% +X158765000Y-60452000D03* +X163815000Y-60452000D03* +X158765000Y-62992000D03* +X163815000Y-62992000D03* +X158765000Y-65532000D03* +X163815000Y-65532000D03* +X158765000Y-68072000D03* +X163815000Y-68072000D03* +X158765000Y-70612000D03* +X163815000Y-70612000D03* +X158765000Y-73152000D03* +X163815000Y-73152000D03* +X158765000Y-75692000D03* +X163815000Y-75692000D03* +X158765000Y-78232000D03* +X163815000Y-78232000D03* +X158765000Y-80772000D03* +X163815000Y-80772000D03* +X158765000Y-83312000D03* +X163815000Y-83312000D03* +X158765000Y-85852000D03* +X163815000Y-85852000D03* +X158765000Y-88392000D03* +X163815000Y-88392000D03* +X158765000Y-90932000D03* +X163815000Y-90932000D03* +X158765000Y-93472000D03* +X163815000Y-93472000D03* +X158765000Y-96012000D03* +X163815000Y-96012000D03* +X158765000Y-98552000D03* +X163815000Y-98552000D03* +X158765000Y-101092000D03* +X163815000Y-101092000D03* +X158765000Y-103632000D03* +X163815000Y-103632000D03* +X158765000Y-106172000D03* +X163815000Y-106172000D03* +X158765000Y-108712000D03* +X163815000Y-108712000D03* +%TD*% +M02* diff --git a/ide_cable_select_switch/gerber/ide_cable_select_switch-F_Silkscreen.gbr b/ide_cable_select_switch/gerber/ide_cable_select_switch-F_Silkscreen.gbr new file mode 100644 index 0000000..d99cd39 --- /dev/null +++ b/ide_cable_select_switch/gerber/ide_cable_select_switch-F_Silkscreen.gbr @@ -0,0 +1,722 @@ +%TF.GenerationSoftware,KiCad,Pcbnew,(6.0.4-0)*% +%TF.CreationDate,2022-06-07T12:02:57-06:00*% +%TF.ProjectId,ide_cable_select_switch,6964655f-6361-4626-9c65-5f73656c6563,rev?*% +%TF.SameCoordinates,Original*% +%TF.FileFunction,Legend,Top*% +%TF.FilePolarity,Positive*% +%FSLAX46Y46*% +G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* +G04 Created by KiCad (PCBNEW (6.0.4-0)) date 2022-06-07 12:02:57* +%MOMM*% +%LPD*% +G01* +G04 APERTURE LIST* +%ADD10C,0.150000*% +%ADD11C,0.120000*% +%ADD12R,0.700000X1.500000*% +%ADD13C,0.900000*% +%ADD14R,1.000000X0.800000*% +%ADD15R,3.150000X1.000000*% +G04 APERTURE END LIST* +D10* +X154725714Y-109474047D02* +X155011428Y-110235952D01* +X155297142Y-109474047D01* +X154587380Y-108249404D02* +X153587380Y-108249404D01* +X154301666Y-107916071D01* +X153587380Y-107582738D01* +X154587380Y-107582738D01* +X154301666Y-107154166D02* +X154301666Y-106677976D01* +X154587380Y-107249404D02* +X153587380Y-106916071D01* +X154587380Y-106582738D01* +X154539761Y-106297023D02* +X154587380Y-106154166D01* +X154587380Y-105916071D01* +X154539761Y-105820833D01* +X154492142Y-105773214D01* +X154396904Y-105725595D01* +X154301666Y-105725595D01* +X154206428Y-105773214D01* +X154158809Y-105820833D01* +X154111190Y-105916071D01* +X154063571Y-106106547D01* +X154015952Y-106201785D01* +X153968333Y-106249404D01* +X153873095Y-106297023D01* +X153777857Y-106297023D01* +X153682619Y-106249404D01* +X153635000Y-106201785D01* +X153587380Y-106106547D01* +X153587380Y-105868452D01* +X153635000Y-105725595D01* +X153587380Y-105439880D02* +X153587380Y-104868452D01* +X154587380Y-105154166D02* +X153587380Y-105154166D01* +X154063571Y-104535119D02* +X154063571Y-104201785D01* +X154587380Y-104058928D02* +X154587380Y-104535119D01* +X153587380Y-104535119D01* +X153587380Y-104058928D01* +X154587380Y-103058928D02* +X154111190Y-103392261D01* +X154587380Y-103630357D02* +X153587380Y-103630357D01* +X153587380Y-103249404D01* +X153635000Y-103154166D01* +X153682619Y-103106547D01* +X153777857Y-103058928D01* +X153920714Y-103058928D01* +X154015952Y-103106547D01* +X154063571Y-103154166D01* +X154111190Y-103249404D01* +X154111190Y-103630357D01* +X154587380Y-101868452D02* +X153587380Y-101868452D01* +X153587380Y-101630357D01* +X153635000Y-101487500D01* +X153730238Y-101392261D01* +X153825476Y-101344642D01* +X154015952Y-101297023D01* +X154158809Y-101297023D01* +X154349285Y-101344642D01* +X154444523Y-101392261D01* +X154539761Y-101487500D01* +X154587380Y-101630357D01* +X154587380Y-101868452D01* +X154587380Y-100297023D02* +X154111190Y-100630357D01* +X154587380Y-100868452D02* +X153587380Y-100868452D01* +X153587380Y-100487500D01* +X153635000Y-100392261D01* +X153682619Y-100344642D01* +X153777857Y-100297023D01* +X153920714Y-100297023D01* +X154015952Y-100344642D01* +X154063571Y-100392261D01* +X154111190Y-100487500D01* +X154111190Y-100868452D01* +X154587380Y-99868452D02* +X153587380Y-99868452D01* +X153587380Y-99535119D02* +X154587380Y-99201785D01* +X153587380Y-98868452D01* +X154063571Y-98535119D02* +X154063571Y-98201785D01* +X154587380Y-98058928D02* +X154587380Y-98535119D01* +X153587380Y-98535119D01* +X153587380Y-98058928D01* +X156149761Y-108297023D02* +X156197380Y-108154166D01* +X156197380Y-107916071D01* +X156149761Y-107820833D01* +X156102142Y-107773214D01* +X156006904Y-107725595D01* +X155911666Y-107725595D01* +X155816428Y-107773214D01* +X155768809Y-107820833D01* +X155721190Y-107916071D01* +X155673571Y-108106547D01* +X155625952Y-108201785D01* +X155578333Y-108249404D01* +X155483095Y-108297023D01* +X155387857Y-108297023D01* +X155292619Y-108249404D01* +X155245000Y-108201785D01* +X155197380Y-108106547D01* +X155197380Y-107868452D01* +X155245000Y-107725595D01* +X155673571Y-107297023D02* +X155673571Y-106963690D01* +X156197380Y-106820833D02* +X156197380Y-107297023D01* +X155197380Y-107297023D01* +X155197380Y-106820833D01* +X156197380Y-105916071D02* +X156197380Y-106392261D01* +X155197380Y-106392261D01* +X155673571Y-105582738D02* +X155673571Y-105249404D01* +X156197380Y-105106547D02* +X156197380Y-105582738D01* +X155197380Y-105582738D01* +X155197380Y-105106547D01* +X156102142Y-104106547D02* +X156149761Y-104154166D01* +X156197380Y-104297023D01* +X156197380Y-104392261D01* +X156149761Y-104535119D01* +X156054523Y-104630357D01* +X155959285Y-104677976D01* +X155768809Y-104725595D01* +X155625952Y-104725595D01* +X155435476Y-104677976D01* +X155340238Y-104630357D01* +X155245000Y-104535119D01* +X155197380Y-104392261D01* +X155197380Y-104297023D01* +X155245000Y-104154166D01* +X155292619Y-104106547D01* +X155197380Y-103820833D02* +X155197380Y-103249404D01* +X156197380Y-103535119D02* +X155197380Y-103535119D01* +X156149761Y-102201785D02* +X156197380Y-102058928D01* +X156197380Y-101820833D01* +X156149761Y-101725595D01* +X156102142Y-101677976D01* +X156006904Y-101630357D01* +X155911666Y-101630357D01* +X155816428Y-101677976D01* +X155768809Y-101725595D01* +X155721190Y-101820833D01* +X155673571Y-102011309D01* +X155625952Y-102106547D01* +X155578333Y-102154166D01* +X155483095Y-102201785D01* +X155387857Y-102201785D01* +X155292619Y-102154166D01* +X155245000Y-102106547D01* +X155197380Y-102011309D01* +X155197380Y-101773214D01* +X155245000Y-101630357D01* +X155197380Y-101297023D02* +X156197380Y-101058928D01* +X155483095Y-100868452D01* +X156197380Y-100677976D01* +X155197380Y-100439880D01* +X156197380Y-100058928D02* +X155197380Y-100058928D01* +X155197380Y-99725595D02* +X155197380Y-99154166D01* +X156197380Y-99439880D02* +X155197380Y-99439880D01* +X156102142Y-98249404D02* +X156149761Y-98297023D01* +X156197380Y-98439880D01* +X156197380Y-98535119D01* +X156149761Y-98677976D01* +X156054523Y-98773214D01* +X155959285Y-98820833D01* +X155768809Y-98868452D01* +X155625952Y-98868452D01* +X155435476Y-98820833D01* +X155340238Y-98773214D01* +X155245000Y-98677976D01* +X155197380Y-98535119D01* +X155197380Y-98439880D01* +X155245000Y-98297023D01* +X155292619Y-98249404D01* +X156197380Y-97820833D02* +X155197380Y-97820833D01* +X155673571Y-97820833D02* +X155673571Y-97249404D01* +X156197380Y-97249404D02* +X155197380Y-97249404D01* +X156400476Y-85367714D02* +X156162380Y-85367714D01* +X156162380Y-83939142D01* +X156400476Y-83939142D01* +X143700476Y-85240714D02* +X143462380Y-85240714D01* +X143462380Y-83812142D01* +X143700476Y-83812142D01* +X156749714Y-60142380D02* +X156178285Y-60142380D01* +X156464000Y-60142380D02* +X156464000Y-59142380D01* +X156368761Y-59285238D01* +X156273523Y-59380476D01* +X156178285Y-59428095D01* +X144049714Y-60142380D02* +X143478285Y-60142380D01* +X143764000Y-60142380D02* +X143764000Y-59142380D01* +X143668761Y-59285238D01* +X143573523Y-59380476D01* +X143478285Y-59428095D01* +X143994523Y-111577380D02* +X143994523Y-110577380D01* +X144232619Y-110577380D01* +X144375476Y-110625000D01* +X144470714Y-110720238D01* +X144518333Y-110815476D01* +X144565952Y-111005952D01* +X144565952Y-111148809D01* +X144518333Y-111339285D01* +X144470714Y-111434523D01* +X144375476Y-111529761D01* +X144232619Y-111577380D01* +X143994523Y-111577380D01* +X145565952Y-111577380D02* +X145232619Y-111101190D01* +X144994523Y-111577380D02* +X144994523Y-110577380D01* +X145375476Y-110577380D01* +X145470714Y-110625000D01* +X145518333Y-110672619D01* +X145565952Y-110767857D01* +X145565952Y-110910714D01* +X145518333Y-111005952D01* +X145470714Y-111053571D01* +X145375476Y-111101190D01* +X144994523Y-111101190D01* +X145994523Y-111577380D02* +X145994523Y-110577380D01* +X146327857Y-110577380D02* +X146661190Y-111577380D01* +X146994523Y-110577380D01* +X147327857Y-111053571D02* +X147661190Y-111053571D01* +X147804047Y-111577380D02* +X147327857Y-111577380D01* +X147327857Y-110577380D01* +X147804047Y-110577380D01* +X148946904Y-111291666D02* +X149423095Y-111291666D01* +X148851666Y-111577380D02* +X149185000Y-110577380D01* +X149518333Y-111577380D01* +X159798095Y-111577380D02* +X159798095Y-110577380D01* +X160036190Y-110577380D01* +X160179047Y-110625000D01* +X160274285Y-110720238D01* +X160321904Y-110815476D01* +X160369523Y-111005952D01* +X160369523Y-111148809D01* +X160321904Y-111339285D01* +X160274285Y-111434523D01* +X160179047Y-111529761D01* +X160036190Y-111577380D01* +X159798095Y-111577380D01* +X161369523Y-111577380D02* +X161036190Y-111101190D01* +X160798095Y-111577380D02* +X160798095Y-110577380D01* +X161179047Y-110577380D01* +X161274285Y-110625000D01* +X161321904Y-110672619D01* +X161369523Y-110767857D01* +X161369523Y-110910714D01* +X161321904Y-111005952D01* +X161274285Y-111053571D01* +X161179047Y-111101190D01* +X160798095Y-111101190D01* +X161798095Y-111577380D02* +X161798095Y-110577380D01* +X162131428Y-110577380D02* +X162464761Y-111577380D01* +X162798095Y-110577380D01* +X163131428Y-111053571D02* +X163464761Y-111053571D01* +X163607619Y-111577380D02* +X163131428Y-111577380D01* +X163131428Y-110577380D01* +X163607619Y-110577380D01* +X165131428Y-111053571D02* +X165274285Y-111101190D01* +X165321904Y-111148809D01* +X165369523Y-111244047D01* +X165369523Y-111386904D01* +X165321904Y-111482142D01* +X165274285Y-111529761D01* +X165179047Y-111577380D01* +X164798095Y-111577380D01* +X164798095Y-110577380D01* +X165131428Y-110577380D01* +X165226666Y-110625000D01* +X165274285Y-110672619D01* +X165321904Y-110767857D01* +X165321904Y-110863095D01* +X165274285Y-110958333D01* +X165226666Y-111005952D01* +X165131428Y-111053571D01* +X164798095Y-111053571D01* +%TO.C,SW1*% +X155009809Y-114350666D02* +X155047904Y-114236380D01* +X155047904Y-114045904D01* +X155009809Y-113969714D01* +X154971714Y-113931619D01* +X154895523Y-113893523D01* +X154819333Y-113893523D01* +X154743142Y-113931619D01* +X154705047Y-113969714D01* +X154666952Y-114045904D01* +X154628857Y-114198285D01* +X154590761Y-114274476D01* +X154552666Y-114312571D01* +X154476476Y-114350666D01* +X154400285Y-114350666D01* +X154324095Y-114312571D01* +X154286000Y-114274476D01* +X154247904Y-114198285D01* +X154247904Y-114007809D01* +X154286000Y-113893523D01* +X154247904Y-113626857D02* +X155047904Y-113436380D01* +X154476476Y-113284000D01* +X155047904Y-113131619D01* +X154247904Y-112941142D01* +X155047904Y-112217333D02* +X155047904Y-112674476D01* +X155047904Y-112445904D02* +X154247904Y-112445904D01* +X154362190Y-112522095D01* +X154438380Y-112598285D01* +X154476476Y-112674476D01* +%TO.C,J2*% +X148256666Y-59777380D02* +X148256666Y-60491666D01* +X148209047Y-60634523D01* +X148113809Y-60729761D01* +X147970952Y-60777380D01* +X147875714Y-60777380D01* +X148685238Y-59872619D02* +X148732857Y-59825000D01* +X148828095Y-59777380D01* +X149066190Y-59777380D01* +X149161428Y-59825000D01* +X149209047Y-59872619D01* +X149256666Y-59967857D01* +X149256666Y-60063095D01* +X149209047Y-60205952D01* +X148637619Y-60777380D01* +X149256666Y-60777380D01* +%TO.C,J3*% +X160956666Y-59777380D02* +X160956666Y-60491666D01* +X160909047Y-60634523D01* +X160813809Y-60729761D01* +X160670952Y-60777380D01* +X160575714Y-60777380D01* +X161337619Y-59777380D02* +X161956666Y-59777380D01* +X161623333Y-60158333D01* +X161766190Y-60158333D01* +X161861428Y-60205952D01* +X161909047Y-60253571D01* +X161956666Y-60348809D01* +X161956666Y-60586904D01* +X161909047Y-60682142D01* +X161861428Y-60729761D01* +X161766190Y-60777380D01* +X161480476Y-60777380D01* +X161385238Y-60729761D01* +X161337619Y-60682142D01* +D11* +%TO.C,SW1*% +X153340000Y-111910000D02* +X155040000Y-111910000D01* +X154840000Y-116050000D02* +X154840000Y-114760000D01* +X153740000Y-116260000D02* +X154640000Y-116260000D01* +X151490000Y-112960000D02* +X151490000Y-113750000D01* +X152090000Y-114760000D02* +X157790000Y-114760000D01* +X153540000Y-116050000D02* +X153740000Y-116260000D01* +X153540000Y-114760000D02* +X153540000Y-116050000D01* +X154840000Y-116050000D02* +X154640000Y-116260000D01* +X158390000Y-113750000D02* +X158390000Y-112960000D01* +X156340000Y-111910000D02* +X156540000Y-111910000D01* +%TO.C,J2*% +X145990000Y-81532000D02* +X145990000Y-82552000D01* +X145990000Y-99312000D02* +X145990000Y-100332000D01* +X144550000Y-59692000D02* +X145990000Y-59692000D01* +X151190000Y-73912000D02* +X151190000Y-74932000D01* +X151190000Y-81532000D02* +X151190000Y-82552000D01* +X145990000Y-86612000D02* +X145990000Y-87632000D01* +X151190000Y-78992000D02* +X151190000Y-80012000D01* +X151190000Y-104392000D02* +X151190000Y-105412000D01* +X145990000Y-59122000D02* +X145990000Y-59692000D01* +X145990000Y-106932000D02* +X145990000Y-107952000D01* +X151190000Y-76452000D02* +X151190000Y-77472000D01* +X145990000Y-101852000D02* +X145990000Y-102872000D01* +X145990000Y-109472000D02* +X145990000Y-110042000D01* +X145990000Y-96772000D02* +X145990000Y-97792000D01* +X145990000Y-68832000D02* +X145990000Y-69852000D01* +X151190000Y-91692000D02* +X151190000Y-92712000D01* +X151190000Y-96772000D02* +X151190000Y-97792000D01* +X151190000Y-61212000D02* +X151190000Y-62232000D01* +X145990000Y-110042000D02* +X151190000Y-110042000D01* +X151190000Y-84072000D02* +X151190000Y-85092000D01* +X151190000Y-89152000D02* +X151190000Y-90172000D01* +X145990000Y-91692000D02* +X145990000Y-92712000D01* +X145990000Y-61212000D02* +X145990000Y-62232000D01* +X145990000Y-104392000D02* +X145990000Y-105412000D01* +X151190000Y-101852000D02* +X151190000Y-102872000D01* +X145990000Y-78992000D02* +X145990000Y-80012000D01* +X145990000Y-76452000D02* +X145990000Y-77472000D01* +X151190000Y-109472000D02* +X151190000Y-110042000D01* +X145990000Y-73912000D02* +X145990000Y-74932000D01* +X145990000Y-89152000D02* +X145990000Y-90172000D01* +X145990000Y-94232000D02* +X145990000Y-95252000D01* +X151190000Y-86612000D02* +X151190000Y-87632000D01* +X145990000Y-63752000D02* +X145990000Y-64772000D01* +X151190000Y-59122000D02* +X151190000Y-59692000D01* +X151190000Y-71372000D02* +X151190000Y-72392000D01* +X151190000Y-66292000D02* +X151190000Y-67312000D01* +X151190000Y-106932000D02* +X151190000Y-107952000D01* +X151190000Y-94232000D02* +X151190000Y-95252000D01* +X145990000Y-59122000D02* +X151190000Y-59122000D01* +X151190000Y-63752000D02* +X151190000Y-64772000D01* +X151190000Y-68832000D02* +X151190000Y-69852000D01* +X145990000Y-71372000D02* +X145990000Y-72392000D01* +X145990000Y-84072000D02* +X145990000Y-85092000D01* +X151190000Y-99312000D02* +X151190000Y-100332000D01* +X145990000Y-66292000D02* +X145990000Y-67312000D01* +%TO.C,J3*% +X163890000Y-73912000D02* +X163890000Y-74932000D01* +X163890000Y-63752000D02* +X163890000Y-64772000D01* +X158690000Y-96772000D02* +X158690000Y-97792000D01* +X158690000Y-59122000D02* +X163890000Y-59122000D01* +X163890000Y-76452000D02* +X163890000Y-77472000D01* +X157250000Y-59692000D02* +X158690000Y-59692000D01* +X158690000Y-73912000D02* +X158690000Y-74932000D01* +X158690000Y-68832000D02* +X158690000Y-69852000D01* +X163890000Y-89152000D02* +X163890000Y-90172000D01* +X163890000Y-66292000D02* +X163890000Y-67312000D01* +X158690000Y-101852000D02* +X158690000Y-102872000D01* +X163890000Y-94232000D02* +X163890000Y-95252000D01* +X158690000Y-71372000D02* +X158690000Y-72392000D01* +X163890000Y-68832000D02* +X163890000Y-69852000D01* +X163890000Y-78992000D02* +X163890000Y-80012000D01* +X158690000Y-89152000D02* +X158690000Y-90172000D01* +X163890000Y-106932000D02* +X163890000Y-107952000D01* +X158690000Y-66292000D02* +X158690000Y-67312000D01* +X158690000Y-86612000D02* +X158690000Y-87632000D01* +X163890000Y-109472000D02* +X163890000Y-110042000D01* +X158690000Y-61212000D02* +X158690000Y-62232000D01* +X163890000Y-59122000D02* +X163890000Y-59692000D01* +X163890000Y-61212000D02* +X163890000Y-62232000D01* +X163890000Y-91692000D02* +X163890000Y-92712000D01* +X163890000Y-101852000D02* +X163890000Y-102872000D01* +X163890000Y-96772000D02* +X163890000Y-97792000D01* +X158690000Y-63752000D02* +X158690000Y-64772000D01* +X163890000Y-71372000D02* +X163890000Y-72392000D01* +X158690000Y-109472000D02* +X158690000Y-110042000D01* +X163890000Y-81532000D02* +X163890000Y-82552000D01* +X163890000Y-104392000D02* +X163890000Y-105412000D01* +X158690000Y-106932000D02* +X158690000Y-107952000D01* +X158690000Y-78992000D02* +X158690000Y-80012000D01* +X158690000Y-76452000D02* +X158690000Y-77472000D01* +X163890000Y-84072000D02* +X163890000Y-85092000D01* +X158690000Y-81532000D02* +X158690000Y-82552000D01* +X158690000Y-59122000D02* +X158690000Y-59692000D01* +X158690000Y-104392000D02* +X158690000Y-105412000D01* +X158690000Y-84072000D02* +X158690000Y-85092000D01* +X158690000Y-110042000D02* +X163890000Y-110042000D01* +X158690000Y-99312000D02* +X158690000Y-100332000D01* +X163890000Y-86612000D02* +X163890000Y-87632000D01* +X163890000Y-99312000D02* +X163890000Y-100332000D01* +X158690000Y-94232000D02* +X158690000Y-95252000D01* +X158690000Y-91692000D02* +X158690000Y-92712000D01* +%TD*% +%LPC*% +D12* +%TO.C,SW1*% +X157190000Y-111600000D03* +X155690000Y-111600000D03* +X152690000Y-111600000D03* +D13* +X156440000Y-113360000D03* +D14* +X151290000Y-112250000D03* +X151290000Y-114460000D03* +X158590000Y-114460000D03* +D13* +X153440000Y-113360000D03* +D14* +X158590000Y-112250000D03* +%TD*% +D15* +%TO.C,J2*% +X146065000Y-60452000D03* +X151115000Y-60452000D03* +X146065000Y-62992000D03* +X151115000Y-62992000D03* +X146065000Y-65532000D03* +X151115000Y-65532000D03* +X146065000Y-68072000D03* +X151115000Y-68072000D03* +X146065000Y-70612000D03* +X151115000Y-70612000D03* +X146065000Y-73152000D03* +X151115000Y-73152000D03* +X146065000Y-75692000D03* +X151115000Y-75692000D03* +X146065000Y-78232000D03* +X151115000Y-78232000D03* +X146065000Y-80772000D03* +X151115000Y-80772000D03* +X146065000Y-83312000D03* +X151115000Y-83312000D03* +X146065000Y-85852000D03* +X151115000Y-85852000D03* +X146065000Y-88392000D03* +X151115000Y-88392000D03* +X146065000Y-90932000D03* +X151115000Y-90932000D03* +X146065000Y-93472000D03* +X151115000Y-93472000D03* +X146065000Y-96012000D03* +X151115000Y-96012000D03* +X146065000Y-98552000D03* +X151115000Y-98552000D03* +X146065000Y-101092000D03* +X151115000Y-101092000D03* +X146065000Y-103632000D03* +X151115000Y-103632000D03* +X146065000Y-106172000D03* +X151115000Y-106172000D03* +X146065000Y-108712000D03* +X151115000Y-108712000D03* +%TD*% +%TO.C,J3*% +X158765000Y-60452000D03* +X163815000Y-60452000D03* +X158765000Y-62992000D03* +X163815000Y-62992000D03* +X158765000Y-65532000D03* +X163815000Y-65532000D03* +X158765000Y-68072000D03* +X163815000Y-68072000D03* +X158765000Y-70612000D03* +X163815000Y-70612000D03* +X158765000Y-73152000D03* +X163815000Y-73152000D03* +X158765000Y-75692000D03* +X163815000Y-75692000D03* +X158765000Y-78232000D03* +X163815000Y-78232000D03* +X158765000Y-80772000D03* +X163815000Y-80772000D03* +X158765000Y-83312000D03* +X163815000Y-83312000D03* +X158765000Y-85852000D03* +X163815000Y-85852000D03* +X158765000Y-88392000D03* +X163815000Y-88392000D03* +X158765000Y-90932000D03* +X163815000Y-90932000D03* +X158765000Y-93472000D03* +X163815000Y-93472000D03* +X158765000Y-96012000D03* +X163815000Y-96012000D03* +X158765000Y-98552000D03* +X163815000Y-98552000D03* +X158765000Y-101092000D03* +X163815000Y-101092000D03* +X158765000Y-103632000D03* +X163815000Y-103632000D03* +X158765000Y-106172000D03* +X163815000Y-106172000D03* +X158765000Y-108712000D03* +X163815000Y-108712000D03* +%TD*% +M02* diff --git a/ide_cable_select_switch/gerber/ide_cable_select_switch-NPTH-drl_map.gbr b/ide_cable_select_switch/gerber/ide_cable_select_switch-NPTH-drl_map.gbr new file mode 100644 index 0000000..6f62ee7 --- /dev/null +++ b/ide_cable_select_switch/gerber/ide_cable_select_switch-NPTH-drl_map.gbr @@ -0,0 +1,574 @@ +%FSLAX45Y45*% +G04 Gerber Fmt 4.5, Leading zero omitted, Abs format (unit mm)* +G04 Created by KiCad (PCBNEW (6.0.4-0)) date 2022-06-07 12:02:54* +%MOMM*% +%LPD*% +G01* +G04 APERTURE LIST* +%TA.AperFunction,Profile*% +%ADD10C,0.100000*% +%TD*% +%ADD11C,0.200000*% +%ADD12C,0.090000*% +G04 APERTURE END LIST* +D10* +X14300200Y-11366500D02* +G75* +G03* +X14427200Y-11493500I127000J0D01* +G01* +X16560800Y-11493500D02* +G75* +G03* +X16687800Y-11366500I0J127000D01* +G01* +X16687800Y-5969000D02* +G75* +G03* +X16560800Y-5842000I-127000J0D01* +G01* +X14427200Y-5842000D02* +G75* +G03* +X14300200Y-5969000I0J-127000D01* +G01* +X16687800Y-11366500D02* +X16687800Y-5969000D01* +X16560800Y-5842000D02* +X14427200Y-5842000D01* +X14427200Y-11493500D02* +X16560800Y-11493500D01* +X14300200Y-5969000D02* +X14300200Y-11366500D01* +D11* +D12* +X15299000Y-11291000D02* +X15389000Y-11381000D01* +X15389000Y-11291000D02* +X15299000Y-11381000D01* +X15599000Y-11291000D02* +X15689000Y-11381000D01* +X15689000Y-11291000D02* +X15599000Y-11381000D01* +D11* +X14552819Y-11808976D02* +X14552819Y-11608976D01* +X14600438Y-11608976D01* +X14629009Y-11618500D01* +X14648057Y-11637547D01* +X14657581Y-11656595D01* +X14667105Y-11694690D01* +X14667105Y-11723262D01* +X14657581Y-11761357D01* +X14648057Y-11780405D01* +X14629009Y-11799452D01* +X14600438Y-11808976D01* +X14552819Y-11808976D01* +X14752819Y-11808976D02* +X14752819Y-11675643D01* +X14752819Y-11713738D02* +X14762343Y-11694690D01* +X14771867Y-11685166D01* +X14790914Y-11675643D01* +X14809962Y-11675643D01* +X14876628Y-11808976D02* +X14876628Y-11675643D01* +X14876628Y-11608976D02* +X14867105Y-11618500D01* +X14876628Y-11628024D01* +X14886152Y-11618500D01* +X14876628Y-11608976D01* +X14876628Y-11628024D01* +X15000438Y-11808976D02* +X14981390Y-11799452D01* +X14971867Y-11780405D01* +X14971867Y-11608976D01* +X15105200Y-11808976D02* +X15086152Y-11799452D01* +X15076628Y-11780405D01* +X15076628Y-11608976D01* +X15333771Y-11808976D02* +X15333771Y-11608976D01* +X15400438Y-11751833D01* +X15467105Y-11608976D01* +X15467105Y-11808976D01* +X15648057Y-11808976D02* +X15648057Y-11704214D01* +X15638533Y-11685166D01* +X15619486Y-11675643D01* +X15581390Y-11675643D01* +X15562343Y-11685166D01* +X15648057Y-11799452D02* +X15629009Y-11808976D01* +X15581390Y-11808976D01* +X15562343Y-11799452D01* +X15552819Y-11780405D01* +X15552819Y-11761357D01* +X15562343Y-11742309D01* +X15581390Y-11732786D01* +X15629009Y-11732786D01* +X15648057Y-11723262D01* +X15743295Y-11675643D02* +X15743295Y-11875643D01* +X15743295Y-11685166D02* +X15762343Y-11675643D01* +X15800438Y-11675643D01* +X15819486Y-11685166D01* +X15829009Y-11694690D01* +X15838533Y-11713738D01* +X15838533Y-11770881D01* +X15829009Y-11789928D01* +X15819486Y-11799452D01* +X15800438Y-11808976D01* +X15762343Y-11808976D01* +X15743295Y-11799452D01* +X15924248Y-11789928D02* +X15933771Y-11799452D01* +X15924248Y-11808976D01* +X15914724Y-11799452D01* +X15924248Y-11789928D01* +X15924248Y-11808976D01* +X15924248Y-11685166D02* +X15933771Y-11694690D01* +X15924248Y-11704214D01* +X15914724Y-11694690D01* +X15924248Y-11685166D01* +X15924248Y-11704214D01* +D12* +X14205200Y-12093500D02* +X14295200Y-12183500D01* +X14295200Y-12093500D02* +X14205200Y-12183500D01* +D11* +X14590914Y-12028976D02* +X14609962Y-12028976D01* +X14629009Y-12038500D01* +X14638533Y-12048024D01* +X14648057Y-12067071D01* +X14657581Y-12105166D01* +X14657581Y-12152786D01* +X14648057Y-12190881D01* +X14638533Y-12209928D01* +X14629009Y-12219452D01* +X14609962Y-12228976D01* +X14590914Y-12228976D01* +X14571867Y-12219452D01* +X14562343Y-12209928D01* +X14552819Y-12190881D01* +X14543295Y-12152786D01* +X14543295Y-12105166D01* +X14552819Y-12067071D01* +X14562343Y-12048024D01* +X14571867Y-12038500D01* +X14590914Y-12028976D01* +X14743295Y-12209928D02* +X14752819Y-12219452D01* +X14743295Y-12228976D01* +X14733771Y-12219452D01* +X14743295Y-12209928D01* +X14743295Y-12228976D01* +X14848057Y-12228976D02* +X14886152Y-12228976D01* +X14905200Y-12219452D01* +X14914724Y-12209928D01* +X14933771Y-12181357D01* +X14943295Y-12143262D01* +X14943295Y-12067071D01* +X14933771Y-12048024D01* +X14924248Y-12038500D01* +X14905200Y-12028976D01* +X14867105Y-12028976D01* +X14848057Y-12038500D01* +X14838533Y-12048024D01* +X14829009Y-12067071D01* +X14829009Y-12114690D01* +X14838533Y-12133738D01* +X14848057Y-12143262D01* +X14867105Y-12152786D01* +X14905200Y-12152786D01* +X14924248Y-12143262D01* +X14933771Y-12133738D01* +X14943295Y-12114690D01* +X15067105Y-12028976D02* +X15086152Y-12028976D01* +X15105200Y-12038500D01* +X15114724Y-12048024D01* +X15124248Y-12067071D01* +X15133771Y-12105166D01* +X15133771Y-12152786D01* +X15124248Y-12190881D01* +X15114724Y-12209928D01* +X15105200Y-12219452D01* +X15086152Y-12228976D01* +X15067105Y-12228976D01* +X15048057Y-12219452D01* +X15038533Y-12209928D01* +X15029009Y-12190881D01* +X15019486Y-12152786D01* +X15019486Y-12105166D01* +X15029009Y-12067071D01* +X15038533Y-12048024D01* +X15048057Y-12038500D01* +X15067105Y-12028976D01* +X15257581Y-12028976D02* +X15276628Y-12028976D01* +X15295676Y-12038500D01* +X15305200Y-12048024D01* +X15314724Y-12067071D01* +X15324248Y-12105166D01* +X15324248Y-12152786D01* +X15314724Y-12190881D01* +X15305200Y-12209928D01* +X15295676Y-12219452D01* +X15276628Y-12228976D01* +X15257581Y-12228976D01* +X15238533Y-12219452D01* +X15229009Y-12209928D01* +X15219486Y-12190881D01* +X15209962Y-12152786D01* +X15209962Y-12105166D01* +X15219486Y-12067071D01* +X15229009Y-12048024D01* +X15238533Y-12038500D01* +X15257581Y-12028976D01* +X15409962Y-12228976D02* +X15409962Y-12095643D01* +X15409962Y-12114690D02* +X15419486Y-12105166D01* +X15438533Y-12095643D01* +X15467105Y-12095643D01* +X15486152Y-12105166D01* +X15495676Y-12124214D01* +X15495676Y-12228976D01* +X15495676Y-12124214D02* +X15505200Y-12105166D01* +X15524248Y-12095643D01* +X15552819Y-12095643D01* +X15571867Y-12105166D01* +X15581390Y-12124214D01* +X15581390Y-12228976D01* +X15676628Y-12228976D02* +X15676628Y-12095643D01* +X15676628Y-12114690D02* +X15686152Y-12105166D01* +X15705200Y-12095643D01* +X15733771Y-12095643D01* +X15752819Y-12105166D01* +X15762343Y-12124214D01* +X15762343Y-12228976D01* +X15762343Y-12124214D02* +X15771867Y-12105166D01* +X15790914Y-12095643D01* +X15819486Y-12095643D01* +X15838533Y-12105166D01* +X15848057Y-12124214D01* +X15848057Y-12228976D01* +X16238533Y-12019452D02* +X16067105Y-12276595D01* +X16495676Y-12028976D02* +X16514724Y-12028976D01* +X16533771Y-12038500D01* +X16543295Y-12048024D01* +X16552819Y-12067071D01* +X16562343Y-12105166D01* +X16562343Y-12152786D01* +X16552819Y-12190881D01* +X16543295Y-12209928D01* +X16533771Y-12219452D01* +X16514724Y-12228976D01* +X16495676Y-12228976D01* +X16476628Y-12219452D01* +X16467105Y-12209928D01* +X16457581Y-12190881D01* +X16448057Y-12152786D01* +X16448057Y-12105166D01* +X16457581Y-12067071D01* +X16467105Y-12048024D01* +X16476628Y-12038500D01* +X16495676Y-12028976D01* +X16648057Y-12209928D02* +X16657581Y-12219452D01* +X16648057Y-12228976D01* +X16638533Y-12219452D01* +X16648057Y-12209928D01* +X16648057Y-12228976D01* +X16781390Y-12028976D02* +X16800438Y-12028976D01* +X16819486Y-12038500D01* +X16829010Y-12048024D01* +X16838533Y-12067071D01* +X16848057Y-12105166D01* +X16848057Y-12152786D01* +X16838533Y-12190881D01* +X16829010Y-12209928D01* +X16819486Y-12219452D01* +X16800438Y-12228976D01* +X16781390Y-12228976D01* +X16762343Y-12219452D01* +X16752819Y-12209928D01* +X16743295Y-12190881D01* +X16733771Y-12152786D01* +X16733771Y-12105166D01* +X16743295Y-12067071D01* +X16752819Y-12048024D01* +X16762343Y-12038500D01* +X16781390Y-12028976D01* +X16914724Y-12028976D02* +X17038533Y-12028976D01* +X16971867Y-12105166D01* +X17000438Y-12105166D01* +X17019486Y-12114690D01* +X17029010Y-12124214D01* +X17038533Y-12143262D01* +X17038533Y-12190881D01* +X17029010Y-12209928D01* +X17019486Y-12219452D01* +X17000438Y-12228976D01* +X16943295Y-12228976D01* +X16924248Y-12219452D01* +X16914724Y-12209928D01* +X17219486Y-12028976D02* +X17124248Y-12028976D01* +X17114724Y-12124214D01* +X17124248Y-12114690D01* +X17143295Y-12105166D01* +X17190914Y-12105166D01* +X17209962Y-12114690D01* +X17219486Y-12124214D01* +X17229010Y-12143262D01* +X17229010Y-12190881D01* +X17219486Y-12209928D01* +X17209962Y-12219452D01* +X17190914Y-12228976D01* +X17143295Y-12228976D01* +X17124248Y-12219452D01* +X17114724Y-12209928D01* +X17400438Y-12095643D02* +X17400438Y-12228976D01* +X17352819Y-12019452D02* +X17305200Y-12162309D01* +X17429010Y-12162309D01* +X17495676Y-12028976D02* +X17495676Y-12067071D01* +X17571867Y-12028976D02* +X17571867Y-12067071D01* +X17867105Y-12305166D02* +X17857581Y-12295643D01* +X17838533Y-12267071D01* +X17829010Y-12248024D01* +X17819486Y-12219452D01* +X17809962Y-12171833D01* +X17809962Y-12133738D01* +X17819486Y-12086119D01* +X17829010Y-12057547D01* +X17838533Y-12038500D01* +X17857581Y-12009928D01* +X17867105Y-12000405D01* +X17933771Y-12048024D02* +X17943295Y-12038500D01* +X17962343Y-12028976D01* +X18009962Y-12028976D01* +X18029010Y-12038500D01* +X18038533Y-12048024D01* +X18048057Y-12067071D01* +X18048057Y-12086119D01* +X18038533Y-12114690D01* +X17924248Y-12228976D01* +X18048057Y-12228976D01* +X18286152Y-12228976D02* +X18286152Y-12028976D01* +X18371867Y-12228976D02* +X18371867Y-12124214D01* +X18362343Y-12105166D01* +X18343295Y-12095643D01* +X18314724Y-12095643D01* +X18295676Y-12105166D01* +X18286152Y-12114690D01* +X18495676Y-12228976D02* +X18476629Y-12219452D01* +X18467105Y-12209928D01* +X18457581Y-12190881D01* +X18457581Y-12133738D01* +X18467105Y-12114690D01* +X18476629Y-12105166D01* +X18495676Y-12095643D01* +X18524248Y-12095643D01* +X18543295Y-12105166D01* +X18552819Y-12114690D01* +X18562343Y-12133738D01* +X18562343Y-12190881D01* +X18552819Y-12209928D01* +X18543295Y-12219452D01* +X18524248Y-12228976D01* +X18495676Y-12228976D01* +X18676629Y-12228976D02* +X18657581Y-12219452D01* +X18648057Y-12200405D01* +X18648057Y-12028976D01* +X18829010Y-12219452D02* +X18809962Y-12228976D01* +X18771867Y-12228976D01* +X18752819Y-12219452D01* +X18743295Y-12200405D01* +X18743295Y-12124214D01* +X18752819Y-12105166D01* +X18771867Y-12095643D01* +X18809962Y-12095643D01* +X18829010Y-12105166D01* +X18838533Y-12124214D01* +X18838533Y-12143262D01* +X18743295Y-12162309D01* +X18914724Y-12219452D02* +X18933771Y-12228976D01* +X18971867Y-12228976D01* +X18990914Y-12219452D01* +X19000438Y-12200405D01* +X19000438Y-12190881D01* +X18990914Y-12171833D01* +X18971867Y-12162309D01* +X18943295Y-12162309D01* +X18924248Y-12152786D01* +X18914724Y-12133738D01* +X18914724Y-12124214D01* +X18924248Y-12105166D01* +X18943295Y-12095643D01* +X18971867Y-12095643D01* +X18990914Y-12105166D01* +X19067105Y-12305166D02* +X19076629Y-12295643D01* +X19095676Y-12267071D01* +X19105200Y-12248024D01* +X19114724Y-12219452D01* +X19124248Y-12171833D01* +X19124248Y-12133738D01* +X19114724Y-12086119D01* +X19105200Y-12057547D01* +X19095676Y-12038500D01* +X19076629Y-12009928D01* +X19067105Y-12000405D01* +X19429010Y-12305166D02* +X19419486Y-12295643D01* +X19400438Y-12267071D01* +X19390914Y-12248024D01* +X19381390Y-12219452D01* +X19371867Y-12171833D01* +X19371867Y-12133738D01* +X19381390Y-12086119D01* +X19390914Y-12057547D01* +X19400438Y-12038500D01* +X19419486Y-12009928D01* +X19429010Y-12000405D01* +X19505200Y-12095643D02* +X19505200Y-12228976D01* +X19505200Y-12114690D02* +X19514724Y-12105166D01* +X19533771Y-12095643D01* +X19562343Y-12095643D01* +X19581390Y-12105166D01* +X19590914Y-12124214D01* +X19590914Y-12228976D01* +X19714724Y-12228976D02* +X19695676Y-12219452D01* +X19686152Y-12209928D01* +X19676629Y-12190881D01* +X19676629Y-12133738D01* +X19686152Y-12114690D01* +X19695676Y-12105166D01* +X19714724Y-12095643D01* +X19743295Y-12095643D01* +X19762343Y-12105166D01* +X19771867Y-12114690D01* +X19781390Y-12133738D01* +X19781390Y-12190881D01* +X19771867Y-12209928D01* +X19762343Y-12219452D01* +X19743295Y-12228976D01* +X19714724Y-12228976D01* +X19838533Y-12095643D02* +X19914724Y-12095643D01* +X19867105Y-12028976D02* +X19867105Y-12200405D01* +X19876629Y-12219452D01* +X19895676Y-12228976D01* +X19914724Y-12228976D01* +X20133771Y-12095643D02* +X20133771Y-12295643D01* +X20133771Y-12105166D02* +X20152819Y-12095643D01* +X20190914Y-12095643D01* +X20209962Y-12105166D01* +X20219486Y-12114690D01* +X20229010Y-12133738D01* +X20229010Y-12190881D01* +X20219486Y-12209928D01* +X20209962Y-12219452D01* +X20190914Y-12228976D01* +X20152819Y-12228976D01* +X20133771Y-12219452D01* +X20343295Y-12228976D02* +X20324248Y-12219452D01* +X20314724Y-12200405D01* +X20314724Y-12028976D01* +X20505200Y-12228976D02* +X20505200Y-12124214D01* +X20495676Y-12105166D01* +X20476629Y-12095643D01* +X20438533Y-12095643D01* +X20419486Y-12105166D01* +X20505200Y-12219452D02* +X20486152Y-12228976D01* +X20438533Y-12228976D01* +X20419486Y-12219452D01* +X20409962Y-12200405D01* +X20409962Y-12181357D01* +X20419486Y-12162309D01* +X20438533Y-12152786D01* +X20486152Y-12152786D01* +X20505200Y-12143262D01* +X20571867Y-12095643D02* +X20648057Y-12095643D01* +X20600438Y-12028976D02* +X20600438Y-12200405D01* +X20609962Y-12219452D01* +X20629010Y-12228976D01* +X20648057Y-12228976D01* +X20790914Y-12219452D02* +X20771867Y-12228976D01* +X20733771Y-12228976D01* +X20714724Y-12219452D01* +X20705200Y-12200405D01* +X20705200Y-12124214D01* +X20714724Y-12105166D01* +X20733771Y-12095643D01* +X20771867Y-12095643D01* +X20790914Y-12105166D01* +X20800438Y-12124214D01* +X20800438Y-12143262D01* +X20705200Y-12162309D01* +X20971867Y-12228976D02* +X20971867Y-12028976D01* +X20971867Y-12219452D02* +X20952819Y-12228976D01* +X20914724Y-12228976D01* +X20895676Y-12219452D01* +X20886152Y-12209928D01* +X20876629Y-12190881D01* +X20876629Y-12133738D01* +X20886152Y-12114690D01* +X20895676Y-12105166D01* +X20914724Y-12095643D01* +X20952819Y-12095643D01* +X20971867Y-12105166D01* +X21048057Y-12305166D02* +X21057581Y-12295643D01* +X21076629Y-12267071D01* +X21086152Y-12248024D01* +X21095676Y-12219452D01* +X21105200Y-12171833D01* +X21105200Y-12133738D01* +X21095676Y-12086119D01* +X21086152Y-12057547D01* +X21076629Y-12038500D01* +X21057581Y-12009928D01* +X21048057Y-12000405D01* +M02* diff --git a/ide_cable_select_switch/gerber/ide_cable_select_switch-NPTH.drl b/ide_cable_select_switch/gerber/ide_cable_select_switch-NPTH.drl new file mode 100644 index 0000000..f38ae5c --- /dev/null +++ b/ide_cable_select_switch/gerber/ide_cable_select_switch-NPTH.drl @@ -0,0 +1,18 @@ +M48 +; DRILL file {KiCad (6.0.4-0)} date Tuesday, June 07, 2022 at 12:02:55 PM +; FORMAT={-:-/ absolute / metric / decimal} +; #@! TF.CreationDate,2022-06-07T12:02:55-06:00 +; #@! TF.GenerationSoftware,Kicad,Pcbnew,(6.0.4-0) +; #@! TF.FileFunction,NonPlated,1,2,NPTH +FMAT,2 +METRIC +; #@! TA.AperFunction,NonPlated,NPTH,ComponentDrill +T1C0.900 +% +G90 +G05 +T1 +X153.44Y-113.36 +X156.44Y-113.36 +T0 +M30 diff --git a/ide_cable_select_switch/gerber/ide_cable_select_switch-PTH-drl_map.gbr b/ide_cable_select_switch/gerber/ide_cable_select_switch-PTH-drl_map.gbr new file mode 100644 index 0000000..bb4ba34 --- /dev/null +++ b/ide_cable_select_switch/gerber/ide_cable_select_switch-PTH-drl_map.gbr @@ -0,0 +1,590 @@ +%FSLAX45Y45*% +G04 Gerber Fmt 4.5, Leading zero omitted, Abs format (unit mm)* +G04 Created by KiCad (PCBNEW (6.0.4-0)) date 2022-06-07 12:02:54* +%MOMM*% +%LPD*% +G01* +G04 APERTURE LIST* +%TA.AperFunction,Profile*% +%ADD10C,0.100000*% +%TD*% +%ADD11C,0.200000*% +%ADD12C,0.040000*% +G04 APERTURE END LIST* +D10* +X14300200Y-11366500D02* +G75* +G03* +X14427200Y-11493500I127000J0D01* +G01* +X16560800Y-11493500D02* +G75* +G03* +X16687800Y-11366500I0J127000D01* +G01* +X16687800Y-5969000D02* +G75* +G03* +X16560800Y-5842000I-127000J0D01* +G01* +X14427200Y-5842000D02* +G75* +G03* +X14300200Y-5969000I0J-127000D01* +G01* +X16687800Y-11366500D02* +X16687800Y-5969000D01* +X16560800Y-5842000D02* +X14427200Y-5842000D01* +X14427200Y-11493500D02* +X16560800Y-11493500D01* +X14300200Y-5969000D02* +X14300200Y-11366500D01* +D11* +D12* +X14839000Y-8425500D02* +X14879000Y-8465500D01* +X14879000Y-8425500D02* +X14839000Y-8465500D01* +X14839000Y-9268050D02* +X14879000Y-9308050D01* +X14879000Y-9268050D02* +X14839000Y-9308050D01* +X14839000Y-10902000D02* +X14879000Y-10942000D01* +X14879000Y-10902000D02* +X14839000Y-10942000D01* +X14902500Y-11156000D02* +X14942500Y-11196000D01* +X14942500Y-11156000D02* +X14902500Y-11196000D01* +X15474000Y-5949000D02* +X15514000Y-5989000D01* +X15514000Y-5949000D02* +X15474000Y-5989000D01* +X15474000Y-6076000D02* +X15514000Y-6116000D01* +X15514000Y-6076000D02* +X15474000Y-6116000D01* +X15474000Y-6203000D02* +X15514000Y-6243000D01* +X15514000Y-6203000D02* +X15474000Y-6243000D01* +X15474000Y-6330000D02* +X15514000Y-6370000D01* +X15514000Y-6330000D02* +X15474000Y-6370000D01* +X15474000Y-6457000D02* +X15514000Y-6497000D01* +X15514000Y-6457000D02* +X15474000Y-6497000D01* +X15474000Y-6584000D02* +X15514000Y-6624000D01* +X15514000Y-6584000D02* +X15474000Y-6624000D01* +X15474000Y-6711000D02* +X15514000Y-6751000D01* +X15514000Y-6711000D02* +X15474000Y-6751000D01* +X15474000Y-6838000D02* +X15514000Y-6878000D01* +X15514000Y-6838000D02* +X15474000Y-6878000D01* +X15474000Y-6965000D02* +X15514000Y-7005000D01* +X15514000Y-6965000D02* +X15474000Y-7005000D01* +X15474000Y-7092000D02* +X15514000Y-7132000D01* +X15514000Y-7092000D02* +X15474000Y-7132000D01* +X15474000Y-7219000D02* +X15514000Y-7259000D01* +X15514000Y-7219000D02* +X15474000Y-7259000D01* +X15474000Y-7346000D02* +X15514000Y-7386000D01* +X15514000Y-7346000D02* +X15474000Y-7386000D01* +X15474000Y-7473000D02* +X15514000Y-7513000D01* +X15514000Y-7473000D02* +X15474000Y-7513000D01* +X15474000Y-7600000D02* +X15514000Y-7640000D01* +X15514000Y-7600000D02* +X15474000Y-7640000D01* +X15474000Y-7727000D02* +X15514000Y-7767000D01* +X15514000Y-7727000D02* +X15474000Y-7767000D01* +X15474000Y-7854000D02* +X15514000Y-7894000D01* +X15514000Y-7854000D02* +X15474000Y-7894000D01* +X15474000Y-7981000D02* +X15514000Y-8021000D01* +X15514000Y-7981000D02* +X15474000Y-8021000D01* +X15474000Y-8108000D02* +X15514000Y-8148000D01* +X15514000Y-8108000D02* +X15474000Y-8148000D01* +X15474000Y-8616000D02* +X15514000Y-8656000D01* +X15514000Y-8616000D02* +X15474000Y-8656000D01* +X15474000Y-8870000D02* +X15514000Y-8910000D01* +X15514000Y-8870000D02* +X15474000Y-8910000D01* +X15474000Y-9124000D02* +X15514000Y-9164000D01* +X15514000Y-9124000D02* +X15474000Y-9164000D01* +X15474000Y-9378000D02* +X15514000Y-9418000D01* +X15514000Y-9378000D02* +X15474000Y-9418000D01* +X15474000Y-9632000D02* +X15514000Y-9672000D01* +X15514000Y-9632000D02* +X15474000Y-9672000D01* +X15474000Y-9759000D02* +X15514000Y-9799000D01* +X15514000Y-9759000D02* +X15474000Y-9799000D01* +X15474000Y-9886000D02* +X15514000Y-9926000D01* +X15514000Y-9886000D02* +X15474000Y-9926000D01* +X15474000Y-10013000D02* +X15514000Y-10053000D01* +X15514000Y-10013000D02* +X15474000Y-10053000D01* +X15474000Y-10140000D02* +X15514000Y-10180000D01* +X15514000Y-10140000D02* +X15474000Y-10180000D01* +X15474000Y-10267000D02* +X15514000Y-10307000D01* +X15514000Y-10267000D02* +X15474000Y-10307000D01* +X15474000Y-10394000D02* +X15514000Y-10434000D01* +X15514000Y-10394000D02* +X15474000Y-10434000D01* +X15474000Y-10521000D02* +X15514000Y-10561000D01* +X15514000Y-10521000D02* +X15474000Y-10561000D01* +X15474000Y-10648000D02* +X15514000Y-10688000D01* +X15514000Y-10648000D02* +X15474000Y-10688000D01* +X15474000Y-10902000D02* +X15514000Y-10942000D01* +X15514000Y-10902000D02* +X15474000Y-10942000D01* +X16109000Y-10902000D02* +X16149000Y-10942000D01* +X16149000Y-10902000D02* +X16109000Y-10942000D01* +X16109000Y-11092500D02* +X16149000Y-11132500D01* +X16149000Y-11092500D02* +X16109000Y-11132500D01* +X16236000Y-8425500D02* +X16276000Y-8465500D01* +X16276000Y-8425500D02* +X16236000Y-8465500D01* +X16236000Y-9441500D02* +X16276000Y-9481500D01* +X16276000Y-9441500D02* +X16236000Y-9481500D01* +D11* +X14552819Y-11808976D02* +X14552819Y-11608976D01* +X14600438Y-11608976D01* +X14629009Y-11618500D01* +X14648057Y-11637547D01* +X14657581Y-11656595D01* +X14667105Y-11694690D01* +X14667105Y-11723262D01* +X14657581Y-11761357D01* +X14648057Y-11780405D01* +X14629009Y-11799452D01* +X14600438Y-11808976D01* +X14552819Y-11808976D01* +X14752819Y-11808976D02* +X14752819Y-11675643D01* +X14752819Y-11713738D02* +X14762343Y-11694690D01* +X14771867Y-11685166D01* +X14790914Y-11675643D01* +X14809962Y-11675643D01* +X14876628Y-11808976D02* +X14876628Y-11675643D01* +X14876628Y-11608976D02* +X14867105Y-11618500D01* +X14876628Y-11628024D01* +X14886152Y-11618500D01* +X14876628Y-11608976D01* +X14876628Y-11628024D01* +X15000438Y-11808976D02* +X14981390Y-11799452D01* +X14971867Y-11780405D01* +X14971867Y-11608976D01* +X15105200Y-11808976D02* +X15086152Y-11799452D01* +X15076628Y-11780405D01* +X15076628Y-11608976D01* +X15333771Y-11808976D02* +X15333771Y-11608976D01* +X15400438Y-11751833D01* +X15467105Y-11608976D01* +X15467105Y-11808976D01* +X15648057Y-11808976D02* +X15648057Y-11704214D01* +X15638533Y-11685166D01* +X15619486Y-11675643D01* +X15581390Y-11675643D01* +X15562343Y-11685166D01* +X15648057Y-11799452D02* +X15629009Y-11808976D01* +X15581390Y-11808976D01* +X15562343Y-11799452D01* +X15552819Y-11780405D01* +X15552819Y-11761357D01* +X15562343Y-11742309D01* +X15581390Y-11732786D01* +X15629009Y-11732786D01* +X15648057Y-11723262D01* +X15743295Y-11675643D02* +X15743295Y-11875643D01* +X15743295Y-11685166D02* +X15762343Y-11675643D01* +X15800438Y-11675643D01* +X15819486Y-11685166D01* +X15829009Y-11694690D01* +X15838533Y-11713738D01* +X15838533Y-11770881D01* +X15829009Y-11789928D01* +X15819486Y-11799452D01* +X15800438Y-11808976D01* +X15762343Y-11808976D01* +X15743295Y-11799452D01* +X15924248Y-11789928D02* +X15933771Y-11799452D01* +X15924248Y-11808976D01* +X15914724Y-11799452D01* +X15924248Y-11789928D01* +X15924248Y-11808976D01* +X15924248Y-11685166D02* +X15933771Y-11694690D01* +X15924248Y-11704214D01* +X15914724Y-11694690D01* +X15924248Y-11685166D01* +X15924248Y-11704214D01* +D12* +X14255200Y-12118500D02* +X14295200Y-12158500D01* +X14295200Y-12118500D02* +X14255200Y-12158500D01* +D11* +X14590914Y-12028976D02* +X14609962Y-12028976D01* +X14629009Y-12038500D01* +X14638533Y-12048024D01* +X14648057Y-12067071D01* +X14657581Y-12105166D01* +X14657581Y-12152786D01* +X14648057Y-12190881D01* +X14638533Y-12209928D01* +X14629009Y-12219452D01* +X14609962Y-12228976D01* +X14590914Y-12228976D01* +X14571867Y-12219452D01* +X14562343Y-12209928D01* +X14552819Y-12190881D01* +X14543295Y-12152786D01* +X14543295Y-12105166D01* +X14552819Y-12067071D01* +X14562343Y-12048024D01* +X14571867Y-12038500D01* +X14590914Y-12028976D01* +X14743295Y-12209928D02* +X14752819Y-12219452D01* +X14743295Y-12228976D01* +X14733771Y-12219452D01* +X14743295Y-12209928D01* +X14743295Y-12228976D01* +X14924248Y-12095643D02* +X14924248Y-12228976D01* +X14876628Y-12019452D02* +X14829009Y-12162309D01* +X14952819Y-12162309D01* +X15067105Y-12028976D02* +X15086152Y-12028976D01* +X15105200Y-12038500D01* +X15114724Y-12048024D01* +X15124248Y-12067071D01* +X15133771Y-12105166D01* +X15133771Y-12152786D01* +X15124248Y-12190881D01* +X15114724Y-12209928D01* +X15105200Y-12219452D01* +X15086152Y-12228976D01* +X15067105Y-12228976D01* +X15048057Y-12219452D01* +X15038533Y-12209928D01* +X15029009Y-12190881D01* +X15019486Y-12152786D01* +X15019486Y-12105166D01* +X15029009Y-12067071D01* +X15038533Y-12048024D01* +X15048057Y-12038500D01* +X15067105Y-12028976D01* +X15257581Y-12028976D02* +X15276628Y-12028976D01* +X15295676Y-12038500D01* +X15305200Y-12048024D01* +X15314724Y-12067071D01* +X15324248Y-12105166D01* +X15324248Y-12152786D01* +X15314724Y-12190881D01* +X15305200Y-12209928D01* +X15295676Y-12219452D01* +X15276628Y-12228976D01* +X15257581Y-12228976D01* +X15238533Y-12219452D01* +X15229009Y-12209928D01* +X15219486Y-12190881D01* +X15209962Y-12152786D01* +X15209962Y-12105166D01* +X15219486Y-12067071D01* +X15229009Y-12048024D01* +X15238533Y-12038500D01* +X15257581Y-12028976D01* +X15409962Y-12228976D02* +X15409962Y-12095643D01* +X15409962Y-12114690D02* +X15419486Y-12105166D01* +X15438533Y-12095643D01* +X15467105Y-12095643D01* +X15486152Y-12105166D01* +X15495676Y-12124214D01* +X15495676Y-12228976D01* +X15495676Y-12124214D02* +X15505200Y-12105166D01* +X15524248Y-12095643D01* +X15552819Y-12095643D01* +X15571867Y-12105166D01* +X15581390Y-12124214D01* +X15581390Y-12228976D01* +X15676628Y-12228976D02* +X15676628Y-12095643D01* +X15676628Y-12114690D02* +X15686152Y-12105166D01* +X15705200Y-12095643D01* +X15733771Y-12095643D01* +X15752819Y-12105166D01* +X15762343Y-12124214D01* +X15762343Y-12228976D01* +X15762343Y-12124214D02* +X15771867Y-12105166D01* +X15790914Y-12095643D01* +X15819486Y-12095643D01* +X15838533Y-12105166D01* +X15848057Y-12124214D01* +X15848057Y-12228976D01* +X16238533Y-12019452D02* +X16067105Y-12276595D01* +X16495676Y-12028976D02* +X16514724Y-12028976D01* +X16533771Y-12038500D01* +X16543295Y-12048024D01* +X16552819Y-12067071D01* +X16562343Y-12105166D01* +X16562343Y-12152786D01* +X16552819Y-12190881D01* +X16543295Y-12209928D01* +X16533771Y-12219452D01* +X16514724Y-12228976D01* +X16495676Y-12228976D01* +X16476628Y-12219452D01* +X16467105Y-12209928D01* +X16457581Y-12190881D01* +X16448057Y-12152786D01* +X16448057Y-12105166D01* +X16457581Y-12067071D01* +X16467105Y-12048024D01* +X16476628Y-12038500D01* +X16495676Y-12028976D01* +X16648057Y-12209928D02* +X16657581Y-12219452D01* +X16648057Y-12228976D01* +X16638533Y-12219452D01* +X16648057Y-12209928D01* +X16648057Y-12228976D01* +X16781390Y-12028976D02* +X16800438Y-12028976D01* +X16819486Y-12038500D01* +X16829010Y-12048024D01* +X16838533Y-12067071D01* +X16848057Y-12105166D01* +X16848057Y-12152786D01* +X16838533Y-12190881D01* +X16829010Y-12209928D01* +X16819486Y-12219452D01* +X16800438Y-12228976D01* +X16781390Y-12228976D01* +X16762343Y-12219452D01* +X16752819Y-12209928D01* +X16743295Y-12190881D01* +X16733771Y-12152786D01* +X16733771Y-12105166D01* +X16743295Y-12067071D01* +X16752819Y-12048024D01* +X16762343Y-12038500D01* +X16781390Y-12028976D01* +X17038533Y-12228976D02* +X16924248Y-12228976D01* +X16981390Y-12228976D02* +X16981390Y-12028976D01* +X16962343Y-12057547D01* +X16943295Y-12076595D01* +X16924248Y-12086119D01* +X17219486Y-12028976D02* +X17124248Y-12028976D01* +X17114724Y-12124214D01* +X17124248Y-12114690D01* +X17143295Y-12105166D01* +X17190914Y-12105166D01* +X17209962Y-12114690D01* +X17219486Y-12124214D01* +X17229010Y-12143262D01* +X17229010Y-12190881D01* +X17219486Y-12209928D01* +X17209962Y-12219452D01* +X17190914Y-12228976D01* +X17143295Y-12228976D01* +X17124248Y-12219452D01* +X17114724Y-12209928D01* +X17295676Y-12028976D02* +X17429010Y-12028976D01* +X17343295Y-12228976D01* +X17495676Y-12028976D02* +X17495676Y-12067071D01* +X17571867Y-12028976D02* +X17571867Y-12067071D01* +X17867105Y-12305166D02* +X17857581Y-12295643D01* +X17838533Y-12267071D01* +X17829010Y-12248024D01* +X17819486Y-12219452D01* +X17809962Y-12171833D01* +X17809962Y-12133738D01* +X17819486Y-12086119D01* +X17829010Y-12057547D01* +X17838533Y-12038500D01* +X17857581Y-12009928D01* +X17867105Y-12000405D01* +X18029010Y-12095643D02* +X18029010Y-12228976D01* +X17981390Y-12019452D02* +X17933771Y-12162309D01* +X18057581Y-12162309D01* +X18171867Y-12028976D02* +X18190914Y-12028976D01* +X18209962Y-12038500D01* +X18219486Y-12048024D01* +X18229010Y-12067071D01* +X18238533Y-12105166D01* +X18238533Y-12152786D01* +X18229010Y-12190881D01* +X18219486Y-12209928D01* +X18209962Y-12219452D01* +X18190914Y-12228976D01* +X18171867Y-12228976D01* +X18152819Y-12219452D01* +X18143295Y-12209928D01* +X18133771Y-12190881D01* +X18124248Y-12152786D01* +X18124248Y-12105166D01* +X18133771Y-12067071D01* +X18143295Y-12048024D01* +X18152819Y-12038500D01* +X18171867Y-12028976D01* +X18476629Y-12228976D02* +X18476629Y-12028976D01* +X18562343Y-12228976D02* +X18562343Y-12124214D01* +X18552819Y-12105166D01* +X18533771Y-12095643D01* +X18505200Y-12095643D01* +X18486152Y-12105166D01* +X18476629Y-12114690D01* +X18686152Y-12228976D02* +X18667105Y-12219452D01* +X18657581Y-12209928D01* +X18648057Y-12190881D01* +X18648057Y-12133738D01* +X18657581Y-12114690D01* +X18667105Y-12105166D01* +X18686152Y-12095643D01* +X18714724Y-12095643D01* +X18733771Y-12105166D01* +X18743295Y-12114690D01* +X18752819Y-12133738D01* +X18752819Y-12190881D01* +X18743295Y-12209928D01* +X18733771Y-12219452D01* +X18714724Y-12228976D01* +X18686152Y-12228976D01* +X18867105Y-12228976D02* +X18848057Y-12219452D01* +X18838533Y-12200405D01* +X18838533Y-12028976D01* +X19019486Y-12219452D02* +X19000438Y-12228976D01* +X18962343Y-12228976D01* +X18943295Y-12219452D01* +X18933771Y-12200405D01* +X18933771Y-12124214D01* +X18943295Y-12105166D01* +X18962343Y-12095643D01* +X19000438Y-12095643D01* +X19019486Y-12105166D01* +X19029010Y-12124214D01* +X19029010Y-12143262D01* +X18933771Y-12162309D01* +X19105200Y-12219452D02* +X19124248Y-12228976D01* +X19162343Y-12228976D01* +X19181390Y-12219452D01* +X19190914Y-12200405D01* +X19190914Y-12190881D01* +X19181390Y-12171833D01* +X19162343Y-12162309D01* +X19133771Y-12162309D01* +X19114724Y-12152786D01* +X19105200Y-12133738D01* +X19105200Y-12124214D01* +X19114724Y-12105166D01* +X19133771Y-12095643D01* +X19162343Y-12095643D01* +X19181390Y-12105166D01* +X19257581Y-12305166D02* +X19267105Y-12295643D01* +X19286152Y-12267071D01* +X19295676Y-12248024D01* +X19305200Y-12219452D01* +X19314724Y-12171833D01* +X19314724Y-12133738D01* +X19305200Y-12086119D01* +X19295676Y-12057547D01* +X19286152Y-12038500D01* +X19267105Y-12009928D01* +X19257581Y-12000405D01* +M02* diff --git a/ide_cable_select_switch/gerber/ide_cable_select_switch-PTH.drl b/ide_cable_select_switch/gerber/ide_cable_select_switch-PTH.drl new file mode 100644 index 0000000..00fecc2 --- /dev/null +++ b/ide_cable_select_switch/gerber/ide_cable_select_switch-PTH.drl @@ -0,0 +1,56 @@ +M48 +; DRILL file {KiCad (6.0.4-0)} date Tuesday, June 07, 2022 at 12:02:55 PM +; FORMAT={-:-/ absolute / metric / decimal} +; #@! TF.CreationDate,2022-06-07T12:02:55-06:00 +; #@! TF.GenerationSoftware,Kicad,Pcbnew,(6.0.4-0) +; #@! TF.FileFunction,Plated,1,2,PTH +FMAT,2 +METRIC +; #@! TA.AperFunction,Plated,PTH,ViaDrill +T1C0.400 +% +G90 +G05 +T1 +X148.59Y-84.455 +X148.59Y-92.88 +X148.59Y-109.22 +X149.225Y-111.76 +X154.94Y-59.69 +X154.94Y-60.96 +X154.94Y-62.23 +X154.94Y-63.5 +X154.94Y-64.77 +X154.94Y-66.04 +X154.94Y-67.31 +X154.94Y-68.58 +X154.94Y-69.85 +X154.94Y-71.12 +X154.94Y-72.39 +X154.94Y-73.66 +X154.94Y-74.93 +X154.94Y-76.2 +X154.94Y-77.47 +X154.94Y-78.74 +X154.94Y-80.01 +X154.94Y-81.28 +X154.94Y-86.36 +X154.94Y-88.9 +X154.94Y-91.44 +X154.94Y-93.98 +X154.94Y-96.52 +X154.94Y-97.79 +X154.94Y-99.06 +X154.94Y-100.33 +X154.94Y-101.6 +X154.94Y-102.87 +X154.94Y-104.14 +X154.94Y-105.41 +X154.94Y-106.68 +X154.94Y-109.22 +X161.29Y-109.22 +X161.29Y-111.125 +X162.56Y-84.455 +X162.56Y-94.615 +T0 +M30 diff --git a/ide_cable_select_switch/ide_cable_select_switch-backups/ide_cable_select_switch-2022-06-06_165231.zip b/ide_cable_select_switch/ide_cable_select_switch-backups/ide_cable_select_switch-2022-06-06_165231.zip new file mode 100644 index 0000000..cf1993d Binary files /dev/null and b/ide_cable_select_switch/ide_cable_select_switch-backups/ide_cable_select_switch-2022-06-06_165231.zip differ diff --git a/ide_cable_select_switch/ide_cable_select_switch-backups/ide_cable_select_switch-2022-06-06_165822.zip b/ide_cable_select_switch/ide_cable_select_switch-backups/ide_cable_select_switch-2022-06-06_165822.zip new file mode 100644 index 0000000..12a7097 Binary files /dev/null and b/ide_cable_select_switch/ide_cable_select_switch-backups/ide_cable_select_switch-2022-06-06_165822.zip differ diff --git a/ide_cable_select_switch/ide_cable_select_switch-backups/ide_cable_select_switch-2022-06-06_170603.zip b/ide_cable_select_switch/ide_cable_select_switch-backups/ide_cable_select_switch-2022-06-06_170603.zip new file mode 100644 index 0000000..22c6efd Binary files /dev/null and b/ide_cable_select_switch/ide_cable_select_switch-backups/ide_cable_select_switch-2022-06-06_170603.zip differ diff --git a/ide_cable_select_switch/ide_cable_select_switch-backups/ide_cable_select_switch-2022-06-06_171452.zip b/ide_cable_select_switch/ide_cable_select_switch-backups/ide_cable_select_switch-2022-06-06_171452.zip new file mode 100644 index 0000000..215e0de Binary files /dev/null and b/ide_cable_select_switch/ide_cable_select_switch-backups/ide_cable_select_switch-2022-06-06_171452.zip differ diff --git a/ide_cable_select_switch/ide_cable_select_switch-backups/ide_cable_select_switch-2022-06-06_172046.zip b/ide_cable_select_switch/ide_cable_select_switch-backups/ide_cable_select_switch-2022-06-06_172046.zip new file mode 100644 index 0000000..b7e881f Binary files /dev/null and b/ide_cable_select_switch/ide_cable_select_switch-backups/ide_cable_select_switch-2022-06-06_172046.zip differ diff --git a/ide_cable_select_switch/ide_cable_select_switch-backups/ide_cable_select_switch-2022-06-07_112739.zip b/ide_cable_select_switch/ide_cable_select_switch-backups/ide_cable_select_switch-2022-06-07_112739.zip new file mode 100644 index 0000000..2551e88 Binary files /dev/null and b/ide_cable_select_switch/ide_cable_select_switch-backups/ide_cable_select_switch-2022-06-07_112739.zip differ diff --git a/ide_cable_select_switch/ide_cable_select_switch-backups/ide_cable_select_switch-2022-06-07_113439.zip b/ide_cable_select_switch/ide_cable_select_switch-backups/ide_cable_select_switch-2022-06-07_113439.zip new file mode 100644 index 0000000..b2caaf8 Binary files /dev/null and b/ide_cable_select_switch/ide_cable_select_switch-backups/ide_cable_select_switch-2022-06-07_113439.zip differ diff --git a/ide_cable_select_switch/ide_cable_select_switch-backups/ide_cable_select_switch-2022-06-07_114731.zip b/ide_cable_select_switch/ide_cable_select_switch-backups/ide_cable_select_switch-2022-06-07_114731.zip new file mode 100644 index 0000000..ea31c00 Binary files /dev/null and b/ide_cable_select_switch/ide_cable_select_switch-backups/ide_cable_select_switch-2022-06-07_114731.zip differ diff --git a/ide_cable_select_switch/ide_cable_select_switch-backups/ide_cable_select_switch-2022-06-07_115731.zip b/ide_cable_select_switch/ide_cable_select_switch-backups/ide_cable_select_switch-2022-06-07_115731.zip new file mode 100644 index 0000000..3773949 Binary files /dev/null and b/ide_cable_select_switch/ide_cable_select_switch-backups/ide_cable_select_switch-2022-06-07_115731.zip differ diff --git a/ide_cable_select_switch/ide_cable_select_switch-backups/ide_cable_select_switch-2022-06-07_121006.zip b/ide_cable_select_switch/ide_cable_select_switch-backups/ide_cable_select_switch-2022-06-07_121006.zip new file mode 100644 index 0000000..71488cc Binary files /dev/null and b/ide_cable_select_switch/ide_cable_select_switch-backups/ide_cable_select_switch-2022-06-07_121006.zip differ diff --git a/ide_cable_select_switch/ide_cable_select_switch-backups/ide_cable_select_switch-2022-06-13_142124.zip b/ide_cable_select_switch/ide_cable_select_switch-backups/ide_cable_select_switch-2022-06-13_142124.zip new file mode 100644 index 0000000..71488cc Binary files /dev/null and b/ide_cable_select_switch/ide_cable_select_switch-backups/ide_cable_select_switch-2022-06-13_142124.zip differ diff --git a/ide_cable_select_switch/ide_cable_select_switch.kicad_pcb b/ide_cable_select_switch/ide_cable_select_switch.kicad_pcb new file mode 100644 index 0000000..f245c34 --- /dev/null +++ b/ide_cable_select_switch/ide_cable_select_switch.kicad_pcb @@ -0,0 +1,8199 @@ +(kicad_pcb (version 20211014) (generator pcbnew) + + (general + (thickness 1.6) + ) + + (paper "A4") + (layers + (0 "F.Cu" signal) + (31 "B.Cu" signal) + (32 "B.Adhes" user "B.Adhesive") + (33 "F.Adhes" user "F.Adhesive") + (34 "B.Paste" user) + (35 "F.Paste" user) + (36 "B.SilkS" user "B.Silkscreen") + (37 "F.SilkS" user "F.Silkscreen") + (38 "B.Mask" user) + (39 "F.Mask" user) + (40 "Dwgs.User" user "User.Drawings") + (41 "Cmts.User" user "User.Comments") + (42 "Eco1.User" user "User.Eco1") + (43 "Eco2.User" user "User.Eco2") + (44 "Edge.Cuts" user) + (45 "Margin" user) + (46 "B.CrtYd" user "B.Courtyard") + (47 "F.CrtYd" user "F.Courtyard") + (48 "B.Fab" user) + (49 "F.Fab" user) + (50 "User.1" user) + (51 "User.2" user) + (52 "User.3" user) + (53 "User.4" user) + (54 "User.5" user) + (55 "User.6" user) + (56 "User.7" user) + (57 "User.8" user) + (58 "User.9" user) + ) + + (setup + (pad_to_mask_clearance 0) + (pcbplotparams + (layerselection 0x00010fc_ffffffff) + (disableapertmacros false) + (usegerberextensions false) + (usegerberattributes true) + (usegerberadvancedattributes true) + (creategerberjobfile false) + (svguseinch false) + (svgprecision 6) + (excludeedgelayer true) + (plotframeref false) + (viasonmask false) + (mode 1) + (useauxorigin false) + (hpglpennumber 1) + (hpglpenspeed 20) + (hpglpendiameter 15.000000) + (dxfpolygonmode true) + (dxfimperialunits true) + (dxfusepcbnewfont true) + (psnegative false) + (psa4output false) + (plotreference true) + (plotvalue true) + (plotinvisibletext false) + (sketchpadsonfab false) + (subtractmaskfromsilk true) + (outputformat 1) + (mirror false) + (drillshape 0) + (scaleselection 1) + (outputdirectory "gerber/") + ) + ) + + (net 0 "") + (net 1 "RESET") + (net 2 "GND") + (net 3 "DB7") + (net 4 "DB8") + (net 5 "DB6") + (net 6 "DB9") + (net 7 "DB5") + (net 8 "DB10") + (net 9 "DB4") + (net 10 "DB11") + (net 11 "DB3") + (net 12 "DB12") + (net 13 "DB2") + (net 14 "DB13") + (net 15 "DB1") + (net 16 "DB14") + (net 17 "DB0") + (net 18 "DB15") + (net 19 "DRQ3") + (net 20 "IOW") + (net 21 "IOR") + (net 22 "IO_CH_RDY") + (net 23 "CSEL_IN") + (net 24 "DACK3") + (net 25 "IRQ14") + (net 26 "RESERVED") + (net 27 "ADDRESS_BIT_1") + (net 28 "PDIAG") + (net 29 "ADDRESS_BIT_0") + (net 30 "ADDRESS_BIT_2") + (net 31 "CS1FX") + (net 32 "CS3FX") + (net 33 "DA_SP") + (net 34 "CSEL_OUT_A") + (net 35 "CSEL_OUT_B") + (net 36 "unconnected-(J1-Pad20)") + (net 37 "unconnected-(J2-Pad20)") + (net 38 "unconnected-(J3-Pad20)") + + (footprint "Button_Switch_SMD:SW_SPDT_PCM12" (layer "F.Cu") + (tedit 5A02FC95) (tstamp 862404ad-623e-4a2f-ae2c-79c39541eefe) + (at 154.94 113.03) + (descr "Ultraminiature Surface Mount Slide Switch, right-angle, https://www.ckswitches.com/media/1424/pcm.pdf") + (property "Sheetfile" "ide_cable_select_switch.kicad_sch") + (property "Sheetname" "") + (path "/f84f0aad-79f2-4bd7-bb35-f56f8e0ea461") + (attr smd) + (fp_text reference "SW1" (at -0.254 0.254 90) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.15))) + (tstamp 6493d4ae-85eb-4811-b51a-84b755dd2f0b) + ) + (fp_text value "SW_SPDT" (at 0 4.25) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 7a49ca84-ce3b-4a6b-940e-0f18b7a685d0) + ) + (fp_text user "${REFERENCE}" (at 0 -3.2) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 2c3c59db-a84f-4d07-9cb2-74862db7d2e5) + ) + (fp_line (start 1.4 -1.12) (end 1.6 -1.12) (layer "F.SilkS") (width 0.12) (tstamp 2ed698d0-e768-4d8e-8c43-5ae841a66fb0)) + (fp_line (start 3.45 0.72) (end 3.45 -0.07) (layer "F.SilkS") (width 0.12) (tstamp 6e2ebdbb-41b7-4149-9c3d-26c4562ae74f)) + (fp_line (start -0.1 3.02) (end -0.3 3.23) (layer "F.SilkS") (width 0.12) (tstamp 8a3827bf-1fdd-496e-98d2-1bd29a8e348f)) + (fp_line (start -1.4 1.73) (end -1.4 3.02) (layer "F.SilkS") (width 0.12) (tstamp 9a007284-3110-42fc-8aff-a574a0ce1aab)) + (fp_line (start -1.4 3.02) (end -1.2 3.23) (layer "F.SilkS") (width 0.12) (tstamp a8afe599-12f8-4b1d-8ddb-f0ee1f24d668)) + (fp_line (start -2.85 1.73) (end 2.85 1.73) (layer "F.SilkS") (width 0.12) (tstamp bc0fb38a-95e4-4080-bc66-767fe7bc18b6)) + (fp_line (start -3.45 -0.07) (end -3.45 0.72) (layer "F.SilkS") (width 0.12) (tstamp e1ba70bf-d7ab-4b5b-ae29-8a3284f99ce5)) + (fp_line (start -1.2 3.23) (end -0.3 3.23) (layer "F.SilkS") (width 0.12) (tstamp e3e29626-2c69-4581-8613-7fda85fc7106)) + (fp_line (start -0.1 3.02) (end -0.1 1.73) (layer "F.SilkS") (width 0.12) (tstamp e7dc2778-88d2-4f0d-abec-71687689d824)) + (fp_line (start -1.6 -1.12) (end 0.1 -1.12) (layer "F.SilkS") (width 0.12) (tstamp f32b92c6-147a-4b40-84da-7b2c9e72b663)) + (fp_line (start -1.65 2.1) (end -4.4 2.1) (layer "F.CrtYd") (width 0.05) (tstamp 171acf17-3cd0-4a99-be2e-e94bcadde511)) + (fp_line (start 1.65 3.4) (end -1.65 3.4) (layer "F.CrtYd") (width 0.05) (tstamp 8937ea37-dec5-448f-81c5-ffe50a316488)) + (fp_line (start 4.4 -2.45) (end 4.4 2.1) (layer "F.CrtYd") (width 0.05) (tstamp b051449e-c99a-4b56-a103-e03e9bc8021f)) + (fp_line (start -1.65 3.4) (end -1.65 2.1) (layer "F.CrtYd") (width 0.05) (tstamp c09b60c9-9152-429d-9867-2b299e4df84e)) + (fp_line (start -4.4 -2.45) (end 4.4 -2.45) (layer "F.CrtYd") (width 0.05) (tstamp c9e74ed6-a55d-4476-b33e-a14ec57232b5)) + (fp_line (start -4.4 2.1) (end -4.4 -2.45) (layer "F.CrtYd") (width 0.05) (tstamp cc312e9b-ba0e-4156-a009-733e0fa84c09)) + (fp_line (start 1.65 2.1) (end 1.65 3.4) (layer "F.CrtYd") (width 0.05) (tstamp d3eff4f9-ed07-42dc-8c08-f4bdde152158)) + (fp_line (start 4.4 2.1) (end 1.65 2.1) (layer "F.CrtYd") (width 0.05) (tstamp ea694357-f89b-4587-b390-485be1f22d2a)) + (fp_line (start 3.35 -1) (end -3.35 -1) (layer "F.Fab") (width 0.1) (tstamp 2db972d9-5394-41a2-b9a2-93c3da65fd03)) + (fp_line (start -1.4 1.65) (end -1.4 2.95) (layer "F.Fab") (width 0.1) (tstamp 6140773e-e5fd-41d4-a86e-9c2ab380f368)) + (fp_line (start 3.35 1.6) (end 3.35 -1) (layer "F.Fab") (width 0.1) (tstamp 713a79cc-c756-4db2-9ff6-777976c91679)) + (fp_line (start -1.2 3.15) (end -0.35 3.15) (layer "F.Fab") (width 0.1) (tstamp 7a07b82d-135b-43e2-b032-8889e823151e)) + (fp_line (start -0.1 2.9) (end -0.1 1.6) (layer "F.Fab") (width 0.1) (tstamp 86113cd3-7342-4991-8766-ad0e08c9d702)) + (fp_line (start -1.4 2.95) (end -1.2 3.15) (layer "F.Fab") (width 0.1) (tstamp 87734b1d-11be-47d8-b8c4-925db9fc2a9f)) + (fp_line (start -0.35 3.15) (end -0.15 2.95) (layer "F.Fab") (width 0.1) (tstamp a3c7920a-e88f-4b4c-b52f-49d6dfeba68f)) + (fp_line (start -3.35 1.6) (end 3.35 1.6) (layer "F.Fab") (width 0.1) (tstamp b5c7d739-ad5e-4f5e-af42-58edc53cdc80)) + (fp_line (start -0.15 2.95) (end -0.1 2.9) (layer "F.Fab") (width 0.1) (tstamp deed6cbb-3fcb-4b45-9639-4208e1f433f8)) + (fp_line (start -3.35 -1) (end -3.35 1.6) (layer "F.Fab") (width 0.1) (tstamp f6965388-175b-4113-b754-186b1e69a63f)) + (pad "" smd rect (at 3.65 -0.78) (size 1 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 1050fd2a-98f8-4a32-b51f-13bf9587c4bb)) + (pad "" np_thru_hole circle (at -1.5 0.33) (size 0.9 0.9) (drill 0.9) (layers *.Cu *.Mask) (tstamp 2f546750-1521-47ca-8e5e-94bd725dc947)) + (pad "" smd rect (at 3.65 1.43) (size 1 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 43521194-6ab6-4ae4-a5a9-4c2c9d5cca0f)) + (pad "" smd rect (at -3.65 1.43) (size 1 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 46c9035e-c753-468d-9752-c7b099e418ad)) + (pad "" smd rect (at -3.65 -0.78) (size 1 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 5d67ac31-551d-4ef6-8907-b602a85e9ad7)) + (pad "" np_thru_hole circle (at 1.5 0.33) (size 0.9 0.9) (drill 0.9) (layers *.Cu *.Mask) (tstamp efabc393-789b-43c3-944e-b9aacb29f29e)) + (pad "1" smd rect (at -2.25 -1.43) (size 0.7 1.5) (layers "F.Cu" "F.Paste" "F.Mask") + (net 34 "CSEL_OUT_A") (pinfunction "A") (pintype "passive") (tstamp 5c5b34d0-d2ce-4187-ac44-72976c0448f6)) + (pad "2" smd rect (at 0.75 -1.43) (size 0.7 1.5) (layers "F.Cu" "F.Paste" "F.Mask") + (net 2 "GND") (pinfunction "B") (pintype "passive") (tstamp 6d8cd05b-ddd2-49fb-846e-9b88a52be2f3)) + (pad "3" smd rect (at 2.25 -1.43) (size 0.7 1.5) (layers "F.Cu" "F.Paste" "F.Mask") + (net 35 "CSEL_OUT_B") (pinfunction "C") (pintype "passive") (tstamp e8b946e7-2641-4648-9c93-0760aadc5367)) + (model "${KICAD6_3DMODEL_DIR}/Button_Switch_SMD.3dshapes/SW_SPDT_PCM12.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Connector_PinHeader_2.54mm:PinHeader_2x20_P2.54mm_Vertical_SMD" (layer "F.Cu") + (tedit 59FED5CC) (tstamp d5c86a84-6c8b-48b5-b583-2fe7052421ab) + (at 148.59 84.582) + (descr "surface-mounted straight pin header, 2x20, 2.54mm pitch, double rows") + (tags "Surface mounted pin header SMD 2x20 2.54mm double row") + (property "Sheetfile" "ide_cable_select_switch.kicad_sch") + (property "Sheetname" "") + (path "/2725ff94-5a7c-47c5-8447-1ffd34b9328e") + (attr smd) + (fp_text reference "J2" (at 0 -24.257) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp a25ec672-f935-4d0c-ae67-7c3ebe078d85) + ) + (fp_text value "Conn_02x20_Odd_Even" (at 0 26.46) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 19a5aacd-255a-4bf3-89c1-efd2ab61016c) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 665081dc-8354-4d41-8855-bde8901aee4c) + ) + (fp_line (start -2.6 -3.05) (end -2.6 -2.03) (layer "F.SilkS") (width 0.12) (tstamp 0f9b475c-adb7-41fc-b827-33d4eaa86b99)) + (fp_line (start -2.6 14.73) (end -2.6 15.75) (layer "F.SilkS") (width 0.12) (tstamp 173fd4a7-b485-4e9d-8724-470865466784)) + (fp_line (start -4.04 -24.89) (end -2.6 -24.89) (layer "F.SilkS") (width 0.12) (tstamp 1a7e7b16-fc7c-4e64-9ace-48cc78112437)) + (fp_line (start 2.6 -10.67) (end 2.6 -9.65) (layer "F.SilkS") (width 0.12) (tstamp 24fd922c-d488-4d61-b6dc-9d3e359ccc82)) + (fp_line (start 2.6 -3.05) (end 2.6 -2.03) (layer "F.SilkS") (width 0.12) (tstamp 26296271-780a-4da9-8e69-910d9240bca1)) + (fp_line (start -2.6 2.03) (end -2.6 3.05) (layer "F.SilkS") (width 0.12) (tstamp 2765a021-71f1-4136-b72b-81c2c6882946)) + (fp_line (start 2.6 -5.59) (end 2.6 -4.57) (layer "F.SilkS") (width 0.12) (tstamp 2bbd6c26-4114-4518-8f4a-c6fdadc046b6)) + (fp_line (start 2.6 19.81) (end 2.6 20.83) (layer "F.SilkS") (width 0.12) (tstamp 3bb9c3d4-9a6f-41ac-8d1e-92ed4fe334c0)) + (fp_line (start -2.6 -25.46) (end -2.6 -24.89) (layer "F.SilkS") (width 0.12) (tstamp 45484f82-420e-44d0-a58e-382bb939dac5)) + (fp_line (start -2.6 22.35) (end -2.6 23.37) (layer "F.SilkS") (width 0.12) (tstamp 4e7a230a-c1a4-4455-81ee-277835acf4a2)) + (fp_line (start 2.6 -8.13) (end 2.6 -7.11) (layer "F.SilkS") (width 0.12) (tstamp 4ef07d45-f940-4cb6-bb96-2ddec13fd099)) + (fp_line (start -2.6 17.27) (end -2.6 18.29) (layer "F.SilkS") (width 0.12) (tstamp 50a799a7-f8f3-4f13-9288-b10696e9a7da)) + (fp_line (start -2.6 24.89) (end -2.6 25.46) (layer "F.SilkS") (width 0.12) (tstamp 51f5536d-48d2-4807-be44-93f427952b0e)) + (fp_line (start -2.6 12.19) (end -2.6 13.21) (layer "F.SilkS") (width 0.12) (tstamp 56f0a67a-a93a-477a-9778-70fe2cfeeb5a)) + (fp_line (start -2.6 -15.75) (end -2.6 -14.73) (layer "F.SilkS") (width 0.12) (tstamp 59ee13a4-660e-47e2-a73a-01cfe11439e9)) + (fp_line (start 2.6 7.11) (end 2.6 8.13) (layer "F.SilkS") (width 0.12) (tstamp 5c1d6842-15a5-4f73-b198-8836681840a1)) + (fp_line (start 2.6 12.19) (end 2.6 13.21) (layer "F.SilkS") (width 0.12) (tstamp 5cc7655c-62f2-43d2-a7a5-eaa4635dada8)) + (fp_line (start 2.6 -23.37) (end 2.6 -22.35) (layer "F.SilkS") (width 0.12) (tstamp 5f059fcf-8990-4db3-9058-7f232d9600e1)) + (fp_line (start -2.6 25.46) (end 2.6 25.46) (layer "F.SilkS") (width 0.12) (tstamp 6a1ae8ee-dea6-4015-b83e-baf8fcdfaf0f)) + (fp_line (start 2.6 -0.51) (end 2.6 0.51) (layer "F.SilkS") (width 0.12) (tstamp 6a25c4e1-7129-430c-892b-6eecb6ffdb47)) + (fp_line (start 2.6 4.57) (end 2.6 5.59) (layer "F.SilkS") (width 0.12) (tstamp 71a9f036-1f13-462e-ac9e-81caaaa7f807)) + (fp_line (start -2.6 7.11) (end -2.6 8.13) (layer "F.SilkS") (width 0.12) (tstamp 78a228c9-bbf0-49cf-b917-2dec23b390df)) + (fp_line (start -2.6 -23.37) (end -2.6 -22.35) (layer "F.SilkS") (width 0.12) (tstamp 7ac1ccc5-26c5-4b73-8425-7bbec927bf24)) + (fp_line (start -2.6 19.81) (end -2.6 20.83) (layer "F.SilkS") (width 0.12) (tstamp 7ce4aab5-8271-4432-a4b1-bff168293b45)) + (fp_line (start 2.6 17.27) (end 2.6 18.29) (layer "F.SilkS") (width 0.12) (tstamp 89fb4a63-a18d-4c7e-be12-f061ef4bf0c0)) + (fp_line (start -2.6 -5.59) (end -2.6 -4.57) (layer "F.SilkS") (width 0.12) (tstamp 8efe6411-1919-4082-b5b8-393585e068c8)) + (fp_line (start -2.6 -8.13) (end -2.6 -7.11) (layer "F.SilkS") (width 0.12) (tstamp 92574e8a-729f-48de-afcb-97b4f5e826f8)) + (fp_line (start 2.6 24.89) (end 2.6 25.46) (layer "F.SilkS") (width 0.12) (tstamp 9600911d-0df3-419b-8d4a-8d1432a7daf2)) + (fp_line (start -2.6 -10.67) (end -2.6 -9.65) (layer "F.SilkS") (width 0.12) (tstamp 96ee9b8e-4543-4639-b9ea-44b8baaaf94e)) + (fp_line (start -2.6 4.57) (end -2.6 5.59) (layer "F.SilkS") (width 0.12) (tstamp 97cc05bf-4ed5-449c-b0c8-131e5126a7ac)) + (fp_line (start -2.6 9.65) (end -2.6 10.67) (layer "F.SilkS") (width 0.12) (tstamp a08c061a-7f5b-4909-b673-0d0a59a012a3)) + (fp_line (start 2.6 2.03) (end 2.6 3.05) (layer "F.SilkS") (width 0.12) (tstamp a819bf9a-0c8b-443a-b488-e5f1395d77ad)) + (fp_line (start -2.6 -20.83) (end -2.6 -19.81) (layer "F.SilkS") (width 0.12) (tstamp ac8576da-4e00-41a0-9609-eb655e96e10b)) + (fp_line (start 2.6 -25.46) (end 2.6 -24.89) (layer "F.SilkS") (width 0.12) (tstamp b6924901-677d-424a-a3f4-52c8dd1fa5f5)) + (fp_line (start 2.6 -13.21) (end 2.6 -12.19) (layer "F.SilkS") (width 0.12) (tstamp b83b087e-7ec9-44e7-a1c9-81d5d26bbf79)) + (fp_line (start 2.6 -18.29) (end 2.6 -17.27) (layer "F.SilkS") (width 0.12) (tstamp bab3431c-ede6-417b-8033-763748a11a9f)) + (fp_line (start 2.6 22.35) (end 2.6 23.37) (layer "F.SilkS") (width 0.12) (tstamp d554632b-6dd0-47f8-b59b-3ce25177ca3e)) + (fp_line (start 2.6 9.65) (end 2.6 10.67) (layer "F.SilkS") (width 0.12) (tstamp d70bfdec-de0f-45e5-9452-2cd5d12b83b9)) + (fp_line (start -2.6 -25.46) (end 2.6 -25.46) (layer "F.SilkS") (width 0.12) (tstamp d8f24303-7e52-49a9-9e82-8d60c3aaa009)) + (fp_line (start 2.6 -20.83) (end 2.6 -19.81) (layer "F.SilkS") (width 0.12) (tstamp e29e8d7d-cee8-47d4-8444-1d7032daf03c)) + (fp_line (start 2.6 -15.75) (end 2.6 -14.73) (layer "F.SilkS") (width 0.12) (tstamp e6e468d8-2bb7-49d5-a4d0-fde0f6bbe8c6)) + (fp_line (start -2.6 -13.21) (end -2.6 -12.19) (layer "F.SilkS") (width 0.12) (tstamp f66bb685-9833-454c-bf31-b96598f50347)) + (fp_line (start -2.6 -0.51) (end -2.6 0.51) (layer "F.SilkS") (width 0.12) (tstamp fcb4f52a-a6cb-4ca0-970a-4c8a2c0f3942)) + (fp_line (start 2.6 14.73) (end 2.6 15.75) (layer "F.SilkS") (width 0.12) (tstamp fe1ad3bd-92cc-4e1c-8cc9-a77278095945)) + (fp_line (start -2.6 -18.29) (end -2.6 -17.27) (layer "F.SilkS") (width 0.12) (tstamp fe4068b9-89da-4c59-ba51-b5949772f5d8)) + (fp_line (start -5.9 25.9) (end 5.9 25.9) (layer "F.CrtYd") (width 0.05) (tstamp 105d44ff-63b9-4299-9078-473af583971a)) + (fp_line (start 5.9 25.9) (end 5.9 -25.9) (layer "F.CrtYd") (width 0.05) (tstamp 341e67eb-d5e1-4cb7-9d11-5aa4ab832a2a)) + (fp_line (start 5.9 -25.9) (end -5.9 -25.9) (layer "F.CrtYd") (width 0.05) (tstamp 41ab46ed-40f5-461d-81aa-1f02dc069a49)) + (fp_line (start -5.9 -25.9) (end -5.9 25.9) (layer "F.CrtYd") (width 0.05) (tstamp d8d71ad3-6fd1-4a98-9c1f-70c4fbf3d1d1)) + (fp_line (start 3.6 -16.83) (end 3.6 -16.19) (layer "F.Fab") (width 0.1) (tstamp 009b0d62-e9ea-4825-9fdf-befd291c76ce)) + (fp_line (start -2.54 3.49) (end -3.6 3.49) (layer "F.Fab") (width 0.1) (tstamp 017667a9-f5de-49c7-af53-4f9af2f3a311)) + (fp_line (start -2.54 -6.67) (end -3.6 -6.67) (layer "F.Fab") (width 0.1) (tstamp 02491520-945f-40c4-9160-4e5db9ac115d)) + (fp_line (start 2.54 -16.83) (end 3.6 -16.83) (layer "F.Fab") (width 0.1) (tstamp 056788ec-4ecf-4826-b996-bd884a6442a0)) + (fp_line (start -2.54 -24.45) (end -3.6 -24.45) (layer "F.Fab") (width 0.1) (tstamp 08926936-9ea4-4894-afca-caca47f3c238)) + (fp_line (start -2.54 -9.21) (end -3.6 -9.21) (layer "F.Fab") (width 0.1) (tstamp 094dc71e-7ea9-4e30-8ba7-749216ec2a8b)) + (fp_line (start 2.54 -25.4) (end 2.54 25.4) (layer "F.Fab") (width 0.1) (tstamp 100847e3-630c-4c13-ba45-180e92370805)) + (fp_line (start -3.6 8.57) (end -3.6 9.21) (layer "F.Fab") (width 0.1) (tstamp 1053b01a-057e-4e79-a21c-42780a737ea9)) + (fp_line (start 2.54 -21.91) (end 3.6 -21.91) (layer "F.Fab") (width 0.1) (tstamp 186c3f1e-1c94-498e-abf2-1069980f6633)) + (fp_line (start -3.6 9.21) (end -2.54 9.21) (layer "F.Fab") (width 0.1) (tstamp 1ae3634a-f90f-4c6a-8ba7-b38f98d4ccb2)) + (fp_line (start 3.6 6.03) (end 3.6 6.67) (layer "F.Fab") (width 0.1) (tstamp 1d1a7683-c090-4798-9b40-7ed0d9f3ce3b)) + (fp_line (start -3.6 -11.11) (end -2.54 -11.11) (layer "F.Fab") (width 0.1) (tstamp 1d9dc91c-3457-4ca5-8e42-43be60ae0831)) + (fp_line (start -3.6 16.19) (end -3.6 16.83) (layer "F.Fab") (width 0.1) (tstamp 21ca1c08-b8a3-4bdc-9356-70a4d86ee444)) + (fp_line (start 3.6 8.57) (end 3.6 9.21) (layer "F.Fab") (width 0.1) (tstamp 25625d99-d45f-4b2f-9e62-009a122611f4)) + (fp_line (start 2.54 11.11) (end 3.6 11.11) (layer "F.Fab") (width 0.1) (tstamp 278deae2-fb37-4957-b2cb-afac30cacb12)) + (fp_line (start -3.6 21.91) (end -2.54 21.91) (layer "F.Fab") (width 0.1) (tstamp 27e3c71f-5a63-4710-8adf-b600b805ce02)) + (fp_line (start -2.54 11.11) (end -3.6 11.11) (layer "F.Fab") (width 0.1) (tstamp 28d267fd-6d61-43bb-9705-8d59d7a44e81)) + (fp_line (start 2.54 8.57) (end 3.6 8.57) (layer "F.Fab") (width 0.1) (tstamp 2a4f1c24-6486-4fd8-8092-72bb07a81274)) + (fp_line (start 3.6 1.59) (end 2.54 1.59) (layer "F.Fab") (width 0.1) (tstamp 2c10387c-3cac-4a7c-bbfb-95d69f41a890)) + (fp_line (start 3.6 21.91) (end 2.54 21.91) (layer "F.Fab") (width 0.1) (tstamp 2edc487e-09a5-4e4e-9675-a7b323f56380)) + (fp_line (start -2.54 18.73) (end -3.6 18.73) (layer "F.Fab") (width 0.1) (tstamp 31070a40-077c-4123-96dd-e39f8a0007ce)) + (fp_line (start 2.54 23.81) (end 3.6 23.81) (layer "F.Fab") (width 0.1) (tstamp 312474c5-a081-4cd1-b2e6-730f0718514a)) + (fp_line (start 2.54 16.19) (end 3.6 16.19) (layer "F.Fab") (width 0.1) (tstamp 3273ec61-4a33-41c2-82bf-cde7c8587c1b)) + (fp_line (start -3.6 4.13) (end -2.54 4.13) (layer "F.Fab") (width 0.1) (tstamp 3382bf79-b686-4aeb-9419-c8ab591662bb)) + (fp_line (start -3.6 0.95) (end -3.6 1.59) (layer "F.Fab") (width 0.1) (tstamp 3d2a15cb-c492-4d9a-b1dd-7d5f099d2d31)) + (fp_line (start -3.6 11.11) (end -3.6 11.75) (layer "F.Fab") (width 0.1) (tstamp 3d70e675-48ae-4edd-b95d-3ca51e634018)) + (fp_line (start 2.54 -1.59) (end 3.6 -1.59) (layer "F.Fab") (width 0.1) (tstamp 3e011a46-81bd-4ecd-b93e-57dffb1143e5)) + (fp_line (start 3.6 11.11) (end 3.6 11.75) (layer "F.Fab") (width 0.1) (tstamp 4198eb99-d244-457e-8768-395280df1a66)) + (fp_line (start -2.54 25.4) (end -2.54 -24.45) (layer "F.Fab") (width 0.1) (tstamp 44e77d57-d16f-4723-a95f-1ac45276c458)) + (fp_line (start -3.6 -18.73) (end -2.54 -18.73) (layer "F.Fab") (width 0.1) (tstamp 45836d49-cd5f-417d-b0f6-c8b43d196a36)) + (fp_line (start 2.54 25.4) (end -2.54 25.4) (layer "F.Fab") (width 0.1) (tstamp 4b042b6c-c042-4cf1-ba6e-bd77c51dbedb)) + (fp_line (start -3.6 -16.83) (end -3.6 -16.19) (layer "F.Fab") (width 0.1) (tstamp 4be2b882-65e4-4552-9482-9d622928de2f)) + (fp_line (start -3.6 -6.03) (end -2.54 -6.03) (layer "F.Fab") (width 0.1) (tstamp 4c144ffa-02d0-42da-aef1-f5175cbde9c0)) + (fp_line (start -3.6 -24.45) (end -3.6 -23.81) (layer "F.Fab") (width 0.1) (tstamp 4c6a1dad-7acf-4a52-99b0-316025d1ab04)) + (fp_line (start 3.6 -18.73) (end 2.54 -18.73) (layer "F.Fab") (width 0.1) (tstamp 4f3dc5bc-04e8-4dcc-91dd-8782e84f321d)) + (fp_line (start 2.54 -11.75) (end 3.6 -11.75) (layer "F.Fab") (width 0.1) (tstamp 53ae21b8-f187-4817-8c27-1f06278d249b)) + (fp_line (start -3.6 16.83) (end -2.54 16.83) (layer "F.Fab") (width 0.1) (tstamp 54d76293-1ce2-46f8-9be7-a3d7f9f28112)) + (fp_line (start 3.6 -16.19) (end 2.54 -16.19) (layer "F.Fab") (width 0.1) (tstamp 5626e5e1-59f4-4773-828e-16057ddc3518)) + (fp_line (start -3.6 -3.49) (end -2.54 -3.49) (layer "F.Fab") (width 0.1) (tstamp 583b0bf3-0699-44db-b975-a241ad040fa4)) + (fp_line (start 3.6 -6.67) (end 3.6 -6.03) (layer "F.Fab") (width 0.1) (tstamp 586ec748-563a-478a-82db-706fb951336a)) + (fp_line (start 3.6 -23.81) (end 2.54 -23.81) (layer "F.Fab") (width 0.1) (tstamp 5a010660-4a0b-4680-b361-32d4c3b60537)) + (fp_line (start -3.6 -21.91) (end -3.6 -21.27) (layer "F.Fab") (width 0.1) (tstamp 61a18b62-4111-4a9d-8fca-04c4c6f90cc3)) + (fp_line (start 2.54 3.49) (end 3.6 3.49) (layer "F.Fab") (width 0.1) (tstamp 62cbcc21-2cec-41ab-be06-499e1a78d7e7)) + (fp_line (start 2.54 0.95) (end 3.6 0.95) (layer "F.Fab") (width 0.1) (tstamp 64269ac3-771b-4c0d-91e0-eafc3dc4a07f)) + (fp_line (start 2.54 18.73) (end 3.6 18.73) (layer "F.Fab") (width 0.1) (tstamp 6d1e2df9-cc89-4e18-a541-699f0d20dd45)) + (fp_line (start -3.6 19.37) (end -2.54 19.37) (layer "F.Fab") (width 0.1) (tstamp 70186eba-dcad-4878-bf16-887f6eee49df)) + (fp_line (start -3.6 -1.59) (end -3.6 -0.95) (layer "F.Fab") (width 0.1) (tstamp 7043f61a-4f1e-4cab-9031-a6449e41a893)) + (fp_line (start -2.54 23.81) (end -3.6 23.81) (layer "F.Fab") (width 0.1) (tstamp 717b25a7-c9c2-4f6f-b744-a96113325c99)) + (fp_line (start 2.54 -9.21) (end 3.6 -9.21) (layer "F.Fab") (width 0.1) (tstamp 7247fe96-7885-4063-8282-ea2fd2b28b0d)) + (fp_line (start 3.6 13.65) (end 3.6 14.29) (layer "F.Fab") (width 0.1) (tstamp 72f9157b-77da-4a6d-9880-0711b21f6e23)) + (fp_line (start 3.6 16.19) (end 3.6 16.83) (layer "F.Fab") (width 0.1) (tstamp 761492e2-a989-4596-80c3-fcd6943df072)) + (fp_line (start -3.6 -9.21) (end -3.6 -8.57) (layer "F.Fab") (width 0.1) (tstamp 7700fef1-de5b-4197-be2d-18385e1e18f9)) + (fp_line (start 2.54 6.03) (end 3.6 6.03) (layer "F.Fab") (width 0.1) (tstamp 771cb5c1-62ba-4cca-999e-cdcbe417213c)) + (fp_line (start 3.6 18.73) (end 3.6 19.37) (layer "F.Fab") (width 0.1) (tstamp 778b0e81-d70b-4705-ae45-b4c475c88dab)) + (fp_line (start 3.6 0.95) (end 3.6 1.59) (layer "F.Fab") (width 0.1) (tstamp 784e3230-2053-4bc9-a786-5ac2bd0df0f5)) + (fp_line (start 3.6 -6.03) (end 2.54 -6.03) (layer "F.Fab") (width 0.1) (tstamp 792ace59-9f73-49b7-92df-01568ab2b00b)) + (fp_line (start 3.6 -24.45) (end 3.6 -23.81) (layer "F.Fab") (width 0.1) (tstamp 7d2422a2-6679-4b2f-b253-47eef0da2414)) + (fp_line (start 3.6 21.27) (end 3.6 21.91) (layer "F.Fab") (width 0.1) (tstamp 80b9a57f-3326-43ca-b6ca-5e911992b3c4)) + (fp_line (start 2.54 21.27) (end 3.6 21.27) (layer "F.Fab") (width 0.1) (tstamp 81ab7ed7-7160-4650-b711-4daa2902dc8b)) + (fp_line (start -3.6 -0.95) (end -2.54 -0.95) (layer "F.Fab") (width 0.1) (tstamp 830aee7f-dfce-42cd-85ef-6370f6dc02f5)) + (fp_line (start -2.54 -21.91) (end -3.6 -21.91) (layer "F.Fab") (width 0.1) (tstamp 83d85a81-e014-4ee9-9433-a9a045c80893)) + (fp_line (start -2.54 -16.83) (end -3.6 -16.83) (layer "F.Fab") (width 0.1) (tstamp 848901d5-fdee-4920-a04d-fbc03c912e79)) + (fp_line (start 2.54 -6.67) (end 3.6 -6.67) (layer "F.Fab") (width 0.1) (tstamp 868b5d0d-f911-4724-9580-d9e69eb9f709)) + (fp_line (start -2.54 -19.37) (end -3.6 -19.37) (layer "F.Fab") (width 0.1) (tstamp 897277a3-b7ce-4d18-8c5f-1c984a246298)) + (fp_line (start -3.6 -21.27) (end -2.54 -21.27) (layer "F.Fab") (width 0.1) (tstamp 8e75264b-b45e-45ec-b230-7e1dce7d68b3)) + (fp_line (start -2.54 -1.59) (end -3.6 -1.59) (layer "F.Fab") (width 0.1) (tstamp 8fbab3d0-cb5e-47c7-8764-6fa3c0e4e5f7)) + (fp_line (start 3.6 19.37) (end 2.54 19.37) (layer "F.Fab") (width 0.1) (tstamp 900cb6c8-1d05-4537-a4f0-9a7cc1a2ea1c)) + (fp_line (start -3.6 23.81) (end -3.6 24.45) (layer "F.Fab") (width 0.1) (tstamp 905b154b-e92b-469d-b2e2-340d67daddb7)) + (fp_line (start 3.6 -8.57) (end 2.54 -8.57) (layer "F.Fab") (width 0.1) (tstamp 909d0bdd-8a15-40f2-9dfd-be4a5d2d6b25)) + (fp_line (start 3.6 -21.27) (end 2.54 -21.27) (layer "F.Fab") (width 0.1) (tstamp 90f2ca05-313f-4af8-87b1-a8109224a221)) + (fp_line (start 3.6 6.67) (end 2.54 6.67) (layer "F.Fab") (width 0.1) (tstamp 926b329f-cd0d-410a-bc4a-e36446f8965a)) + (fp_line (start 3.6 -9.21) (end 3.6 -8.57) (layer "F.Fab") (width 0.1) (tstamp 92d17eb0-c75d-48d9-ae9e-ea0c7f723be4)) + (fp_line (start -2.54 -24.45) (end -1.59 -25.4) (layer "F.Fab") (width 0.1) (tstamp 92d938cc-f8b1-437d-8914-3d97a0938f67)) + (fp_line (start -2.54 6.03) (end -3.6 6.03) (layer "F.Fab") (width 0.1) (tstamp 9404ce4c-2ce6-4f88-8062-13577800d257)) + (fp_line (start 3.6 16.83) (end 2.54 16.83) (layer "F.Fab") (width 0.1) (tstamp 97693043-81ba-44a2-b87b-aca6193e0970)) + (fp_line (start -3.6 -8.57) (end -2.54 -8.57) (layer "F.Fab") (width 0.1) (tstamp 9e5fe65d-f158-4eb5-af93-2b5d0b9a0d55)) + (fp_line (start -3.6 -13.65) (end -2.54 -13.65) (layer "F.Fab") (width 0.1) (tstamp a04f8542-6c38-4d5c-bdbb-c8e0311a0936)) + (fp_line (start -3.6 18.73) (end -3.6 19.37) (layer "F.Fab") (width 0.1) (tstamp a1701438-3c8b-4b49-8695-36ec7f9ae4d2)) + (fp_line (start -1.59 -25.4) (end 2.54 -25.4) (layer "F.Fab") (width 0.1) (tstamp a43f2e19-4e11-4e86-a12a-58a691d6df28)) + (fp_line (start -3.6 3.49) (end -3.6 4.13) (layer "F.Fab") (width 0.1) (tstamp a46a2b22-69cf-45fb-b1d2-32ac89bbd3c8)) + (fp_line (start 3.6 -13.65) (end 2.54 -13.65) (layer "F.Fab") (width 0.1) (tstamp a6dd3322-fcf5-4e4f-88bb-77a3d82a4d05)) + (fp_line (start 2.54 -4.13) (end 3.6 -4.13) (layer "F.Fab") (width 0.1) (tstamp a7c83b25-afbd-4974-8870-387db8f81a5c)) + (fp_line (start -3.6 6.03) (end -3.6 6.67) (layer "F.Fab") (width 0.1) (tstamp a86cc026-cc17-4a81-85bf-4c26f61b9f32)) + (fp_line (start -3.6 24.45) (end -2.54 24.45) (layer "F.Fab") (width 0.1) (tstamp b1240f00-ec43-4c0b-9a41-43264db8a893)) + (fp_line (start 3.6 -0.95) (end 2.54 -0.95) (layer "F.Fab") (width 0.1) (tstamp b1731e91-7698-42fa-ad60-5c60fdd0e1fc)) + (fp_line (start 2.54 -19.37) (end 3.6 -19.37) (layer "F.Fab") (width 0.1) (tstamp b4fbe1fb-a9a3-4020-9a82-d3fa1900cd85)) + (fp_line (start -3.6 21.27) (end -3.6 21.91) (layer "F.Fab") (width 0.1) (tstamp b500fd76-a613-4f44-aac4-99213e86ff44)) + (fp_line (start -2.54 0.95) (end -3.6 0.95) (layer "F.Fab") (width 0.1) (tstamp b5d84bc0-4d9a-4d1d-a476-5c6b51309fca)) + (fp_line (start 3.6 -4.13) (end 3.6 -3.49) (layer "F.Fab") (width 0.1) (tstamp b5ffe018-0d06-4a1b-95ee-b5763a35798d)) + (fp_line (start -3.6 13.65) (end -3.6 14.29) (layer "F.Fab") (width 0.1) (tstamp b7dfd91c-6180-48d0-832a-f6a5a032a686)) + (fp_line (start -3.6 -4.13) (end -3.6 -3.49) (layer "F.Fab") (width 0.1) (tstamp bc05cdd5-f72f-4c21-b397-0fa889871114)) + (fp_line (start -3.6 1.59) (end -2.54 1.59) (layer "F.Fab") (width 0.1) (tstamp bc204c79-0619-4b16-889d-335bfdd71ce0)) + (fp_line (start -2.54 -14.29) (end -3.6 -14.29) (layer "F.Fab") (width 0.1) (tstamp bcfbc157-43ce-49f7-bd18-6a9e2f2f30a3)) + (fp_line (start 3.6 3.49) (end 3.6 4.13) (layer "F.Fab") (width 0.1) (tstamp c0c62e93-8e84-4f2b-96ae-e90b55e0550a)) + (fp_line (start -3.6 -23.81) (end -2.54 -23.81) (layer "F.Fab") (width 0.1) (tstamp c1c05ce7-1c25-4382-b3b9-d3ec327783d4)) + (fp_line (start 2.54 -14.29) (end 3.6 -14.29) (layer "F.Fab") (width 0.1) (tstamp c2211bf7-6ed0-4800-9f21-d6a078bedba2)) + (fp_line (start -2.54 21.27) (end -3.6 21.27) (layer "F.Fab") (width 0.1) (tstamp c7db4903-f95a-49f5-bcce-c52f0ca8defc)) + (fp_line (start -3.6 -16.19) (end -2.54 -16.19) (layer "F.Fab") (width 0.1) (tstamp ce3f834f-337d-4957-8d02-e900d7024614)) + (fp_line (start -2.54 -11.75) (end -3.6 -11.75) (layer "F.Fab") (width 0.1) (tstamp ce55d4e5-cb2b-4927-9979-4a7fc840f632)) + (fp_line (start 3.6 24.45) (end 2.54 24.45) (layer "F.Fab") (width 0.1) (tstamp d04eabf5-018b-4006-a739-ce16277681b7)) + (fp_line (start -3.6 -11.75) (end -3.6 -11.11) (layer "F.Fab") (width 0.1) (tstamp d23840a6-3c61-45ca-968a-bc57332fd7a4)) + (fp_line (start 3.6 23.81) (end 3.6 24.45) (layer "F.Fab") (width 0.1) (tstamp dbbbcbf5-ed09-4c20-902c-70f108158aba)) + (fp_line (start -3.6 -19.37) (end -3.6 -18.73) (layer "F.Fab") (width 0.1) (tstamp de438bc3-2eba-4b9f-95e9-35ce5db157f6)) + (fp_line (start 3.6 -19.37) (end 3.6 -18.73) (layer "F.Fab") (width 0.1) (tstamp de588ed9-a530-46f0-aa03-e0307ff72286)) + (fp_line (start 3.6 4.13) (end 2.54 4.13) (layer "F.Fab") (width 0.1) (tstamp dfba7148-cad3-4f40-9835-b1394bd30a2c)) + (fp_line (start 3.6 -11.75) (end 3.6 -11.11) (layer "F.Fab") (width 0.1) (tstamp e6bf257d-5112-423c-b70a-adf8446f29da)) + (fp_line (start -2.54 16.19) (end -3.6 16.19) (layer "F.Fab") (width 0.1) (tstamp ed247857-b2a3-4b23-90ad-758c01ae5e8e)) + (fp_line (start 3.6 -3.49) (end 2.54 -3.49) (layer "F.Fab") (width 0.1) (tstamp ed612f6d-67c1-4198-976d-84139f8d99bc)) + (fp_line (start 3.6 -14.29) (end 3.6 -13.65) (layer "F.Fab") (width 0.1) (tstamp ee9a2826-2513-480e-a552-3d07af5bf8a5)) + (fp_line (start -3.6 -14.29) (end -3.6 -13.65) (layer "F.Fab") (width 0.1) (tstamp ef400389-7e37-4c93-8647-76318089d59f)) + (fp_line (start 3.6 -1.59) (end 3.6 -0.95) (layer "F.Fab") (width 0.1) (tstamp f1c2e9b0-6f9f-485b-b482-d408df476d0f)) + (fp_line (start 3.6 9.21) (end 2.54 9.21) (layer "F.Fab") (width 0.1) (tstamp f2044410-03ac-4994-9652-9e5f480320f0)) + (fp_line (start -2.54 8.57) (end -3.6 8.57) (layer "F.Fab") (width 0.1) (tstamp f2c43eeb-76da-49f4-b8e6-cd74ebb3190b)) + (fp_line (start 3.6 -11.11) (end 2.54 -11.11) (layer "F.Fab") (width 0.1) (tstamp f321809c-ab7a-4356-9b11-4c0d46c421ba)) + (fp_line (start -3.6 11.75) (end -2.54 11.75) (layer "F.Fab") (width 0.1) (tstamp f565cf54-67ba-4424-8d47-087433645499)) + (fp_line (start -2.54 13.65) (end -3.6 13.65) (layer "F.Fab") (width 0.1) (tstamp f5a3f95b-1a53-41b4-b208-bf168c9d9c6d)) + (fp_line (start 3.6 -21.91) (end 3.6 -21.27) (layer "F.Fab") (width 0.1) (tstamp f7758f2a-e5c9-405c-960a-353b36eaf72d)) + (fp_line (start 3.6 11.75) (end 2.54 11.75) (layer "F.Fab") (width 0.1) (tstamp f87a4771-a0a7-489f-9d85-4574dbea71cc)) + (fp_line (start -3.6 -6.67) (end -3.6 -6.03) (layer "F.Fab") (width 0.1) (tstamp f8a90052-1a8b-4ce5-a1fd-87db944dceac)) + (fp_line (start -3.6 6.67) (end -2.54 6.67) (layer "F.Fab") (width 0.1) (tstamp f8e92727-5789-4ef6-9dc3-be888ad72e45)) + (fp_line (start 2.54 -24.45) (end 3.6 -24.45) (layer "F.Fab") (width 0.1) (tstamp f931f973-5615-451c-bb04-9a02aede6e6f)) + (fp_line (start -3.6 14.29) (end -2.54 14.29) (layer "F.Fab") (width 0.1) (tstamp fab985e9-e679-4dd8-a59c-e3195d08506a)) + (fp_line (start -2.54 -4.13) (end -3.6 -4.13) (layer "F.Fab") (width 0.1) (tstamp fc12372f-6e31-40f9-8043-b00b861f0171)) + (fp_line (start 2.54 13.65) (end 3.6 13.65) (layer "F.Fab") (width 0.1) (tstamp fe9bdc33-eab1-4bdc-9603-57decb38d2a2)) + (fp_line (start 3.6 14.29) (end 2.54 14.29) (layer "F.Fab") (width 0.1) (tstamp ffb86135-b43f-4a42-9aa6-73aa7ba972a9)) + (pad "1" smd rect (at -2.525 -24.13) (size 3.15 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 1 "RESET") (pinfunction "Pin_1") (pintype "passive") (tstamp 0a79db37-f1d9-40b1-a24d-8bdfb8f637e2)) + (pad "2" smd rect (at 2.525 -24.13) (size 3.15 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 2 "GND") (pinfunction "Pin_2") (pintype "passive") (tstamp 315d2b15-cfe6-4672-b3ad-24773f3df12c)) + (pad "3" smd rect (at -2.525 -21.59) (size 3.15 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 3 "DB7") (pinfunction "Pin_3") (pintype "passive") (tstamp 5a319d05-1a85-43fe-a179-ebcee7212a03)) + (pad "4" smd rect (at 2.525 -21.59) (size 3.15 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 4 "DB8") (pinfunction "Pin_4") (pintype "passive") (tstamp 80ace02d-cb21-4f08-bc25-572a9e56ff99)) + (pad "5" smd rect (at -2.525 -19.05) (size 3.15 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 5 "DB6") (pinfunction "Pin_5") (pintype "passive") (tstamp 82907d2e-4560-49c2-9cfc-01b127317195)) + (pad "6" smd rect (at 2.525 -19.05) (size 3.15 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 6 "DB9") (pinfunction "Pin_6") (pintype "passive") (tstamp ab34b936-8ca5-4be1-8599-504cb86609fc)) + (pad "7" smd rect (at -2.525 -16.51) (size 3.15 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 7 "DB5") (pinfunction "Pin_7") (pintype "passive") (tstamp a09cb1c4-cc63-49c7-a35f-4b80c3ba2217)) + (pad "8" smd rect (at 2.525 -16.51) (size 3.15 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 8 "DB10") (pinfunction "Pin_8") (pintype "passive") (tstamp 93afd2e8-e16c-4e06-b872-cf0e624aee35)) + (pad "9" smd rect (at -2.525 -13.97) (size 3.15 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 9 "DB4") (pinfunction "Pin_9") (pintype "passive") (tstamp 7df9ce6f-7f38-4582-a049-7f92faf1abc9)) + (pad "10" smd rect (at 2.525 -13.97) (size 3.15 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 10 "DB11") (pinfunction "Pin_10") (pintype "passive") (tstamp dd3da890-32ef-4a5a-aea4-e5d2141f1ff1)) + (pad "11" smd rect (at -2.525 -11.43) (size 3.15 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 11 "DB3") (pinfunction "Pin_11") (pintype "passive") (tstamp 48034820-9d25-4020-8e74-d44c1441e803)) + (pad "12" smd rect (at 2.525 -11.43) (size 3.15 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 12 "DB12") (pinfunction "Pin_12") (pintype "passive") (tstamp be118b00-015b-445a-8fc5-7bf35350fda8)) + (pad "13" smd rect (at -2.525 -8.89) (size 3.15 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 13 "DB2") (pinfunction "Pin_13") (pintype "passive") (tstamp e8312cc4-6502-4783-b578-55c01e0393af)) + (pad "14" smd rect (at 2.525 -8.89) (size 3.15 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 14 "DB13") (pinfunction "Pin_14") (pintype "passive") (tstamp 45a58c23-3e6d-4df0-af01-6d5948b0075c)) + (pad "15" smd rect (at -2.525 -6.35) (size 3.15 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 15 "DB1") (pinfunction "Pin_15") (pintype "passive") (tstamp 5641be26-f5e9-482f-8616-297f17f4eae2)) + (pad "16" smd rect (at 2.525 -6.35) (size 3.15 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 16 "DB14") (pinfunction "Pin_16") (pintype "passive") (tstamp 90d503cf-92b2-4120-a4b0-03a2eddde893)) + (pad "17" smd rect (at -2.525 -3.81) (size 3.15 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 17 "DB0") (pinfunction "Pin_17") (pintype "passive") (tstamp 86143bb0-7899-4df8-b1df-baa3c0ac7889)) + (pad "18" smd rect (at 2.525 -3.81) (size 3.15 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 18 "DB15") (pinfunction "Pin_18") (pintype "passive") (tstamp 2ad4b4ba-3abd-4313-bed9-1edce936a95e)) + (pad "19" smd rect (at -2.525 -1.27) (size 3.15 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 2 "GND") (pinfunction "Pin_19") (pintype "passive") (tstamp cd2580a0-9e4c-4895-a13c-3b2ee33bafc4)) + (pad "20" smd rect (at 2.525 -1.27) (size 3.15 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 37 "unconnected-(J2-Pad20)") (pinfunction "Pin_20") (pintype "passive+no_connect") (tstamp d337c492-7429-4618-b378-df29f72737e3)) + (pad "21" smd rect (at -2.525 1.27) (size 3.15 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 19 "DRQ3") (pinfunction "Pin_21") (pintype "passive") (tstamp bc01f3e7-a131-4f66-8abc-cc13e855d5e5)) + (pad "22" smd rect (at 2.525 1.27) (size 3.15 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 2 "GND") (pinfunction "Pin_22") (pintype "passive") (tstamp fd34aa56-ded2-4e97-965a-a39457716f0c)) + (pad "23" smd rect (at -2.525 3.81) (size 3.15 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 20 "IOW") (pinfunction "Pin_23") (pintype "passive") (tstamp e002a979-85bc-451a-a77b-29ce2a8f19f9)) + (pad "24" smd rect (at 2.525 3.81) (size 3.15 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 2 "GND") (pinfunction "Pin_24") (pintype "passive") (tstamp 8313e187-c805-4927-8002-313a51839243)) + (pad "25" smd rect (at -2.525 6.35) (size 3.15 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 21 "IOR") (pinfunction "Pin_25") (pintype "passive") (tstamp b5cea0b5-192f-476b-a3c8-0c26e2231699)) + (pad "26" smd rect (at 2.525 6.35) (size 3.15 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 2 "GND") (pinfunction "Pin_26") (pintype "passive") (tstamp 524d7aa8-362f-459a-b2ae-4ca2a0b1612b)) + (pad "27" smd rect (at -2.525 8.89) (size 3.15 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 22 "IO_CH_RDY") (pinfunction "Pin_27") (pintype "passive") (tstamp 8fd0b33a-45bf-4216-9d7e-a62e1c071730)) + (pad "28" smd rect (at 2.525 8.89) (size 3.15 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 34 "CSEL_OUT_A") (pinfunction "Pin_28") (pintype "passive") (tstamp fc13962a-a464-4fa2-b9a6-4c26667104ee)) + (pad "29" smd rect (at -2.525 11.43) (size 3.15 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 24 "DACK3") (pinfunction "Pin_29") (pintype "passive") (tstamp f240e733-157e-4a15-812f-78f42d8a8322)) + (pad "30" smd rect (at 2.525 11.43) (size 3.15 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 2 "GND") (pinfunction "Pin_30") (pintype "passive") (tstamp a4911204-1308-4d17-90a9-1ff5f9c57c9b)) + (pad "31" smd rect (at -2.525 13.97) (size 3.15 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 25 "IRQ14") (pinfunction "Pin_31") (pintype "passive") (tstamp 01c59306-91a3-452b-92b5-9af8f8f257d6)) + (pad "32" smd rect (at 2.525 13.97) (size 3.15 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 26 "RESERVED") (pinfunction "Pin_32") (pintype "passive") (tstamp ef3a2f4c-5879-4e98-ad30-6b8614410fba)) + (pad "33" smd rect (at -2.525 16.51) (size 3.15 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 27 "ADDRESS_BIT_1") (pinfunction "Pin_33") (pintype "passive") (tstamp 3f43c2dc-daa2-45ba-b8ca-7ae5aebed882)) + (pad "34" smd rect (at 2.525 16.51) (size 3.15 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 28 "PDIAG") (pinfunction "Pin_34") (pintype "passive") (tstamp e1fe6230-75c5-4750-aaea-24a9b80589d8)) + (pad "35" smd rect (at -2.525 19.05) (size 3.15 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 29 "ADDRESS_BIT_0") (pinfunction "Pin_35") (pintype "passive") (tstamp c482f4f0-b441-4301-a9f1-c7f9e511d699)) + (pad "36" smd rect (at 2.525 19.05) (size 3.15 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 30 "ADDRESS_BIT_2") (pinfunction "Pin_36") (pintype "passive") (tstamp 15a5a11b-0ea1-4f6e-b356-cc2d530615ed)) + (pad "37" smd rect (at -2.525 21.59) (size 3.15 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 31 "CS1FX") (pinfunction "Pin_37") (pintype "passive") (tstamp 8afe1dbf-1187-4362-8af8-a90ca839a6b3)) + (pad "38" smd rect (at 2.525 21.59) (size 3.15 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 32 "CS3FX") (pinfunction "Pin_38") (pintype "passive") (tstamp c8b93f12-bc5c-4ce5-b954-377d903895f1)) + (pad "39" smd rect (at -2.525 24.13) (size 3.15 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 33 "DA_SP") (pinfunction "Pin_39") (pintype "passive") (tstamp 24a492d9-25a9-4fba-b51b-3effb576b351)) + (pad "40" smd rect (at 2.525 24.13) (size 3.15 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 2 "GND") (pinfunction "Pin_40") (pintype "passive") (tstamp d7df1f01-3f56-437b-a452-e88ad90a9805)) + (model "${KICAD6_3DMODEL_DIR}/Connector_PinHeader_2.54mm.3dshapes/PinHeader_2x20_P2.54mm_Vertical_SMD.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Connector_PinHeader_2.54mm:PinHeader_2x20_P2.54mm_Vertical_SMD" (layer "F.Cu") + (tedit 59FED5CC) (tstamp fd146ca2-8fb8-4c71-9277-84f69bc5d3fc) + (at 161.29 84.582) + (descr "surface-mounted straight pin header, 2x20, 2.54mm pitch, double rows") + (tags "Surface mounted pin header SMD 2x20 2.54mm double row") + (property "Sheetfile" "ide_cable_select_switch.kicad_sch") + (property "Sheetname" "") + (path "/d0fe8934-21c4-4e46-b5b6-40801fb05422") + (attr smd) + (fp_text reference "J3" (at 0 -24.257) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp fe1c93f4-4468-424b-a088-27aef08b62b4) + ) + (fp_text value "Conn_02x20_Odd_Even" (at 0 26.46) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 66cc4ddc-a52d-4ad7-986e-68f000539802) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 18208121-3872-4be3-a687-40854be3e1c8) + ) + (fp_line (start 2.6 -10.67) (end 2.6 -9.65) (layer "F.SilkS") (width 0.12) (tstamp 073c8287-235c-4712-a9a0-60a07a1119d5)) + (fp_line (start 2.6 -20.83) (end 2.6 -19.81) (layer "F.SilkS") (width 0.12) (tstamp 08ac4c42-16f0-4513-b91e-bf0b3a111257)) + (fp_line (start -2.6 12.19) (end -2.6 13.21) (layer "F.SilkS") (width 0.12) (tstamp 09ab0b5c-3dee-42c8-b9e5-de0673874ccd)) + (fp_line (start -2.6 -25.46) (end 2.6 -25.46) (layer "F.SilkS") (width 0.12) (tstamp 0e18138e-f1a3-4288-bb34-3b6bcfb64ff6)) + (fp_line (start 2.6 -8.13) (end 2.6 -7.11) (layer "F.SilkS") (width 0.12) (tstamp 0e416ef5-3e03-4fa4-b2a6-3ab634a5ee03)) + (fp_line (start -4.04 -24.89) (end -2.6 -24.89) (layer "F.SilkS") (width 0.12) (tstamp 133d5403-9be3-4603-824b-d3b76147e745)) + (fp_line (start -2.6 -10.67) (end -2.6 -9.65) (layer "F.SilkS") (width 0.12) (tstamp 15a0f067-831a-4ddb-bdef-5fb7df267d8f)) + (fp_line (start -2.6 -15.75) (end -2.6 -14.73) (layer "F.SilkS") (width 0.12) (tstamp 19264aae-fe9e-4afc-84ac-56ec33a3b20d)) + (fp_line (start 2.6 4.57) (end 2.6 5.59) (layer "F.SilkS") (width 0.12) (tstamp 1a734ace-0cd0-489a-9380-915322ff12bd)) + (fp_line (start 2.6 -18.29) (end 2.6 -17.27) (layer "F.SilkS") (width 0.12) (tstamp 1ab4dceb-24cc-4050-aa74-e8fbb39d3760)) + (fp_line (start -2.6 17.27) (end -2.6 18.29) (layer "F.SilkS") (width 0.12) (tstamp 20e1c48c-ae14-4a88-835e-87633cbb6a1c)) + (fp_line (start 2.6 9.65) (end 2.6 10.67) (layer "F.SilkS") (width 0.12) (tstamp 2b7c4f37-42c0-4571-a44b-b808484d3d74)) + (fp_line (start -2.6 -13.21) (end -2.6 -12.19) (layer "F.SilkS") (width 0.12) (tstamp 35431843-170f-401f-88d7-da91172bed86)) + (fp_line (start 2.6 -15.75) (end 2.6 -14.73) (layer "F.SilkS") (width 0.12) (tstamp 3768cce7-1e64-480e-bb38-0c6794a852ac)) + (fp_line (start 2.6 -5.59) (end 2.6 -4.57) (layer "F.SilkS") (width 0.12) (tstamp 3b19a97f-624a-48d9-8072-15bdeede0fff)) + (fp_line (start -2.6 4.57) (end -2.6 5.59) (layer "F.SilkS") (width 0.12) (tstamp 3d213c37-de80-490e-9f45-2814d3fc958b)) + (fp_line (start 2.6 22.35) (end 2.6 23.37) (layer "F.SilkS") (width 0.12) (tstamp 3dfbccca-f469-4a6f-a8bd-5f55435b5cfa)) + (fp_line (start -2.6 -18.29) (end -2.6 -17.27) (layer "F.SilkS") (width 0.12) (tstamp 44509293-79e2-4fab-8860-b0cecb591afa)) + (fp_line (start -2.6 2.03) (end -2.6 3.05) (layer "F.SilkS") (width 0.12) (tstamp 4c717b47-484c-4d70-8fcd-83c406ff2d17)) + (fp_line (start 2.6 24.89) (end 2.6 25.46) (layer "F.SilkS") (width 0.12) (tstamp 4d6dfe4f-0070-449e-bb5c-a3b1d4b26ba7)) + (fp_line (start -2.6 -23.37) (end -2.6 -22.35) (layer "F.SilkS") (width 0.12) (tstamp 4fc3183f-297c-42b7-b3bd-25a9ea18c844)) + (fp_line (start 2.6 -25.46) (end 2.6 -24.89) (layer "F.SilkS") (width 0.12) (tstamp 6ae901e7-3f37-4fdc-9fbb-f82666744826)) + (fp_line (start 2.6 -23.37) (end 2.6 -22.35) (layer "F.SilkS") (width 0.12) (tstamp 6f78c1fb-f693-4737-b750-74e50c35a564)) + (fp_line (start 2.6 7.11) (end 2.6 8.13) (layer "F.SilkS") (width 0.12) (tstamp 6fddc16f-ccc1-4ade-884c-d6efda461da8)) + (fp_line (start 2.6 17.27) (end 2.6 18.29) (layer "F.SilkS") (width 0.12) (tstamp 751752b1-1f0f-490c-ba43-2d34c357b41e)) + (fp_line (start 2.6 12.19) (end 2.6 13.21) (layer "F.SilkS") (width 0.12) (tstamp 7684f860-395c-40b3-8cc0-a644dcdbc220)) + (fp_line (start -2.6 -20.83) (end -2.6 -19.81) (layer "F.SilkS") (width 0.12) (tstamp 7e232027-e1fd-4d55-a751-dd67130d7d22)) + (fp_line (start 2.6 -13.21) (end 2.6 -12.19) (layer "F.SilkS") (width 0.12) (tstamp 85d211d4-76e7-4e49-a9c8-2e1cc8ab5805)) + (fp_line (start -2.6 24.89) (end -2.6 25.46) (layer "F.SilkS") (width 0.12) (tstamp 87f44303-a6e8-48e5-bb6d-f89abb09a999)) + (fp_line (start 2.6 -3.05) (end 2.6 -2.03) (layer "F.SilkS") (width 0.12) (tstamp 9b315454-a4a0-4952-bdbe-d4a8e96c16f9)) + (fp_line (start 2.6 19.81) (end 2.6 20.83) (layer "F.SilkS") (width 0.12) (tstamp a353a360-a1da-42d3-a5f2-38aafc184a50)) + (fp_line (start -2.6 22.35) (end -2.6 23.37) (layer "F.SilkS") (width 0.12) (tstamp aaf0fd50-bb22-4408-be5a-88f5ba4193be)) + (fp_line (start -2.6 -5.59) (end -2.6 -4.57) (layer "F.SilkS") (width 0.12) (tstamp acd72527-a657-482d-a530-89a1347375fc)) + (fp_line (start -2.6 -8.13) (end -2.6 -7.11) (layer "F.SilkS") (width 0.12) (tstamp acfcaba7-a8b8-4c21-a793-d3e0373f34dc)) + (fp_line (start 2.6 -0.51) (end 2.6 0.51) (layer "F.SilkS") (width 0.12) (tstamp bbb99edd-f016-43ea-b1c7-0bcdd1915ee8)) + (fp_line (start -2.6 -3.05) (end -2.6 -2.03) (layer "F.SilkS") (width 0.12) (tstamp c11e04e4-f63f-46b9-9a9c-9c7df49e614a)) + (fp_line (start -2.6 -25.46) (end -2.6 -24.89) (layer "F.SilkS") (width 0.12) (tstamp c202ddee-78ab-4ebb-beca-559aaf118430)) + (fp_line (start -2.6 19.81) (end -2.6 20.83) (layer "F.SilkS") (width 0.12) (tstamp d3dd0ba2-2496-4e95-8d54-12ee57bcbce2)) + (fp_line (start -2.6 -0.51) (end -2.6 0.51) (layer "F.SilkS") (width 0.12) (tstamp d9198b20-68ab-4f03-9039-95a74aeba0d6)) + (fp_line (start -2.6 25.46) (end 2.6 25.46) (layer "F.SilkS") (width 0.12) (tstamp dbfb14d7-1f97-4dd2-9004-1d129d3b4221)) + (fp_line (start -2.6 14.73) (end -2.6 15.75) (layer "F.SilkS") (width 0.12) (tstamp de5c2064-b9e1-4057-a8cc-9308019ef4d3)) + (fp_line (start 2.6 2.03) (end 2.6 3.05) (layer "F.SilkS") (width 0.12) (tstamp e0781b80-6f1b-4d08-b53f-b7d3f582e2ea)) + (fp_line (start 2.6 14.73) (end 2.6 15.75) (layer "F.SilkS") (width 0.12) (tstamp e463ba2a-1cbc-4995-82d8-59710b3fcd2f)) + (fp_line (start -2.6 9.65) (end -2.6 10.67) (layer "F.SilkS") (width 0.12) (tstamp e6cd2cdd-d49b-4491-8a15-4c46254b5c0a)) + (fp_line (start -2.6 7.11) (end -2.6 8.13) (layer "F.SilkS") (width 0.12) (tstamp ed9596e5-f4f2-4fc2-bb34-16ad21b3b120)) + (fp_line (start 5.9 25.9) (end 5.9 -25.9) (layer "F.CrtYd") (width 0.05) (tstamp 3675ad1a-972f-4046-b23a-e6ca04304035)) + (fp_line (start 5.9 -25.9) (end -5.9 -25.9) (layer "F.CrtYd") (width 0.05) (tstamp b7ed4c31-5417-4fb5-9261-7dca42c1c776)) + (fp_line (start -5.9 -25.9) (end -5.9 25.9) (layer "F.CrtYd") (width 0.05) (tstamp bb5e8a0f-2ed5-4c2a-91b7-cb63c4c66e15)) + (fp_line (start -5.9 25.9) (end 5.9 25.9) (layer "F.CrtYd") (width 0.05) (tstamp f58fca4c-73af-416f-b236-f3bb62b8fd00)) + (fp_line (start 2.54 8.57) (end 3.6 8.57) (layer "F.Fab") (width 0.1) (tstamp 02b1295e-cf95-47ff-9c57-f8ada28f2e94)) + (fp_line (start 2.54 -19.37) (end 3.6 -19.37) (layer "F.Fab") (width 0.1) (tstamp 03d57b22-a0ad-4d3d-9d1c-5573371e6c2f)) + (fp_line (start -2.54 -4.13) (end -3.6 -4.13) (layer "F.Fab") (width 0.1) (tstamp 0588e431-d56d-4df4-9ffd-6cd4bba412cb)) + (fp_line (start 3.6 -13.65) (end 2.54 -13.65) (layer "F.Fab") (width 0.1) (tstamp 0674c5a1-ca4b-4b6b-aa60-3847e1a37d52)) + (fp_line (start 2.54 -1.59) (end 3.6 -1.59) (layer "F.Fab") (width 0.1) (tstamp 06b6db7e-5210-41ec-a47b-0127ebbe0786)) + (fp_line (start -2.54 8.57) (end -3.6 8.57) (layer "F.Fab") (width 0.1) (tstamp 0aa1e38d-f07a-4820-b628-a171234563bb)) + (fp_line (start -3.6 6.03) (end -3.6 6.67) (layer "F.Fab") (width 0.1) (tstamp 0fe3ebe2-61a9-477a-a657-d783c4c4d70e)) + (fp_line (start 3.6 -14.29) (end 3.6 -13.65) (layer "F.Fab") (width 0.1) (tstamp 121b7b08-bed9-441b-b060-efed31f37089)) + (fp_line (start 2.54 6.03) (end 3.6 6.03) (layer "F.Fab") (width 0.1) (tstamp 14a3cbec-b1b9-4736-8e00-ba5be98954ab)) + (fp_line (start -2.54 18.73) (end -3.6 18.73) (layer "F.Fab") (width 0.1) (tstamp 159c8092-f459-40eb-b409-c2cace814e6e)) + (fp_line (start 2.54 -21.91) (end 3.6 -21.91) (layer "F.Fab") (width 0.1) (tstamp 15e1670d-9e79-4a5e-88ad-fbbb238a3e8a)) + (fp_line (start -3.6 -21.91) (end -3.6 -21.27) (layer "F.Fab") (width 0.1) (tstamp 1a85ffd6-ef8b-418f-990e-456d1ffab00e)) + (fp_line (start 3.6 21.91) (end 2.54 21.91) (layer "F.Fab") (width 0.1) (tstamp 1cbbfee4-06dd-44ee-af91-d336edf2459c)) + (fp_line (start -2.54 23.81) (end -3.6 23.81) (layer "F.Fab") (width 0.1) (tstamp 1f01b2a1-9ae4-4793-9d17-5ed5c0966b9f)) + (fp_line (start 3.6 24.45) (end 2.54 24.45) (layer "F.Fab") (width 0.1) (tstamp 245a6fb4-6361-4438-82ca-8861d43ca7f5)) + (fp_line (start 3.6 0.95) (end 3.6 1.59) (layer "F.Fab") (width 0.1) (tstamp 25247d0c-5910-484b-9651-5750d422a450)) + (fp_line (start 3.6 3.49) (end 3.6 4.13) (layer "F.Fab") (width 0.1) (tstamp 2949af22-2432-469e-9f07-eee60be8acbd)) + (fp_line (start 3.6 4.13) (end 2.54 4.13) (layer "F.Fab") (width 0.1) (tstamp 296ded40-ed53-4798-8db4-dad7b794226b)) + (fp_line (start 3.6 18.73) (end 3.6 19.37) (layer "F.Fab") (width 0.1) (tstamp 2e0f69a6-955c-44f2-af4d-b4ad566ef54b)) + (fp_line (start -2.54 3.49) (end -3.6 3.49) (layer "F.Fab") (width 0.1) (tstamp 337d1242-91ab-4446-8b9e-7609c6a49e3c)) + (fp_line (start -2.54 -14.29) (end -3.6 -14.29) (layer "F.Fab") (width 0.1) (tstamp 33891c62-a79f-4243-b776-6be292690ac3)) + (fp_line (start 3.6 -21.27) (end 2.54 -21.27) (layer "F.Fab") (width 0.1) (tstamp 356199c8-c0f7-4995-bef0-53ad752a30c5)) + (fp_line (start -3.6 -23.81) (end -2.54 -23.81) (layer "F.Fab") (width 0.1) (tstamp 39614f9f-2df5-492b-a093-45b7a48e295d)) + (fp_line (start 2.54 25.4) (end -2.54 25.4) (layer "F.Fab") (width 0.1) (tstamp 3997254a-8057-4464-ba07-e37f0720cbd8)) + (fp_line (start 3.6 6.03) (end 3.6 6.67) (layer "F.Fab") (width 0.1) (tstamp 3bdaeac5-b4b7-4a96-b0da-b5e1b46798c2)) + (fp_line (start -2.54 -21.91) (end -3.6 -21.91) (layer "F.Fab") (width 0.1) (tstamp 3cfddd47-0913-4692-89bb-8a69d22be5a7)) + (fp_line (start 3.6 11.11) (end 3.6 11.75) (layer "F.Fab") (width 0.1) (tstamp 3f9f133b-59b8-4791-b0ab-6fa861da9e3f)) + (fp_line (start 2.54 -9.21) (end 3.6 -9.21) (layer "F.Fab") (width 0.1) (tstamp 4375ab9a-cebb-448a-bb75-1fa4fe977171)) + (fp_line (start -3.6 -14.29) (end -3.6 -13.65) (layer "F.Fab") (width 0.1) (tstamp 45676199-bb82-4d58-98c1-b606deb355be)) + (fp_line (start -3.6 23.81) (end -3.6 24.45) (layer "F.Fab") (width 0.1) (tstamp 47be24ee-e15b-4cee-b84b-350111ac1499)) + (fp_line (start -2.54 -24.45) (end -1.59 -25.4) (layer "F.Fab") (width 0.1) (tstamp 49b38f13-9789-4c6d-bbd5-2c69a9e19e69)) + (fp_line (start -2.54 -24.45) (end -3.6 -24.45) (layer "F.Fab") (width 0.1) (tstamp 4aee84d1-0859-48ac-a053-5a981ee1b24a)) + (fp_line (start 3.6 21.27) (end 3.6 21.91) (layer "F.Fab") (width 0.1) (tstamp 4d55ddc7-73be-49f7-98ea-a0ba474cbdb0)) + (fp_line (start -3.6 13.65) (end -3.6 14.29) (layer "F.Fab") (width 0.1) (tstamp 4e66ba18-389e-4ff9-97c1-8bd8fb047a01)) + (fp_line (start -3.6 9.21) (end -2.54 9.21) (layer "F.Fab") (width 0.1) (tstamp 5290e0d7-1f24-4c0b-91ff-28c5a304ab9a)) + (fp_line (start -3.6 -18.73) (end -2.54 -18.73) (layer "F.Fab") (width 0.1) (tstamp 55ac7ee1-f461-406b-8cf5-da47a7717180)) + (fp_line (start 3.6 -21.91) (end 3.6 -21.27) (layer "F.Fab") (width 0.1) (tstamp 567a04d6-5dce-4e5f-9e8e-f34010ecea5b)) + (fp_line (start 3.6 -6.03) (end 2.54 -6.03) (layer "F.Fab") (width 0.1) (tstamp 56bbedad-6259-4443-b321-0ffa1f89c336)) + (fp_line (start -2.54 11.11) (end -3.6 11.11) (layer "F.Fab") (width 0.1) (tstamp 57121f1d-c971-4830-b974-00f7d706f0c9)) + (fp_line (start 3.6 -16.19) (end 2.54 -16.19) (layer "F.Fab") (width 0.1) (tstamp 59058a09-f800-497d-b8e1-cdf9632c6766)) + (fp_line (start -3.6 -13.65) (end -2.54 -13.65) (layer "F.Fab") (width 0.1) (tstamp 59142adb-6887-41fc-851e-9a7f51511d60)) + (fp_line (start -3.6 18.73) (end -3.6 19.37) (layer "F.Fab") (width 0.1) (tstamp 5b04e20f-8575-4362-b040-2e2133d670c8)) + (fp_line (start -3.6 -24.45) (end -3.6 -23.81) (layer "F.Fab") (width 0.1) (tstamp 5ef603f2-8407-4088-9f29-0b64dd4b046f)) + (fp_line (start 3.6 -0.95) (end 2.54 -0.95) (layer "F.Fab") (width 0.1) (tstamp 5fc4054a-b929-433e-a947-747fb7ed003d)) + (fp_line (start 3.6 1.59) (end 2.54 1.59) (layer "F.Fab") (width 0.1) (tstamp 617edc57-1dbf-4296-b365-6d76f68a1c0f)) + (fp_line (start -3.6 16.83) (end -2.54 16.83) (layer "F.Fab") (width 0.1) (tstamp 61eb7a4f-888e-4082-9c74-1d94f58e7c05)) + (fp_line (start 3.6 -18.73) (end 2.54 -18.73) (layer "F.Fab") (width 0.1) (tstamp 61fae217-e18a-4e68-8630-42cc06a8ba2f)) + (fp_line (start -3.6 -6.03) (end -2.54 -6.03) (layer "F.Fab") (width 0.1) (tstamp 624c6565-c4fd-4d29-87af-f77dd1ba0898)) + (fp_line (start 3.6 -11.75) (end 3.6 -11.11) (layer "F.Fab") (width 0.1) (tstamp 62a1b97d-067d-487c-835b-0166330d25fe)) + (fp_line (start -3.6 -9.21) (end -3.6 -8.57) (layer "F.Fab") (width 0.1) (tstamp 637c5908-9371-4d80-a19b-036e111ef5cd)) + (fp_line (start -3.6 -16.83) (end -3.6 -16.19) (layer "F.Fab") (width 0.1) (tstamp 644ebc55-9b92-49bd-8dfa-8a3a0dd8d76d)) + (fp_line (start 3.6 -1.59) (end 3.6 -0.95) (layer "F.Fab") (width 0.1) (tstamp 69f75991-c8c0-49a9-aed8-daa6ca9a5d73)) + (fp_line (start -3.6 24.45) (end -2.54 24.45) (layer "F.Fab") (width 0.1) (tstamp 6ee71a3c-fedb-4cc6-a3c6-f3d6f3ac6767)) + (fp_line (start -2.54 13.65) (end -3.6 13.65) (layer "F.Fab") (width 0.1) (tstamp 6f3f676d-a47a-4e8c-8d6e-02275a3490d7)) + (fp_line (start -3.6 14.29) (end -2.54 14.29) (layer "F.Fab") (width 0.1) (tstamp 71079b24-2e2e-494b-a607-86ccdae75c6e)) + (fp_line (start -2.54 0.95) (end -3.6 0.95) (layer "F.Fab") (width 0.1) (tstamp 741879e3-3045-40c7-849d-7f437c35ee91)) + (fp_line (start -3.6 -3.49) (end -2.54 -3.49) (layer "F.Fab") (width 0.1) (tstamp 76862e4a-1816-475c-9943-666036c637f7)) + (fp_line (start -1.59 -25.4) (end 2.54 -25.4) (layer "F.Fab") (width 0.1) (tstamp 76ee303c-1cfc-45a8-ae72-af3efaba6c47)) + (fp_line (start 2.54 -11.75) (end 3.6 -11.75) (layer "F.Fab") (width 0.1) (tstamp 7983b95c-14e4-4dec-ab4e-09c81071d9de)) + (fp_line (start -2.54 25.4) (end -2.54 -24.45) (layer "F.Fab") (width 0.1) (tstamp 7c11b885-29b4-4eb2-b782-dde8e3724f0c)) + (fp_line (start 2.54 3.49) (end 3.6 3.49) (layer "F.Fab") (width 0.1) (tstamp 7c3df708-fb44-40cc-b435-cd67e8cec48a)) + (fp_line (start 3.6 -9.21) (end 3.6 -8.57) (layer "F.Fab") (width 0.1) (tstamp 8019bb27-2172-4d60-932e-7bd55a890b6c)) + (fp_line (start 2.54 -4.13) (end 3.6 -4.13) (layer "F.Fab") (width 0.1) (tstamp 811f5389-c208-4640-ab1a-b454491bb330)) + (fp_line (start 3.6 19.37) (end 2.54 19.37) (layer "F.Fab") (width 0.1) (tstamp 832b1e20-f118-4505-ad00-93c040f2f83d)) + (fp_line (start 3.6 16.83) (end 2.54 16.83) (layer "F.Fab") (width 0.1) (tstamp 835d4ac3-3fb1-48d9-8c28-6093fe917376)) + (fp_line (start -3.6 -11.75) (end -3.6 -11.11) (layer "F.Fab") (width 0.1) (tstamp 844f01a0-ac23-4a99-910e-4e91c579bb2b)) + (fp_line (start 3.6 -6.67) (end 3.6 -6.03) (layer "F.Fab") (width 0.1) (tstamp 85621d90-361e-49b6-9449-b54a16cce021)) + (fp_line (start 3.6 -19.37) (end 3.6 -18.73) (layer "F.Fab") (width 0.1) (tstamp 86f6faec-7eee-404c-a73a-2ae625f33d8c)) + (fp_line (start 2.54 0.95) (end 3.6 0.95) (layer "F.Fab") (width 0.1) (tstamp 872313a4-03e6-4e4a-b850-f54dcb50f9fc)) + (fp_line (start -3.6 -6.67) (end -3.6 -6.03) (layer "F.Fab") (width 0.1) (tstamp 8e715b73-353f-4cfc-aa33-1eac54b89b6c)) + (fp_line (start -3.6 21.27) (end -3.6 21.91) (layer "F.Fab") (width 0.1) (tstamp 8eacb9d3-c41d-4b39-abd1-0bc8f2e97411)) + (fp_line (start -3.6 21.91) (end -2.54 21.91) (layer "F.Fab") (width 0.1) (tstamp 90337a8b-a8c5-48e1-ad0f-b0e67716fe3c)) + (fp_line (start 2.54 16.19) (end 3.6 16.19) (layer "F.Fab") (width 0.1) (tstamp 927b1eb6-e6f4-412f-9a58-8dc81a4889a0)) + (fp_line (start -3.6 -1.59) (end -3.6 -0.95) (layer "F.Fab") (width 0.1) (tstamp 92ec60c8-e914-4456-8d37-4b88fc0eb9c6)) + (fp_line (start -3.6 0.95) (end -3.6 1.59) (layer "F.Fab") (width 0.1) (tstamp 934c5f28-c928-4621-8122-b999b3ed10dd)) + (fp_line (start 3.6 -4.13) (end 3.6 -3.49) (layer "F.Fab") (width 0.1) (tstamp 9475edbb-286b-4bed-b5f0-0b68a18bdc52)) + (fp_line (start 2.54 -24.45) (end 3.6 -24.45) (layer "F.Fab") (width 0.1) (tstamp 9ed54841-4bec-491f-817d-b7e8b25ca06c)) + (fp_line (start -3.6 -21.27) (end -2.54 -21.27) (layer "F.Fab") (width 0.1) (tstamp 9fa58e42-4d1f-4e7f-a5a2-6fc9857446e3)) + (fp_line (start -3.6 -8.57) (end -2.54 -8.57) (layer "F.Fab") (width 0.1) (tstamp a9ff0621-eacb-4187-ba89-29f236eec881)) + (fp_line (start 2.54 23.81) (end 3.6 23.81) (layer "F.Fab") (width 0.1) (tstamp aae29862-3850-48eb-b7a8-38a62a8029dd)) + (fp_line (start 2.54 13.65) (end 3.6 13.65) (layer "F.Fab") (width 0.1) (tstamp ac81fb15-6f1a-451b-a962-fb87ffd26f6b)) + (fp_line (start -2.54 -9.21) (end -3.6 -9.21) (layer "F.Fab") (width 0.1) (tstamp ad09de7f-a090-4e65-951a-7cf11f73b06d)) + (fp_line (start -2.54 -19.37) (end -3.6 -19.37) (layer "F.Fab") (width 0.1) (tstamp ae293969-fa6d-4cb1-9969-16f8784d07e3)) + (fp_line (start 3.6 -11.11) (end 2.54 -11.11) (layer "F.Fab") (width 0.1) (tstamp aeaaa120-9cc5-4520-9a70-067fbc8f5b7b)) + (fp_line (start 3.6 -16.83) (end 3.6 -16.19) (layer "F.Fab") (width 0.1) (tstamp b14aea3f-7e9b-4416-ac0e-1c7beb3cd27c)) + (fp_line (start 2.54 11.11) (end 3.6 11.11) (layer "F.Fab") (width 0.1) (tstamp b4afdd30-7a78-4cd8-8670-bb6dd787dcdc)) + (fp_line (start -3.6 16.19) (end -3.6 16.83) (layer "F.Fab") (width 0.1) (tstamp b6f041a4-3ea0-418b-94a2-50c938beafa2)) + (fp_line (start -3.6 8.57) (end -3.6 9.21) (layer "F.Fab") (width 0.1) (tstamp baa534a0-611b-4c48-8e86-5106dc852bd8)) + (fp_line (start -3.6 -11.11) (end -2.54 -11.11) (layer "F.Fab") (width 0.1) (tstamp bb673c7a-d2b0-45b0-bfe2-0b113c092a77)) + (fp_line (start -2.54 -6.67) (end -3.6 -6.67) (layer "F.Fab") (width 0.1) (tstamp bce25bd3-0fe5-4c8f-bd6c-39e2d62ee70a)) + (fp_line (start 3.6 13.65) (end 3.6 14.29) (layer "F.Fab") (width 0.1) (tstamp bf26cee8-9c9f-4547-9a40-e7028b986d1e)) + (fp_line (start 3.6 8.57) (end 3.6 9.21) (layer "F.Fab") (width 0.1) (tstamp c2e901e5-a4cd-4374-af38-0566255ecbea)) + (fp_line (start -2.54 16.19) (end -3.6 16.19) (layer "F.Fab") (width 0.1) (tstamp ca2c5f3f-362b-4808-b8c2-86726d31aa11)) + (fp_line (start 2.54 -16.83) (end 3.6 -16.83) (layer "F.Fab") (width 0.1) (tstamp cb0f5a26-0827-4807-aea7-55b25947b9d5)) + (fp_line (start 3.6 23.81) (end 3.6 24.45) (layer "F.Fab") (width 0.1) (tstamp cc5561df-9d20-4574-af60-64f10025a0ed)) + (fp_line (start -3.6 11.75) (end -2.54 11.75) (layer "F.Fab") (width 0.1) (tstamp cce1404b-fc30-47cc-b852-e0061990f2bb)) + (fp_line (start -3.6 -16.19) (end -2.54 -16.19) (layer "F.Fab") (width 0.1) (tstamp cfec88d2-05ea-4320-9be6-2559d89ee700)) + (fp_line (start -2.54 -11.75) (end -3.6 -11.75) (layer "F.Fab") (width 0.1) (tstamp d0111086-5d68-4ab0-b707-7da6b263c90b)) + (fp_line (start -3.6 19.37) (end -2.54 19.37) (layer "F.Fab") (width 0.1) (tstamp d3db736b-0e33-4126-b950-5488923df40e)) + (fp_line (start -2.54 21.27) (end -3.6 21.27) (layer "F.Fab") (width 0.1) (tstamp d4876469-b949-49ce-b8fe-43cb458692a4)) + (fp_line (start 3.6 -24.45) (end 3.6 -23.81) (layer "F.Fab") (width 0.1) (tstamp d68589fa-205b-4356-a20d-821c85f5f45e)) + (fp_line (start 3.6 -3.49) (end 2.54 -3.49) (layer "F.Fab") (width 0.1) (tstamp d9ad01c4-9416-4b1f-8447-afc1d446fa8a)) + (fp_line (start -3.6 11.11) (end -3.6 11.75) (layer "F.Fab") (width 0.1) (tstamp da7e6488-201f-4286-b86a-ca5aced3697a)) + (fp_line (start 3.6 -23.81) (end 2.54 -23.81) (layer "F.Fab") (width 0.1) (tstamp dc0df782-a446-4364-8dc7-0190637b5f77)) + (fp_line (start 3.6 -8.57) (end 2.54 -8.57) (layer "F.Fab") (width 0.1) (tstamp dd4f23cd-8f89-457c-8b93-3828f8c20a8d)) + (fp_line (start 3.6 11.75) (end 2.54 11.75) (layer "F.Fab") (width 0.1) (tstamp e0692317-3143-4681-97c6-8fbe46592f31)) + (fp_line (start -2.54 6.03) (end -3.6 6.03) (layer "F.Fab") (width 0.1) (tstamp e2df2a45-3811-4210-89e0-9a66f3cb9430)) + (fp_line (start -3.6 3.49) (end -3.6 4.13) (layer "F.Fab") (width 0.1) (tstamp e4d60aa0-829b-452e-a0b4-f0b282cbe2f3)) + (fp_line (start 3.6 6.67) (end 2.54 6.67) (layer "F.Fab") (width 0.1) (tstamp e62e65e6-b466-4769-8746-eb8cd9450c76)) + (fp_line (start -3.6 -0.95) (end -2.54 -0.95) (layer "F.Fab") (width 0.1) (tstamp e75a90f1-d275-4ca6-86ea-4b6dddffab59)) + (fp_line (start 3.6 9.21) (end 2.54 9.21) (layer "F.Fab") (width 0.1) (tstamp ea8efd53-9e19-4e37-86f5-e6c0c681f735)) + (fp_line (start -3.6 6.67) (end -2.54 6.67) (layer "F.Fab") (width 0.1) (tstamp eb83440d-aa8b-4a1e-9e93-00cf0de78de9)) + (fp_line (start 3.6 14.29) (end 2.54 14.29) (layer "F.Fab") (width 0.1) (tstamp ec13b96e-bc69-4de2-80ef-a515cc44afb5)) + (fp_line (start -3.6 -19.37) (end -3.6 -18.73) (layer "F.Fab") (width 0.1) (tstamp edb2db40-12f7-45b3-a514-2a1299ac0231)) + (fp_line (start 3.6 16.19) (end 3.6 16.83) (layer "F.Fab") (width 0.1) (tstamp f1128c56-7c01-4d79-834b-ceab4dc35180)) + (fp_line (start 2.54 18.73) (end 3.6 18.73) (layer "F.Fab") (width 0.1) (tstamp f11a78b7-152e-46cf-81d1-bc8194db05a9)) + (fp_line (start -3.6 4.13) (end -2.54 4.13) (layer "F.Fab") (width 0.1) (tstamp f205e125-3760-485b-b76a-dc2502dc5679)) + (fp_line (start 2.54 21.27) (end 3.6 21.27) (layer "F.Fab") (width 0.1) (tstamp f2a44eaf-666f-422c-bb4d-a717499c3d1a)) + (fp_line (start 2.54 -14.29) (end 3.6 -14.29) (layer "F.Fab") (width 0.1) (tstamp f364b99f-4502-4cba-a96d-4ed35ad108b5)) + (fp_line (start 2.54 -6.67) (end 3.6 -6.67) (layer "F.Fab") (width 0.1) (tstamp f413d088-6fb9-4a8a-88fd-666ff68b7fdf)) + (fp_line (start -3.6 -4.13) (end -3.6 -3.49) (layer "F.Fab") (width 0.1) (tstamp f46fb303-7470-41c0-b6e8-4553c1d6503f)) + (fp_line (start -3.6 1.59) (end -2.54 1.59) (layer "F.Fab") (width 0.1) (tstamp f60d71f9-9a8e-4a62-960d-f7b9664aea76)) + (fp_line (start -2.54 -1.59) (end -3.6 -1.59) (layer "F.Fab") (width 0.1) (tstamp f7475c2a-e91e-435c-bec2-3307ef3e1f94)) + (fp_line (start -2.54 -16.83) (end -3.6 -16.83) (layer "F.Fab") (width 0.1) (tstamp f7c5fcef-379b-481f-a910-961b8aba9e9d)) + (fp_line (start 2.54 -25.4) (end 2.54 25.4) (layer "F.Fab") (width 0.1) (tstamp f8e9fc00-8f60-4688-b1c9-6de1e4c0c204)) + (pad "1" smd rect (at -2.525 -24.13) (size 3.15 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 1 "RESET") (pinfunction "Pin_1") (pintype "passive") (tstamp 1020b588-7eb0-4b70-bbff-c77a867c3142)) + (pad "2" smd rect (at 2.525 -24.13) (size 3.15 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 2 "GND") (pinfunction "Pin_2") (pintype "passive") (tstamp 5bb32dcb-8a97-4374-8a16-bc17822d4db3)) + (pad "3" smd rect (at -2.525 -21.59) (size 3.15 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 3 "DB7") (pinfunction "Pin_3") (pintype "passive") (tstamp 3e147ce1-21a6-4e77-a3db-fd00d575cd22)) + (pad "4" smd rect (at 2.525 -21.59) (size 3.15 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 4 "DB8") (pinfunction "Pin_4") (pintype "passive") (tstamp 1c92f382-4ec3-478f-a1ca-afadd3087787)) + (pad "5" smd rect (at -2.525 -19.05) (size 3.15 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 5 "DB6") (pinfunction "Pin_5") (pintype "passive") (tstamp 67d6d490-a9a4-4ec7-8744-7c7abc821282)) + (pad "6" smd rect (at 2.525 -19.05) (size 3.15 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 6 "DB9") (pinfunction "Pin_6") (pintype "passive") (tstamp 36210d52-4f9a-42bc-a022-019a63c67fc2)) + (pad "7" smd rect (at -2.525 -16.51) (size 3.15 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 7 "DB5") (pinfunction "Pin_7") (pintype "passive") (tstamp c860c4e9-3ddd-4065-857c-b9aedc01e6ad)) + (pad "8" smd rect (at 2.525 -16.51) (size 3.15 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 8 "DB10") (pinfunction "Pin_8") (pintype "passive") (tstamp ed1f5df2-cfb6-4083-a9e5-5d196546ef9b)) + (pad "9" smd rect (at -2.525 -13.97) (size 3.15 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 9 "DB4") (pinfunction "Pin_9") (pintype "passive") (tstamp a7cad282-51c3-4f24-be5e-311c2c5e959b)) + (pad "10" smd rect (at 2.525 -13.97) (size 3.15 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 10 "DB11") (pinfunction "Pin_10") (pintype "passive") (tstamp 4648968b-aa58-4f57-8f45-54b088364670)) + (pad "11" smd rect (at -2.525 -11.43) (size 3.15 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 11 "DB3") (pinfunction "Pin_11") (pintype "passive") (tstamp b31ebd25-cf4c-4c3e-b83d-0ec793b65cd9)) + (pad "12" smd rect (at 2.525 -11.43) (size 3.15 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 12 "DB12") (pinfunction "Pin_12") (pintype "passive") (tstamp b8382866-f10b-4adc-84fc-f6e5dd44681b)) + (pad "13" smd rect (at -2.525 -8.89) (size 3.15 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 13 "DB2") (pinfunction "Pin_13") (pintype "passive") (tstamp 7a6d9a4e-fe6a-4427-9f0c-a10fd3ceb923)) + (pad "14" smd rect (at 2.525 -8.89) (size 3.15 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 14 "DB13") (pinfunction "Pin_14") (pintype "passive") (tstamp d1422f38-9fce-4f5e-878a-341530beaf9c)) + (pad "15" smd rect (at -2.525 -6.35) (size 3.15 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 15 "DB1") (pinfunction "Pin_15") (pintype "passive") (tstamp d91b4df3-08ca-4c95-92de-3004566cf2e7)) + (pad "16" smd rect (at 2.525 -6.35) (size 3.15 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 16 "DB14") (pinfunction "Pin_16") (pintype "passive") (tstamp 18e95a1d-9d1d-4b93-8e4c-2d03c344acc0)) + (pad "17" smd rect (at -2.525 -3.81) (size 3.15 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 17 "DB0") (pinfunction "Pin_17") (pintype "passive") (tstamp 9bac5a37-2a55-41dd-96ea-ec02b69e3ef4)) + (pad "18" smd rect (at 2.525 -3.81) (size 3.15 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 18 "DB15") (pinfunction "Pin_18") (pintype "passive") (tstamp 058e77a4-10af-4bc8-a984-5984d3bbee4c)) + (pad "19" smd rect (at -2.525 -1.27) (size 3.15 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 2 "GND") (pinfunction "Pin_19") (pintype "passive") (tstamp 83d9db3e-661a-47bf-b26c-99313ad8bac9)) + (pad "20" smd rect (at 2.525 -1.27) (size 3.15 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 38 "unconnected-(J3-Pad20)") (pinfunction "Pin_20") (pintype "passive+no_connect") (tstamp 4c4b4317-29d0-438a-b331-525ede18773a)) + (pad "21" smd rect (at -2.525 1.27) (size 3.15 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 19 "DRQ3") (pinfunction "Pin_21") (pintype "passive") (tstamp 45b7fe01-a2fa-40c2-a3a2-4a9ae7c34dba)) + (pad "22" smd rect (at 2.525 1.27) (size 3.15 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 2 "GND") (pinfunction "Pin_22") (pintype "passive") (tstamp 6239967a-77bd-4ec9-89cd-e04efd8dbe26)) + (pad "23" smd rect (at -2.525 3.81) (size 3.15 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 20 "IOW") (pinfunction "Pin_23") (pintype "passive") (tstamp 44e993be-f2df-4e61-a598-dfd6e106a208)) + (pad "24" smd rect (at 2.525 3.81) (size 3.15 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 2 "GND") (pinfunction "Pin_24") (pintype "passive") (tstamp 0bbd2e43-3eb0-4216-861b-a58366dbe43d)) + (pad "25" smd rect (at -2.525 6.35) (size 3.15 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 21 "IOR") (pinfunction "Pin_25") (pintype "passive") (tstamp 1eca5f72-2356-4c55-919d-595727faf3b9)) + (pad "26" smd rect (at 2.525 6.35) (size 3.15 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 2 "GND") (pinfunction "Pin_26") (pintype "passive") (tstamp 5dffd1d6-faf9-418e-b9a0-84fb6b6b4454)) + (pad "27" smd rect (at -2.525 8.89) (size 3.15 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 22 "IO_CH_RDY") (pinfunction "Pin_27") (pintype "passive") (tstamp 55fa5fa0-9426-4801-b40c-682e71189d8a)) + (pad "28" smd rect (at 2.525 8.89) (size 3.15 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 35 "CSEL_OUT_B") (pinfunction "Pin_28") (pintype "passive") (tstamp 020b7e1f-8bb0-4882-91d4-7894bf18db84)) + (pad "29" smd rect (at -2.525 11.43) (size 3.15 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 24 "DACK3") (pinfunction "Pin_29") (pintype "passive") (tstamp 29ec1a54-dea0-4d1a-a3dc-a7441a09bb9e)) + (pad "30" smd rect (at 2.525 11.43) (size 3.15 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 2 "GND") (pinfunction "Pin_30") (pintype "passive") (tstamp 5778dc8c-60fe-435e-b75a-362eae1b81ab)) + (pad "31" smd rect (at -2.525 13.97) (size 3.15 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 25 "IRQ14") (pinfunction "Pin_31") (pintype "passive") (tstamp a2a4b1ad-c51a-492d-9e99-410eec4f55a3)) + (pad "32" smd rect (at 2.525 13.97) (size 3.15 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 26 "RESERVED") (pinfunction "Pin_32") (pintype "passive") (tstamp b9f8b708-1745-43ec-9646-59495cbc6e07)) + (pad "33" smd rect (at -2.525 16.51) (size 3.15 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 27 "ADDRESS_BIT_1") (pinfunction "Pin_33") (pintype "passive") (tstamp 84d5cf13-52aa-4648-82e7-8be6e886a6b2)) + (pad "34" smd rect (at 2.525 16.51) (size 3.15 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 28 "PDIAG") (pinfunction "Pin_34") (pintype "passive") (tstamp de2abbd8-9b48-47ba-b77e-4c65ca048af6)) + (pad "35" smd rect (at -2.525 19.05) (size 3.15 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 29 "ADDRESS_BIT_0") (pinfunction "Pin_35") (pintype "passive") (tstamp 0ab1512b-eb91-4574-b11f-326e0ff10082)) + (pad "36" smd rect (at 2.525 19.05) (size 3.15 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 30 "ADDRESS_BIT_2") (pinfunction "Pin_36") (pintype "passive") (tstamp 9a458d6a-a84c-4faf-913e-90bab231d3f8)) + (pad "37" smd rect (at -2.525 21.59) (size 3.15 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 31 "CS1FX") (pinfunction "Pin_37") (pintype "passive") (tstamp a4a80e68-9a9c-4dac-84a7-a9f3c47a0961)) + (pad "38" smd rect (at 2.525 21.59) (size 3.15 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 32 "CS3FX") (pinfunction "Pin_38") (pintype "passive") (tstamp a1d977e9-aa2c-4b7a-b2e3-8ff3b816e1f2)) + (pad "39" smd rect (at -2.525 24.13) (size 3.15 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 33 "DA_SP") (pinfunction "Pin_39") (pintype "passive") (tstamp e5889358-36b5-4652-9d71-4d4aa652a144)) + (pad "40" smd rect (at 2.525 24.13) (size 3.15 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 2 "GND") (pinfunction "Pin_40") (pintype "passive") (tstamp 2cd2fee2-51b2-4fcd-8c94-c435e6791358)) + (model "${KICAD6_3DMODEL_DIR}/Connector_PinHeader_2.54mm.3dshapes/PinHeader_2x20_P2.54mm_Vertical_SMD.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Connector_PinHeader_2.54mm:PinHeader_2x20_P2.54mm_Vertical_SMD" (layer "B.Cu") + (tedit 59FED5CC) (tstamp 348dc703-3cab-4547-b664-e8b335a6083c) + (at 154.94 84.582 180) + (descr "surface-mounted straight pin header, 2x20, 2.54mm pitch, double rows") + (tags "Surface mounted pin header SMD 2x20 2.54mm double row") + (property "Sheetfile" "ide_cable_select_switch.kicad_sch") + (property "Sheetname" "") + (path "/81a8578d-4991-432e-90c5-863c1508a25f") + (attr smd) + (fp_text reference "J1" (at -0.15 24.257) (layer "B.SilkS") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 4b982f8b-ca29-4ebf-88fc-8a50b24e0802) + ) + (fp_text value "Conn_02x20_Odd_Even" (at 0 -26.46) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp e46ecd61-0bbe-4b9f-a151-a2cacac5967b) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp a323243c-4cab-4689-aa04-1e663cf86177) + ) + (fp_line (start -2.6 -14.73) (end -2.6 -15.75) (layer "B.SilkS") (width 0.12) (tstamp 004b7456-c25a-480f-88f6-723c1bcd9939)) + (fp_line (start 2.6 -17.27) (end 2.6 -18.29) (layer "B.SilkS") (width 0.12) (tstamp 0a8dfc5c-35dc-4e44-a2bf-5968ebf90cca)) + (fp_line (start -2.6 3.05) (end -2.6 2.03) (layer "B.SilkS") (width 0.12) (tstamp 21573090-1953-4b11-9042-108ae79fe9c5)) + (fp_line (start 2.6 13.21) (end 2.6 12.19) (layer "B.SilkS") (width 0.12) (tstamp 2cd3975a-2259-4fa9-8133-e1586b9b9618)) + (fp_line (start 2.6 -12.19) (end 2.6 -13.21) (layer "B.SilkS") (width 0.12) (tstamp 2d617fad-47fe-4db9-836a-4bceb9c31c3b)) + (fp_line (start -2.6 -25.46) (end 2.6 -25.46) (layer "B.SilkS") (width 0.12) (tstamp 2e36ce87-4661-4b8f-956a-16dc559e1b50)) + (fp_line (start 2.6 20.83) (end 2.6 19.81) (layer "B.SilkS") (width 0.12) (tstamp 3b6dda98-f455-4961-854e-3c4cceecffcc)) + (fp_line (start -2.6 23.37) (end -2.6 22.35) (layer "B.SilkS") (width 0.12) (tstamp 42f10020-b50a-4739-a546-6b63e441c980)) + (fp_line (start -2.6 5.59) (end -2.6 4.57) (layer "B.SilkS") (width 0.12) (tstamp 4688ff87-8262-46f4-ad96-b5f4e529cfa9)) + (fp_line (start -2.6 -9.65) (end -2.6 -10.67) (layer "B.SilkS") (width 0.12) (tstamp 4d3a1f72-d521-46ae-8fe1-3f8221038335)) + (fp_line (start 2.6 -4.57) (end 2.6 -5.59) (layer "B.SilkS") (width 0.12) (tstamp 53719fc4-141e-4c58-98cd-ab3bf9a4e1c0)) + (fp_line (start 2.6 -22.35) (end 2.6 -23.37) (layer "B.SilkS") (width 0.12) (tstamp 5a397f61-35c4-4c18-9dcd-73a2d44cc9af)) + (fp_line (start -2.6 18.29) (end -2.6 17.27) (layer "B.SilkS") (width 0.12) (tstamp 5b70b09b-6762-4725-9d48-805300c0bdc8)) + (fp_line (start 2.6 -19.81) (end 2.6 -20.83) (layer "B.SilkS") (width 0.12) (tstamp 5cff09b0-b3d4-41a7-a6a4-7f917b40eda9)) + (fp_line (start -2.6 0.51) (end -2.6 -0.51) (layer "B.SilkS") (width 0.12) (tstamp 6316acb7-63a1-40e7-8695-2822d4a240b5)) + (fp_line (start -2.6 -4.57) (end -2.6 -5.59) (layer "B.SilkS") (width 0.12) (tstamp 64d1d0fe-4fd6-4a55-8314-56a651e1ccab)) + (fp_line (start -2.6 -12.19) (end -2.6 -13.21) (layer "B.SilkS") (width 0.12) (tstamp 68039801-1b0f-480a-861d-d55f24af0c17)) + (fp_line (start 2.6 5.59) (end 2.6 4.57) (layer "B.SilkS") (width 0.12) (tstamp 6ce41a48-c5e2-4d5f-8548-1c7b5c309a8a)) + (fp_line (start 2.6 23.37) (end 2.6 22.35) (layer "B.SilkS") (width 0.12) (tstamp 6e9883d7-9642-4425-a248-b92a09f0624c)) + (fp_line (start -2.6 -2.03) (end -2.6 -3.05) (layer "B.SilkS") (width 0.12) (tstamp 70abf340-8b3e-403e-a5e2-d8f35caa2f87)) + (fp_line (start 2.6 15.75) (end 2.6 14.73) (layer "B.SilkS") (width 0.12) (tstamp 70cda344-73be-4466-a097-1fd56f3b19e2)) + (fp_line (start 2.6 -9.65) (end 2.6 -10.67) (layer "B.SilkS") (width 0.12) (tstamp 7de6564c-7ad6-4d57-a54c-8d2835ff5cdc)) + (fp_line (start 2.6 18.29) (end 2.6 17.27) (layer "B.SilkS") (width 0.12) (tstamp 832b5a8c-7fe2-47ff-beee-cebf840750bb)) + (fp_line (start -2.6 -24.89) (end -2.6 -25.46) (layer "B.SilkS") (width 0.12) (tstamp 843b53af-dd34-4db8-aa6b-5035b25affc7)) + (fp_line (start -2.6 20.83) (end -2.6 19.81) (layer "B.SilkS") (width 0.12) (tstamp 8615dae0-65cf-4932-8e6f-9a0f32429a5e)) + (fp_line (start 2.6 25.46) (end 2.6 24.89) (layer "B.SilkS") (width 0.12) (tstamp 8765371a-21c2-4fe3-a3af-88f5eb1f02a0)) + (fp_line (start -2.6 15.75) (end -2.6 14.73) (layer "B.SilkS") (width 0.12) (tstamp 91c82043-0b26-427f-b23c-6094224ddfc2)) + (fp_line (start -2.6 -22.35) (end -2.6 -23.37) (layer "B.SilkS") (width 0.12) (tstamp 92bd1111-b941-4c03-b7ec-a08a9359bc50)) + (fp_line (start 2.6 10.67) (end 2.6 9.65) (layer "B.SilkS") (width 0.12) (tstamp 97e5f992-979e-4291-bd9a-a77c3fd4b1b5)) + (fp_line (start 2.6 -2.03) (end 2.6 -3.05) (layer "B.SilkS") (width 0.12) (tstamp af6ac8e6-193c-4bd2-ac0b-7f515b538a8b)) + (fp_line (start 2.6 -24.89) (end 2.6 -25.46) (layer "B.SilkS") (width 0.12) (tstamp b547dd70-2ea7-4cfd-a1ee-911561975d81)) + (fp_line (start -4.04 24.89) (end -2.6 24.89) (layer "B.SilkS") (width 0.12) (tstamp b55dabdc-b790-4740-9349-75159cff975a)) + (fp_line (start 2.6 0.51) (end 2.6 -0.51) (layer "B.SilkS") (width 0.12) (tstamp b66731e7-61d5-4447-bf6a-e91a62b82298)) + (fp_line (start -2.6 10.67) (end -2.6 9.65) (layer "B.SilkS") (width 0.12) (tstamp b8b15b51-8345-4a1d-8ecf-04fc15b9e450)) + (fp_line (start -2.6 25.46) (end -2.6 24.89) (layer "B.SilkS") (width 0.12) (tstamp bf4036b4-c410-489a-b46c-abee2c31db09)) + (fp_line (start -2.6 -19.81) (end -2.6 -20.83) (layer "B.SilkS") (width 0.12) (tstamp c2a9d834-7cb1-4ec5-b0ba-ae56215ff9fc)) + (fp_line (start -2.6 -17.27) (end -2.6 -18.29) (layer "B.SilkS") (width 0.12) (tstamp c5565d96-c729-4597-a74f-7f75befcc39d)) + (fp_line (start -2.6 25.46) (end 2.6 25.46) (layer "B.SilkS") (width 0.12) (tstamp c56bbebe-0c9a-418d-911e-b8ba7c53125d)) + (fp_line (start 2.6 -14.73) (end 2.6 -15.75) (layer "B.SilkS") (width 0.12) (tstamp c9badf80-21f8-404a-b5df-18e98bffebf9)) + (fp_line (start -2.6 8.13) (end -2.6 7.11) (layer "B.SilkS") (width 0.12) (tstamp da337fe1-c322-4637-ad26-2622b82ac8ee)) + (fp_line (start 2.6 -7.11) (end 2.6 -8.13) (layer "B.SilkS") (width 0.12) (tstamp dff67d5c-d976-4516-ae67-dbbdb70f8ddd)) + (fp_line (start 2.6 3.05) (end 2.6 2.03) (layer "B.SilkS") (width 0.12) (tstamp eafb53d1-7486-4935-b154-2efbffbed6ca)) + (fp_line (start -2.6 13.21) (end -2.6 12.19) (layer "B.SilkS") (width 0.12) (tstamp f6dcb5b4-0971-448a-b9ab-6db37a750704)) + (fp_line (start 2.6 8.13) (end 2.6 7.11) (layer "B.SilkS") (width 0.12) (tstamp fb1a635e-b207-4b36-b0fb-e877e480e86a)) + (fp_line (start -2.6 -7.11) (end -2.6 -8.13) (layer "B.SilkS") (width 0.12) (tstamp fe4869dc-e96e-4bb4-a38d-2ca990635f2d)) + (fp_line (start -5.9 -25.9) (end 5.9 -25.9) (layer "B.CrtYd") (width 0.05) (tstamp 122b5574-57fe-4d2d-80bf-3cabd28e7128)) + (fp_line (start -5.9 25.9) (end -5.9 -25.9) (layer "B.CrtYd") (width 0.05) (tstamp 4f4bd227-fa4c-47f4-ad05-ee16ad4c58c2)) + (fp_line (start 5.9 -25.9) (end 5.9 25.9) (layer "B.CrtYd") (width 0.05) (tstamp e42fd0d4-9927-4308-81d9-4cca814c8ea9)) + (fp_line (start 5.9 25.9) (end -5.9 25.9) (layer "B.CrtYd") (width 0.05) (tstamp ed952427-2217-4500-9bbc-0c2746b198ad)) + (fp_line (start -3.6 1.59) (end -3.6 0.95) (layer "B.Fab") (width 0.1) (tstamp 003974b6-cb8f-491b-a226-fc7891eb9a62)) + (fp_line (start -3.6 11.75) (end -3.6 11.11) (layer "B.Fab") (width 0.1) (tstamp 01109662-12b4-48a3-b68d-624008909c2a)) + (fp_line (start -2.54 -6.03) (end -3.6 -6.03) (layer "B.Fab") (width 0.1) (tstamp 04d60995-4f82-4f17-8f82-2f27a0a779cc)) + (fp_line (start 3.6 -16.83) (end 2.54 -16.83) (layer "B.Fab") (width 0.1) (tstamp 05e45f00-3c6b-4c0c-9ffb-3fe26fcda007)) + (fp_line (start 3.6 11.75) (end 3.6 11.11) (layer "B.Fab") (width 0.1) (tstamp 08da8f18-02c3-4a28-a400-670f01755980)) + (fp_line (start 3.6 -18.73) (end 3.6 -19.37) (layer "B.Fab") (width 0.1) (tstamp 0938c137-668b-4d2f-b92b-cadb1df72bdb)) + (fp_line (start -3.6 -19.37) (end -2.54 -19.37) (layer "B.Fab") (width 0.1) (tstamp 0cc094e7-c1c0-457d-bd94-3db91c23be55)) + (fp_line (start 3.6 -21.91) (end 2.54 -21.91) (layer "B.Fab") (width 0.1) (tstamp 0e166909-afb5-4d70-a00b-dd78cd09b084)) + (fp_line (start 2.54 16.83) (end 3.6 16.83) (layer "B.Fab") (width 0.1) (tstamp 0fc912fd-5036-4a55-b598-a9af40810824)) + (fp_line (start -2.54 16.83) (end -3.6 16.83) (layer "B.Fab") (width 0.1) (tstamp 16d5bf81-590a-4149-97e0-64f3b3ad6f52)) + (fp_line (start 3.6 6.03) (end 2.54 6.03) (layer "B.Fab") (width 0.1) (tstamp 1765d6b9-ca0e-49c2-8c3c-8ab35eb3909b)) + (fp_line (start 2.54 6.67) (end 3.6 6.67) (layer "B.Fab") (width 0.1) (tstamp 18cf1537-83e6-4374-a277-6e3e21479ab0)) + (fp_line (start 2.54 24.45) (end 3.6 24.45) (layer "B.Fab") (width 0.1) (tstamp 1a813eeb-ee58-4579-81e1-3f9a7227213c)) + (fp_line (start -2.54 6.67) (end -3.6 6.67) (layer "B.Fab") (width 0.1) (tstamp 1b5a32e4-0b8e-4f38-b679-71dc277c2087)) + (fp_line (start -3.6 -23.81) (end -3.6 -24.45) (layer "B.Fab") (width 0.1) (tstamp 1b98de85-f9de-4825-baf2-c96991615275)) + (fp_line (start 3.6 23.81) (end 2.54 23.81) (layer "B.Fab") (width 0.1) (tstamp 2151a218-87ec-4d43-b5fa-736242c52602)) + (fp_line (start -3.6 13.65) (end -2.54 13.65) (layer "B.Fab") (width 0.1) (tstamp 2522909e-6f5c-4f36-9c3a-869dca14e50f)) + (fp_line (start 2.54 -25.4) (end -2.54 -25.4) (layer "B.Fab") (width 0.1) (tstamp 2a6ee718-8cdf-4fa6-be7c-8fe885d98fd7)) + (fp_line (start 2.54 -16.19) (end 3.6 -16.19) (layer "B.Fab") (width 0.1) (tstamp 2c488362-c230-4f6d-82f9-a229b1171a23)) + (fp_line (start 2.54 21.91) (end 3.6 21.91) (layer "B.Fab") (width 0.1) (tstamp 2d0d333a-99a0-4575-9433-710c8cc7ac0b)) + (fp_line (start -2.54 -16.19) (end -3.6 -16.19) (layer "B.Fab") (width 0.1) (tstamp 2d16cb66-2809-411d-912c-d3db0f48bd04)) + (fp_line (start 3.6 4.13) (end 3.6 3.49) (layer "B.Fab") (width 0.1) (tstamp 2d4d8c24-5b38-445b-8733-2a81ba21d33e)) + (fp_line (start -3.6 16.19) (end -2.54 16.19) (layer "B.Fab") (width 0.1) (tstamp 2ec9be40-1d5a-4e2d-8a4d-4be2d3c079d5)) + (fp_line (start 2.54 -23.81) (end 3.6 -23.81) (layer "B.Fab") (width 0.1) (tstamp 2fb9964c-4cd4-4e81-b5e8-f78759d3adb5)) + (fp_line (start -3.6 4.13) (end -3.6 3.49) (layer "B.Fab") (width 0.1) (tstamp 341dde39-440e-4d05-8def-6a5cecefd88c)) + (fp_line (start -2.54 1.59) (end -3.6 1.59) (layer "B.Fab") (width 0.1) (tstamp 35343f32-90ff-4059-a108-111fb444c3d2)) + (fp_line (start -2.54 -3.49) (end -3.6 -3.49) (layer "B.Fab") (width 0.1) (tstamp 37728c8e-efcc-462c-a749-47b6bfcbaf37)) + (fp_line (start -3.6 6.67) (end -3.6 6.03) (layer "B.Fab") (width 0.1) (tstamp 3a45fb3b-7899-44f2-a78a-f676359df67b)) + (fp_line (start -3.6 23.81) (end -2.54 23.81) (layer "B.Fab") (width 0.1) (tstamp 3c66e6e2-f12d-4b23-910e-e478d272dfd5)) + (fp_line (start 3.6 13.65) (end 2.54 13.65) (layer "B.Fab") (width 0.1) (tstamp 40b38567-9d6a-4691-bccf-1b4dbe39957b)) + (fp_line (start -3.6 -3.49) (end -3.6 -4.13) (layer "B.Fab") (width 0.1) (tstamp 414f80f7-b2d5-43c3-a018-819efe44fe30)) + (fp_line (start -3.6 18.73) (end -2.54 18.73) (layer "B.Fab") (width 0.1) (tstamp 42bd0f96-a831-406e-abb7-03ed1bbd785f)) + (fp_line (start 3.6 -21.27) (end 3.6 -21.91) (layer "B.Fab") (width 0.1) (tstamp 444b2eaf-241d-42e5-8717-27a83d099c5b)) + (fp_line (start 3.6 3.49) (end 2.54 3.49) (layer "B.Fab") (width 0.1) (tstamp 469f89fd-f629-46b7-b106-a0088168c9ec)) + (fp_line (start 3.6 8.57) (end 2.54 8.57) (layer "B.Fab") (width 0.1) (tstamp 494d4ce3-60c4-4021-8bd1-ab41a12b14ed)) + (fp_line (start 3.6 -23.81) (end 3.6 -24.45) (layer "B.Fab") (width 0.1) (tstamp 4c8704fa-310a-4c01-8dc1-2b7e2727fea0)) + (fp_line (start 3.6 21.27) (end 2.54 21.27) (layer "B.Fab") (width 0.1) (tstamp 55cff608-ab38-48d9-ac09-2d0a877ceca1)) + (fp_line (start -2.54 24.45) (end -1.59 25.4) (layer "B.Fab") (width 0.1) (tstamp 5698a460-6e24-4857-84d8-4a43acd2325d)) + (fp_line (start -3.6 14.29) (end -3.6 13.65) (layer "B.Fab") (width 0.1) (tstamp 57543893-39bf-4d83-b4e0-8d020b4a6d48)) + (fp_line (start -1.59 25.4) (end 2.54 25.4) (layer "B.Fab") (width 0.1) (tstamp 5a889284-4c9f-49be-8f02-e43e18550914)) + (fp_line (start -3.6 -11.11) (end -3.6 -11.75) (layer "B.Fab") (width 0.1) (tstamp 5fe7a4eb-9f04-4df6-a1fa-36c071e280d7)) + (fp_line (start -3.6 9.21) (end -3.6 8.57) (layer "B.Fab") (width 0.1) (tstamp 621c8eb9-ae87-439a-b350-badb5d559a5a)) + (fp_line (start -2.54 4.13) (end -3.6 4.13) (layer "B.Fab") (width 0.1) (tstamp 629fdb7a-7978-43d0-987e-b84465775826)) + (fp_line (start -3.6 0.95) (end -2.54 0.95) (layer "B.Fab") (width 0.1) (tstamp 64256223-cf3b-4a78-97d3-f1dca769968f)) + (fp_line (start 2.54 -8.57) (end 3.6 -8.57) (layer "B.Fab") (width 0.1) (tstamp 653e74f0-0a40-4ab5-8f5c-787bbaf1d723)) + (fp_line (start -3.6 -13.65) (end -3.6 -14.29) (layer "B.Fab") (width 0.1) (tstamp 6742a066-6a5f-4185-90ae-b7fe8c6eda52)) + (fp_line (start -2.54 -18.73) (end -3.6 -18.73) (layer "B.Fab") (width 0.1) (tstamp 680c3e83-f590-4924-85a1-36d51b076683)) + (fp_line (start -3.6 21.27) (end -2.54 21.27) (layer "B.Fab") (width 0.1) (tstamp 6aa022fb-09ce-49d9-86b1-c73b3ee817e2)) + (fp_line (start 2.54 11.75) (end 3.6 11.75) (layer "B.Fab") (width 0.1) (tstamp 6b69fc79-c78f-4df1-9a05-c51d4173705f)) + (fp_line (start -2.54 -23.81) (end -3.6 -23.81) (layer "B.Fab") (width 0.1) (tstamp 6f44a349-1ba9-4965-b217-aa1589a07228)) + (fp_line (start -3.6 11.11) (end -2.54 11.11) (layer "B.Fab") (width 0.1) (tstamp 7255cbd1-8d38-4545-be9a-7fc5488ef942)) + (fp_line (start 3.6 -11.75) (end 2.54 -11.75) (layer "B.Fab") (width 0.1) (tstamp 72cc7949-68f8-4ef8-adcb-a65c1d042672)) + (fp_line (start 3.6 -4.13) (end 2.54 -4.13) (layer "B.Fab") (width 0.1) (tstamp 74096bdc-b668-408c-af3a-b048c20bd605)) + (fp_line (start -2.54 -13.65) (end -3.6 -13.65) (layer "B.Fab") (width 0.1) (tstamp 7806469b-c133-4e19-b2d5-f2b690b4b2f3)) + (fp_line (start -3.6 16.83) (end -3.6 16.19) (layer "B.Fab") (width 0.1) (tstamp 7b75907b-b2ae-4362-89fa-d520339aaa5c)) + (fp_line (start -3.6 19.37) (end -3.6 18.73) (layer "B.Fab") (width 0.1) (tstamp 7c0866b5-b180-4be6-9e62-43f5b191d6d4)) + (fp_line (start -2.54 9.21) (end -3.6 9.21) (layer "B.Fab") (width 0.1) (tstamp 7c6e532b-1afd-48d4-9389-2942dcbc7c3c)) + (fp_line (start 2.54 -6.03) (end 3.6 -6.03) (layer "B.Fab") (width 0.1) (tstamp 7e498af5-a41b-4f8f-8a13-10c00a9160aa)) + (fp_line (start 2.54 4.13) (end 3.6 4.13) (layer "B.Fab") (width 0.1) (tstamp 81b95d0d-8967-4ed1-8d40-39925d015ae8)) + (fp_line (start -3.6 -4.13) (end -2.54 -4.13) (layer "B.Fab") (width 0.1) (tstamp 8220ba36-5fda-4461-95e2-49a5bc0c76af)) + (fp_line (start -2.54 11.75) (end -3.6 11.75) (layer "B.Fab") (width 0.1) (tstamp 8385d9f6-6997-423b-b38d-d0ab00c45f3f)) + (fp_line (start -2.54 24.45) (end -3.6 24.45) (layer "B.Fab") (width 0.1) (tstamp 83a363ef-2850-4113-853b-2966af02d72d)) + (fp_line (start 3.6 24.45) (end 3.6 23.81) (layer "B.Fab") (width 0.1) (tstamp 848c6095-3966-404d-9f2a-51150fd8dc54)) + (fp_line (start -3.6 24.45) (end -3.6 23.81) (layer "B.Fab") (width 0.1) (tstamp 84febc35-87fd-4cad-8e04-2b66390cfc12)) + (fp_line (start 3.6 18.73) (end 2.54 18.73) (layer "B.Fab") (width 0.1) (tstamp 89df70f4-3579-42b9-861e-6beb04a3b25e)) + (fp_line (start 3.6 -19.37) (end 2.54 -19.37) (layer "B.Fab") (width 0.1) (tstamp 8ade7975-64a0-440a-8545-11958836bf48)) + (fp_line (start 2.54 -3.49) (end 3.6 -3.49) (layer "B.Fab") (width 0.1) (tstamp 8cb5a828-8cef-4784-b78d-175b49646952)) + (fp_line (start 3.6 -1.59) (end 2.54 -1.59) (layer "B.Fab") (width 0.1) (tstamp 8ef1307e-4e79-474d-a93c-be38f714571c)) + (fp_line (start 3.6 -6.67) (end 2.54 -6.67) (layer "B.Fab") (width 0.1) (tstamp 90fa0465-7fe5-474b-8e7c-9f955c02a0f6)) + (fp_line (start -2.54 19.37) (end -3.6 19.37) (layer "B.Fab") (width 0.1) (tstamp 971d1932-4a99-4265-9c76-26e554bde4fe)) + (fp_line (start 3.6 16.83) (end 3.6 16.19) (layer "B.Fab") (width 0.1) (tstamp 9bb406d9-c650-4e67-9a26-3195d4de542e)) + (fp_line (start -3.6 -21.91) (end -2.54 -21.91) (layer "B.Fab") (width 0.1) (tstamp 9c0314b1-f82f-432d-95a0-65e191202552)) + (fp_line (start 3.6 9.21) (end 3.6 8.57) (layer "B.Fab") (width 0.1) (tstamp 9c5933cf-1535-4465-90dd-da9b75afcdcf)) + (fp_line (start -2.54 21.91) (end -3.6 21.91) (layer "B.Fab") (width 0.1) (tstamp 9c8eae28-a7c3-4e6a-bd81-98cf70031070)) + (fp_line (start 2.54 9.21) (end 3.6 9.21) (layer "B.Fab") (width 0.1) (tstamp a10b569c-d672-485d-9c05-2cb4795deeca)) + (fp_line (start 2.54 -13.65) (end 3.6 -13.65) (layer "B.Fab") (width 0.1) (tstamp a419542a-0c78-421e-9ac7-81d3afba6186)) + (fp_line (start 2.54 14.29) (end 3.6 14.29) (layer "B.Fab") (width 0.1) (tstamp a5e6f7cb-0a81-4357-a11f-231d23300342)) + (fp_line (start 3.6 -0.95) (end 3.6 -1.59) (layer "B.Fab") (width 0.1) (tstamp a647641f-bf16-4177-91ee-b01f347ff91c)) + (fp_line (start 2.54 1.59) (end 3.6 1.59) (layer "B.Fab") (width 0.1) (tstamp a67dbe3b-ec7d-4ea5-b0e5-715c5263d8da)) + (fp_line (start 3.6 -6.03) (end 3.6 -6.67) (layer "B.Fab") (width 0.1) (tstamp a6891c49-3648-41ce-811e-fccb4c4653af)) + (fp_line (start -3.6 -0.95) (end -3.6 -1.59) (layer "B.Fab") (width 0.1) (tstamp a6c7f556-10bb-4a6d-b61b-a732ec6fa5cc)) + (fp_line (start 2.54 -21.27) (end 3.6 -21.27) (layer "B.Fab") (width 0.1) (tstamp a6dc1180-19c4-432b-af49-fc9179bb4519)) + (fp_line (start 3.6 16.19) (end 2.54 16.19) (layer "B.Fab") (width 0.1) (tstamp b2001159-b6cb-4000-85f5-34f6c410920f)) + (fp_line (start -3.6 -16.83) (end -2.54 -16.83) (layer "B.Fab") (width 0.1) (tstamp b21625e3-a75b-41d7-9f13-4c0e12ba16cb)) + (fp_line (start -2.54 -21.27) (end -3.6 -21.27) (layer "B.Fab") (width 0.1) (tstamp b24c67bf-acb7-486e-9d7b-fb513b8c7fc6)) + (fp_line (start -3.6 21.91) (end -3.6 21.27) (layer "B.Fab") (width 0.1) (tstamp b45059f3-613f-4b7a-a70a-ed75a9e941e6)) + (fp_line (start 3.6 -14.29) (end 2.54 -14.29) (layer "B.Fab") (width 0.1) (tstamp b4675fcd-90dd-499b-8feb-46b51a88378c)) + (fp_line (start -3.6 -6.67) (end -2.54 -6.67) (layer "B.Fab") (width 0.1) (tstamp b632afec-1444-4246-8afb-cc14a57567e7)) + (fp_line (start 3.6 -8.57) (end 3.6 -9.21) (layer "B.Fab") (width 0.1) (tstamp b754bfb3-a198-47be-8e7b-61bec885a5db)) + (fp_line (start -3.6 -24.45) (end -2.54 -24.45) (layer "B.Fab") (width 0.1) (tstamp bc1d5740-b0c7-4566-95b0-470ac47a1fb3)) + (fp_line (start 3.6 19.37) (end 3.6 18.73) (layer "B.Fab") (width 0.1) (tstamp be030c62-e776-405f-97d8-4a4c1aa2e428)) + (fp_line (start -2.54 -0.95) (end -3.6 -0.95) (layer "B.Fab") (width 0.1) (tstamp c480dba7-51ff-4a4f-9251-e48b2784c64a)) + (fp_line (start 3.6 -9.21) (end 2.54 -9.21) (layer "B.Fab") (width 0.1) (tstamp c8072c34-0f81-4552-9fbe-4bfe60c53e21)) + (fp_line (start -3.6 -18.73) (end -3.6 -19.37) (layer "B.Fab") (width 0.1) (tstamp c81031ca-cd56-4ea3-b0db-833cbbdd7b2e)) + (fp_line (start -3.6 -8.57) (end -3.6 -9.21) (layer "B.Fab") (width 0.1) (tstamp d1817a81-d444-4cd9-95f6-174ec9e2a60e)) + (fp_line (start -3.6 -21.27) (end -3.6 -21.91) (layer "B.Fab") (width 0.1) (tstamp d396ce56-1974-47b7-a41b-ae2b20ef835c)) + (fp_line (start -3.6 6.03) (end -2.54 6.03) (layer "B.Fab") (width 0.1) (tstamp d4e4ffa8-e3e2-4590-b9df-630d1880f3e4)) + (fp_line (start -3.6 3.49) (end -2.54 3.49) (layer "B.Fab") (width 0.1) (tstamp d53baa32-ba88-4646-9db3-0e9b0f0da4f0)) + (fp_line (start 3.6 6.67) (end 3.6 6.03) (layer "B.Fab") (width 0.1) (tstamp d8370835-89ad-4b62-9f40-d0c10470788a)) + (fp_line (start -3.6 -9.21) (end -2.54 -9.21) (layer "B.Fab") (width 0.1) (tstamp d8dc9b6c-67d0-4a0d-a791-6f7d43ef3652)) + (fp_line (start 3.6 11.11) (end 2.54 11.11) (layer "B.Fab") (width 0.1) (tstamp db902262-2864-4997-aeff-8abaa132424a)) + (fp_line (start -3.6 -11.75) (end -2.54 -11.75) (layer "B.Fab") (width 0.1) (tstamp dc628a9d-67e8-4a03-b99f-8cc7a42af6ef)) + (fp_line (start 2.54 25.4) (end 2.54 -25.4) (layer "B.Fab") (width 0.1) (tstamp dc7523a5-4408-4a51-bc92-6a47a538c094)) + (fp_line (start -3.6 -14.29) (end -2.54 -14.29) (layer "B.Fab") (width 0.1) (tstamp dde4c43d-f33e-48ba-86f3-779fdfce00c2)) + (fp_line (start 3.6 14.29) (end 3.6 13.65) (layer "B.Fab") (width 0.1) (tstamp df93f76b-86da-45ae-87e2-4b691af12b00)) + (fp_line (start 3.6 -16.19) (end 3.6 -16.83) (layer "B.Fab") (width 0.1) (tstamp df9a1242-2d73-4343-b170-237bc9a8080f)) + (fp_line (start 3.6 0.95) (end 2.54 0.95) (layer "B.Fab") (width 0.1) (tstamp e07c4b69-e0b4-4217-9b28-38d44f166b31)) + (fp_line (start 2.54 19.37) (end 3.6 19.37) (layer "B.Fab") (width 0.1) (tstamp e07e1653-d05d-4bf2-bea3-6515a06de065)) + (fp_line (start -3.6 8.57) (end -2.54 8.57) (layer "B.Fab") (width 0.1) (tstamp e0b36e60-bb2b-489c-a764-1b81e551ce62)) + (fp_line (start 3.6 -13.65) (end 3.6 -14.29) (layer "B.Fab") (width 0.1) (tstamp e3c3d042-f4c5-4fb1-a6b8-52aa1c14cc0e)) + (fp_line (start 2.54 -11.11) (end 3.6 -11.11) (layer "B.Fab") (width 0.1) (tstamp e7893166-2c2c-41b4-bd84-76ebc2e06551)) + (fp_line (start 3.6 -11.11) (end 3.6 -11.75) (layer "B.Fab") (width 0.1) (tstamp eb1b2aa2-a3cc-4a96-87ec-70fcae365f0f)) + (fp_line (start 2.54 -0.95) (end 3.6 -0.95) (layer "B.Fab") (width 0.1) (tstamp eb7e294c-b398-413b-8b78-85a66ed5f3ea)) + (fp_line (start 3.6 1.59) (end 3.6 0.95) (layer "B.Fab") (width 0.1) (tstamp ec2e3d8a-128c-4be8-b432-9738bca934ae)) + (fp_line (start -2.54 -11.11) (end -3.6 -11.11) (layer "B.Fab") (width 0.1) (tstamp ef3dded2-639c-45d4-8076-84cfb5189592)) + (fp_line (start 3.6 -3.49) (end 3.6 -4.13) (layer "B.Fab") (width 0.1) (tstamp f2392fe0-54af-4e02-8793-9ba2471944b5)) + (fp_line (start -3.6 -6.03) (end -3.6 -6.67) (layer "B.Fab") (width 0.1) (tstamp f47374c3-cb2a-4769-880f-830c9b19222e)) + (fp_line (start -2.54 -8.57) (end -3.6 -8.57) (layer "B.Fab") (width 0.1) (tstamp f74eb612-4697-4cb4-afe4-9f94828b954d)) + (fp_line (start -2.54 14.29) (end -3.6 14.29) (layer "B.Fab") (width 0.1) (tstamp fab1abc4-c49d-4b88-8c7f-939d7feb7b6c)) + (fp_line (start -2.54 -25.4) (end -2.54 24.45) (layer "B.Fab") (width 0.1) (tstamp fb191df4-267d-4797-80dd-be346b8eeb99)) + (fp_line (start -3.6 -1.59) (end -2.54 -1.59) (layer "B.Fab") (width 0.1) (tstamp fbb5e77c-4b41-4796-ad13-1b9e2bbc3c81)) + (fp_line (start -3.6 -16.19) (end -3.6 -16.83) (layer "B.Fab") (width 0.1) (tstamp fd4dd248-3e78-4985-a4fc-58bc05b74cbf)) + (fp_line (start 3.6 -24.45) (end 2.54 -24.45) (layer "B.Fab") (width 0.1) (tstamp fdc57161-f7f8-4584-b0ec-8c1aa24339c6)) + (fp_line (start 3.6 21.91) (end 3.6 21.27) (layer "B.Fab") (width 0.1) (tstamp fec6f717-d723-4676-89ef-8ea691e209c2)) + (fp_line (start 2.54 -18.73) (end 3.6 -18.73) (layer "B.Fab") (width 0.1) (tstamp ff2f00dc-dff2-4a19-af27-f5c793a8d261)) + (pad "1" smd rect (at -2.525 24.13 180) (size 3.15 1) (layers "B.Cu" "B.Paste" "B.Mask") + (net 1 "RESET") (pinfunction "Pin_1") (pintype "passive") (tstamp 7d2eba81-aa80-4257-a5a7-9a6179da897e)) + (pad "2" smd rect (at 2.525 24.13 180) (size 3.15 1) (layers "B.Cu" "B.Paste" "B.Mask") + (net 2 "GND") (pinfunction "Pin_2") (pintype "passive") (tstamp 6f5a9f10-1b2c-4916-b4e5-cb5bd0f851a0)) + (pad "3" smd rect (at -2.525 21.59 180) (size 3.15 1) (layers "B.Cu" "B.Paste" "B.Mask") + (net 3 "DB7") (pinfunction "Pin_3") (pintype "passive") (tstamp bde3f73b-f869-498d-a8d7-18346cb7179e)) + (pad "4" smd rect (at 2.525 21.59 180) (size 3.15 1) (layers "B.Cu" "B.Paste" "B.Mask") + (net 4 "DB8") (pinfunction "Pin_4") (pintype "passive") (tstamp d2db53d0-2821-4ebe-bf21-b864eac8ca44)) + (pad "5" smd rect (at -2.525 19.05 180) (size 3.15 1) (layers "B.Cu" "B.Paste" "B.Mask") + (net 5 "DB6") (pinfunction "Pin_5") (pintype "passive") (tstamp 3f1ab70d-3263-42b5-9c61-0360188ff2b7)) + (pad "6" smd rect (at 2.525 19.05 180) (size 3.15 1) (layers "B.Cu" "B.Paste" "B.Mask") + (net 6 "DB9") (pinfunction "Pin_6") (pintype "passive") (tstamp aa0466c6-766f-4bb4-abf1-502a6a06f91d)) + (pad "7" smd rect (at -2.525 16.51 180) (size 3.15 1) (layers "B.Cu" "B.Paste" "B.Mask") + (net 7 "DB5") (pinfunction "Pin_7") (pintype "passive") (tstamp 692d87e9-6b70-46cc-9c78-b75193a484cc)) + (pad "8" smd rect (at 2.525 16.51 180) (size 3.15 1) (layers "B.Cu" "B.Paste" "B.Mask") + (net 8 "DB10") (pinfunction "Pin_8") (pintype "passive") (tstamp a6706c54-6a82-42d1-a6c9-48341690e19d)) + (pad "9" smd rect (at -2.525 13.97 180) (size 3.15 1) (layers "B.Cu" "B.Paste" "B.Mask") + (net 9 "DB4") (pinfunction "Pin_9") (pintype "passive") (tstamp 4f2f68c4-6fa0-45ce-b5c2-e911daddcd12)) + (pad "10" smd rect (at 2.525 13.97 180) (size 3.15 1) (layers "B.Cu" "B.Paste" "B.Mask") + (net 10 "DB11") (pinfunction "Pin_10") (pintype "passive") (tstamp dd6c35f3-ae45-4706-ad6f-8028797ca8e0)) + (pad "11" smd rect (at -2.525 11.43 180) (size 3.15 1) (layers "B.Cu" "B.Paste" "B.Mask") + (net 11 "DB3") (pinfunction "Pin_11") (pintype "passive") (tstamp 39845449-7a31-4262-86b1-e7af14a6659f)) + (pad "12" smd rect (at 2.525 11.43 180) (size 3.15 1) (layers "B.Cu" "B.Paste" "B.Mask") + (net 12 "DB12") (pinfunction "Pin_12") (pintype "passive") (tstamp 07652224-af43-42a2-841c-1883ba305bc4)) + (pad "13" smd rect (at -2.525 8.89 180) (size 3.15 1) (layers "B.Cu" "B.Paste" "B.Mask") + (net 13 "DB2") (pinfunction "Pin_13") (pintype "passive") (tstamp b8e1a8b8-63f0-4e53-a6cb-c8edf9a649c4)) + (pad "14" smd rect (at 2.525 8.89 180) (size 3.15 1) (layers "B.Cu" "B.Paste" "B.Mask") + (net 14 "DB13") (pinfunction "Pin_14") (pintype "passive") (tstamp 63286bbb-78a3-4368-a50a-f6bf5f1653b0)) + (pad "15" smd rect (at -2.525 6.35 180) (size 3.15 1) (layers "B.Cu" "B.Paste" "B.Mask") + (net 15 "DB1") (pinfunction "Pin_15") (pintype "passive") (tstamp e4184668-3bdd-4cb2-a053-4f3d5e57b541)) + (pad "16" smd rect (at 2.525 6.35 180) (size 3.15 1) (layers "B.Cu" "B.Paste" "B.Mask") + (net 16 "DB14") (pinfunction "Pin_16") (pintype "passive") (tstamp ea745685-58a4-4364-a674-15381eadb187)) + (pad "17" smd rect (at -2.525 3.81 180) (size 3.15 1) (layers "B.Cu" "B.Paste" "B.Mask") + (net 17 "DB0") (pinfunction "Pin_17") (pintype "passive") (tstamp c6bba6d7-3631-448e-9df8-b5a9e3238ade)) + (pad "18" smd rect (at 2.525 3.81 180) (size 3.15 1) (layers "B.Cu" "B.Paste" "B.Mask") + (net 18 "DB15") (pinfunction "Pin_18") (pintype "passive") (tstamp adcbf4d0-ed9c-4c7d-b78f-3bcbe974bdcb)) + (pad "19" smd rect (at -2.525 1.27 180) (size 3.15 1) (layers "B.Cu" "B.Paste" "B.Mask") + (net 2 "GND") (pinfunction "Pin_19") (pintype "passive") (tstamp 4b471778-f61d-4b9d-a507-3d4f82ec4b7c)) + (pad "20" smd rect (at 2.525 1.27 180) (size 3.15 1) (layers "B.Cu" "B.Paste" "B.Mask") + (net 36 "unconnected-(J1-Pad20)") (pinfunction "Pin_20") (pintype "passive+no_connect") (tstamp 883105b0-f6a6-466b-ba58-a2fcc1f18e4b)) + (pad "21" smd rect (at -2.525 -1.27 180) (size 3.15 1) (layers "B.Cu" "B.Paste" "B.Mask") + (net 19 "DRQ3") (pinfunction "Pin_21") (pintype "passive") (tstamp f8621ac5-1e7e-4e87-8c69-5fd403df9470)) + (pad "22" smd rect (at 2.525 -1.27 180) (size 3.15 1) (layers "B.Cu" "B.Paste" "B.Mask") + (net 2 "GND") (pinfunction "Pin_22") (pintype "passive") (tstamp 80f8c1b4-10dd-40fe-b7f7-67988bc3ad81)) + (pad "23" smd rect (at -2.525 -3.81 180) (size 3.15 1) (layers "B.Cu" "B.Paste" "B.Mask") + (net 20 "IOW") (pinfunction "Pin_23") (pintype "passive") (tstamp be5bbcc0-5b09-43de-a42f-297f80f602a5)) + (pad "24" smd rect (at 2.525 -3.81 180) (size 3.15 1) (layers "B.Cu" "B.Paste" "B.Mask") + (net 2 "GND") (pinfunction "Pin_24") (pintype "passive") (tstamp 725579dd-9ec6-473d-8843-6a11e99f108c)) + (pad "25" smd rect (at -2.525 -6.35 180) (size 3.15 1) (layers "B.Cu" "B.Paste" "B.Mask") + (net 21 "IOR") (pinfunction "Pin_25") (pintype "passive") (tstamp 6ea0f2f7-b064-4b8f-bd17-48195d1c83d1)) + (pad "26" smd rect (at 2.525 -6.35 180) (size 3.15 1) (layers "B.Cu" "B.Paste" "B.Mask") + (net 2 "GND") (pinfunction "Pin_26") (pintype "passive") (tstamp acb0068c-c0e7-44cf-a209-296716acb6a2)) + (pad "27" smd rect (at -2.525 -8.89 180) (size 3.15 1) (layers "B.Cu" "B.Paste" "B.Mask") + (net 22 "IO_CH_RDY") (pinfunction "Pin_27") (pintype "passive") (tstamp cdfb661b-489b-4b76-99f4-62b92bb1ab18)) + (pad "28" smd rect (at 2.525 -8.89 180) (size 3.15 1) (layers "B.Cu" "B.Paste" "B.Mask") + (net 23 "CSEL_IN") (pinfunction "Pin_28") (pintype "passive") (tstamp 46491a9d-8b3d-4c74-b09a-70c876f162e5)) + (pad "29" smd rect (at -2.525 -11.43 180) (size 3.15 1) (layers "B.Cu" "B.Paste" "B.Mask") + (net 24 "DACK3") (pinfunction "Pin_29") (pintype "passive") (tstamp e80b0e91-f15f-4e36-9a9c-b2cfd5a01d2a)) + (pad "30" smd rect (at 2.525 -11.43 180) (size 3.15 1) (layers "B.Cu" "B.Paste" "B.Mask") + (net 2 "GND") (pinfunction "Pin_30") (pintype "passive") (tstamp 2295a793-dfca-4b86-a3e5-abf1834e2790)) + (pad "31" smd rect (at -2.525 -13.97 180) (size 3.15 1) (layers "B.Cu" "B.Paste" "B.Mask") + (net 25 "IRQ14") (pinfunction "Pin_31") (pintype "passive") (tstamp e77c17df-b20e-4e7d-b937-f281c75a0014)) + (pad "32" smd rect (at 2.525 -13.97 180) (size 3.15 1) (layers "B.Cu" "B.Paste" "B.Mask") + (net 26 "RESERVED") (pinfunction "Pin_32") (pintype "passive") (tstamp a150f0c9-1a23-4200-b489-18791f6d5ce5)) + (pad "33" smd rect (at -2.525 -16.51 180) (size 3.15 1) (layers "B.Cu" "B.Paste" "B.Mask") + (net 27 "ADDRESS_BIT_1") (pinfunction "Pin_33") (pintype "passive") (tstamp 0e592cd4-1950-44ef-9727-8e526f4c4e12)) + (pad "34" smd rect (at 2.525 -16.51 180) (size 3.15 1) (layers "B.Cu" "B.Paste" "B.Mask") + (net 28 "PDIAG") (pinfunction "Pin_34") (pintype "passive") (tstamp 5bbde4f9-fcdb-4d27-a2d6-3847fcdd87ba)) + (pad "35" smd rect (at -2.525 -19.05 180) (size 3.15 1) (layers "B.Cu" "B.Paste" "B.Mask") + (net 29 "ADDRESS_BIT_0") (pinfunction "Pin_35") (pintype "passive") (tstamp 300aa512-2f66-4c26-a530-50c091b3a099)) + (pad "36" smd rect (at 2.525 -19.05 180) (size 3.15 1) (layers "B.Cu" "B.Paste" "B.Mask") + (net 30 "ADDRESS_BIT_2") (pinfunction "Pin_36") (pintype "passive") (tstamp 11c7c8d4-4c4b-4330-bb59-1eec2e98b255)) + (pad "37" smd rect (at -2.525 -21.59 180) (size 3.15 1) (layers "B.Cu" "B.Paste" "B.Mask") + (net 31 "CS1FX") (pinfunction "Pin_37") (pintype "passive") (tstamp 34ddb753-e57c-4ca8-a67b-d7cdf62cae93)) + (pad "38" smd rect (at 2.525 -21.59 180) (size 3.15 1) (layers "B.Cu" "B.Paste" "B.Mask") + (net 32 "CS3FX") (pinfunction "Pin_38") (pintype "passive") (tstamp 09c6ca89-863f-42d4-867e-9a769c316610)) + (pad "39" smd rect (at -2.525 -24.13 180) (size 3.15 1) (layers "B.Cu" "B.Paste" "B.Mask") + (net 33 "DA_SP") (pinfunction "Pin_39") (pintype "passive") (tstamp 28b01cd2-da3a-46ec-8825-b0f31a0b8987)) + (pad "40" smd rect (at 2.525 -24.13 180) (size 3.15 1) (layers "B.Cu" "B.Paste" "B.Mask") + (net 2 "GND") (pinfunction "Pin_40") (pintype "passive") (tstamp a49e8613-3cd2-48ed-8977-6bb5023f7722)) + (model "${KICAD6_3DMODEL_DIR}/Connector_PinHeader_2.54mm.3dshapes/PinHeader_2x20_P2.54mm_Vertical_SMD.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (gr_line (start 166.877999 113.664999) (end 166.878 59.69) (layer "Edge.Cuts") (width 0.1) (tstamp 26dabacb-c140-48a7-9522-6c9958b48d56)) + (gr_line (start 165.608 58.42) (end 144.272 58.42) (layer "Edge.Cuts") (width 0.1) (tstamp 2d9eeeec-9b58-4b2a-bc33-b5b8498b0fa3)) + (gr_line (start 144.272 114.934999) (end 165.607999 114.934999) (layer "Edge.Cuts") (width 0.1) (tstamp 6ab77c87-4a7c-4d75-964d-ce186b337dcb)) + (gr_arc (start 144.272 114.934999) (mid 143.373974 114.563025) (end 143.002 113.664999) (layer "Edge.Cuts") (width 0.1) (tstamp 88881cce-eff4-467f-93c0-c0e278abc43c)) + (gr_arc (start 166.877999 113.664999) (mid 166.506025 114.563025) (end 165.607999 114.934999) (layer "Edge.Cuts") (width 0.1) (tstamp 9a334ec8-916e-4cd2-9f9d-906582efacf5)) + (gr_arc (start 165.608 58.42) (mid 166.506026 58.791974) (end 166.878 59.69) (layer "Edge.Cuts") (width 0.1) (tstamp c219f86e-e601-449e-a2ba-af2644d05d90)) + (gr_arc (start 143.002 59.69) (mid 143.373974 58.791974) (end 144.272 58.42) (layer "Edge.Cuts") (width 0.1) (tstamp ea0bf661-fcae-4ec1-bc92-425de3d7f8b9)) + (gr_line (start 143.002 59.69) (end 143.002 113.664999) (layer "Edge.Cuts") (width 0.1) (tstamp f88b4c9e-6f8a-493c-bb45-41f0d6bbe154)) + (gr_text "[" (at 160.02 84.582) (layer "B.SilkS") (tstamp 067d2138-4373-4156-8ed4-2a8a216fb0a1) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (gr_text "github.com/xunker\n(C) 2022\nCC NC-BY-SA 4.0" (at 163.195 71.755 270) (layer "B.SilkS") (tstamp 0ddcbb54-42c4-46b4-b357-262127dc0129) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (gr_text "Set both connected drives to\n{dblquote}CS{dblquote} or {dblquote}CSEL{dblquote} jumper setting\n" (at 148.59 81.915 90) (layer "B.SilkS") (tstamp 24a548b9-64d7-4ab3-9c0c-a235733e156f) + (effects (font (size 0.9 0.9) (thickness 0.15)) (justify right mirror)) + ) + (gr_text "IDE DRIVE CABLE SELECT SWITCHER" (at 144.78 100.965 90) (layer "B.SilkS") (tstamp 3fa5459d-0caf-418f-a5ff-2105302dc814) + (effects (font (size 1.25 1.25) (thickness 0.15)) (justify right mirror)) + ) + (gr_text "ONLY change\nMaster Drive Select Switch\nwhile system power is OFF" (at 147.955 102.235 90) (layer "B.SilkS") (tstamp b60bdeba-83a4-4497-af51-9b9e7b9ff69f) + (effects (font (size 0.9 0.9) (thickness 0.15)) (justify mirror)) + ) + (gr_text "HOST IDE" (at 154.94 111.125) (layer "B.SilkS") (tstamp c08bb669-8e22-4348-8d7e-4340c58f2f81) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (gr_text "1" (at 160.655 59.69) (layer "B.SilkS") (tstamp c6fa0d6c-67b6-4870-b885-a1d39d25ac64) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (gr_text "KEY" (at 161.29 84.455 270) (layer "B.SilkS") (tstamp ce6c231a-45da-4ec4-b9d4-929d6a1880ae) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (gr_text "1" (at 143.764 59.69) (layer "F.SilkS") (tstamp 0e26fb71-0a3e-4010-b6a1-89dec78594f1) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text "<" (at 154.94 109.855 90) (layer "F.SilkS") (tstamp 187c0e1d-aa29-4883-8f42-373f0418b6cd) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text "[" (at 143.51 84.455) (layer "F.SilkS") (tstamp 2ad450e8-bdc8-4d36-8c9f-aa9546b3578d) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text "DRIVE A" (at 146.685 111.125) (layer "F.SilkS") (tstamp 404cd227-9eff-4d7f-aff3-a2841a678a87) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text "DRIVE B" (at 162.56 111.125) (layer "F.SilkS") (tstamp 4455da31-0018-4179-bea6-4facfbfa09dc) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text "MASTER DRIVE\nSELECT SWITCH" (at 154.94 108.585 90) (layer "F.SilkS") (tstamp bfeb1b98-3f6a-4031-b76f-d792dec6775b) + (effects (font (size 1 1) (thickness 0.15)) (justify left)) + ) + (gr_text "[" (at 156.21 84.582) (layer "F.SilkS") (tstamp dfbf9ec6-63eb-4e3d-b08f-bb68cc694e07) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text "1" (at 156.464 59.69) (layer "F.SilkS") (tstamp eff6ed7d-89a5-4596-b72d-813a154c56d7) + (effects (font (size 1 1) (thickness 0.15))) + ) + (dimension (type aligned) (layer "Dwgs.User") (tstamp 70f43e74-9fe6-4ce3-8013-09cc1375891c) + (pts (xy 142.875 55.88) (xy 167.005 55.88)) + (height -5.08) + (gr_text "24.1300 mm" (at 154.94 49.65) (layer "Dwgs.User") (tstamp 70f43e74-9fe6-4ce3-8013-09cc1375891c) + (effects (font (size 1 1) (thickness 0.15))) + ) + (format (units 3) (units_format 1) (precision 4)) + (style (thickness 0.1) (arrow_length 1.27) (text_position_mode 0) (extension_height 0.58642) (extension_offset 0.5) keep_text_aligned) + ) + (dimension (type aligned) (layer "Dwgs.User") (tstamp 8bcf6e53-34b2-4037-af92-6fe4b43c7b40) + (pts (xy 175.26 58.42) (xy 175.26 114.935)) + (height -5.08) + (gr_text "56.5150 mm" (at 179.19 86.6775 90) (layer "Dwgs.User") (tstamp 8bcf6e53-34b2-4037-af92-6fe4b43c7b40) + (effects (font (size 1 1) (thickness 0.15))) + ) + (format (units 3) (units_format 1) (precision 4)) + (style (thickness 0.1) (arrow_length 1.27) (text_position_mode 0) (extension_height 0.58642) (extension_offset 0.5) keep_text_aligned) + ) + + (segment (start 146.889511 61.276511) (end 154.623489 61.276511) (width 0.25) (layer "F.Cu") (net 1) (tstamp 01129304-7683-4d23-918d-cd342d106450)) + (segment (start 146.065 60.452) (end 146.889511 61.276511) (width 0.25) (layer "F.Cu") (net 1) (tstamp 062c522b-e2e1-4cba-9753-151b6f80bf62)) + (segment (start 155.448 60.452) (end 154.94 60.96) (width 0.25) (layer "F.Cu") (net 1) (tstamp 212034b2-8a8f-4d1c-88f5-c17b70a266ea)) + (segment (start 154.623489 61.276511) (end 154.94 60.96) (width 0.25) (layer "F.Cu") (net 1) (tstamp 54b3e9aa-4b54-4311-9a87-0f0f750aabe8)) + (segment (start 158.765 60.452) (end 155.448 60.452) (width 0.25) (layer "F.Cu") (net 1) (tstamp a6573a34-4b51-4b00-9a09-2344015f456b)) + (via (at 154.94 60.96) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp e8d85600-1988-42a1-a3db-f0ee48fa10f8)) + (segment (start 157.465 60.452) (end 155.448 60.452) (width 0.25) (layer "B.Cu") (net 1) (tstamp bc5fea9b-02f1-498d-8972-b0a92877a64c)) + (segment (start 155.448 60.452) (end 154.94 60.96) (width 0.25) (layer "B.Cu") (net 1) (tstamp dfe01625-0d33-40ed-8155-3cd08364621f)) + (via (at 161.29 109.22) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 2) (tstamp 83a55d9e-d5e8-47fc-a24b-9115b63f0ca1)) + (via (at 148.59 109.22) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 2) (tstamp a16b1a0b-e340-4cd2-9915-3b07ba321c24)) + (via (at 162.56 84.455) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 2) (tstamp c19ade49-12ea-48cd-9967-3972708a1e42)) + (via (at 148.59 84.455) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 2) (tstamp d4a6e6d6-0a52-4193-bbff-c82287bfc765)) + (via (at 154.94 59.69) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 2) (tstamp fd3653f2-3fc2-4d7e-a445-dbeddc880382)) + (segment (start 146.889511 63.816511) (end 154.623489 63.816511) (width 0.25) (layer "F.Cu") (net 3) (tstamp 675c2e2a-fc07-4466-9978-746dcbf4df9a)) + (segment (start 155.448 62.992) (end 154.94 63.5) (width 0.25) (layer "F.Cu") (net 3) (tstamp 6ed76dc0-5ec1-4790-8a32-8e91dd04cde4)) + (segment (start 154.623489 63.816511) (end 154.94 63.5) (width 0.25) (layer "F.Cu") (net 3) (tstamp 7cb3353c-3fcf-4e9c-9967-ab518baa78b4)) + (segment (start 158.765 62.992) (end 155.448 62.992) (width 0.25) (layer "F.Cu") (net 3) (tstamp a12c321e-9b96-48a7-8548-3722c2e76065)) + (segment (start 146.065 62.992) (end 146.889511 63.816511) (width 0.25) (layer "F.Cu") (net 3) (tstamp ebdaa048-1459-4896-b63c-e94ef308b6a7)) + (via (at 154.94 63.5) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 3) (tstamp 2529037b-c325-4363-986c-2255714fc0c5)) + (segment (start 157.465 62.992) (end 155.448 62.992) (width 0.25) (layer "B.Cu") (net 3) (tstamp 75860123-b4d2-4996-9217-8736f56302f1)) + (segment (start 155.448 62.992) (end 154.94 63.5) (width 0.25) (layer "B.Cu") (net 3) (tstamp d6342c59-bbec-4b53-91d7-0bcdc1735b31)) + (segment (start 154.94 62.23) (end 155.002511 62.167489) (width 0.25) (layer "F.Cu") (net 4) (tstamp 0f8d2823-14ed-4a77-8162-e710238e936d)) + (segment (start 162.990489 62.167489) (end 163.815 62.992) (width 0.25) (layer "F.Cu") (net 4) (tstamp 31f775f3-ef6e-42c1-8b36-5cfd4b6852a4)) + (segment (start 151.115 62.992) (end 154.178 62.992) (width 0.25) (layer "F.Cu") (net 4) (tstamp 51c1b002-9a04-497a-8012-673ed88afcd5)) + (segment (start 155.002511 62.167489) (end 162.990489 62.167489) (width 0.25) (layer "F.Cu") (net 4) (tstamp 96942de6-188d-4b97-9519-8037fc34d982)) + (segment (start 154.178 62.992) (end 154.94 62.23) (width 0.25) (layer "F.Cu") (net 4) (tstamp a1b47378-08f2-4d48-9409-8730b392b005)) + (via (at 154.94 62.23) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 4) (tstamp a801889f-040f-4260-ac09-d39d7f3c86d4)) + (segment (start 154.178 62.992) (end 154.94 62.23) (width 0.25) (layer "B.Cu") (net 4) (tstamp 3399f942-fda9-4241-a176-9d0ee57124af)) + (segment (start 152.415 62.992) (end 154.178 62.992) (width 0.25) (layer "B.Cu") (net 4) (tstamp 56b6be2b-c041-4b11-9b92-26038b901e87)) + (segment (start 146.889511 66.356511) (end 154.623489 66.356511) (width 0.25) (layer "F.Cu") (net 5) (tstamp 01c87ab8-b8ad-4924-be8e-ecb5fdb91627)) + (segment (start 155.448 65.532) (end 154.94 66.04) (width 0.25) (layer "F.Cu") (net 5) (tstamp 1345b1cd-149b-4a39-a4e0-fdca00c8dc7a)) + (segment (start 146.065 65.532) (end 146.889511 66.356511) (width 0.25) (layer "F.Cu") (net 5) (tstamp c89a3558-61f8-447e-ac6b-761763b12e6c)) + (segment (start 158.765 65.532) (end 155.448 65.532) (width 0.25) (layer "F.Cu") (net 5) (tstamp db6f45db-9526-4895-8fe8-e7879e9b8cc9)) + (segment (start 154.623489 66.356511) (end 154.94 66.04) (width 0.25) (layer "F.Cu") (net 5) (tstamp dda81417-2d75-4e54-a239-2e6737bb35c6)) + (via (at 154.94 66.04) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 5) (tstamp 500022f4-e177-443b-8ee6-479a0f9b82ed)) + (segment (start 157.465 65.532) (end 155.448 65.532) (width 0.25) (layer "B.Cu") (net 5) (tstamp 670face6-a2d8-4769-8354-6dd868b480f1)) + (segment (start 155.448 65.532) (end 154.94 66.04) (width 0.25) (layer "B.Cu") (net 5) (tstamp 83506fce-88c9-4927-a6a2-1590ccc2d98c)) + (segment (start 154.94 64.77) (end 155.002511 64.707489) (width 0.25) (layer "F.Cu") (net 6) (tstamp 6697d7bc-7088-41b2-a76a-7c5379d2d940)) + (segment (start 154.178 65.532) (end 154.94 64.77) (width 0.25) (layer "F.Cu") (net 6) (tstamp 7f6d8e09-e985-4aef-a90d-0bd2a491d470)) + (segment (start 162.990489 64.707489) (end 163.815 65.532) (width 0.25) (layer "F.Cu") (net 6) (tstamp 8b21c26b-0709-4412-ba9a-eca85c5d4159)) + (segment (start 151.115 65.532) (end 154.178 65.532) (width 0.25) (layer "F.Cu") (net 6) (tstamp d71891e5-c312-4665-a42b-045b28fe68e5)) + (segment (start 155.002511 64.707489) (end 162.990489 64.707489) (width 0.25) (layer "F.Cu") (net 6) (tstamp e6a16e4f-46c4-44db-96ed-2d3bd6083ad3)) + (via (at 154.94 64.77) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 6) (tstamp 9edc64a3-1b9f-41ff-bdd4-3d8d6fc6eb59)) + (segment (start 152.415 65.532) (end 154.178 65.532) (width 0.25) (layer "B.Cu") (net 6) (tstamp 3a757181-216f-4c0a-ad15-364e4040a870)) + (segment (start 154.178 65.532) (end 154.94 64.77) (width 0.25) (layer "B.Cu") (net 6) (tstamp 9dcfea1e-7187-4b94-a5dd-3ca56fbf9a85)) + (segment (start 146.065 68.072) (end 146.889511 68.896511) (width 0.25) (layer "F.Cu") (net 7) (tstamp 11f729a7-2d01-4df0-b3d3-1510a270e9fc)) + (segment (start 154.623489 68.896511) (end 154.94 68.58) (width 0.25) (layer "F.Cu") (net 7) (tstamp 3f1605c0-0143-4ba8-9069-d2435dc64c96)) + (segment (start 146.889511 68.896511) (end 154.623489 68.896511) (width 0.25) (layer "F.Cu") (net 7) (tstamp 50a7cad8-a75f-4613-9d62-b0955a8a7683)) + (segment (start 155.448 68.072) (end 154.94 68.58) (width 0.25) (layer "F.Cu") (net 7) (tstamp 74a58d08-2bae-4d59-a293-3ba65bf1d0c4)) + (segment (start 158.765 68.072) (end 155.448 68.072) (width 0.25) (layer "F.Cu") (net 7) (tstamp aa70fac2-4c11-4a9d-b935-aa22729a2ab2)) + (via (at 154.94 68.58) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 7) (tstamp a7a2648c-f858-47c3-9287-d9ea927e44a0)) + (segment (start 157.465 68.072) (end 155.448 68.072) (width 0.25) (layer "B.Cu") (net 7) (tstamp ca15383b-05fc-44c5-b03c-9d7613eecc67)) + (segment (start 155.448 68.072) (end 154.94 68.58) (width 0.25) (layer "B.Cu") (net 7) (tstamp cb95b8b0-d4de-412b-8732-4e6ae2733869)) + (segment (start 155.002511 67.247489) (end 162.990489 67.247489) (width 0.25) (layer "F.Cu") (net 8) (tstamp 1e687fd2-c2cb-448f-9bbb-4add2bd94987)) + (segment (start 151.115 68.072) (end 154.178 68.072) (width 0.25) (layer "F.Cu") (net 8) (tstamp 7977022d-87eb-4d36-be55-fff2e05977b3)) + (segment (start 162.990489 67.247489) (end 163.815 68.072) (width 0.25) (layer "F.Cu") (net 8) (tstamp 99195acc-954f-4cd4-b331-5ec0900af55b)) + (segment (start 154.178 68.072) (end 154.94 67.31) (width 0.25) (layer "F.Cu") (net 8) (tstamp bbff02ee-1c7b-4a61-99d4-86548422ef15)) + (segment (start 154.94 67.31) (end 155.002511 67.247489) (width 0.25) (layer "F.Cu") (net 8) (tstamp ec62ce3a-81f3-4f98-bf7e-220fb9063288)) + (via (at 154.94 67.31) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 8) (tstamp f954cb1a-c039-49d3-ab60-eda94c3ce64f)) + (segment (start 154.178 68.072) (end 154.94 67.31) (width 0.25) (layer "B.Cu") (net 8) (tstamp 24c0310f-6ebc-497e-bbf4-87be0a3130c4)) + (segment (start 152.415 68.072) (end 154.178 68.072) (width 0.25) (layer "B.Cu") (net 8) (tstamp 79cf9a61-5460-4c1a-bd55-76a12db020fe)) + (segment (start 155.448 70.612) (end 154.94 71.12) (width 0.25) (layer "F.Cu") (net 9) (tstamp 329819c1-10b4-4b52-8b86-210cd138115c)) + (segment (start 146.889511 71.436511) (end 154.623489 71.436511) (width 0.25) (layer "F.Cu") (net 9) (tstamp cbe8b374-6320-4fb4-aa2d-3109fbcce4c5)) + (segment (start 146.065 70.612) (end 146.889511 71.436511) (width 0.25) (layer "F.Cu") (net 9) (tstamp d9b6b3d1-e9e5-4ceb-9e72-b6a506083bc8)) + (segment (start 154.623489 71.436511) (end 154.94 71.12) (width 0.25) (layer "F.Cu") (net 9) (tstamp f16ae305-1380-4bd7-8f8d-64b2b0c7659d)) + (segment (start 158.765 70.612) (end 155.448 70.612) (width 0.25) (layer "F.Cu") (net 9) (tstamp f6d60279-8c05-4245-b6aa-e92ee137c81f)) + (via (at 154.94 71.12) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 9) (tstamp 8e327319-b569-4a77-a684-6214a7434f5d)) + (segment (start 157.465 70.612) (end 155.448 70.612) (width 0.25) (layer "B.Cu") (net 9) (tstamp 66daef13-c31f-4493-ac7a-bc429db848fb)) + (segment (start 155.448 70.612) (end 154.94 71.12) (width 0.25) (layer "B.Cu") (net 9) (tstamp c4cdc929-edd9-469d-90b7-a0014bfbdb7f)) + (segment (start 162.990489 69.787489) (end 163.815 70.612) (width 0.25) (layer "F.Cu") (net 10) (tstamp 0bc29593-d14f-429a-8dbb-78c16949e842)) + (segment (start 155.002511 69.787489) (end 162.990489 69.787489) (width 0.25) (layer "F.Cu") (net 10) (tstamp 9c493674-90f6-4024-88a9-c3ecae9119bf)) + (segment (start 151.115 70.612) (end 154.178 70.612) (width 0.25) (layer "F.Cu") (net 10) (tstamp ae8b9d00-bbaa-48d5-b472-7ccd8b711036)) + (segment (start 154.94 69.85) (end 155.002511 69.787489) (width 0.25) (layer "F.Cu") (net 10) (tstamp af990476-6e9f-45f9-8d90-5fc0086b5a4c)) + (segment (start 154.178 70.612) (end 154.94 69.85) (width 0.25) (layer "F.Cu") (net 10) (tstamp d1fc6129-b820-430b-b3c4-bafe28ad591c)) + (via (at 154.94 69.85) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 10) (tstamp 7c98a457-44e7-4d40-9350-76fec5fc1a9d)) + (segment (start 152.415 70.612) (end 154.178 70.612) (width 0.25) (layer "B.Cu") (net 10) (tstamp 290e4f34-385c-4868-bd01-fd8c0570f64b)) + (segment (start 154.178 70.612) (end 154.94 69.85) (width 0.25) (layer "B.Cu") (net 10) (tstamp 5765dd36-b324-4e9b-bbe0-70c88754db52)) + (segment (start 146.889511 73.976511) (end 154.623489 73.976511) (width 0.25) (layer "F.Cu") (net 11) (tstamp 07dca41d-a986-4df0-b64f-25e813039d5f)) + (segment (start 155.448 73.152) (end 154.94 73.66) (width 0.25) (layer "F.Cu") (net 11) (tstamp 23a51dc1-4c20-4089-8ae7-7c7065cbb519)) + (segment (start 146.065 73.152) (end 146.889511 73.976511) (width 0.25) (layer "F.Cu") (net 11) (tstamp 76d6362b-031c-4ade-81bd-03470c5f9c05)) + (segment (start 158.765 73.152) (end 155.448 73.152) (width 0.25) (layer "F.Cu") (net 11) (tstamp 912b8917-0f02-4b5a-89fb-d8e37645de8a)) + (segment (start 154.623489 73.976511) (end 154.94 73.66) (width 0.25) (layer "F.Cu") (net 11) (tstamp fc2741eb-4774-4811-a33e-cf97fd557544)) + (via (at 154.94 73.66) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 11) (tstamp 80124a46-55ef-4f66-bb6a-59647edf11f8)) + (segment (start 157.465 73.152) (end 155.448 73.152) (width 0.25) (layer "B.Cu") (net 11) (tstamp edac06a4-6042-4794-8e7d-17013605aeca)) + (segment (start 155.448 73.152) (end 154.94 73.66) (width 0.25) (layer "B.Cu") (net 11) (tstamp fe118ec6-60a4-4f0c-845f-b99ce2003f28)) + (segment (start 155.002511 72.327489) (end 162.990489 72.327489) (width 0.25) (layer "F.Cu") (net 12) (tstamp 77908488-7a73-45b1-8356-b60a6ded7bfe)) + (segment (start 151.115 73.152) (end 154.178 73.152) (width 0.25) (layer "F.Cu") (net 12) (tstamp a953242f-f320-4c75-8f90-4f2dbfab2ab1)) + (segment (start 154.94 72.39) (end 155.002511 72.327489) (width 0.25) (layer "F.Cu") (net 12) (tstamp dbe5e977-6438-469f-af04-7823125e9a22)) + (segment (start 154.178 73.152) (end 154.94 72.39) (width 0.25) (layer "F.Cu") (net 12) (tstamp e32551da-808b-4b8d-adfa-7f41d7b49bf4)) + (segment (start 162.990489 72.327489) (end 163.815 73.152) (width 0.25) (layer "F.Cu") (net 12) (tstamp fb5e15e2-df73-4f00-b437-fadfe141d9bd)) + (via (at 154.94 72.39) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 12) (tstamp be85156c-9892-4038-9c50-d90a5756242c)) + (segment (start 154.178 73.152) (end 154.94 72.39) (width 0.25) (layer "B.Cu") (net 12) (tstamp 8d6ae663-bb2d-4716-891f-5c387a63bf74)) + (segment (start 152.415 73.152) (end 154.178 73.152) (width 0.25) (layer "B.Cu") (net 12) (tstamp ee00e4b5-fed0-48e5-bddb-1fc4ba28d886)) + (segment (start 154.623489 76.516511) (end 154.94 76.2) (width 0.25) (layer "F.Cu") (net 13) (tstamp 099426c5-dae5-4069-bf55-fdf3f2477fb1)) + (segment (start 146.889511 76.516511) (end 154.623489 76.516511) (width 0.25) (layer "F.Cu") (net 13) (tstamp 1ede2177-9e88-40a9-b645-5716c9e3f9e3)) + (segment (start 155.448 75.692) (end 154.94 76.2) (width 0.25) (layer "F.Cu") (net 13) (tstamp 92ba80ed-a5f4-4ed4-a998-49d53855373f)) + (segment (start 158.765 75.692) (end 155.448 75.692) (width 0.25) (layer "F.Cu") (net 13) (tstamp c8853099-54ee-4eb6-a676-3b082dd96c8e)) + (segment (start 146.065 75.692) (end 146.889511 76.516511) (width 0.25) (layer "F.Cu") (net 13) (tstamp ec466f90-b76b-4e7b-b729-d62df8781659)) + (via (at 154.94 76.2) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 13) (tstamp 49f4d4d4-7474-4bb9-a342-6776d934aa8f)) + (segment (start 157.465 75.692) (end 155.448 75.692) (width 0.25) (layer "B.Cu") (net 13) (tstamp d165115a-2a61-4476-a044-7f57fa7a8199)) + (segment (start 155.448 75.692) (end 154.94 76.2) (width 0.25) (layer "B.Cu") (net 13) (tstamp f0c63fb6-1912-4fb5-8186-caac19a5b84b)) + (segment (start 155.002511 74.867489) (end 162.990489 74.867489) (width 0.25) (layer "F.Cu") (net 14) (tstamp 38a83307-f960-4916-b2a9-4006b684527e)) + (segment (start 151.115 75.692) (end 154.178 75.692) (width 0.25) (layer "F.Cu") (net 14) (tstamp 69a459e9-d2e3-4838-af85-4592758f94f5)) + (segment (start 162.990489 74.867489) (end 163.815 75.692) (width 0.25) (layer "F.Cu") (net 14) (tstamp 9fcef44b-dcf3-4d92-81d8-ff015f7dc881)) + (segment (start 154.94 74.93) (end 155.002511 74.867489) (width 0.25) (layer "F.Cu") (net 14) (tstamp a33c27ee-6436-4512-b465-2f79f08bd887)) + (segment (start 154.178 75.692) (end 154.94 74.93) (width 0.25) (layer "F.Cu") (net 14) (tstamp a6883a8e-2357-4159-b450-fe439083d522)) + (via (at 154.94 74.93) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 14) (tstamp aaca9eb1-922f-41c6-bf6b-b17806d3db4e)) + (segment (start 154.178 75.692) (end 154.94 74.93) (width 0.25) (layer "B.Cu") (net 14) (tstamp 6bb5d4e6-7eba-4c53-b07e-2ebbb7529b09)) + (segment (start 152.415 75.692) (end 154.178 75.692) (width 0.25) (layer "B.Cu") (net 14) (tstamp c52ffced-f149-4915-9dfd-0e61a329dd7d)) + (segment (start 155.448 78.232) (end 154.94 78.74) (width 0.25) (layer "F.Cu") (net 15) (tstamp 2044b75a-95e6-46d6-911c-1afac318a9e2)) + (segment (start 154.623489 79.056511) (end 154.94 78.74) (width 0.25) (layer "F.Cu") (net 15) (tstamp 47005c66-b029-46bf-bd7d-5839ce5c57fc)) + (segment (start 146.065 78.232) (end 146.889511 79.056511) (width 0.25) (layer "F.Cu") (net 15) (tstamp 4bc2d3fb-fa6b-4264-b831-9ff8c813f67d)) + (segment (start 158.765 78.232) (end 155.448 78.232) (width 0.25) (layer "F.Cu") (net 15) (tstamp 5ddb2374-656a-4b02-b918-4058bbfcc8ac)) + (segment (start 146.889511 79.056511) (end 154.623489 79.056511) (width 0.25) (layer "F.Cu") (net 15) (tstamp cb8138d5-1ea9-44e0-8103-623a524ec867)) + (via (at 154.94 78.74) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 15) (tstamp 04f2e26f-5c63-4ec2-ac3e-3302b942de6d)) + (segment (start 157.465 78.232) (end 155.448 78.232) (width 0.25) (layer "B.Cu") (net 15) (tstamp 3c0d20cc-eff6-4025-97c2-36fdba7ccb10)) + (segment (start 155.448 78.232) (end 154.94 78.74) (width 0.25) (layer "B.Cu") (net 15) (tstamp b4439ae0-888c-46bb-9096-d6092225d125)) + (segment (start 154.94 77.47) (end 155.002511 77.407489) (width 0.25) (layer "F.Cu") (net 16) (tstamp 1a22fa31-5f72-4c67-a68b-c6db604e061f)) + (segment (start 155.002511 77.407489) (end 162.990489 77.407489) (width 0.25) (layer "F.Cu") (net 16) (tstamp 36a61e91-d123-4361-8daf-f44429693433)) + (segment (start 151.115 78.232) (end 154.178 78.232) (width 0.25) (layer "F.Cu") (net 16) (tstamp 5f02594b-cf89-460e-a209-acc2051bf4a8)) + (segment (start 162.990489 77.407489) (end 163.815 78.232) (width 0.25) (layer "F.Cu") (net 16) (tstamp 7368f8d1-f9c1-42ea-9930-f9228a19c2bc)) + (segment (start 154.178 78.232) (end 154.94 77.47) (width 0.25) (layer "F.Cu") (net 16) (tstamp c376db82-1f7e-49e6-a551-021ce1a6dafe)) + (via (at 154.94 77.47) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 16) (tstamp e284af85-dd0d-427e-9c69-3fa9df961f1b)) + (segment (start 152.415 78.232) (end 154.178 78.232) (width 0.25) (layer "B.Cu") (net 16) (tstamp 4090ce59-58ca-4ba4-9bcd-889b48337cb8)) + (segment (start 154.178 78.232) (end 154.94 77.47) (width 0.25) (layer "B.Cu") (net 16) (tstamp 9510ced2-496c-4b49-8b50-c0250bff1f3c)) + (segment (start 146.065 80.772) (end 146.889511 81.596511) (width 0.25) (layer "F.Cu") (net 17) (tstamp 14a1ab0b-e75b-4c88-993f-1e81bed5a23b)) + (segment (start 158.765 80.772) (end 155.448 80.772) (width 0.25) (layer "F.Cu") (net 17) (tstamp 1e09df8d-2aeb-457d-98a3-5820e5a7b98d)) + (segment (start 155.448 80.772) (end 154.94 81.28) (width 0.25) (layer "F.Cu") (net 17) (tstamp 27b3c396-8a3e-4031-90c7-bc2c226bd265)) + (segment (start 146.889511 81.596511) (end 154.623489 81.596511) (width 0.25) (layer "F.Cu") (net 17) (tstamp 7a0a4d44-67cb-4c51-b770-93842efd911f)) + (segment (start 154.623489 81.596511) (end 154.94 81.28) (width 0.25) (layer "F.Cu") (net 17) (tstamp fd549008-cb19-4ef2-a1e3-830cb59948bf)) + (via (at 154.94 81.28) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 17) (tstamp bf090046-d0a7-4278-936d-91001a2bb5c6)) + (segment (start 157.465 80.772) (end 155.448 80.772) (width 0.25) (layer "B.Cu") (net 17) (tstamp 604cf45f-5440-4860-a4da-552dae0dbe94)) + (segment (start 155.448 80.772) (end 154.94 81.28) (width 0.25) (layer "B.Cu") (net 17) (tstamp d8bc9585-5bf5-4cb8-a09a-63d8623e11cc)) + (segment (start 151.115 80.772) (end 154.178 80.772) (width 0.25) (layer "F.Cu") (net 18) (tstamp 13ffbb87-8040-46eb-94b8-298e6f718ac2)) + (segment (start 162.990489 79.947489) (end 163.815 80.772) (width 0.25) (layer "F.Cu") (net 18) (tstamp 167cccd8-f02b-47a2-8cb0-d6e70dbbbd32)) + (segment (start 155.002511 79.947489) (end 162.990489 79.947489) (width 0.25) (layer "F.Cu") (net 18) (tstamp 1f7435b5-9853-40b6-b643-f228a19da655)) + (segment (start 154.94 80.01) (end 155.002511 79.947489) (width 0.25) (layer "F.Cu") (net 18) (tstamp 5182cd0d-aac4-47d0-ad20-411f04a648ff)) + (segment (start 154.178 80.772) (end 154.94 80.01) (width 0.25) (layer "F.Cu") (net 18) (tstamp 896fd0bf-b272-4ed7-a49e-360f81719250)) + (via (at 154.94 80.01) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 18) (tstamp 2298cc4c-7908-4962-84fa-2cdfa74bb7b3)) + (segment (start 152.415 80.772) (end 154.178 80.772) (width 0.25) (layer "B.Cu") (net 18) (tstamp 212efa80-a0e6-489c-a25e-f48121d5247a)) + (segment (start 154.178 80.772) (end 154.94 80.01) (width 0.25) (layer "B.Cu") (net 18) (tstamp 72cb8dee-cfcc-4d23-8607-0195b3bc78dc)) + (segment (start 158.765 85.852) (end 155.448 85.852) (width 0.25) (layer "F.Cu") (net 19) (tstamp 06629323-5f9b-46f0-8874-3c750dd8ff1c)) + (segment (start 154.623489 86.676511) (end 154.94 86.36) (width 0.25) (layer "F.Cu") (net 19) (tstamp b1d6e8f6-7bd3-4c49-a03f-032de8f3228b)) + (segment (start 146.889511 86.676511) (end 154.623489 86.676511) (width 0.25) (layer "F.Cu") (net 19) (tstamp b770b475-e29a-4f51-9328-b1ad290524de)) + (segment (start 146.065 85.852) (end 146.889511 86.676511) (width 0.25) (layer "F.Cu") (net 19) (tstamp b8af42ec-f917-4694-93a2-0db9e9a1ba36)) + (segment (start 155.448 85.852) (end 154.94 86.36) (width 0.25) (layer "F.Cu") (net 19) (tstamp c43d2b97-8c05-40e8-bb4e-4bfd04223972)) + (via (at 154.94 86.36) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 19) (tstamp c53af254-4fc1-4586-99ec-0b84389b863e)) + (segment (start 155.448 85.852) (end 154.94 86.36) (width 0.25) (layer "B.Cu") (net 19) (tstamp d7815e13-1322-4208-af44-bf81024946e3)) + (segment (start 157.465 85.852) (end 155.448 85.852) (width 0.25) (layer "B.Cu") (net 19) (tstamp fa70776c-c461-4fbf-b5ae-0bb72663bc8a)) + (segment (start 154.623489 89.216511) (end 154.94 88.9) (width 0.25) (layer "F.Cu") (net 20) (tstamp 59a14497-28f7-47d6-8d13-a91e6a96f2e7)) + (segment (start 146.889511 89.216511) (end 154.623489 89.216511) (width 0.25) (layer "F.Cu") (net 20) (tstamp 6ab526d8-a8cc-4cff-a6dc-e4083b5b243d)) + (segment (start 155.448 88.392) (end 154.94 88.9) (width 0.25) (layer "F.Cu") (net 20) (tstamp 6be27f17-baaf-453a-b299-8724d216134c)) + (segment (start 146.065 88.392) (end 146.889511 89.216511) (width 0.25) (layer "F.Cu") (net 20) (tstamp 964df45b-7cb1-4963-8974-63ed20686099)) + (segment (start 158.765 88.392) (end 155.448 88.392) (width 0.25) (layer "F.Cu") (net 20) (tstamp e2bdf371-18e0-4f26-8857-bbcef0b2d1aa)) + (via (at 154.94 88.9) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 20) (tstamp 92203fd9-b513-46a3-9b65-c104359a857e)) + (segment (start 157.465 88.392) (end 155.448 88.392) (width 0.25) (layer "B.Cu") (net 20) (tstamp 34e65de6-4792-47d9-b729-378701fa5fab)) + (segment (start 155.448 88.392) (end 154.94 88.9) (width 0.25) (layer "B.Cu") (net 20) (tstamp a65537c4-cc6a-48cc-849d-c32d4e5f0ecf)) + (segment (start 146.889511 90.107489) (end 153.607489 90.107489) (width 0.25) (layer "F.Cu") (net 21) (tstamp 010915b2-7ed5-47ce-8c5b-33b52f8cb0aa)) + (segment (start 158.765 90.932) (end 155.448 90.932) (width 0.25) (layer "F.Cu") (net 21) (tstamp 5d7b9bbb-5d27-4145-b8db-877bbcc1128e)) + (segment (start 146.065 90.932) (end 146.889511 90.107489) (width 0.25) (layer "F.Cu") (net 21) (tstamp 6d17f799-66fa-44ec-b4e7-342dd1d3c5cc)) + (segment (start 153.607489 90.107489) (end 154.94 91.44) (width 0.25) (layer "F.Cu") (net 21) (tstamp cfb4c204-3b45-4dc1-9a32-6dfaf0289509)) + (segment (start 155.448 90.932) (end 154.94 91.44) (width 0.25) (layer "F.Cu") (net 21) (tstamp f56bc920-758b-4699-ba8e-e0a766bf01f2)) + (via (at 154.94 91.44) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 21) (tstamp 8a483b24-5cd6-4ffa-b261-7660041972a3)) + (segment (start 157.465 90.932) (end 155.448 90.932) (width 0.25) (layer "B.Cu") (net 21) (tstamp 70a2a68f-ffc9-4631-90d5-d2e0e98a27b7)) + (segment (start 155.448 90.932) (end 154.94 91.44) (width 0.25) (layer "B.Cu") (net 21) (tstamp d90dae70-63ca-4ab7-aa26-5198475ac2cf)) + (segment (start 153.115987 92.155987) (end 154.94 93.98) (width 0.25) (layer "F.Cu") (net 22) (tstamp 48c5d179-7035-44df-b089-dab340b63b57)) + (segment (start 146.065 93.472) (end 147.381013 92.155987) (width 0.25) (layer "F.Cu") (net 22) (tstamp b0861497-7515-4cf3-85f4-09b568797a42)) + (segment (start 147.381013 92.155987) (end 153.115987 92.155987) (width 0.25) (layer "F.Cu") (net 22) (tstamp cee6ff03-21ee-416c-b04f-c35cc7416d1b)) + (segment (start 155.448 93.472) (end 154.94 93.98) (width 0.25) (layer "F.Cu") (net 22) (tstamp d54875cf-c0f3-4e11-8d01-b53eda879027)) + (segment (start 158.765 93.472) (end 155.448 93.472) (width 0.25) (layer "F.Cu") (net 22) (tstamp f2867937-e21b-4865-8d12-cd8fce2c0300)) + (via (at 154.94 93.98) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 22) (tstamp c1e67712-b3b0-4642-b59f-965377b1cc1b)) + (segment (start 155.448 93.472) (end 154.94 93.98) (width 0.25) (layer "B.Cu") (net 22) (tstamp 963cdcb6-1262-44e7-a555-7ca935aba05c)) + (segment (start 157.465 93.472) (end 155.448 93.472) (width 0.25) (layer "B.Cu") (net 22) (tstamp a4bf4221-d66b-409e-a87d-8994858a9ca9)) + (segment (start 154.623489 96.836511) (end 154.94 96.52) (width 0.25) (layer "F.Cu") (net 24) (tstamp 40516d5a-a530-4d01-bd6f-f6e6abcf1176)) + (segment (start 146.889511 96.836511) (end 154.623489 96.836511) (width 0.25) (layer "F.Cu") (net 24) (tstamp 7780e76f-93b6-43c3-b64f-6a5e3ea05614)) + (segment (start 155.448 96.012) (end 154.94 96.52) (width 0.25) (layer "F.Cu") (net 24) (tstamp 8b6c4328-283a-47ba-bce5-dc7f53729af7)) + (segment (start 158.765 96.012) (end 155.448 96.012) (width 0.25) (layer "F.Cu") (net 24) (tstamp 9c2515f9-eff2-487a-8b32-bfa1908c9779)) + (segment (start 146.065 96.012) (end 146.889511 96.836511) (width 0.25) (layer "F.Cu") (net 24) (tstamp cb15b93d-878b-4e1a-8fa8-6f32021d0031)) + (via (at 154.94 96.52) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 24) (tstamp d86667a5-9a10-430f-a40e-3466fec93016)) + (segment (start 157.465 96.012) (end 155.448 96.012) (width 0.25) (layer "B.Cu") (net 24) (tstamp 52015da3-f139-4d3a-be89-a2771cd905b6)) + (segment (start 155.448 96.012) (end 154.94 96.52) (width 0.25) (layer "B.Cu") (net 24) (tstamp 8430a802-6fd0-490b-a217-2b76d3f28d03)) + (segment (start 146.889511 99.376511) (end 154.623489 99.376511) (width 0.25) (layer "F.Cu") (net 25) (tstamp 0339b09d-d2ee-4162-ab24-69b4e281b9ae)) + (segment (start 155.448 98.552) (end 154.94 99.06) (width 0.25) (layer "F.Cu") (net 25) (tstamp 39b00dc9-f3b2-4031-9b0b-3f0b62e09980)) + (segment (start 146.065 98.552) (end 146.889511 99.376511) (width 0.25) (layer "F.Cu") (net 25) (tstamp 3ac1f75b-3682-4302-991c-924af54a4240)) + (segment (start 154.623489 99.376511) (end 154.94 99.06) (width 0.25) (layer "F.Cu") (net 25) (tstamp dc238c5c-385a-435d-ba10-8d28c5d00dbe)) + (segment (start 158.765 98.552) (end 155.448 98.552) (width 0.25) (layer "F.Cu") (net 25) (tstamp f1e0dd3b-df1e-416b-bc3c-324f63be2bee)) + (via (at 154.94 99.06) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 25) (tstamp 69207057-fd3f-432f-b36d-bc3a809d6ea8)) + (segment (start 155.448 98.552) (end 154.94 99.06) (width 0.25) (layer "B.Cu") (net 25) (tstamp 5f995104-58e3-4aeb-9496-ebd3e895bdf3)) + (segment (start 157.465 98.552) (end 155.448 98.552) (width 0.25) (layer "B.Cu") (net 25) (tstamp b650679c-4cf4-4d5b-8ed1-d61f150ffbab)) + (segment (start 154.178 98.552) (end 154.94 97.79) (width 0.25) (layer "F.Cu") (net 26) (tstamp 2f53a2e8-14ca-4330-84fc-ad98d5e4ef44)) + (segment (start 151.115 98.552) (end 154.178 98.552) (width 0.25) (layer "F.Cu") (net 26) (tstamp 38e794f2-3389-48b9-b2ab-8a7aff06be18)) + (segment (start 163.815 98.552) (end 162.990489 97.727489) (width 0.25) (layer "F.Cu") (net 26) (tstamp 6aed2490-933c-4ca0-adbb-34b5a7cf35eb)) + (segment (start 162.990489 97.727489) (end 155.002511 97.727489) (width 0.25) (layer "F.Cu") (net 26) (tstamp 87a91425-62f0-4812-9ab1-8733efb0b235)) + (segment (start 155.002511 97.727489) (end 154.94 97.79) (width 0.25) (layer "F.Cu") (net 26) (tstamp e795868f-e1aa-4de1-b31b-c3cfed0669ff)) + (via (at 154.94 97.79) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 26) (tstamp e6300d4d-0621-4f68-a8b9-f4be4b2b2e41)) + (segment (start 154.178 98.552) (end 154.94 97.79) (width 0.25) (layer "B.Cu") (net 26) (tstamp 371c7504-09f8-4b39-ad8e-f823f56b19dc)) + (segment (start 152.415 98.552) (end 154.178 98.552) (width 0.25) (layer "B.Cu") (net 26) (tstamp 8c817133-af4f-4d29-8f23-4ec2bcfa2d73)) + (segment (start 147.118489 102.145489) (end 154.394511 102.145489) (width 0.25) (layer "F.Cu") (net 27) (tstamp 81b8e45a-abe7-469b-85cc-44c5180ccf17)) + (segment (start 155.448 101.092) (end 154.94 101.6) (width 0.25) (layer "F.Cu") (net 27) (tstamp b43b19da-1c38-4c80-b132-2c53dc07f915)) + (segment (start 146.065 101.092) (end 147.118489 102.145489) (width 0.25) (layer "F.Cu") (net 27) (tstamp bff07044-2cb7-4e17-8c0d-b889686173b9)) + (segment (start 158.765 101.092) (end 155.448 101.092) (width 0.25) (layer "F.Cu") (net 27) (tstamp e1335e3b-89d9-40fe-b33e-00a434eaf20a)) + (segment (start 154.394511 102.145489) (end 154.94 101.6) (width 0.25) (layer "F.Cu") (net 27) (tstamp f3d6c5a7-5ac2-42a1-b264-53576d0b4f0f)) + (via (at 154.94 101.6) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 27) (tstamp 5aeb1d53-41d9-4803-8b99-0c6a2207fd90)) + (segment (start 155.448 101.092) (end 154.94 101.6) (width 0.25) (layer "B.Cu") (net 27) (tstamp 47fc0595-a973-4af1-b63e-98596aac975f)) + (segment (start 157.465 101.092) (end 155.448 101.092) (width 0.25) (layer "B.Cu") (net 27) (tstamp b0ae148e-8da3-4696-93e5-c4b4d886ddaa)) + (segment (start 162.990489 100.267489) (end 155.002511 100.267489) (width 0.25) (layer "F.Cu") (net 28) (tstamp 3f64042b-777c-4a11-a406-bbfafa42996e)) + (segment (start 163.815 101.092) (end 162.990489 100.267489) (width 0.25) (layer "F.Cu") (net 28) (tstamp 712db41c-d76a-44c1-b660-18c829315b5c)) + (segment (start 154.178 101.092) (end 154.94 100.33) (width 0.25) (layer "F.Cu") (net 28) (tstamp 855fa890-1828-43fd-bd4d-2e228b5c9e81)) + (segment (start 155.002511 100.267489) (end 154.94 100.33) (width 0.25) (layer "F.Cu") (net 28) (tstamp 8fb42fb2-685f-489b-b94e-30607600d638)) + (segment (start 151.115 101.092) (end 154.178 101.092) (width 0.25) (layer "F.Cu") (net 28) (tstamp 9e5df6c7-1861-48cf-80bc-b13f641feae5)) + (via (at 154.94 100.33) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 28) (tstamp 92632a21-a6ce-4e85-ab43-a528b997c0c2)) + (segment (start 154.178 101.092) (end 154.94 100.33) (width 0.25) (layer "B.Cu") (net 28) (tstamp 610167f3-6727-4fad-9778-15208ad42c2c)) + (segment (start 152.415 101.092) (end 154.178 101.092) (width 0.25) (layer "B.Cu") (net 28) (tstamp a6570b37-bbfb-4f6b-88b1-85b4c33a3554)) + (segment (start 158.765 103.632) (end 155.448 103.632) (width 0.25) (layer "F.Cu") (net 29) (tstamp 29405261-d0a8-44fb-bff1-e8d728e78844)) + (segment (start 154.623489 104.456511) (end 154.94 104.14) (width 0.25) (layer "F.Cu") (net 29) (tstamp 3694b49e-6e9c-421c-9ae2-399f7fe6bde3)) + (segment (start 155.448 103.632) (end 154.94 104.14) (width 0.25) (layer "F.Cu") (net 29) (tstamp 4e10cf16-fa6d-46ca-a5e5-bde6bd43cc00)) + (segment (start 146.889511 104.456511) (end 154.623489 104.456511) (width 0.25) (layer "F.Cu") (net 29) (tstamp ba74f220-b202-423d-8d91-eca1f9d80ebb)) + (segment (start 146.065 103.632) (end 146.889511 104.456511) (width 0.25) (layer "F.Cu") (net 29) (tstamp ff0e594c-7309-465a-89e0-1f759feca88f)) + (via (at 154.94 104.14) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 29) (tstamp a43e64e7-87d1-4c6d-adc3-4cdcffeea618)) + (segment (start 157.465 103.632) (end 155.448 103.632) (width 0.25) (layer "B.Cu") (net 29) (tstamp 85b585f8-9880-482f-b7b7-112d5cb03275)) + (segment (start 155.448 103.632) (end 154.94 104.14) (width 0.25) (layer "B.Cu") (net 29) (tstamp eb779117-b3d6-4e68-a79c-20f0c95c0fb4)) + (segment (start 151.115 103.632) (end 154.178 103.632) (width 0.25) (layer "F.Cu") (net 30) (tstamp 00cd54a2-64c0-4f6f-b0fa-b1713df63021)) + (segment (start 154.178 103.632) (end 154.94 102.87) (width 0.25) (layer "F.Cu") (net 30) (tstamp 21593cee-aa8a-480f-bc69-efb0ac98c4db)) + (segment (start 163.815 103.632) (end 162.990489 102.807489) (width 0.25) (layer "F.Cu") (net 30) (tstamp 5eeff7f9-590c-4049-96c3-33045b51ed83)) + (segment (start 155.002511 102.807489) (end 154.94 102.87) (width 0.25) (layer "F.Cu") (net 30) (tstamp 6314babc-da82-4542-ade5-a34cede94500)) + (segment (start 162.990489 102.807489) (end 155.002511 102.807489) (width 0.25) (layer "F.Cu") (net 30) (tstamp ebeb7d84-b873-4b1d-9c50-11f1301f3e08)) + (via (at 154.94 102.87) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 30) (tstamp 71d40942-47b0-4039-8e56-f2b2541cd30a)) + (segment (start 154.178 103.632) (end 154.94 102.87) (width 0.25) (layer "B.Cu") (net 30) (tstamp b1325754-758f-4ae6-93a8-ced64af71dd0)) + (segment (start 152.415 103.632) (end 154.178 103.632) (width 0.25) (layer "B.Cu") (net 30) (tstamp fb3e9955-2fac-4870-8632-9c74b09362ba)) + (segment (start 155.448 106.172) (end 154.94 106.68) (width 0.25) (layer "F.Cu") (net 31) (tstamp 03ad871f-a0a7-438d-9579-fbcfa7202313)) + (segment (start 146.889511 106.996511) (end 154.623489 106.996511) (width 0.25) (layer "F.Cu") (net 31) (tstamp 21dbe05f-5eae-4ae0-ab0b-8887ef1befdb)) + (segment (start 154.623489 106.996511) (end 154.94 106.68) (width 0.25) (layer "F.Cu") (net 31) (tstamp 464dc906-b1a7-479e-9b49-4c54284439e1)) + (segment (start 146.065 106.172) (end 146.889511 106.996511) (width 0.25) (layer "F.Cu") (net 31) (tstamp 77899194-c8c9-469d-b4a7-6b0df5821dca)) + (segment (start 158.765 106.172) (end 155.448 106.172) (width 0.25) (layer "F.Cu") (net 31) (tstamp c39a3f5e-d2c9-4f81-a097-5c7dc3af5924)) + (via (at 154.94 106.68) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 31) (tstamp 7d539cd3-0e1f-41cf-81d0-6b3028a8292a)) + (segment (start 155.448 106.172) (end 154.94 106.68) (width 0.25) (layer "B.Cu") (net 31) (tstamp 185565be-cab4-4c68-817c-0db3c31b8d05)) + (segment (start 157.465 106.172) (end 155.448 106.172) (width 0.25) (layer "B.Cu") (net 31) (tstamp 8d6bf7c8-88e2-41b8-b25e-4cee795d5211)) + (segment (start 154.178 106.172) (end 154.94 105.41) (width 0.25) (layer "F.Cu") (net 32) (tstamp 06abff32-9e93-4e8d-9b22-90f24c52c898)) + (segment (start 155.002511 105.347489) (end 154.94 105.41) (width 0.25) (layer "F.Cu") (net 32) (tstamp 2f96017d-7b6b-4d2d-a070-3d877837ab56)) + (segment (start 162.990489 105.347489) (end 155.002511 105.347489) (width 0.25) (layer "F.Cu") (net 32) (tstamp 7363c60a-7a26-490c-945f-04073cfa3e9a)) + (segment (start 163.815 106.172) (end 162.990489 105.347489) (width 0.25) (layer "F.Cu") (net 32) (tstamp 9cdf4a3d-c0a1-49c8-a425-6d01ddb1f944)) + (segment (start 151.115 106.172) (end 154.178 106.172) (width 0.25) (layer "F.Cu") (net 32) (tstamp f87ff2ff-f10c-4b98-be4c-263ce9ca3150)) + (via (at 154.94 105.41) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 32) (tstamp 7fadd522-ad00-4095-a77d-c0d82a2db7cb)) + (segment (start 154.178 106.172) (end 154.94 105.41) (width 0.25) (layer "B.Cu") (net 32) (tstamp 3921996b-74f8-480a-947c-bcfdb9fa2fa7)) + (segment (start 152.415 106.172) (end 154.178 106.172) (width 0.25) (layer "B.Cu") (net 32) (tstamp a483ab94-78c4-4c1b-a8f8-a1c8c47616d3)) + (segment (start 155.448 108.712) (end 154.94 109.22) (width 0.25) (layer "F.Cu") (net 33) (tstamp 5a7870f7-cf8c-4884-bfc7-e2b30df30a73)) + (segment (start 153.607489 107.887489) (end 154.94 109.22) (width 0.25) (layer "F.Cu") (net 33) (tstamp 792d3805-df8e-4b2e-bcc2-36433d936628)) + (segment (start 146.065 108.712) (end 146.889511 107.887489) (width 0.25) (layer "F.Cu") (net 33) (tstamp c3777947-6387-4bda-bc37-6b982dcb36be)) + (segment (start 146.889511 107.887489) (end 153.607489 107.887489) (width 0.25) (layer "F.Cu") (net 33) (tstamp dd685807-6b18-4410-b486-3a32ce152ae3)) + (segment (start 158.765 108.712) (end 155.448 108.712) (width 0.25) (layer "F.Cu") (net 33) (tstamp de48caa5-a1bd-4f2e-9719-790bd1cae0d8)) + (via (at 154.94 109.22) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 33) (tstamp 694cab25-1a48-4c57-9ac3-dfbe1c01addb)) + (segment (start 157.465 108.712) (end 155.448 108.712) (width 0.25) (layer "B.Cu") (net 33) (tstamp 39951ddc-e858-45f1-9ea5-49ccdc188ae3)) + (segment (start 155.448 108.712) (end 154.94 109.22) (width 0.25) (layer "B.Cu") (net 33) (tstamp 3d12c359-f3ce-4aa6-8bac-9265ecc0d03a)) + (segment (start 149.785 111.2) (end 149.225 111.76) (width 0.25) (layer "F.Cu") (net 34) (tstamp 0cd720fa-5426-42ef-b4e1-3025f15401d6)) + (segment (start 148.59 92.880498) (end 149.181502 93.472) (width 0.25) (layer "F.Cu") (net 34) (tstamp 29594809-21e2-455c-908e-c73b1e86bc68)) + (segment (start 152.29 111.2) (end 149.785 111.2) (width 0.25) (layer "F.Cu") (net 34) (tstamp 3e4d3487-52b7-434a-9c8c-1fe267d86fbc)) + (segment (start 149.181502 93.472) (end 151.115 93.472) (width 0.25) (layer "F.Cu") (net 34) (tstamp 58dd5c14-5b95-4df7-99d9-0c75ff3f21bd)) + (segment (start 152.69 111.6) (end 152.29 111.2) (width 0.25) (layer "F.Cu") (net 34) (tstamp bced8dbf-5824-4ddb-83f6-224064dc05f4)) + (via (at 149.225 111.76) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 34) (tstamp 06968dfa-a45e-4c85-80a1-b3e78c7cf0f9)) + (via (at 148.59 92.880498) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 34) (tstamp fded7505-6fce-4b81-90fd-219bd2c8e14c)) + (segment (start 147.865489 93.605009) (end 147.865489 110.400489) (width 0.25) (layer "B.Cu") (net 34) (tstamp 412bb8a2-b81f-4e41-8749-1ac5a36dfc26)) + (segment (start 147.865489 110.400489) (end 149.225 111.76) (width 0.25) (layer "B.Cu") (net 34) (tstamp 892c84ae-e54e-48b8-8dc9-88882202cfc6)) + (segment (start 148.59 92.880498) (end 147.865489 93.605009) (width 0.25) (layer "B.Cu") (net 34) (tstamp dcab89f4-ee7a-428d-a387-cd7ca337bd8f)) + (segment (start 162.672 94.615) (end 163.815 93.472) (width 0.25) (layer "F.Cu") (net 35) (tstamp 050c096f-9893-4f2c-b319-c3bff8345fb8)) + (segment (start 162.56 94.615) (end 162.672 94.615) (width 0.25) (layer "F.Cu") (net 35) (tstamp 2dd33a48-bd2f-4ae9-9597-30f514328e25)) + (segment (start 161.29 111.125) (end 157.665 111.125) (width 0.25) (layer "F.Cu") (net 35) (tstamp 785c2f99-bf3e-43a5-a9fa-2c1cb49be630)) + (segment (start 157.665 111.125) (end 157.19 111.6) (width 0.25) (layer "F.Cu") (net 35) (tstamp cf867ca7-5a13-4524-a58b-716372e2289d)) + (via (at 161.29 111.125) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 35) (tstamp 8aec04d4-901c-463e-81ca-86e417e2a50c)) + (via (at 162.56 94.615) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 35) (tstamp a02a35b8-9a7c-4f00-ab14-ceebced52d91)) + (segment (start 161.29 111.125) (end 162.56 109.855) (width 0.25) (layer "B.Cu") (net 35) (tstamp 18c3a399-ae88-42db-ba44-a8e082fd60cd)) + (segment (start 162.56 109.855) (end 162.56 94.615) (width 0.25) (layer "B.Cu") (net 35) (tstamp cd8b86e8-2539-437c-b0cd-b9cd2703ed1b)) + + (zone (net 2) (net_name "GND") (layers F&B.Cu) (tstamp cf233e9a-316f-48c0-8f4b-27abe39c078a) (hatch edge 0.508) + (connect_pads (clearance 0.508)) + (min_thickness 0.254) (filled_areas_thickness no) + (fill yes (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 172.72 115.57) + (xy 137.16 115.57) + (xy 137.16 53.34) + (xy 172.72 53.34) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 165.578018 58.93) + (xy 165.592852 58.93231) + (xy 165.592855 58.93231) + (xy 165.601724 58.933691) + (xy 165.611659 58.932392) + (xy 165.612746 58.93225) + (xy 165.641431 58.931793) + (xy 165.714741 58.939013) + (xy 165.744212 58.941916) + (xy 165.768432 58.946733) + (xy 165.887546 58.982866) + (xy 165.910355 58.992315) + (xy 166.020124 59.050987) + (xy 166.040655 59.064705) + (xy 166.136876 59.143671) + (xy 166.154329 59.161124) + (xy 166.233295 59.257345) + (xy 166.247013 59.277876) + (xy 166.305685 59.387645) + (xy 166.315134 59.410454) + (xy 166.351267 59.529568) + (xy 166.356084 59.553789) + (xy 166.365541 59.649809) + (xy 166.365091 59.665868) + (xy 166.3658 59.665877) + (xy 166.36569 59.674853) + (xy 166.364309 59.683724) + (xy 166.365473 59.692626) + (xy 166.365473 59.692628) + (xy 166.368436 59.715283) + (xy 166.3695 59.731621) + (xy 166.369499 113.615632) + (xy 166.367999 113.635017) + (xy 166.367268 113.639716) + (xy 166.364308 113.658723) + (xy 166.365472 113.667625) + (xy 166.365749 113.669745) + (xy 166.366206 113.69843) + (xy 166.365541 113.705183) + (xy 166.356083 113.801212) + (xy 166.351264 113.825438) + (xy 166.315136 113.944536) + (xy 166.305685 113.967355) + (xy 166.275189 114.024409) + (xy 166.247012 114.077124) + (xy 166.233294 114.097654) + (xy 166.154328 114.193875) + (xy 166.136875 114.211328) + (xy 166.040654 114.290294) + (xy 166.020127 114.30401) + (xy 165.928771 114.352841) + (xy 165.910356 114.362684) + (xy 165.887546 114.372133) + (xy 165.768432 114.408266) + (xy 165.744211 114.413083) + (xy 165.64819 114.42254) + (xy 165.632131 114.42209) + (xy 165.632122 114.422799) + (xy 165.623146 114.422689) + (xy 165.614275 114.421308) + (xy 165.605373 114.422472) + (xy 165.605371 114.422472) + (xy 165.592855 114.424109) + (xy 165.582713 114.425435) + (xy 165.566378 114.426499) + (xy 159.7245 114.426499) + (xy 159.656379 114.406497) + (xy 159.609886 114.352841) + (xy 159.5985 114.300499) + (xy 159.5985 114.011866) + (xy 159.591745 113.949684) + (xy 159.540615 113.813295) + (xy 159.453261 113.696739) + (xy 159.336705 113.609385) + (xy 159.200316 113.558255) + (xy 159.138134 113.5515) + (xy 158.041866 113.5515) + (xy 157.979684 113.558255) + (xy 157.843295 113.609385) + (xy 157.726739 113.696739) + (xy 157.639385 113.813295) + (xy 157.588255 113.949684) + (xy 157.5815 114.011866) + (xy 157.5815 114.300499) + (xy 157.561498 114.36862) + (xy 157.507842 114.415113) + (xy 157.4555 114.426499) + (xy 156.980279 114.426499) + (xy 156.912158 114.406497) + (xy 156.865665 114.352841) + (xy 156.855561 114.282567) + (xy 156.885055 114.217987) + (xy 156.919192 114.190297) + (xy 156.984271 114.154223) + (xy 156.984274 114.154221) + (xy 156.98985 114.15113) + (xy 156.994691 114.146981) + (xy 156.994695 114.146978) + (xy 157.132855 114.02856) + (xy 157.137698 114.024409) + (xy 157.147428 114.011866) + (xy 157.253131 113.875594) + (xy 157.257046 113.870547) + (xy 157.279243 113.825438) + (xy 157.3402 113.701556) + (xy 157.343018 113.695829) + (xy 157.347779 113.677551) + (xy 157.390492 113.513575) + (xy 157.390492 113.513572) + (xy 157.392102 113.507393) + (xy 157.402293 113.312936) + (xy 157.373175 113.120401) + (xy 157.370972 113.114415) + (xy 157.370971 113.114409) + (xy 157.339181 113.028008) + (xy 157.334431 112.95717) + (xy 157.368732 112.89501) + (xy 157.431195 112.861262) + (xy 157.457431 112.8585) + (xy 157.547725 112.8585) + (xy 157.615846 112.878502) + (xy 157.648551 112.908935) + (xy 157.726739 113.013261) + (xy 157.843295 113.100615) + (xy 157.979684 113.151745) + (xy 158.041866 113.1585) + (xy 159.138134 113.1585) + (xy 159.200316 113.151745) + (xy 159.336705 113.100615) + (xy 159.453261 113.013261) + (xy 159.540615 112.896705) + (xy 159.591745 112.760316) + (xy 159.5985 112.698134) + (xy 159.5985 111.8845) + (xy 159.618502 111.816379) + (xy 159.672158 111.769886) + (xy 159.7245 111.7585) + (xy 160.5818 111.7585) + (xy 160.649921 111.778502) + (xy 160.669147 111.794843) + (xy 160.66942 111.79454) + (xy 160.674332 111.798963) + (xy 160.678747 111.803866) + (xy 160.69597 111.816379) + (xy 160.75391 111.858475) + (xy 160.833248 111.916118) + (xy 160.839276 111.918802) + (xy 160.839278 111.918803) + (xy 161.001681 111.991109) + (xy 161.007712 111.993794) + (xy 161.101112 112.013647) + (xy 161.188056 112.032128) + (xy 161.188061 112.032128) + (xy 161.194513 112.0335) + (xy 161.385487 112.0335) + (xy 161.391939 112.032128) + (xy 161.391944 112.032128) + (xy 161.478888 112.013647) + (xy 161.572288 111.993794) + (xy 161.578319 111.991109) + (xy 161.740722 111.918803) + (xy 161.740724 111.918802) + (xy 161.746752 111.916118) + (xy 161.901253 111.803866) + (xy 162.02904 111.661944) + (xy 162.124527 111.496556) + (xy 162.183542 111.314928) + (xy 162.203504 111.125) + (xy 162.198485 111.077251) + (xy 162.184232 110.941635) + (xy 162.184232 110.941633) + (xy 162.183542 110.935072) + (xy 162.124527 110.753444) + (xy 162.103367 110.716793) + (xy 162.074293 110.666437) + (xy 162.02904 110.588056) + (xy 162.023161 110.581526) + (xy 161.905675 110.451045) + (xy 161.905674 110.451044) + (xy 161.901253 110.446134) + (xy 161.758436 110.342371) + (xy 161.752094 110.337763) + (xy 161.752093 110.337762) + (xy 161.746752 110.333882) + (xy 161.740724 110.331198) + (xy 161.740722 110.331197) + (xy 161.578319 110.258891) + (xy 161.578318 110.258891) + (xy 161.572288 110.256206) + (xy 161.478888 110.236353) + (xy 161.391944 110.217872) + (xy 161.391939 110.217872) + (xy 161.385487 110.2165) + (xy 161.194513 110.2165) + (xy 161.188061 110.217872) + (xy 161.188056 110.217872) + (xy 161.101112 110.236353) + (xy 161.007712 110.256206) + (xy 161.001682 110.258891) + (xy 161.001681 110.258891) + (xy 160.839278 110.331197) + (xy 160.839276 110.331198) + (xy 160.833248 110.333882) + (xy 160.827907 110.337762) + (xy 160.827906 110.337763) + (xy 160.74682 110.396676) + (xy 160.678747 110.446134) + (xy 160.674332 110.451037) + (xy 160.66942 110.45546) + (xy 160.668295 110.454211) + (xy 160.614986 110.487051) + (xy 160.5818 110.4915) + (xy 157.951589 110.4915) + (xy 157.883468 110.471498) + (xy 157.876024 110.466326) + (xy 157.864852 110.457953) + (xy 157.786705 110.399385) + (xy 157.650316 110.348255) + (xy 157.588134 110.3415) + (xy 156.791866 110.3415) + (xy 156.729684 110.348255) + (xy 156.593295 110.399385) + (xy 156.536099 110.442251) + (xy 156.515148 110.457953) + (xy 156.448642 110.482801) + (xy 156.379259 110.467748) + (xy 156.364018 110.457953) + (xy 156.293648 110.405214) + (xy 156.278054 110.396676) + (xy 156.157606 110.351522) + (xy 156.142351 110.347895) + (xy 156.091486 110.342369) + (xy 156.084672 110.342) + (xy 155.962115 110.342) + (xy 155.946876 110.346475) + (xy 155.945671 110.347865) + (xy 155.944 110.355548) + (xy 155.944 111.728) + (xy 155.923998 111.796121) + (xy 155.870342 111.842614) + (xy 155.818 111.854) + (xy 154.850116 111.854) + (xy 154.834877 111.858475) + (xy 154.833672 111.859865) + (xy 154.832001 111.867548) + (xy 154.832001 112.394669) + (xy 154.832371 112.40149) + (xy 154.837895 112.452352) + (xy 154.841521 112.467604) + (xy 154.886676 112.588054) + (xy 154.895214 112.603649) + (xy 154.971715 112.705724) + (xy 154.984276 112.718285) + (xy 155.086351 112.794786) + (xy 155.101946 112.803324) + (xy 155.222394 112.848478) + (xy 155.237649 112.852105) + (xy 155.288514 112.857631) + (xy 155.295328 112.858) + (xy 155.418179 112.858) + (xy 155.4863 112.878002) + (xy 155.532793 112.931658) + (xy 155.542897 113.001932) + (xy 155.537499 113.023121) + (xy 155.536982 113.024171) + (xy 155.487898 113.212607) + (xy 155.477707 113.407064) + (xy 155.506825 113.599599) + (xy 155.509028 113.605585) + (xy 155.509029 113.605591) + (xy 155.57186 113.77636) + (xy 155.571862 113.776365) + (xy 155.574063 113.782346) + (xy 155.600781 113.825438) + (xy 155.658639 113.918752) + (xy 155.676674 113.94784) + (xy 155.810466 114.089322) + (xy 155.815696 114.092984) + (xy 155.815697 114.092985) + (xy 155.964655 114.197286) + (xy 156.008984 114.252743) + (xy 156.016293 114.323362) + (xy 155.984263 114.386723) + (xy 155.923061 114.422708) + (xy 155.892385 114.426499) + (xy 153.980279 114.426499) + (xy 153.912158 114.406497) + (xy 153.865665 114.352841) + (xy 153.855561 114.282567) + (xy 153.885055 114.217987) + (xy 153.919192 114.190297) + (xy 153.984271 114.154223) + (xy 153.984274 114.154221) + (xy 153.98985 114.15113) + (xy 153.994691 114.146981) + (xy 153.994695 114.146978) + (xy 154.132855 114.02856) + (xy 154.137698 114.024409) + (xy 154.147428 114.011866) + (xy 154.253131 113.875594) + (xy 154.257046 113.870547) + (xy 154.279243 113.825438) + (xy 154.3402 113.701556) + (xy 154.343018 113.695829) + (xy 154.347779 113.677551) + (xy 154.390492 113.513575) + (xy 154.390492 113.513572) + (xy 154.392102 113.507393) + (xy 154.402293 113.312936) + (xy 154.373175 113.120401) + (xy 154.370972 113.114415) + (xy 154.370971 113.114409) + (xy 154.30814 112.94364) + (xy 154.308138 112.943635) + (xy 154.305937 112.937654) + (xy 154.203326 112.77216) + (xy 154.069534 112.630678) + (xy 154.030933 112.603649) + (xy 153.915259 112.522654) + (xy 153.910025 112.518989) + (xy 153.791281 112.467604) + (xy 153.737175 112.44419) + (xy 153.737171 112.444189) + (xy 153.731316 112.441655) + (xy 153.725069 112.44035) + (xy 153.725066 112.440349) + (xy 153.648734 112.424403) + (xy 153.586143 112.390894) + (xy 153.551605 112.328865) + (xy 153.5485 112.301066) + (xy 153.5485 111.327885) + (xy 154.832 111.327885) + (xy 154.836475 111.343124) + (xy 154.837865 111.344329) + (xy 154.845548 111.346) + (xy 155.417885 111.346) + (xy 155.433124 111.341525) + (xy 155.434329 111.340135) + (xy 155.436 111.332452) + (xy 155.436 110.360116) + (xy 155.431525 110.344877) + (xy 155.430135 110.343672) + (xy 155.422452 110.342001) + (xy 155.295331 110.342001) + (xy 155.28851 110.342371) + (xy 155.237648 110.347895) + (xy 155.222396 110.351521) + (xy 155.101946 110.396676) + (xy 155.086351 110.405214) + (xy 154.984276 110.481715) + (xy 154.971715 110.494276) + (xy 154.895214 110.596351) + (xy 154.886676 110.611946) + (xy 154.841522 110.732394) + (xy 154.837895 110.747649) + (xy 154.832369 110.798514) + (xy 154.832 110.805328) + (xy 154.832 111.327885) + (xy 153.5485 111.327885) + (xy 153.5485 110.801866) + (xy 153.541745 110.739684) + (xy 153.490615 110.603295) + (xy 153.403261 110.486739) + (xy 153.286705 110.399385) + (xy 153.150316 110.348255) + (xy 153.088134 110.3415) + (xy 152.291866 110.3415) + (xy 152.229684 110.348255) + (xy 152.093295 110.399385) + (xy 151.976739 110.486739) + (xy 151.954759 110.516067) + (xy 151.8979 110.55858) + (xy 151.853934 110.5665) + (xy 149.863768 110.5665) + (xy 149.852585 110.565973) + (xy 149.845092 110.564298) + (xy 149.837166 110.564547) + (xy 149.837165 110.564547) + (xy 149.777002 110.566438) + (xy 149.773044 110.5665) + (xy 149.745144 110.5665) + (xy 149.741154 110.567004) + (xy 149.72932 110.567936) + (xy 149.685111 110.569326) + (xy 149.677495 110.571539) + (xy 149.677493 110.571539) + (xy 149.665652 110.574979) + (xy 149.646293 110.578988) + (xy 149.644983 110.579154) + (xy 149.626203 110.581526) + (xy 149.618837 110.584442) + (xy 149.618831 110.584444) + (xy 149.585098 110.5978) + (xy 149.573868 110.601645) + (xy 149.568189 110.603295) + (xy 149.531407 110.613981) + (xy 149.524584 110.618016) + (xy 149.513966 110.624295) + (xy 149.496213 110.632992) + (xy 149.488568 110.636019) + (xy 149.477383 110.640448) + (xy 149.470968 110.645109) + (xy 149.441612 110.666437) + (xy 149.431695 110.672951) + (xy 149.393638 110.695458) + (xy 149.379317 110.709779) + (xy 149.364284 110.722619) + (xy 149.347893 110.734528) + (xy 149.34284 110.740636) + (xy 149.319708 110.768598) + (xy 149.311718 110.777378) + (xy 149.274501 110.814595) + (xy 149.212189 110.848621) + (xy 149.185406 110.8515) + (xy 149.129513 110.8515) + (xy 149.123061 110.852872) + (xy 149.123056 110.852872) + (xy 149.036113 110.871353) + (xy 148.942712 110.891206) + (xy 148.936682 110.893891) + (xy 148.936681 110.893891) + (xy 148.774278 110.966197) + (xy 148.774276 110.966198) + (xy 148.768248 110.968882) + (xy 148.613747 111.081134) + (xy 148.48596 111.223056) + (xy 148.390473 111.388444) + (xy 148.331458 111.570072) + (xy 148.311496 111.76) + (xy 148.312186 111.766565) + (xy 148.328187 111.918803) + (xy 148.331458 111.949928) + (xy 148.390473 112.131556) + (xy 148.48596 112.296944) + (xy 148.490378 112.301851) + (xy 148.490379 112.301852) + (xy 148.581764 112.403345) + (xy 148.613747 112.438866) + (xy 148.662745 112.474465) + (xy 148.720535 112.516452) + (xy 148.768248 112.551118) + (xy 148.774276 112.553802) + (xy 148.774278 112.553803) + (xy 148.851208 112.588054) + (xy 148.942712 112.628794) + (xy 149.036112 112.648647) + (xy 149.123056 112.667128) + (xy 149.123061 112.667128) + (xy 149.129513 112.6685) + (xy 149.320487 112.6685) + (xy 149.326939 112.667128) + (xy 149.326944 112.667128) + (xy 149.413888 112.648647) + (xy 149.507288 112.628794) + (xy 149.598792 112.588054) + (xy 149.675722 112.553803) + (xy 149.675724 112.553802) + (xy 149.681752 112.551118) + (xy 149.729466 112.516452) + (xy 149.787255 112.474465) + (xy 149.836253 112.438866) + (xy 149.868236 112.403345) + (xy 149.959621 112.301852) + (xy 149.959622 112.301851) + (xy 149.96404 112.296944) + (xy 150.046382 112.154324) + (xy 150.097763 112.105332) + (xy 150.167477 112.091896) + (xy 150.233388 112.118282) + (xy 150.27457 112.176114) + (xy 150.2815 112.217325) + (xy 150.2815 112.698134) + (xy 150.288255 112.760316) + (xy 150.339385 112.896705) + (xy 150.426739 113.013261) + (xy 150.543295 113.100615) + (xy 150.679684 113.151745) + (xy 150.741866 113.1585) + (xy 151.838134 113.1585) + (xy 151.900316 113.151745) + (xy 152.036705 113.100615) + (xy 152.153261 113.013261) + (xy 152.231449 112.908935) + (xy 152.288308 112.86642) + (xy 152.332275 112.8585) + (xy 152.417992 112.8585) + (xy 152.486113 112.878502) + (xy 152.532606 112.932158) + (xy 152.54271 113.002432) + (xy 152.537378 113.023366) + (xy 152.536982 113.024171) + (xy 152.535375 113.030341) + (xy 152.535373 113.030346) + (xy 152.489508 113.206425) + (xy 152.487898 113.212607) + (xy 152.477707 113.407064) + (xy 152.506825 113.599599) + (xy 152.509028 113.605585) + (xy 152.509029 113.605591) + (xy 152.57186 113.77636) + (xy 152.571862 113.776365) + (xy 152.574063 113.782346) + (xy 152.600781 113.825438) + (xy 152.658639 113.918752) + (xy 152.676674 113.94784) + (xy 152.810466 114.089322) + (xy 152.815696 114.092984) + (xy 152.815697 114.092985) + (xy 152.964655 114.197286) + (xy 153.008984 114.252743) + (xy 153.016293 114.323362) + (xy 152.984263 114.386723) + (xy 152.923061 114.422708) + (xy 152.892385 114.426499) + (xy 152.4245 114.426499) + (xy 152.356379 114.406497) + (xy 152.309886 114.352841) + (xy 152.2985 114.300499) + (xy 152.2985 114.011866) + (xy 152.291745 113.949684) + (xy 152.240615 113.813295) + (xy 152.153261 113.696739) + (xy 152.036705 113.609385) + (xy 151.900316 113.558255) + (xy 151.838134 113.5515) + (xy 150.741866 113.5515) + (xy 150.679684 113.558255) + (xy 150.543295 113.609385) + (xy 150.426739 113.696739) + (xy 150.339385 113.813295) + (xy 150.288255 113.949684) + (xy 150.2815 114.011866) + (xy 150.2815 114.300499) + (xy 150.261498 114.36862) + (xy 150.207842 114.415113) + (xy 150.1555 114.426499) + (xy 144.321367 114.426499) + (xy 144.301982 114.424999) + (xy 144.287148 114.422689) + (xy 144.287145 114.422689) + (xy 144.278276 114.421308) + (xy 144.268341 114.422607) + (xy 144.267254 114.422749) + (xy 144.238569 114.423206) + (xy 144.165259 114.415986) + (xy 144.135788 114.413083) + (xy 144.111568 114.408266) + (xy 143.992454 114.372133) + (xy 143.969644 114.362684) + (xy 143.951229 114.352841) + (xy 143.859873 114.30401) + (xy 143.839346 114.290294) + (xy 143.743125 114.211328) + (xy 143.725672 114.193875) + (xy 143.646707 114.097656) + (xy 143.63299 114.077127) + (xy 143.604811 114.024409) + (xy 143.574315 113.967355) + (xy 143.564865 113.944542) + (xy 143.528732 113.825427) + (xy 143.523916 113.801208) + (xy 143.522593 113.787768) + (xy 143.514459 113.705181) + (xy 143.514912 113.689129) + (xy 143.5142 113.68912) + (xy 143.51431 113.680147) + (xy 143.515691 113.671275) + (xy 143.51289 113.649851) + (xy 143.511564 113.639716) + (xy 143.5105 113.623378) + (xy 143.5105 109.260134) + (xy 143.9815 109.260134) + (xy 143.988255 109.322316) + (xy 144.039385 109.458705) + (xy 144.126739 109.575261) + (xy 144.243295 109.662615) + (xy 144.379684 109.713745) + (xy 144.441866 109.7205) + (xy 147.688134 109.7205) + (xy 147.750316 109.713745) + (xy 147.886705 109.662615) + (xy 148.003261 109.575261) + (xy 148.090615 109.458705) + (xy 148.141745 109.322316) + (xy 148.1485 109.260134) + (xy 148.1485 109.256669) + (xy 149.032001 109.256669) + (xy 149.032371 109.26349) + (xy 149.037895 109.314352) + (xy 149.041521 109.329604) + (xy 149.086676 109.450054) + (xy 149.095214 109.465649) + (xy 149.171715 109.567724) + (xy 149.184276 109.580285) + (xy 149.286351 109.656786) + (xy 149.301946 109.665324) + (xy 149.422394 109.710478) + (xy 149.437649 109.714105) + (xy 149.488514 109.719631) + (xy 149.495328 109.72) + (xy 150.842885 109.72) + (xy 150.858124 109.715525) + (xy 150.859329 109.714135) + (xy 150.861 109.706452) + (xy 150.861 109.701884) + (xy 151.369 109.701884) + (xy 151.373475 109.717123) + (xy 151.374865 109.718328) + (xy 151.382548 109.719999) + (xy 152.734669 109.719999) + (xy 152.74149 109.719629) + (xy 152.792352 109.714105) + (xy 152.807604 109.710479) + (xy 152.928054 109.665324) + (xy 152.943649 109.656786) + (xy 153.045724 109.580285) + (xy 153.058285 109.567724) + (xy 153.134786 109.465649) + (xy 153.143324 109.450054) + (xy 153.188478 109.329606) + (xy 153.192105 109.314351) + (xy 153.197631 109.263486) + (xy 153.198 109.256672) + (xy 153.198 108.984115) + (xy 153.193525 108.968876) + (xy 153.192135 108.967671) + (xy 153.184452 108.966) + (xy 151.387115 108.966) + (xy 151.371876 108.970475) + (xy 151.370671 108.971865) + (xy 151.369 108.979548) + (xy 151.369 109.701884) + (xy 150.861 109.701884) + (xy 150.861 108.984115) + (xy 150.856525 108.968876) + (xy 150.855135 108.967671) + (xy 150.847452 108.966) + (xy 149.050116 108.966) + (xy 149.034877 108.970475) + (xy 149.033672 108.971865) + (xy 149.032001 108.979548) + (xy 149.032001 109.256669) + (xy 148.1485 109.256669) + (xy 148.1485 108.646989) + (xy 148.168502 108.578868) + (xy 148.222158 108.532375) + (xy 148.2745 108.520989) + (xy 153.292895 108.520989) + (xy 153.361016 108.540991) + (xy 153.38199 108.557894) + (xy 153.992878 109.168782) + (xy 154.026904 109.231094) + (xy 154.029092 109.244703) + (xy 154.046458 109.409928) + (xy 154.105473 109.591556) + (xy 154.20096 109.756944) + (xy 154.328747 109.898866) + (xy 154.483248 110.011118) + (xy 154.489276 110.013802) + (xy 154.489278 110.013803) + (xy 154.651681 110.086109) + (xy 154.657712 110.088794) + (xy 154.751113 110.108647) + (xy 154.838056 110.127128) + (xy 154.838061 110.127128) + (xy 154.844513 110.1285) + (xy 155.035487 110.1285) + (xy 155.041939 110.127128) + (xy 155.041944 110.127128) + (xy 155.128887 110.108647) + (xy 155.222288 110.088794) + (xy 155.228319 110.086109) + (xy 155.390722 110.013803) + (xy 155.390724 110.013802) + (xy 155.396752 110.011118) + (xy 155.551253 109.898866) + (xy 155.67904 109.756944) + (xy 155.774527 109.591556) + (xy 155.826187 109.432564) + (xy 155.866261 109.373958) + (xy 155.931657 109.346321) + (xy 155.94602 109.3455) + (xy 156.609618 109.3455) + (xy 156.677739 109.365502) + (xy 156.724232 109.419158) + (xy 156.727599 109.427269) + (xy 156.736231 109.450293) + (xy 156.739385 109.458705) + (xy 156.826739 109.575261) + (xy 156.943295 109.662615) + (xy 157.079684 109.713745) + (xy 157.141866 109.7205) + (xy 160.388134 109.7205) + (xy 160.450316 109.713745) + (xy 160.586705 109.662615) + (xy 160.703261 109.575261) + (xy 160.790615 109.458705) + (xy 160.841745 109.322316) + (xy 160.8485 109.260134) + (xy 160.8485 109.256669) + (xy 161.732001 109.256669) + (xy 161.732371 109.26349) + (xy 161.737895 109.314352) + (xy 161.741521 109.329604) + (xy 161.786676 109.450054) + (xy 161.795214 109.465649) + (xy 161.871715 109.567724) + (xy 161.884276 109.580285) + (xy 161.986351 109.656786) + (xy 162.001946 109.665324) + (xy 162.122394 109.710478) + (xy 162.137649 109.714105) + (xy 162.188514 109.719631) + (xy 162.195328 109.72) + (xy 163.542885 109.72) + (xy 163.558124 109.715525) + (xy 163.559329 109.714135) + (xy 163.561 109.706452) + (xy 163.561 109.701884) + (xy 164.069 109.701884) + (xy 164.073475 109.717123) + (xy 164.074865 109.718328) + (xy 164.082548 109.719999) + (xy 165.434669 109.719999) + (xy 165.44149 109.719629) + (xy 165.492352 109.714105) + (xy 165.507604 109.710479) + (xy 165.628054 109.665324) + (xy 165.643649 109.656786) + (xy 165.745724 109.580285) + (xy 165.758285 109.567724) + (xy 165.834786 109.465649) + (xy 165.843324 109.450054) + (xy 165.888478 109.329606) + (xy 165.892105 109.314351) + (xy 165.897631 109.263486) + (xy 165.898 109.256672) + (xy 165.898 108.984115) + (xy 165.893525 108.968876) + (xy 165.892135 108.967671) + (xy 165.884452 108.966) + (xy 164.087115 108.966) + (xy 164.071876 108.970475) + (xy 164.070671 108.971865) + (xy 164.069 108.979548) + (xy 164.069 109.701884) + (xy 163.561 109.701884) + (xy 163.561 108.984115) + (xy 163.556525 108.968876) + (xy 163.555135 108.967671) + (xy 163.547452 108.966) + (xy 161.750116 108.966) + (xy 161.734877 108.970475) + (xy 161.733672 108.971865) + (xy 161.732001 108.979548) + (xy 161.732001 109.256669) + (xy 160.8485 109.256669) + (xy 160.8485 108.439885) + (xy 161.732 108.439885) + (xy 161.736475 108.455124) + (xy 161.737865 108.456329) + (xy 161.745548 108.458) + (xy 163.542885 108.458) + (xy 163.558124 108.453525) + (xy 163.559329 108.452135) + (xy 163.561 108.444452) + (xy 163.561 108.439885) + (xy 164.069 108.439885) + (xy 164.073475 108.455124) + (xy 164.074865 108.456329) + (xy 164.082548 108.458) + (xy 165.879884 108.458) + (xy 165.895123 108.453525) + (xy 165.896328 108.452135) + (xy 165.897999 108.444452) + (xy 165.897999 108.167331) + (xy 165.897629 108.16051) + (xy 165.892105 108.109648) + (xy 165.888479 108.094396) + (xy 165.843324 107.973946) + (xy 165.834786 107.958351) + (xy 165.758285 107.856276) + (xy 165.745724 107.843715) + (xy 165.643649 107.767214) + (xy 165.628054 107.758676) + (xy 165.507606 107.713522) + (xy 165.492351 107.709895) + (xy 165.441486 107.704369) + (xy 165.434672 107.704) + (xy 164.087115 107.704) + (xy 164.071876 107.708475) + (xy 164.070671 107.709865) + (xy 164.069 107.717548) + (xy 164.069 108.439885) + (xy 163.561 108.439885) + (xy 163.561 107.722116) + (xy 163.556525 107.706877) + (xy 163.555135 107.705672) + (xy 163.547452 107.704001) + (xy 162.195331 107.704001) + (xy 162.18851 107.704371) + (xy 162.137648 107.709895) + (xy 162.122396 107.713521) + (xy 162.001946 107.758676) + (xy 161.986351 107.767214) + (xy 161.884276 107.843715) + (xy 161.871715 107.856276) + (xy 161.795214 107.958351) + (xy 161.786676 107.973946) + (xy 161.741522 108.094394) + (xy 161.737895 108.109649) + (xy 161.732369 108.160514) + (xy 161.732 108.167328) + (xy 161.732 108.439885) + (xy 160.8485 108.439885) + (xy 160.8485 108.163866) + (xy 160.841745 108.101684) + (xy 160.790615 107.965295) + (xy 160.703261 107.848739) + (xy 160.586705 107.761385) + (xy 160.450316 107.710255) + (xy 160.388134 107.7035) + (xy 157.141866 107.7035) + (xy 157.079684 107.710255) + (xy 156.943295 107.761385) + (xy 156.826739 107.848739) + (xy 156.739385 107.965295) + (xy 156.736233 107.973703) + (xy 156.736231 107.973707) + (xy 156.727599 107.996731) + (xy 156.684957 108.053495) + (xy 156.618395 108.078194) + (xy 156.609618 108.0785) + (xy 155.526763 108.0785) + (xy 155.515579 108.077973) + (xy 155.508091 108.076299) + (xy 155.500168 108.076548) + (xy 155.440033 108.078438) + (xy 155.436075 108.0785) + (xy 155.408144 108.0785) + (xy 155.404229 108.078995) + (xy 155.404225 108.078995) + (xy 155.404167 108.079003) + (xy 155.404138 108.079006) + (xy 155.392296 108.079939) + (xy 155.34811 108.081327) + (xy 155.330744 108.086372) + (xy 155.328658 108.086978) + (xy 155.309306 108.090986) + (xy 155.297068 108.092532) + (xy 155.297066 108.092533) + (xy 155.289203 108.093526) + (xy 155.248086 108.109806) + (xy 155.236885 108.113641) + (xy 155.194406 108.125982) + (xy 155.187587 108.130015) + (xy 155.187582 108.130017) + (xy 155.176971 108.136293) + (xy 155.159221 108.14499) + (xy 155.140383 108.152448) + (xy 155.133967 108.157109) + (xy 155.133966 108.15711) + (xy 155.104625 108.178428) + (xy 155.094701 108.184947) + (xy 155.06346 108.203422) + (xy 155.063455 108.203426) + (xy 155.056637 108.207458) + (xy 155.042313 108.221782) + (xy 155.027275 108.234626) + (xy 155.011963 108.24575) + (xy 154.945095 108.269606) + (xy 154.875944 108.253523) + (xy 154.84881 108.232906) + (xy 154.461573 107.845668) + (xy 154.427548 107.783356) + (xy 154.432613 107.71254) + (xy 154.47516 107.655705) + (xy 154.54168 107.630894) + (xy 154.558352 107.631085) + (xy 154.563398 107.632213) + (xy 154.571319 107.631964) + (xy 154.631475 107.630073) + (xy 154.635434 107.630011) + (xy 154.663345 107.630011) + (xy 154.66728 107.629514) + (xy 154.667345 107.629506) + (xy 154.679182 107.628573) + (xy 154.71144 107.627559) + (xy 154.715459 107.627433) + (xy 154.723378 107.627184) + (xy 154.742832 107.621532) + (xy 154.762189 107.617524) + (xy 154.774419 107.615979) + (xy 154.77442 107.615979) + (xy 154.782286 107.614985) + (xy 154.789657 107.612066) + (xy 154.789659 107.612066) + (xy 154.823392 107.59871) + (xy 154.834634 107.594861) + (xy 154.83932 107.5935) + (xy 154.874463 107.5885) + (xy 155.035487 107.5885) + (xy 155.041939 107.587128) + (xy 155.041944 107.587128) + (xy 155.128888 107.568647) + (xy 155.222288 107.548794) + (xy 155.363257 107.486031) + (xy 155.390722 107.473803) + (xy 155.390724 107.473802) + (xy 155.396752 107.471118) + (xy 155.551253 107.358866) + (xy 155.67904 107.216944) + (xy 155.774527 107.051556) + (xy 155.826187 106.892564) + (xy 155.866261 106.833958) + (xy 155.931657 106.806321) + (xy 155.94602 106.8055) + (xy 156.609618 106.8055) + (xy 156.677739 106.825502) + (xy 156.724232 106.879158) + (xy 156.727599 106.887269) + (xy 156.736231 106.910293) + (xy 156.739385 106.918705) + (xy 156.826739 107.035261) + (xy 156.943295 107.122615) + (xy 157.079684 107.173745) + (xy 157.141866 107.1805) + (xy 160.388134 107.1805) + (xy 160.450316 107.173745) + (xy 160.586705 107.122615) + (xy 160.703261 107.035261) + (xy 160.790615 106.918705) + (xy 160.841745 106.782316) + (xy 160.8485 106.720134) + (xy 160.8485 106.106989) + (xy 160.868502 106.038868) + (xy 160.922158 105.992375) + (xy 160.9745 105.980989) + (xy 161.6055 105.980989) + (xy 161.673621 106.000991) + (xy 161.720114 106.054647) + (xy 161.7315 106.106989) + (xy 161.7315 106.720134) + (xy 161.738255 106.782316) + (xy 161.789385 106.918705) + (xy 161.876739 107.035261) + (xy 161.993295 107.122615) + (xy 162.129684 107.173745) + (xy 162.191866 107.1805) + (xy 165.438134 107.1805) + (xy 165.500316 107.173745) + (xy 165.636705 107.122615) + (xy 165.753261 107.035261) + (xy 165.840615 106.918705) + (xy 165.891745 106.782316) + (xy 165.8985 106.720134) + (xy 165.8985 105.623866) + (xy 165.891745 105.561684) + (xy 165.840615 105.425295) + (xy 165.753261 105.308739) + (xy 165.636705 105.221385) + (xy 165.500316 105.170255) + (xy 165.438134 105.1635) + (xy 163.754595 105.1635) + (xy 163.686474 105.143498) + (xy 163.6655 105.126595) + (xy 163.494141 104.955236) + (xy 163.486601 104.94695) + (xy 163.482489 104.940471) + (xy 163.432837 104.893845) + (xy 163.429996 104.891091) + (xy 163.410259 104.871354) + (xy 163.407062 104.868874) + (xy 163.398041 104.86117) + (xy 163.395039 104.858351) + (xy 163.359073 104.797139) + (xy 163.36191 104.726199) + (xy 163.40265 104.668054) + (xy 163.468358 104.641166) + (xy 163.481291 104.6405) + (xy 165.438134 104.6405) + (xy 165.500316 104.633745) + (xy 165.636705 104.582615) + (xy 165.753261 104.495261) + (xy 165.840615 104.378705) + (xy 165.891745 104.242316) + (xy 165.8985 104.180134) + (xy 165.8985 103.083866) + (xy 165.891745 103.021684) + (xy 165.840615 102.885295) + (xy 165.753261 102.768739) + (xy 165.636705 102.681385) + (xy 165.500316 102.630255) + (xy 165.438134 102.6235) + (xy 163.754595 102.6235) + (xy 163.686474 102.603498) + (xy 163.6655 102.586595) + (xy 163.494141 102.415236) + (xy 163.486601 102.40695) + (xy 163.482489 102.400471) + (xy 163.432837 102.353845) + (xy 163.429996 102.351091) + (xy 163.410259 102.331354) + (xy 163.407062 102.328874) + (xy 163.398041 102.32117) + (xy 163.395039 102.318351) + (xy 163.359073 102.257139) + (xy 163.36191 102.186199) + (xy 163.40265 102.128054) + (xy 163.468358 102.101166) + (xy 163.481291 102.1005) + (xy 165.438134 102.1005) + (xy 165.500316 102.093745) + (xy 165.636705 102.042615) + (xy 165.753261 101.955261) + (xy 165.840615 101.838705) + (xy 165.891745 101.702316) + (xy 165.8985 101.640134) + (xy 165.8985 100.543866) + (xy 165.891745 100.481684) + (xy 165.840615 100.345295) + (xy 165.753261 100.228739) + (xy 165.636705 100.141385) + (xy 165.500316 100.090255) + (xy 165.438134 100.0835) + (xy 163.754595 100.0835) + (xy 163.686474 100.063498) + (xy 163.6655 100.046595) + (xy 163.494141 99.875236) + (xy 163.486601 99.86695) + (xy 163.482489 99.860471) + (xy 163.432837 99.813845) + (xy 163.429996 99.811091) + (xy 163.410259 99.791354) + (xy 163.407062 99.788874) + (xy 163.398041 99.78117) + (xy 163.395039 99.778351) + (xy 163.359073 99.717139) + (xy 163.36191 99.646199) + (xy 163.40265 99.588054) + (xy 163.468358 99.561166) + (xy 163.481291 99.5605) + (xy 165.438134 99.5605) + (xy 165.500316 99.553745) + (xy 165.636705 99.502615) + (xy 165.753261 99.415261) + (xy 165.840615 99.298705) + (xy 165.891745 99.162316) + (xy 165.8985 99.100134) + (xy 165.8985 98.003866) + (xy 165.891745 97.941684) + (xy 165.840615 97.805295) + (xy 165.753261 97.688739) + (xy 165.636705 97.601385) + (xy 165.500316 97.550255) + (xy 165.438134 97.5435) + (xy 163.754595 97.5435) + (xy 163.686474 97.523498) + (xy 163.6655 97.506595) + (xy 163.494141 97.335236) + (xy 163.486601 97.32695) + (xy 163.482489 97.320471) + (xy 163.432837 97.273845) + (xy 163.429996 97.271091) + (xy 163.410259 97.251354) + (xy 163.407062 97.248875) + (xy 163.398035 97.241164) + (xy 163.394501 97.237845) + (xy 163.358539 97.176631) + (xy 163.361381 97.105691) + (xy 163.402124 97.047549) + (xy 163.467834 97.020665) + (xy 163.480759 97.02) + (xy 163.542885 97.02) + (xy 163.558124 97.015525) + (xy 163.559329 97.014135) + (xy 163.561 97.006452) + (xy 163.561 97.001884) + (xy 164.069 97.001884) + (xy 164.073475 97.017123) + (xy 164.074865 97.018328) + (xy 164.082548 97.019999) + (xy 165.434669 97.019999) + (xy 165.44149 97.019629) + (xy 165.492352 97.014105) + (xy 165.507604 97.010479) + (xy 165.628054 96.965324) + (xy 165.643649 96.956786) + (xy 165.745724 96.880285) + (xy 165.758285 96.867724) + (xy 165.834786 96.765649) + (xy 165.843324 96.750054) + (xy 165.888478 96.629606) + (xy 165.892105 96.614351) + (xy 165.897631 96.563486) + (xy 165.898 96.556672) + (xy 165.898 96.284115) + (xy 165.893525 96.268876) + (xy 165.892135 96.267671) + (xy 165.884452 96.266) + (xy 164.087115 96.266) + (xy 164.071876 96.270475) + (xy 164.070671 96.271865) + (xy 164.069 96.279548) + (xy 164.069 97.001884) + (xy 163.561 97.001884) + (xy 163.561 96.284115) + (xy 163.556525 96.268876) + (xy 163.555135 96.267671) + (xy 163.547452 96.266) + (xy 161.750116 96.266) + (xy 161.734877 96.270475) + (xy 161.733672 96.271865) + (xy 161.732001 96.279548) + (xy 161.732001 96.556669) + (xy 161.732371 96.56349) + (xy 161.737895 96.614352) + (xy 161.741521 96.629604) + (xy 161.786676 96.750054) + (xy 161.795214 96.765649) + (xy 161.871715 96.867724) + (xy 161.882885 96.878894) + (xy 161.916911 96.941206) + (xy 161.911846 97.012021) + (xy 161.869299 97.068857) + (xy 161.802779 97.093668) + (xy 161.79379 97.093989) + (xy 160.786924 97.093989) + (xy 160.718803 97.073987) + (xy 160.67231 97.020331) + (xy 160.662206 96.950057) + (xy 160.6917 96.885477) + (xy 160.69648 96.880343) + (xy 160.703261 96.875261) + (xy 160.790615 96.758705) + (xy 160.841745 96.622316) + (xy 160.8485 96.560134) + (xy 160.8485 95.463866) + (xy 160.841745 95.401684) + (xy 160.790615 95.265295) + (xy 160.703261 95.148739) + (xy 160.586705 95.061385) + (xy 160.450316 95.010255) + (xy 160.388134 95.0035) + (xy 157.141866 95.0035) + (xy 157.079684 95.010255) + (xy 156.943295 95.061385) + (xy 156.826739 95.148739) + (xy 156.739385 95.265295) + (xy 156.736233 95.273703) + (xy 156.736231 95.273707) + (xy 156.727599 95.296731) + (xy 156.684957 95.353495) + (xy 156.618395 95.378194) + (xy 156.609618 95.3785) + (xy 155.526763 95.3785) + (xy 155.515579 95.377973) + (xy 155.508091 95.376299) + (xy 155.500168 95.376548) + (xy 155.440033 95.378438) + (xy 155.436075 95.3785) + (xy 155.408144 95.3785) + (xy 155.404229 95.378995) + (xy 155.404225 95.378995) + (xy 155.404167 95.379003) + (xy 155.404138 95.379006) + (xy 155.392296 95.379939) + (xy 155.34811 95.381327) + (xy 155.330744 95.386372) + (xy 155.328658 95.386978) + (xy 155.309306 95.390986) + (xy 155.297068 95.392532) + (xy 155.297066 95.392533) + (xy 155.289203 95.393526) + (xy 155.248086 95.409806) + (xy 155.236885 95.413641) + (xy 155.194406 95.425982) + (xy 155.187587 95.430015) + (xy 155.187582 95.430017) + (xy 155.176971 95.436293) + (xy 155.159221 95.44499) + (xy 155.140383 95.452448) + (xy 155.133967 95.457109) + (xy 155.133966 95.45711) + (xy 155.104625 95.478428) + (xy 155.094701 95.484947) + (xy 155.06346 95.503422) + (xy 155.063455 95.503426) + (xy 155.056637 95.507458) + (xy 155.042313 95.521782) + (xy 155.027281 95.534621) + (xy 155.010893 95.546528) + (xy 155.005842 95.552634) + (xy 154.994936 95.565817) + (xy 154.936102 95.605554) + (xy 154.897852 95.6115) + (xy 154.844513 95.6115) + (xy 154.838061 95.612872) + (xy 154.838056 95.612872) + (xy 154.751112 95.631353) + (xy 154.657712 95.651206) + (xy 154.651682 95.653891) + (xy 154.651681 95.653891) + (xy 154.489278 95.726197) + (xy 154.489276 95.726198) + (xy 154.483248 95.728882) + (xy 154.477907 95.732762) + (xy 154.477906 95.732763) + (xy 154.443171 95.758) + (xy 154.328747 95.841134) + (xy 154.20096 95.983056) + (xy 154.197659 95.988774) + (xy 154.110342 96.140011) + (xy 154.05896 96.189004) + (xy 154.001223 96.203011) + (xy 148.2745 96.203011) + (xy 148.206379 96.183009) + (xy 148.159886 96.129353) + (xy 148.1485 96.077011) + (xy 148.1485 95.739885) + (xy 149.032 95.739885) + (xy 149.036475 95.755124) + (xy 149.037865 95.756329) + (xy 149.045548 95.758) + (xy 150.842885 95.758) + (xy 150.858124 95.753525) + (xy 150.859329 95.752135) + (xy 150.861 95.744452) + (xy 150.861 95.739885) + (xy 151.369 95.739885) + (xy 151.373475 95.755124) + (xy 151.374865 95.756329) + (xy 151.382548 95.758) + (xy 153.179884 95.758) + (xy 153.195123 95.753525) + (xy 153.196328 95.752135) + (xy 153.197999 95.744452) + (xy 153.197999 95.467331) + (xy 153.197629 95.46051) + (xy 153.192105 95.409648) + (xy 153.188479 95.394396) + (xy 153.143324 95.273946) + (xy 153.134786 95.258351) + (xy 153.058285 95.156276) + (xy 153.045724 95.143715) + (xy 152.943649 95.067214) + (xy 152.928054 95.058676) + (xy 152.807606 95.013522) + (xy 152.792351 95.009895) + (xy 152.741486 95.004369) + (xy 152.734672 95.004) + (xy 151.387115 95.004) + (xy 151.371876 95.008475) + (xy 151.370671 95.009865) + (xy 151.369 95.017548) + (xy 151.369 95.739885) + (xy 150.861 95.739885) + (xy 150.861 95.022116) + (xy 150.856525 95.006877) + (xy 150.855135 95.005672) + (xy 150.847452 95.004001) + (xy 149.495331 95.004001) + (xy 149.48851 95.004371) + (xy 149.437648 95.009895) + (xy 149.422396 95.013521) + (xy 149.301946 95.058676) + (xy 149.286351 95.067214) + (xy 149.184276 95.143715) + (xy 149.171715 95.156276) + (xy 149.095214 95.258351) + (xy 149.086676 95.273946) + (xy 149.041522 95.394394) + (xy 149.037895 95.409649) + (xy 149.032369 95.460514) + (xy 149.032 95.467328) + (xy 149.032 95.739885) + (xy 148.1485 95.739885) + (xy 148.1485 95.463866) + (xy 148.141745 95.401684) + (xy 148.090615 95.265295) + (xy 148.003261 95.148739) + (xy 147.886705 95.061385) + (xy 147.750316 95.010255) + (xy 147.688134 95.0035) + (xy 144.441866 95.0035) + (xy 144.379684 95.010255) + (xy 144.243295 95.061385) + (xy 144.126739 95.148739) + (xy 144.039385 95.265295) + (xy 143.988255 95.401684) + (xy 143.9815 95.463866) + (xy 143.9815 96.560134) + (xy 143.988255 96.622316) + (xy 144.039385 96.758705) + (xy 144.126739 96.875261) + (xy 144.243295 96.962615) + (xy 144.379684 97.013745) + (xy 144.441866 97.0205) + (xy 146.125406 97.0205) + (xy 146.193527 97.040502) + (xy 146.214501 97.057405) + (xy 146.385854 97.228758) + (xy 146.393398 97.237048) + (xy 146.397511 97.243529) + (xy 146.403288 97.248954) + (xy 146.447178 97.290169) + (xy 146.45002 97.292924) + (xy 146.469742 97.312646) + (xy 146.472866 97.315069) + (xy 146.47287 97.315073) + (xy 146.472935 97.315123) + (xy 146.481958 97.32283) + (xy 146.484962 97.325651) + (xy 146.520927 97.386864) + (xy 146.518088 97.457804) + (xy 146.477347 97.515947) + (xy 146.411639 97.542835) + (xy 146.398708 97.5435) + (xy 144.441866 97.5435) + (xy 144.379684 97.550255) + (xy 144.243295 97.601385) + (xy 144.126739 97.688739) + (xy 144.039385 97.805295) + (xy 143.988255 97.941684) + (xy 143.9815 98.003866) + (xy 143.9815 99.100134) + (xy 143.988255 99.162316) + (xy 144.039385 99.298705) + (xy 144.126739 99.415261) + (xy 144.243295 99.502615) + (xy 144.379684 99.553745) + (xy 144.441866 99.5605) + (xy 146.125406 99.5605) + (xy 146.193527 99.580502) + (xy 146.214501 99.597405) + (xy 146.385854 99.768758) + (xy 146.393398 99.777048) + (xy 146.397511 99.783529) + (xy 146.403288 99.788954) + (xy 146.447178 99.830169) + (xy 146.45002 99.832924) + (xy 146.469742 99.852646) + (xy 146.472866 99.855069) + (xy 146.47287 99.855073) + (xy 146.472935 99.855123) + (xy 146.481958 99.86283) + (xy 146.484962 99.865651) + (xy 146.520927 99.926864) + (xy 146.518088 99.997804) + (xy 146.477347 100.055947) + (xy 146.411639 100.082835) + (xy 146.398708 100.0835) + (xy 144.441866 100.0835) + (xy 144.379684 100.090255) + (xy 144.243295 100.141385) + (xy 144.126739 100.228739) + (xy 144.039385 100.345295) + (xy 143.988255 100.481684) + (xy 143.9815 100.543866) + (xy 143.9815 101.640134) + (xy 143.988255 101.702316) + (xy 144.039385 101.838705) + (xy 144.126739 101.955261) + (xy 144.243295 102.042615) + (xy 144.379684 102.093745) + (xy 144.441866 102.1005) + (xy 146.125406 102.1005) + (xy 146.193527 102.120502) + (xy 146.214501 102.137405) + (xy 146.485501 102.408405) + (xy 146.519527 102.470717) + (xy 146.514462 102.541532) + (xy 146.471915 102.598368) + (xy 146.405395 102.623179) + (xy 146.396406 102.6235) + (xy 144.441866 102.6235) + (xy 144.379684 102.630255) + (xy 144.243295 102.681385) + (xy 144.126739 102.768739) + (xy 144.039385 102.885295) + (xy 143.988255 103.021684) + (xy 143.9815 103.083866) + (xy 143.9815 104.180134) + (xy 143.988255 104.242316) + (xy 144.039385 104.378705) + (xy 144.126739 104.495261) + (xy 144.243295 104.582615) + (xy 144.379684 104.633745) + (xy 144.441866 104.6405) + (xy 146.125406 104.6405) + (xy 146.193527 104.660502) + (xy 146.214501 104.677405) + (xy 146.385854 104.848758) + (xy 146.393398 104.857048) + (xy 146.397511 104.863529) + (xy 146.403288 104.868954) + (xy 146.447178 104.910169) + (xy 146.45002 104.912924) + (xy 146.469742 104.932646) + (xy 146.472866 104.935069) + (xy 146.47287 104.935073) + (xy 146.472935 104.935123) + (xy 146.481958 104.94283) + (xy 146.484962 104.945651) + (xy 146.520927 105.006864) + (xy 146.518088 105.077804) + (xy 146.477347 105.135947) + (xy 146.411639 105.162835) + (xy 146.398708 105.1635) + (xy 144.441866 105.1635) + (xy 144.379684 105.170255) + (xy 144.243295 105.221385) + (xy 144.126739 105.308739) + (xy 144.039385 105.425295) + (xy 143.988255 105.561684) + (xy 143.9815 105.623866) + (xy 143.9815 106.720134) + (xy 143.988255 106.782316) + (xy 144.039385 106.918705) + (xy 144.126739 107.035261) + (xy 144.243295 107.122615) + (xy 144.379684 107.173745) + (xy 144.441866 107.1805) + (xy 146.125406 107.1805) + (xy 146.193527 107.200502) + (xy 146.214501 107.217405) + (xy 146.35 107.352904) + (xy 146.384026 107.415216) + (xy 146.378961 107.486031) + (xy 146.350001 107.531094) + (xy 146.214501 107.666595) + (xy 146.152189 107.70062) + (xy 146.125405 107.7035) + (xy 144.441866 107.7035) + (xy 144.379684 107.710255) + (xy 144.243295 107.761385) + (xy 144.126739 107.848739) + (xy 144.039385 107.965295) + (xy 143.988255 108.101684) + (xy 143.9815 108.163866) + (xy 143.9815 109.260134) + (xy 143.5105 109.260134) + (xy 143.5105 94.020134) + (xy 143.9815 94.020134) + (xy 143.988255 94.082316) + (xy 144.039385 94.218705) + (xy 144.126739 94.335261) + (xy 144.243295 94.422615) + (xy 144.379684 94.473745) + (xy 144.441866 94.4805) + (xy 147.688134 94.4805) + (xy 147.750316 94.473745) + (xy 147.886705 94.422615) + (xy 148.003261 94.335261) + (xy 148.090615 94.218705) + (xy 148.141745 94.082316) + (xy 148.1485 94.020134) + (xy 148.1485 93.87026) + (xy 148.168502 93.802139) + (xy 148.222158 93.755646) + (xy 148.292432 93.745542) + (xy 148.306786 93.74888) + (xy 148.307712 93.749292) + (xy 148.314168 93.750664) + (xy 148.314167 93.750664) + (xy 148.488056 93.787626) + (xy 148.488061 93.787626) + (xy 148.494513 93.788998) + (xy 148.550406 93.788998) + (xy 148.618527 93.809) + (xy 148.639501 93.825903) + (xy 148.677845 93.864247) + (xy 148.685389 93.872537) + (xy 148.689502 93.879018) + (xy 148.695279 93.884443) + (xy 148.739169 93.925658) + (xy 148.742011 93.928413) + (xy 148.761732 93.948134) + (xy 148.764927 93.950612) + (xy 148.773949 93.958318) + (xy 148.806181 93.988586) + (xy 148.81313 93.992406) + (xy 148.823934 93.998346) + (xy 148.840458 94.009199) + (xy 148.856461 94.021613) + (xy 148.897045 94.039176) + (xy 148.907675 94.044383) + (xy 148.946442 94.065695) + (xy 148.954119 94.067666) + (xy 148.954124 94.067668) + (xy 148.96606 94.070732) + (xy 148.984775 94.07714) + (xy 148.997157 94.082499) + (xy 149.051729 94.127913) + (xy 149.065092 94.153903) + (xy 149.089385 94.218705) + (xy 149.094765 94.225884) + (xy 149.094767 94.225887) + (xy 149.11263 94.249721) + (xy 149.176739 94.335261) + (xy 149.293295 94.422615) + (xy 149.429684 94.473745) + (xy 149.491866 94.4805) + (xy 152.738134 94.4805) + (xy 152.800316 94.473745) + (xy 152.936705 94.422615) + (xy 153.053261 94.335261) + (xy 153.140615 94.218705) + (xy 153.191745 94.082316) + (xy 153.1985 94.020134) + (xy 153.1985 93.438595) + (xy 153.218502 93.370474) + (xy 153.272158 93.323981) + (xy 153.342432 93.313877) + (xy 153.407012 93.343371) + (xy 153.413596 93.3495) + (xy 153.992879 93.928784) + (xy 154.026904 93.991096) + (xy 154.029093 94.004709) + (xy 154.031201 94.024761) + (xy 154.046458 94.169928) + (xy 154.105473 94.351556) + (xy 154.20096 94.516944) + (xy 154.328747 94.658866) + (xy 154.483248 94.771118) + (xy 154.489276 94.773802) + (xy 154.489278 94.773803) + (xy 154.636444 94.839325) + (xy 154.657712 94.848794) + (xy 154.751113 94.868647) + (xy 154.838056 94.887128) + (xy 154.838061 94.887128) + (xy 154.844513 94.8885) + (xy 155.035487 94.8885) + (xy 155.041939 94.887128) + (xy 155.041944 94.887128) + (xy 155.128888 94.868647) + (xy 155.222288 94.848794) + (xy 155.243556 94.839325) + (xy 155.390722 94.773803) + (xy 155.390724 94.773802) + (xy 155.396752 94.771118) + (xy 155.551253 94.658866) + (xy 155.59075 94.615) + (xy 161.646496 94.615) + (xy 161.647186 94.621565) + (xy 161.663187 94.773803) + (xy 161.666458 94.804928) + (xy 161.725473 94.986556) + (xy 161.728776 94.992278) + (xy 161.728777 94.992279) + (xy 161.802878 95.120625) + (xy 161.819616 95.18962) + (xy 161.798016 95.249658) + (xy 161.799522 95.250483) + (xy 161.786676 95.273946) + (xy 161.741522 95.394394) + (xy 161.737895 95.409649) + (xy 161.732369 95.460514) + (xy 161.732 95.467328) + (xy 161.732 95.739885) + (xy 161.736475 95.755124) + (xy 161.737865 95.756329) + (xy 161.745548 95.758) + (xy 163.542885 95.758) + (xy 163.558124 95.753525) + (xy 163.559329 95.752135) + (xy 163.561 95.744452) + (xy 163.561 95.739885) + (xy 164.069 95.739885) + (xy 164.073475 95.755124) + (xy 164.074865 95.756329) + (xy 164.082548 95.758) + (xy 165.879884 95.758) + (xy 165.895123 95.753525) + (xy 165.896328 95.752135) + (xy 165.897999 95.744452) + (xy 165.897999 95.467331) + (xy 165.897629 95.46051) + (xy 165.892105 95.409648) + (xy 165.888479 95.394396) + (xy 165.843324 95.273946) + (xy 165.834786 95.258351) + (xy 165.758285 95.156276) + (xy 165.745724 95.143715) + (xy 165.643649 95.067214) + (xy 165.628054 95.058676) + (xy 165.507606 95.013522) + (xy 165.492351 95.009895) + (xy 165.441486 95.004369) + (xy 165.434672 95.004) + (xy 164.087115 95.004) + (xy 164.071876 95.008475) + (xy 164.070671 95.009865) + (xy 164.069 95.017548) + (xy 164.069 95.739885) + (xy 163.561 95.739885) + (xy 163.561 95.022116) + (xy 163.556525 95.006877) + (xy 163.555135 95.005672) + (xy 163.535416 95.001383) + (xy 163.473104 94.967359) + (xy 163.439078 94.905047) + (xy 163.442365 94.839327) + (xy 163.453542 94.804928) + (xy 163.457753 94.764862) + (xy 163.484766 94.699205) + (xy 163.493968 94.688936) + (xy 163.665501 94.517404) + (xy 163.727813 94.483379) + (xy 163.754596 94.4805) + (xy 165.438134 94.4805) + (xy 165.500316 94.473745) + (xy 165.636705 94.422615) + (xy 165.753261 94.335261) + (xy 165.840615 94.218705) + (xy 165.891745 94.082316) + (xy 165.8985 94.020134) + (xy 165.8985 92.923866) + (xy 165.891745 92.861684) + (xy 165.840615 92.725295) + (xy 165.753261 92.608739) + (xy 165.636705 92.521385) + (xy 165.500316 92.470255) + (xy 165.438134 92.4635) + (xy 162.191866 92.4635) + (xy 162.129684 92.470255) + (xy 161.993295 92.521385) + (xy 161.876739 92.608739) + (xy 161.789385 92.725295) + (xy 161.738255 92.861684) + (xy 161.7315 92.923866) + (xy 161.7315 94.020134) + (xy 161.738255 94.082316) + (xy 161.747254 94.106321) + (xy 161.748963 94.110879) + (xy 161.754146 94.181686) + (xy 161.740099 94.21811) + (xy 161.735607 94.225891) + (xy 161.725473 94.243444) + (xy 161.666458 94.425072) + (xy 161.646496 94.615) + (xy 155.59075 94.615) + (xy 155.67904 94.516944) + (xy 155.774527 94.351556) + (xy 155.826187 94.192564) + (xy 155.866261 94.133958) + (xy 155.931657 94.106321) + (xy 155.94602 94.1055) + (xy 156.609618 94.1055) + (xy 156.677739 94.125502) + (xy 156.724232 94.179158) + (xy 156.727599 94.187269) + (xy 156.736231 94.210293) + (xy 156.739385 94.218705) + (xy 156.826739 94.335261) + (xy 156.943295 94.422615) + (xy 157.079684 94.473745) + (xy 157.141866 94.4805) + (xy 160.388134 94.4805) + (xy 160.450316 94.473745) + (xy 160.586705 94.422615) + (xy 160.703261 94.335261) + (xy 160.790615 94.218705) + (xy 160.841745 94.082316) + (xy 160.8485 94.020134) + (xy 160.8485 92.923866) + (xy 160.841745 92.861684) + (xy 160.790615 92.725295) + (xy 160.703261 92.608739) + (xy 160.586705 92.521385) + (xy 160.450316 92.470255) + (xy 160.388134 92.4635) + (xy 157.141866 92.4635) + (xy 157.079684 92.470255) + (xy 156.943295 92.521385) + (xy 156.826739 92.608739) + (xy 156.739385 92.725295) + (xy 156.736233 92.733703) + (xy 156.736231 92.733707) + (xy 156.727599 92.756731) + (xy 156.684957 92.813495) + (xy 156.618395 92.838194) + (xy 156.609618 92.8385) + (xy 155.526763 92.8385) + (xy 155.515579 92.837973) + (xy 155.508091 92.836299) + (xy 155.500168 92.836548) + (xy 155.440033 92.838438) + (xy 155.436075 92.8385) + (xy 155.408144 92.8385) + (xy 155.404229 92.838995) + (xy 155.404225 92.838995) + (xy 155.404167 92.839003) + (xy 155.404138 92.839006) + (xy 155.392296 92.839939) + (xy 155.34811 92.841327) + (xy 155.330744 92.846372) + (xy 155.328658 92.846978) + (xy 155.309306 92.850986) + (xy 155.297068 92.852532) + (xy 155.297066 92.852533) + (xy 155.289203 92.853526) + (xy 155.248086 92.869806) + (xy 155.236885 92.873641) + (xy 155.194406 92.885982) + (xy 155.187587 92.890015) + (xy 155.187582 92.890017) + (xy 155.176971 92.896293) + (xy 155.159221 92.90499) + (xy 155.140383 92.912448) + (xy 155.133967 92.917109) + (xy 155.133966 92.91711) + (xy 155.104625 92.938428) + (xy 155.094701 92.944947) + (xy 155.06346 92.963422) + (xy 155.063455 92.963426) + (xy 155.056637 92.967458) + (xy 155.042313 92.981782) + (xy 155.027287 92.994617) + (xy 155.011965 93.005749) + (xy 154.9451 93.029606) + (xy 154.875948 93.013526) + (xy 154.848814 92.99291) + (xy 154.166359 92.310455) + (xy 154.155397 92.290379) + (xy 154.149496 92.288768) + (xy 154.126499 92.270594) + (xy 153.619628 91.763723) + (xy 153.612099 91.755449) + (xy 153.607987 91.748969) + (xy 153.558335 91.702343) + (xy 153.555494 91.699589) + (xy 153.535757 91.679852) + (xy 153.53256 91.677372) + (xy 153.523538 91.669667) + (xy 153.505325 91.652564) + (xy 153.491308 91.639401) + (xy 153.484362 91.635582) + (xy 153.484359 91.63558) + (xy 153.473553 91.629639) + (xy 153.457034 91.618788) + (xy 153.45657 91.618428) + (xy 153.441028 91.606373) + (xy 153.433759 91.603228) + (xy 153.433755 91.603225) + (xy 153.40045 91.588813) + (xy 153.3898 91.583596) + (xy 153.351047 91.562292) + (xy 153.331424 91.557254) + (xy 153.312721 91.55085) + (xy 153.301408 91.545954) + (xy 153.301404 91.545953) + (xy 153.294132 91.542806) + (xy 153.288145 91.541858) + (xy 153.22901 91.50409) + (xy 153.199334 91.439593) + (xy 153.198 91.421308) + (xy 153.198 91.204115) + (xy 153.193525 91.188876) + (xy 153.192135 91.187671) + (xy 153.184452 91.186) + (xy 149.050116 91.186) + (xy 149.034877 91.190475) + (xy 149.033672 91.191865) + (xy 149.032001 91.199548) + (xy 149.032001 91.396487) + (xy 149.011999 91.464608) + (xy 148.958343 91.511101) + (xy 148.906001 91.522487) + (xy 148.2745 91.522487) + (xy 148.206379 91.502485) + (xy 148.159886 91.448829) + (xy 148.1485 91.396487) + (xy 148.1485 90.866989) + (xy 148.168502 90.798868) + (xy 148.222158 90.752375) + (xy 148.2745 90.740989) + (xy 153.292895 90.740989) + (xy 153.361016 90.760991) + (xy 153.38199 90.777894) + (xy 153.992878 91.388782) + (xy 154.026904 91.451094) + (xy 154.029092 91.464703) + (xy 154.046458 91.629928) + (xy 154.105473 91.811556) + (xy 154.20096 91.976944) + (xy 154.297743 92.084432) + (xy 154.309224 92.097183) + (xy 154.315735 92.11075) + (xy 154.315841 92.110773) + (xy 154.329512 92.119422) + (xy 154.483248 92.231118) + (xy 154.489276 92.233802) + (xy 154.489278 92.233803) + (xy 154.616351 92.290379) + (xy 154.657712 92.308794) + (xy 154.751112 92.328647) + (xy 154.838056 92.347128) + (xy 154.838061 92.347128) + (xy 154.844513 92.3485) + (xy 155.035487 92.3485) + (xy 155.041939 92.347128) + (xy 155.041944 92.347128) + (xy 155.128888 92.328647) + (xy 155.222288 92.308794) + (xy 155.263649 92.290379) + (xy 155.390722 92.233803) + (xy 155.390724 92.233802) + (xy 155.396752 92.231118) + (xy 155.551253 92.118866) + (xy 155.558561 92.11075) + (xy 155.674621 91.981852) + (xy 155.674622 91.981851) + (xy 155.67904 91.976944) + (xy 155.774527 91.811556) + (xy 155.826187 91.652564) + (xy 155.866261 91.593958) + (xy 155.931657 91.566321) + (xy 155.94602 91.5655) + (xy 156.609618 91.5655) + (xy 156.677739 91.585502) + (xy 156.724232 91.639158) + (xy 156.727599 91.647269) + (xy 156.736231 91.670293) + (xy 156.739385 91.678705) + (xy 156.826739 91.795261) + (xy 156.943295 91.882615) + (xy 157.079684 91.933745) + (xy 157.141866 91.9405) + (xy 160.388134 91.9405) + (xy 160.450316 91.933745) + (xy 160.586705 91.882615) + (xy 160.703261 91.795261) + (xy 160.790615 91.678705) + (xy 160.841745 91.542316) + (xy 160.8485 91.480134) + (xy 160.8485 91.476669) + (xy 161.732001 91.476669) + (xy 161.732371 91.48349) + (xy 161.737895 91.534352) + (xy 161.741521 91.549604) + (xy 161.786676 91.670054) + (xy 161.795214 91.685649) + (xy 161.871715 91.787724) + (xy 161.884276 91.800285) + (xy 161.986351 91.876786) + (xy 162.001946 91.885324) + (xy 162.122394 91.930478) + (xy 162.137649 91.934105) + (xy 162.188514 91.939631) + (xy 162.195328 91.94) + (xy 163.542885 91.94) + (xy 163.558124 91.935525) + (xy 163.559329 91.934135) + (xy 163.561 91.926452) + (xy 163.561 91.921884) + (xy 164.069 91.921884) + (xy 164.073475 91.937123) + (xy 164.074865 91.938328) + (xy 164.082548 91.939999) + (xy 165.434669 91.939999) + (xy 165.44149 91.939629) + (xy 165.492352 91.934105) + (xy 165.507604 91.930479) + (xy 165.628054 91.885324) + (xy 165.643649 91.876786) + (xy 165.745724 91.800285) + (xy 165.758285 91.787724) + (xy 165.834786 91.685649) + (xy 165.843324 91.670054) + (xy 165.888478 91.549606) + (xy 165.892105 91.534351) + (xy 165.897631 91.483486) + (xy 165.898 91.476672) + (xy 165.898 91.204115) + (xy 165.893525 91.188876) + (xy 165.892135 91.187671) + (xy 165.884452 91.186) + (xy 164.087115 91.186) + (xy 164.071876 91.190475) + (xy 164.070671 91.191865) + (xy 164.069 91.199548) + (xy 164.069 91.921884) + (xy 163.561 91.921884) + (xy 163.561 91.204115) + (xy 163.556525 91.188876) + (xy 163.555135 91.187671) + (xy 163.547452 91.186) + (xy 161.750116 91.186) + (xy 161.734877 91.190475) + (xy 161.733672 91.191865) + (xy 161.732001 91.199548) + (xy 161.732001 91.476669) + (xy 160.8485 91.476669) + (xy 160.8485 90.659885) + (xy 161.732 90.659885) + (xy 161.736475 90.675124) + (xy 161.737865 90.676329) + (xy 161.745548 90.678) + (xy 163.542885 90.678) + (xy 163.558124 90.673525) + (xy 163.559329 90.672135) + (xy 163.561 90.664452) + (xy 163.561 90.659885) + (xy 164.069 90.659885) + (xy 164.073475 90.675124) + (xy 164.074865 90.676329) + (xy 164.082548 90.678) + (xy 165.879884 90.678) + (xy 165.895123 90.673525) + (xy 165.896328 90.672135) + (xy 165.897999 90.664452) + (xy 165.897999 90.387331) + (xy 165.897629 90.38051) + (xy 165.892105 90.329648) + (xy 165.888479 90.314396) + (xy 165.843324 90.193946) + (xy 165.834786 90.178351) + (xy 165.758285 90.076276) + (xy 165.745724 90.063715) + (xy 165.643649 89.987214) + (xy 165.628054 89.978676) + (xy 165.507606 89.933522) + (xy 165.492351 89.929895) + (xy 165.441486 89.924369) + (xy 165.434672 89.924) + (xy 164.087115 89.924) + (xy 164.071876 89.928475) + (xy 164.070671 89.929865) + (xy 164.069 89.937548) + (xy 164.069 90.659885) + (xy 163.561 90.659885) + (xy 163.561 89.942116) + (xy 163.556525 89.926877) + (xy 163.555135 89.925672) + (xy 163.547452 89.924001) + (xy 162.195331 89.924001) + (xy 162.18851 89.924371) + (xy 162.137648 89.929895) + (xy 162.122396 89.933521) + (xy 162.001946 89.978676) + (xy 161.986351 89.987214) + (xy 161.884276 90.063715) + (xy 161.871715 90.076276) + (xy 161.795214 90.178351) + (xy 161.786676 90.193946) + (xy 161.741522 90.314394) + (xy 161.737895 90.329649) + (xy 161.732369 90.380514) + (xy 161.732 90.387328) + (xy 161.732 90.659885) + (xy 160.8485 90.659885) + (xy 160.8485 90.383866) + (xy 160.841745 90.321684) + (xy 160.790615 90.185295) + (xy 160.703261 90.068739) + (xy 160.586705 89.981385) + (xy 160.450316 89.930255) + (xy 160.388134 89.9235) + (xy 157.141866 89.9235) + (xy 157.079684 89.930255) + (xy 156.943295 89.981385) + (xy 156.826739 90.068739) + (xy 156.739385 90.185295) + (xy 156.736233 90.193703) + (xy 156.736231 90.193707) + (xy 156.727599 90.216731) + (xy 156.684957 90.273495) + (xy 156.618395 90.298194) + (xy 156.609618 90.2985) + (xy 155.526763 90.2985) + (xy 155.515579 90.297973) + (xy 155.508091 90.296299) + (xy 155.500168 90.296548) + (xy 155.440033 90.298438) + (xy 155.436075 90.2985) + (xy 155.408144 90.2985) + (xy 155.404229 90.298995) + (xy 155.404225 90.298995) + (xy 155.404167 90.299003) + (xy 155.404138 90.299006) + (xy 155.392296 90.299939) + (xy 155.34811 90.301327) + (xy 155.330744 90.306372) + (xy 155.328658 90.306978) + (xy 155.309306 90.310986) + (xy 155.297068 90.312532) + (xy 155.297066 90.312533) + (xy 155.289203 90.313526) + (xy 155.248086 90.329806) + (xy 155.236885 90.333641) + (xy 155.194406 90.345982) + (xy 155.187587 90.350015) + (xy 155.187582 90.350017) + (xy 155.176971 90.356293) + (xy 155.159221 90.36499) + (xy 155.140383 90.372448) + (xy 155.133967 90.377109) + (xy 155.133966 90.37711) + (xy 155.104625 90.398428) + (xy 155.094701 90.404947) + (xy 155.06346 90.423422) + (xy 155.063455 90.423426) + (xy 155.056637 90.427458) + (xy 155.042313 90.441782) + (xy 155.027275 90.454626) + (xy 155.011963 90.46575) + (xy 154.945095 90.489606) + (xy 154.875944 90.473523) + (xy 154.84881 90.452906) + (xy 154.461573 90.065668) + (xy 154.427548 90.003356) + (xy 154.432613 89.93254) + (xy 154.47516 89.875705) + (xy 154.54168 89.850894) + (xy 154.558352 89.851085) + (xy 154.563398 89.852213) + (xy 154.571319 89.851964) + (xy 154.631475 89.850073) + (xy 154.635434 89.850011) + (xy 154.663345 89.850011) + (xy 154.66728 89.849514) + (xy 154.667345 89.849506) + (xy 154.679182 89.848573) + (xy 154.71144 89.847559) + (xy 154.715459 89.847433) + (xy 154.723378 89.847184) + (xy 154.742832 89.841532) + (xy 154.762189 89.837524) + (xy 154.774419 89.835979) + (xy 154.77442 89.835979) + (xy 154.782286 89.834985) + (xy 154.789657 89.832066) + (xy 154.789659 89.832066) + (xy 154.823392 89.81871) + (xy 154.834634 89.814861) + (xy 154.83932 89.8135) + (xy 154.874463 89.8085) + (xy 155.035487 89.8085) + (xy 155.041939 89.807128) + (xy 155.041944 89.807128) + (xy 155.128887 89.788647) + (xy 155.222288 89.768794) + (xy 155.363257 89.706031) + (xy 155.390722 89.693803) + (xy 155.390724 89.693802) + (xy 155.396752 89.691118) + (xy 155.551253 89.578866) + (xy 155.67904 89.436944) + (xy 155.774527 89.271556) + (xy 155.826187 89.112564) + (xy 155.866261 89.053958) + (xy 155.931657 89.026321) + (xy 155.94602 89.0255) + (xy 156.609618 89.0255) + (xy 156.677739 89.045502) + (xy 156.724232 89.099158) + (xy 156.727599 89.107269) + (xy 156.736231 89.130293) + (xy 156.739385 89.138705) + (xy 156.826739 89.255261) + (xy 156.943295 89.342615) + (xy 157.079684 89.393745) + (xy 157.141866 89.4005) + (xy 160.388134 89.4005) + (xy 160.450316 89.393745) + (xy 160.586705 89.342615) + (xy 160.703261 89.255261) + (xy 160.790615 89.138705) + (xy 160.841745 89.002316) + (xy 160.8485 88.940134) + (xy 160.8485 88.936669) + (xy 161.732001 88.936669) + (xy 161.732371 88.94349) + (xy 161.737895 88.994352) + (xy 161.741521 89.009604) + (xy 161.786676 89.130054) + (xy 161.795214 89.145649) + (xy 161.871715 89.247724) + (xy 161.884276 89.260285) + (xy 161.986351 89.336786) + (xy 162.001946 89.345324) + (xy 162.122394 89.390478) + (xy 162.137649 89.394105) + (xy 162.188514 89.399631) + (xy 162.195328 89.4) + (xy 163.542885 89.4) + (xy 163.558124 89.395525) + (xy 163.559329 89.394135) + (xy 163.561 89.386452) + (xy 163.561 89.381884) + (xy 164.069 89.381884) + (xy 164.073475 89.397123) + (xy 164.074865 89.398328) + (xy 164.082548 89.399999) + (xy 165.434669 89.399999) + (xy 165.44149 89.399629) + (xy 165.492352 89.394105) + (xy 165.507604 89.390479) + (xy 165.628054 89.345324) + (xy 165.643649 89.336786) + (xy 165.745724 89.260285) + (xy 165.758285 89.247724) + (xy 165.834786 89.145649) + (xy 165.843324 89.130054) + (xy 165.888478 89.009606) + (xy 165.892105 88.994351) + (xy 165.897631 88.943486) + (xy 165.898 88.936672) + (xy 165.898 88.664115) + (xy 165.893525 88.648876) + (xy 165.892135 88.647671) + (xy 165.884452 88.646) + (xy 164.087115 88.646) + (xy 164.071876 88.650475) + (xy 164.070671 88.651865) + (xy 164.069 88.659548) + (xy 164.069 89.381884) + (xy 163.561 89.381884) + (xy 163.561 88.664115) + (xy 163.556525 88.648876) + (xy 163.555135 88.647671) + (xy 163.547452 88.646) + (xy 161.750116 88.646) + (xy 161.734877 88.650475) + (xy 161.733672 88.651865) + (xy 161.732001 88.659548) + (xy 161.732001 88.936669) + (xy 160.8485 88.936669) + (xy 160.8485 88.119885) + (xy 161.732 88.119885) + (xy 161.736475 88.135124) + (xy 161.737865 88.136329) + (xy 161.745548 88.138) + (xy 163.542885 88.138) + (xy 163.558124 88.133525) + (xy 163.559329 88.132135) + (xy 163.561 88.124452) + (xy 163.561 88.119885) + (xy 164.069 88.119885) + (xy 164.073475 88.135124) + (xy 164.074865 88.136329) + (xy 164.082548 88.138) + (xy 165.879884 88.138) + (xy 165.895123 88.133525) + (xy 165.896328 88.132135) + (xy 165.897999 88.124452) + (xy 165.897999 87.847331) + (xy 165.897629 87.84051) + (xy 165.892105 87.789648) + (xy 165.888479 87.774396) + (xy 165.843324 87.653946) + (xy 165.834786 87.638351) + (xy 165.758285 87.536276) + (xy 165.745724 87.523715) + (xy 165.643649 87.447214) + (xy 165.628054 87.438676) + (xy 165.507606 87.393522) + (xy 165.492351 87.389895) + (xy 165.441486 87.384369) + (xy 165.434672 87.384) + (xy 164.087115 87.384) + (xy 164.071876 87.388475) + (xy 164.070671 87.389865) + (xy 164.069 87.397548) + (xy 164.069 88.119885) + (xy 163.561 88.119885) + (xy 163.561 87.402116) + (xy 163.556525 87.386877) + (xy 163.555135 87.385672) + (xy 163.547452 87.384001) + (xy 162.195331 87.384001) + (xy 162.18851 87.384371) + (xy 162.137648 87.389895) + (xy 162.122396 87.393521) + (xy 162.001946 87.438676) + (xy 161.986351 87.447214) + (xy 161.884276 87.523715) + (xy 161.871715 87.536276) + (xy 161.795214 87.638351) + (xy 161.786676 87.653946) + (xy 161.741522 87.774394) + (xy 161.737895 87.789649) + (xy 161.732369 87.840514) + (xy 161.732 87.847328) + (xy 161.732 88.119885) + (xy 160.8485 88.119885) + (xy 160.8485 87.843866) + (xy 160.841745 87.781684) + (xy 160.790615 87.645295) + (xy 160.703261 87.528739) + (xy 160.586705 87.441385) + (xy 160.450316 87.390255) + (xy 160.388134 87.3835) + (xy 157.141866 87.3835) + (xy 157.079684 87.390255) + (xy 156.943295 87.441385) + (xy 156.826739 87.528739) + (xy 156.739385 87.645295) + (xy 156.736233 87.653703) + (xy 156.736231 87.653707) + (xy 156.727599 87.676731) + (xy 156.684957 87.733495) + (xy 156.618395 87.758194) + (xy 156.609618 87.7585) + (xy 155.526763 87.7585) + (xy 155.515579 87.757973) + (xy 155.508091 87.756299) + (xy 155.500168 87.756548) + (xy 155.440033 87.758438) + (xy 155.436075 87.7585) + (xy 155.408144 87.7585) + (xy 155.404229 87.758995) + (xy 155.404225 87.758995) + (xy 155.404167 87.759003) + (xy 155.404138 87.759006) + (xy 155.392296 87.759939) + (xy 155.34811 87.761327) + (xy 155.330744 87.766372) + (xy 155.328658 87.766978) + (xy 155.309306 87.770986) + (xy 155.297068 87.772532) + (xy 155.297066 87.772533) + (xy 155.289203 87.773526) + (xy 155.248086 87.789806) + (xy 155.236885 87.793641) + (xy 155.194406 87.805982) + (xy 155.187587 87.810015) + (xy 155.187582 87.810017) + (xy 155.176971 87.816293) + (xy 155.159221 87.82499) + (xy 155.140383 87.832448) + (xy 155.133967 87.837109) + (xy 155.133966 87.83711) + (xy 155.104625 87.858428) + (xy 155.094701 87.864947) + (xy 155.06346 87.883422) + (xy 155.063455 87.883426) + (xy 155.056637 87.887458) + (xy 155.042313 87.901782) + (xy 155.027281 87.914621) + (xy 155.010893 87.926528) + (xy 155.005842 87.932634) + (xy 154.994936 87.945817) + (xy 154.936102 87.985554) + (xy 154.897852 87.9915) + (xy 154.844513 87.9915) + (xy 154.838061 87.992872) + (xy 154.838056 87.992872) + (xy 154.751112 88.011353) + (xy 154.657712 88.031206) + (xy 154.651682 88.033891) + (xy 154.651681 88.033891) + (xy 154.489278 88.106197) + (xy 154.489276 88.106198) + (xy 154.483248 88.108882) + (xy 154.477907 88.112762) + (xy 154.477906 88.112763) + (xy 154.443171 88.138) + (xy 154.328747 88.221134) + (xy 154.20096 88.363056) + (xy 154.197659 88.368774) + (xy 154.110342 88.520011) + (xy 154.05896 88.569004) + (xy 154.001223 88.583011) + (xy 148.2745 88.583011) + (xy 148.206379 88.563009) + (xy 148.159886 88.509353) + (xy 148.1485 88.457011) + (xy 148.1485 87.843866) + (xy 148.141745 87.781684) + (xy 148.090615 87.645295) + (xy 148.003261 87.528739) + (xy 147.997424 87.524364) + (xy 147.963803 87.462794) + (xy 147.968868 87.391979) + (xy 148.011415 87.335143) + (xy 148.077935 87.310332) + (xy 148.086924 87.310011) + (xy 149.09379 87.310011) + (xy 149.161911 87.330013) + (xy 149.208404 87.383669) + (xy 149.218508 87.453943) + (xy 149.189014 87.518523) + (xy 149.182885 87.525106) + (xy 149.171715 87.536276) + (xy 149.095214 87.638351) + (xy 149.086676 87.653946) + (xy 149.041522 87.774394) + (xy 149.037895 87.789649) + (xy 149.032369 87.840514) + (xy 149.032 87.847328) + (xy 149.032 88.119885) + (xy 149.036475 88.135124) + (xy 149.037865 88.136329) + (xy 149.045548 88.138) + (xy 153.179884 88.138) + (xy 153.195123 88.133525) + (xy 153.196328 88.132135) + (xy 153.197999 88.124452) + (xy 153.197999 87.847331) + (xy 153.197629 87.84051) + (xy 153.192105 87.789648) + (xy 153.188479 87.774396) + (xy 153.143324 87.653946) + (xy 153.134786 87.638351) + (xy 153.058285 87.536276) + (xy 153.047115 87.525106) + (xy 153.013089 87.462794) + (xy 153.018154 87.391979) + (xy 153.060701 87.335143) + (xy 153.127221 87.310332) + (xy 153.13621 87.310011) + (xy 154.544722 87.310011) + (xy 154.555905 87.310538) + (xy 154.563398 87.312213) + (xy 154.571324 87.311964) + (xy 154.571325 87.311964) + (xy 154.631475 87.310073) + (xy 154.635434 87.310011) + (xy 154.663345 87.310011) + (xy 154.66728 87.309514) + (xy 154.667345 87.309506) + (xy 154.679182 87.308573) + (xy 154.71144 87.307559) + (xy 154.715459 87.307433) + (xy 154.723378 87.307184) + (xy 154.742832 87.301532) + (xy 154.762189 87.297524) + (xy 154.774419 87.295979) + (xy 154.77442 87.295979) + (xy 154.782286 87.294985) + (xy 154.789657 87.292066) + (xy 154.789659 87.292066) + (xy 154.823392 87.27871) + (xy 154.834634 87.274861) + (xy 154.83932 87.2735) + (xy 154.874463 87.2685) + (xy 155.035487 87.2685) + (xy 155.041939 87.267128) + (xy 155.041944 87.267128) + (xy 155.141143 87.246042) + (xy 155.222288 87.228794) + (xy 155.269817 87.207633) + (xy 155.390722 87.153803) + (xy 155.390724 87.153802) + (xy 155.396752 87.151118) + (xy 155.551253 87.038866) + (xy 155.67904 86.896944) + (xy 155.774527 86.731556) + (xy 155.826187 86.572564) + (xy 155.866261 86.513958) + (xy 155.931657 86.486321) + (xy 155.94602 86.4855) + (xy 156.609618 86.4855) + (xy 156.677739 86.505502) + (xy 156.724232 86.559158) + (xy 156.727599 86.567269) + (xy 156.736231 86.590293) + (xy 156.739385 86.598705) + (xy 156.826739 86.715261) + (xy 156.943295 86.802615) + (xy 157.079684 86.853745) + (xy 157.141866 86.8605) + (xy 160.388134 86.8605) + (xy 160.450316 86.853745) + (xy 160.586705 86.802615) + (xy 160.703261 86.715261) + (xy 160.790615 86.598705) + (xy 160.841745 86.462316) + (xy 160.8485 86.400134) + (xy 160.8485 86.396669) + (xy 161.732001 86.396669) + (xy 161.732371 86.40349) + (xy 161.737895 86.454352) + (xy 161.741521 86.469604) + (xy 161.786676 86.590054) + (xy 161.795214 86.605649) + (xy 161.871715 86.707724) + (xy 161.884276 86.720285) + (xy 161.986351 86.796786) + (xy 162.001946 86.805324) + (xy 162.122394 86.850478) + (xy 162.137649 86.854105) + (xy 162.188514 86.859631) + (xy 162.195328 86.86) + (xy 163.542885 86.86) + (xy 163.558124 86.855525) + (xy 163.559329 86.854135) + (xy 163.561 86.846452) + (xy 163.561 86.841884) + (xy 164.069 86.841884) + (xy 164.073475 86.857123) + (xy 164.074865 86.858328) + (xy 164.082548 86.859999) + (xy 165.434669 86.859999) + (xy 165.44149 86.859629) + (xy 165.492352 86.854105) + (xy 165.507604 86.850479) + (xy 165.628054 86.805324) + (xy 165.643649 86.796786) + (xy 165.745724 86.720285) + (xy 165.758285 86.707724) + (xy 165.834786 86.605649) + (xy 165.843324 86.590054) + (xy 165.888478 86.469606) + (xy 165.892105 86.454351) + (xy 165.897631 86.403486) + (xy 165.898 86.396672) + (xy 165.898 86.124115) + (xy 165.893525 86.108876) + (xy 165.892135 86.107671) + (xy 165.884452 86.106) + (xy 164.087115 86.106) + (xy 164.071876 86.110475) + (xy 164.070671 86.111865) + (xy 164.069 86.119548) + (xy 164.069 86.841884) + (xy 163.561 86.841884) + (xy 163.561 86.124115) + (xy 163.556525 86.108876) + (xy 163.555135 86.107671) + (xy 163.547452 86.106) + (xy 161.750116 86.106) + (xy 161.734877 86.110475) + (xy 161.733672 86.111865) + (xy 161.732001 86.119548) + (xy 161.732001 86.396669) + (xy 160.8485 86.396669) + (xy 160.8485 85.579885) + (xy 161.732 85.579885) + (xy 161.736475 85.595124) + (xy 161.737865 85.596329) + (xy 161.745548 85.598) + (xy 163.542885 85.598) + (xy 163.558124 85.593525) + (xy 163.559329 85.592135) + (xy 163.561 85.584452) + (xy 163.561 85.579885) + (xy 164.069 85.579885) + (xy 164.073475 85.595124) + (xy 164.074865 85.596329) + (xy 164.082548 85.598) + (xy 165.879884 85.598) + (xy 165.895123 85.593525) + (xy 165.896328 85.592135) + (xy 165.897999 85.584452) + (xy 165.897999 85.307331) + (xy 165.897629 85.30051) + (xy 165.892105 85.249648) + (xy 165.888479 85.234396) + (xy 165.843324 85.113946) + (xy 165.834786 85.098351) + (xy 165.758285 84.996276) + (xy 165.745724 84.983715) + (xy 165.643649 84.907214) + (xy 165.628054 84.898676) + (xy 165.507606 84.853522) + (xy 165.492351 84.849895) + (xy 165.441486 84.844369) + (xy 165.434672 84.844) + (xy 164.087115 84.844) + (xy 164.071876 84.848475) + (xy 164.070671 84.849865) + (xy 164.069 84.857548) + (xy 164.069 85.579885) + (xy 163.561 85.579885) + (xy 163.561 84.862116) + (xy 163.556525 84.846877) + (xy 163.555135 84.845672) + (xy 163.547452 84.844001) + (xy 162.195331 84.844001) + (xy 162.18851 84.844371) + (xy 162.137648 84.849895) + (xy 162.122396 84.853521) + (xy 162.001946 84.898676) + (xy 161.986351 84.907214) + (xy 161.884276 84.983715) + (xy 161.871715 84.996276) + (xy 161.795214 85.098351) + (xy 161.786676 85.113946) + (xy 161.741522 85.234394) + (xy 161.737895 85.249649) + (xy 161.732369 85.300514) + (xy 161.732 85.307328) + (xy 161.732 85.579885) + (xy 160.8485 85.579885) + (xy 160.8485 85.303866) + (xy 160.841745 85.241684) + (xy 160.790615 85.105295) + (xy 160.703261 84.988739) + (xy 160.586705 84.901385) + (xy 160.450316 84.850255) + (xy 160.388134 84.8435) + (xy 157.141866 84.8435) + (xy 157.079684 84.850255) + (xy 156.943295 84.901385) + (xy 156.826739 84.988739) + (xy 156.739385 85.105295) + (xy 156.736233 85.113703) + (xy 156.736231 85.113707) + (xy 156.727599 85.136731) + (xy 156.684957 85.193495) + (xy 156.618395 85.218194) + (xy 156.609618 85.2185) + (xy 155.526763 85.2185) + (xy 155.515579 85.217973) + (xy 155.508091 85.216299) + (xy 155.500168 85.216548) + (xy 155.440033 85.218438) + (xy 155.436075 85.2185) + (xy 155.408144 85.2185) + (xy 155.404229 85.218995) + (xy 155.404225 85.218995) + (xy 155.404167 85.219003) + (xy 155.404138 85.219006) + (xy 155.392296 85.219939) + (xy 155.34811 85.221327) + (xy 155.330744 85.226372) + (xy 155.328658 85.226978) + (xy 155.309306 85.230986) + (xy 155.297068 85.232532) + (xy 155.297066 85.232533) + (xy 155.289203 85.233526) + (xy 155.248086 85.249806) + (xy 155.236885 85.253641) + (xy 155.194406 85.265982) + (xy 155.187587 85.270015) + (xy 155.187582 85.270017) + (xy 155.176971 85.276293) + (xy 155.159221 85.28499) + (xy 155.140383 85.292448) + (xy 155.133967 85.297109) + (xy 155.133966 85.29711) + (xy 155.104625 85.318428) + (xy 155.094701 85.324947) + (xy 155.06346 85.343422) + (xy 155.063455 85.343426) + (xy 155.056637 85.347458) + (xy 155.042313 85.361782) + (xy 155.027281 85.374621) + (xy 155.010893 85.386528) + (xy 155.005842 85.392634) + (xy 154.994936 85.405817) + (xy 154.936102 85.445554) + (xy 154.897852 85.4515) + (xy 154.844513 85.4515) + (xy 154.838061 85.452872) + (xy 154.838056 85.452872) + (xy 154.751112 85.471353) + (xy 154.657712 85.491206) + (xy 154.651682 85.493891) + (xy 154.651681 85.493891) + (xy 154.489278 85.566197) + (xy 154.489276 85.566198) + (xy 154.483248 85.568882) + (xy 154.477907 85.572762) + (xy 154.477906 85.572763) + (xy 154.443171 85.598) + (xy 154.328747 85.681134) + (xy 154.20096 85.823056) + (xy 154.197659 85.828774) + (xy 154.110342 85.980011) + (xy 154.05896 86.029004) + (xy 154.001223 86.043011) + (xy 148.2745 86.043011) + (xy 148.206379 86.023009) + (xy 148.159886 85.969353) + (xy 148.1485 85.917011) + (xy 148.1485 85.579885) + (xy 149.032 85.579885) + (xy 149.036475 85.595124) + (xy 149.037865 85.596329) + (xy 149.045548 85.598) + (xy 150.842885 85.598) + (xy 150.858124 85.593525) + (xy 150.859329 85.592135) + (xy 150.861 85.584452) + (xy 150.861 85.579885) + (xy 151.369 85.579885) + (xy 151.373475 85.595124) + (xy 151.374865 85.596329) + (xy 151.382548 85.598) + (xy 153.179884 85.598) + (xy 153.195123 85.593525) + (xy 153.196328 85.592135) + (xy 153.197999 85.584452) + (xy 153.197999 85.307331) + (xy 153.197629 85.30051) + (xy 153.192105 85.249648) + (xy 153.188479 85.234396) + (xy 153.143324 85.113946) + (xy 153.134786 85.098351) + (xy 153.058285 84.996276) + (xy 153.045724 84.983715) + (xy 152.943649 84.907214) + (xy 152.928054 84.898676) + (xy 152.807606 84.853522) + (xy 152.792351 84.849895) + (xy 152.741486 84.844369) + (xy 152.734672 84.844) + (xy 151.387115 84.844) + (xy 151.371876 84.848475) + (xy 151.370671 84.849865) + (xy 151.369 84.857548) + (xy 151.369 85.579885) + (xy 150.861 85.579885) + (xy 150.861 84.862116) + (xy 150.856525 84.846877) + (xy 150.855135 84.845672) + (xy 150.847452 84.844001) + (xy 149.495331 84.844001) + (xy 149.48851 84.844371) + (xy 149.437648 84.849895) + (xy 149.422396 84.853521) + (xy 149.301946 84.898676) + (xy 149.286351 84.907214) + (xy 149.184276 84.983715) + (xy 149.171715 84.996276) + (xy 149.095214 85.098351) + (xy 149.086676 85.113946) + (xy 149.041522 85.234394) + (xy 149.037895 85.249649) + (xy 149.032369 85.300514) + (xy 149.032 85.307328) + (xy 149.032 85.579885) + (xy 148.1485 85.579885) + (xy 148.1485 85.303866) + (xy 148.141745 85.241684) + (xy 148.090615 85.105295) + (xy 148.003261 84.988739) + (xy 147.886705 84.901385) + (xy 147.750316 84.850255) + (xy 147.688134 84.8435) + (xy 144.441866 84.8435) + (xy 144.379684 84.850255) + (xy 144.243295 84.901385) + (xy 144.126739 84.988739) + (xy 144.039385 85.105295) + (xy 143.988255 85.241684) + (xy 143.9815 85.303866) + (xy 143.9815 86.400134) + (xy 143.988255 86.462316) + (xy 144.039385 86.598705) + (xy 144.126739 86.715261) + (xy 144.243295 86.802615) + (xy 144.379684 86.853745) + (xy 144.441866 86.8605) + (xy 146.125406 86.8605) + (xy 146.193527 86.880502) + (xy 146.214501 86.897405) + (xy 146.385854 87.068758) + (xy 146.393398 87.077048) + (xy 146.397511 87.083529) + (xy 146.403288 87.088954) + (xy 146.447178 87.130169) + (xy 146.45002 87.132924) + (xy 146.469742 87.152646) + (xy 146.472866 87.155069) + (xy 146.47287 87.155073) + (xy 146.472935 87.155123) + (xy 146.481958 87.16283) + (xy 146.484962 87.165651) + (xy 146.520927 87.226864) + (xy 146.518088 87.297804) + (xy 146.477347 87.355947) + (xy 146.411639 87.382835) + (xy 146.398708 87.3835) + (xy 144.441866 87.3835) + (xy 144.379684 87.390255) + (xy 144.243295 87.441385) + (xy 144.126739 87.528739) + (xy 144.039385 87.645295) + (xy 143.988255 87.781684) + (xy 143.9815 87.843866) + (xy 143.9815 88.940134) + (xy 143.988255 89.002316) + (xy 144.039385 89.138705) + (xy 144.126739 89.255261) + (xy 144.243295 89.342615) + (xy 144.379684 89.393745) + (xy 144.441866 89.4005) + (xy 146.125406 89.4005) + (xy 146.193527 89.420502) + (xy 146.214501 89.437405) + (xy 146.35 89.572904) + (xy 146.384026 89.635216) + (xy 146.378961 89.706031) + (xy 146.350001 89.751094) + (xy 146.214501 89.886595) + (xy 146.152189 89.92062) + (xy 146.125405 89.9235) + (xy 144.441866 89.9235) + (xy 144.379684 89.930255) + (xy 144.243295 89.981385) + (xy 144.126739 90.068739) + (xy 144.039385 90.185295) + (xy 143.988255 90.321684) + (xy 143.9815 90.383866) + (xy 143.9815 91.480134) + (xy 143.988255 91.542316) + (xy 144.039385 91.678705) + (xy 144.126739 91.795261) + (xy 144.243295 91.882615) + (xy 144.379684 91.933745) + (xy 144.441866 91.9405) + (xy 146.396405 91.9405) + (xy 146.464526 91.960502) + (xy 146.511019 92.014158) + (xy 146.521123 92.084432) + (xy 146.491629 92.149012) + (xy 146.4855 92.155595) + (xy 146.2145 92.426595) + (xy 146.152188 92.460621) + (xy 146.125405 92.4635) + (xy 144.441866 92.4635) + (xy 144.379684 92.470255) + (xy 144.243295 92.521385) + (xy 144.126739 92.608739) + (xy 144.039385 92.725295) + (xy 143.988255 92.861684) + (xy 143.9815 92.923866) + (xy 143.9815 94.020134) + (xy 143.5105 94.020134) + (xy 143.5105 83.856669) + (xy 143.982001 83.856669) + (xy 143.982371 83.86349) + (xy 143.987895 83.914352) + (xy 143.991521 83.929604) + (xy 144.036676 84.050054) + (xy 144.045214 84.065649) + (xy 144.121715 84.167724) + (xy 144.134276 84.180285) + (xy 144.236351 84.256786) + (xy 144.251946 84.265324) + (xy 144.372394 84.310478) + (xy 144.387649 84.314105) + (xy 144.438514 84.319631) + (xy 144.445328 84.32) + (xy 145.792885 84.32) + (xy 145.808124 84.315525) + (xy 145.809329 84.314135) + (xy 145.811 84.306452) + (xy 145.811 84.301884) + (xy 146.319 84.301884) + (xy 146.323475 84.317123) + (xy 146.324865 84.318328) + (xy 146.332548 84.319999) + (xy 147.684669 84.319999) + (xy 147.69149 84.319629) + (xy 147.742352 84.314105) + (xy 147.757604 84.310479) + (xy 147.878054 84.265324) + (xy 147.893649 84.256786) + (xy 147.995724 84.180285) + (xy 148.008285 84.167724) + (xy 148.084786 84.065649) + (xy 148.093324 84.050054) + (xy 148.138478 83.929606) + (xy 148.142105 83.914351) + (xy 148.147631 83.863486) + (xy 148.148 83.856672) + (xy 148.148 83.584115) + (xy 148.143525 83.568876) + (xy 148.142135 83.567671) + (xy 148.134452 83.566) + (xy 146.337115 83.566) + (xy 146.321876 83.570475) + (xy 146.320671 83.571865) + (xy 146.319 83.579548) + (xy 146.319 84.301884) + (xy 145.811 84.301884) + (xy 145.811 83.584115) + (xy 145.806525 83.568876) + (xy 145.805135 83.567671) + (xy 145.797452 83.566) + (xy 144.000116 83.566) + (xy 143.984877 83.570475) + (xy 143.983672 83.571865) + (xy 143.982001 83.579548) + (xy 143.982001 83.856669) + (xy 143.5105 83.856669) + (xy 143.5105 83.039885) + (xy 143.982 83.039885) + (xy 143.986475 83.055124) + (xy 143.987865 83.056329) + (xy 143.995548 83.058) + (xy 145.792885 83.058) + (xy 145.808124 83.053525) + (xy 145.809329 83.052135) + (xy 145.811 83.044452) + (xy 145.811 82.322116) + (xy 145.806525 82.306877) + (xy 145.805135 82.305672) + (xy 145.797452 82.304001) + (xy 144.445331 82.304001) + (xy 144.43851 82.304371) + (xy 144.387648 82.309895) + (xy 144.372396 82.313521) + (xy 144.251946 82.358676) + (xy 144.236351 82.367214) + (xy 144.134276 82.443715) + (xy 144.121715 82.456276) + (xy 144.045214 82.558351) + (xy 144.036676 82.573946) + (xy 143.991522 82.694394) + (xy 143.987895 82.709649) + (xy 143.982369 82.760514) + (xy 143.982 82.767328) + (xy 143.982 83.039885) + (xy 143.5105 83.039885) + (xy 143.5105 81.320134) + (xy 143.9815 81.320134) + (xy 143.988255 81.382316) + (xy 144.039385 81.518705) + (xy 144.126739 81.635261) + (xy 144.243295 81.722615) + (xy 144.379684 81.773745) + (xy 144.441866 81.7805) + (xy 146.125406 81.7805) + (xy 146.193527 81.800502) + (xy 146.214501 81.817405) + (xy 146.385854 81.988758) + (xy 146.393398 81.997048) + (xy 146.397511 82.003529) + (xy 146.403288 82.008954) + (xy 146.447178 82.050169) + (xy 146.45002 82.052924) + (xy 146.469742 82.072646) + (xy 146.472866 82.075069) + (xy 146.47287 82.075073) + (xy 146.472935 82.075123) + (xy 146.481947 82.08282) + (xy 146.485489 82.086146) + (xy 146.521457 82.147354) + (xy 146.518624 82.218294) + (xy 146.477888 82.276441) + (xy 146.412182 82.303334) + (xy 146.399241 82.304) + (xy 146.337115 82.304) + (xy 146.321876 82.308475) + (xy 146.320671 82.309865) + (xy 146.319 82.317548) + (xy 146.319 83.039885) + (xy 146.323475 83.055124) + (xy 146.324865 83.056329) + (xy 146.332548 83.058) + (xy 148.129884 83.058) + (xy 148.145123 83.053525) + (xy 148.146328 83.052135) + (xy 148.147999 83.044452) + (xy 148.147999 82.767331) + (xy 148.147629 82.76051) + (xy 148.142105 82.709648) + (xy 148.138479 82.694396) + (xy 148.093324 82.573946) + (xy 148.084786 82.558351) + (xy 148.008285 82.456276) + (xy 147.997115 82.445106) + (xy 147.963089 82.382794) + (xy 147.968154 82.311979) + (xy 148.010701 82.255143) + (xy 148.077221 82.230332) + (xy 148.08621 82.230011) + (xy 149.093076 82.230011) + (xy 149.161197 82.250013) + (xy 149.20769 82.303669) + (xy 149.217794 82.373943) + (xy 149.1883 82.438523) + (xy 149.18352 82.443657) + (xy 149.176739 82.448739) + (xy 149.089385 82.565295) + (xy 149.038255 82.701684) + (xy 149.0315 82.763866) + (xy 149.0315 83.860134) + (xy 149.038255 83.922316) + (xy 149.089385 84.058705) + (xy 149.176739 84.175261) + (xy 149.293295 84.262615) + (xy 149.429684 84.313745) + (xy 149.491866 84.3205) + (xy 152.738134 84.3205) + (xy 152.800316 84.313745) + (xy 152.936705 84.262615) + (xy 153.053261 84.175261) + (xy 153.140615 84.058705) + (xy 153.191745 83.922316) + (xy 153.1985 83.860134) + (xy 153.1985 83.856669) + (xy 156.682001 83.856669) + (xy 156.682371 83.86349) + (xy 156.687895 83.914352) + (xy 156.691521 83.929604) + (xy 156.736676 84.050054) + (xy 156.745214 84.065649) + (xy 156.821715 84.167724) + (xy 156.834276 84.180285) + (xy 156.936351 84.256786) + (xy 156.951946 84.265324) + (xy 157.072394 84.310478) + (xy 157.087649 84.314105) + (xy 157.138514 84.319631) + (xy 157.145328 84.32) + (xy 158.492885 84.32) + (xy 158.508124 84.315525) + (xy 158.509329 84.314135) + (xy 158.511 84.306452) + (xy 158.511 84.301884) + (xy 159.019 84.301884) + (xy 159.023475 84.317123) + (xy 159.024865 84.318328) + (xy 159.032548 84.319999) + (xy 160.384669 84.319999) + (xy 160.39149 84.319629) + (xy 160.442352 84.314105) + (xy 160.457604 84.310479) + (xy 160.578054 84.265324) + (xy 160.593649 84.256786) + (xy 160.695724 84.180285) + (xy 160.708285 84.167724) + (xy 160.784786 84.065649) + (xy 160.793324 84.050054) + (xy 160.838478 83.929606) + (xy 160.842105 83.914351) + (xy 160.847631 83.863486) + (xy 160.847813 83.860134) + (xy 161.7315 83.860134) + (xy 161.738255 83.922316) + (xy 161.789385 84.058705) + (xy 161.876739 84.175261) + (xy 161.993295 84.262615) + (xy 162.129684 84.313745) + (xy 162.191866 84.3205) + (xy 165.438134 84.3205) + (xy 165.500316 84.313745) + (xy 165.636705 84.262615) + (xy 165.753261 84.175261) + (xy 165.840615 84.058705) + (xy 165.891745 83.922316) + (xy 165.8985 83.860134) + (xy 165.8985 82.763866) + (xy 165.891745 82.701684) + (xy 165.840615 82.565295) + (xy 165.753261 82.448739) + (xy 165.636705 82.361385) + (xy 165.500316 82.310255) + (xy 165.438134 82.3035) + (xy 162.191866 82.3035) + (xy 162.129684 82.310255) + (xy 161.993295 82.361385) + (xy 161.876739 82.448739) + (xy 161.789385 82.565295) + (xy 161.738255 82.701684) + (xy 161.7315 82.763866) + (xy 161.7315 83.860134) + (xy 160.847813 83.860134) + (xy 160.848 83.856672) + (xy 160.848 83.584115) + (xy 160.843525 83.568876) + (xy 160.842135 83.567671) + (xy 160.834452 83.566) + (xy 159.037115 83.566) + (xy 159.021876 83.570475) + (xy 159.020671 83.571865) + (xy 159.019 83.579548) + (xy 159.019 84.301884) + (xy 158.511 84.301884) + (xy 158.511 83.584115) + (xy 158.506525 83.568876) + (xy 158.505135 83.567671) + (xy 158.497452 83.566) + (xy 156.700116 83.566) + (xy 156.684877 83.570475) + (xy 156.683672 83.571865) + (xy 156.682001 83.579548) + (xy 156.682001 83.856669) + (xy 153.1985 83.856669) + (xy 153.1985 83.039885) + (xy 156.682 83.039885) + (xy 156.686475 83.055124) + (xy 156.687865 83.056329) + (xy 156.695548 83.058) + (xy 158.492885 83.058) + (xy 158.508124 83.053525) + (xy 158.509329 83.052135) + (xy 158.511 83.044452) + (xy 158.511 83.039885) + (xy 159.019 83.039885) + (xy 159.023475 83.055124) + (xy 159.024865 83.056329) + (xy 159.032548 83.058) + (xy 160.829884 83.058) + (xy 160.845123 83.053525) + (xy 160.846328 83.052135) + (xy 160.847999 83.044452) + (xy 160.847999 82.767331) + (xy 160.847629 82.76051) + (xy 160.842105 82.709648) + (xy 160.838479 82.694396) + (xy 160.793324 82.573946) + (xy 160.784786 82.558351) + (xy 160.708285 82.456276) + (xy 160.695724 82.443715) + (xy 160.593649 82.367214) + (xy 160.578054 82.358676) + (xy 160.457606 82.313522) + (xy 160.442351 82.309895) + (xy 160.391486 82.304369) + (xy 160.384672 82.304) + (xy 159.037115 82.304) + (xy 159.021876 82.308475) + (xy 159.020671 82.309865) + (xy 159.019 82.317548) + (xy 159.019 83.039885) + (xy 158.511 83.039885) + (xy 158.511 82.322116) + (xy 158.506525 82.306877) + (xy 158.505135 82.305672) + (xy 158.497452 82.304001) + (xy 157.145331 82.304001) + (xy 157.13851 82.304371) + (xy 157.087648 82.309895) + (xy 157.072396 82.313521) + (xy 156.951946 82.358676) + (xy 156.936351 82.367214) + (xy 156.834276 82.443715) + (xy 156.821715 82.456276) + (xy 156.745214 82.558351) + (xy 156.736676 82.573946) + (xy 156.691522 82.694394) + (xy 156.687895 82.709649) + (xy 156.682369 82.760514) + (xy 156.682 82.767328) + (xy 156.682 83.039885) + (xy 153.1985 83.039885) + (xy 153.1985 82.763866) + (xy 153.191745 82.701684) + (xy 153.140615 82.565295) + (xy 153.053261 82.448739) + (xy 153.047424 82.444364) + (xy 153.013803 82.382794) + (xy 153.018868 82.311979) + (xy 153.061415 82.255143) + (xy 153.127935 82.230332) + (xy 153.136924 82.230011) + (xy 154.544722 82.230011) + (xy 154.555905 82.230538) + (xy 154.563398 82.232213) + (xy 154.571324 82.231964) + (xy 154.571325 82.231964) + (xy 154.631475 82.230073) + (xy 154.635434 82.230011) + (xy 154.663345 82.230011) + (xy 154.66728 82.229514) + (xy 154.667345 82.229506) + (xy 154.679182 82.228573) + (xy 154.71144 82.227559) + (xy 154.715459 82.227433) + (xy 154.723378 82.227184) + (xy 154.742832 82.221532) + (xy 154.762189 82.217524) + (xy 154.774419 82.215979) + (xy 154.77442 82.215979) + (xy 154.782286 82.214985) + (xy 154.789657 82.212066) + (xy 154.789659 82.212066) + (xy 154.823392 82.19871) + (xy 154.834634 82.194861) + (xy 154.83932 82.1935) + (xy 154.874463 82.1885) + (xy 155.035487 82.1885) + (xy 155.041939 82.187128) + (xy 155.041944 82.187128) + (xy 155.141143 82.166042) + (xy 155.222288 82.148794) + (xy 155.269817 82.127633) + (xy 155.390722 82.073803) + (xy 155.390724 82.073802) + (xy 155.396752 82.071118) + (xy 155.551253 81.958866) + (xy 155.67904 81.816944) + (xy 155.774527 81.651556) + (xy 155.826187 81.492564) + (xy 155.866261 81.433958) + (xy 155.931657 81.406321) + (xy 155.94602 81.4055) + (xy 156.609618 81.4055) + (xy 156.677739 81.425502) + (xy 156.724232 81.479158) + (xy 156.727599 81.487269) + (xy 156.736231 81.510293) + (xy 156.739385 81.518705) + (xy 156.826739 81.635261) + (xy 156.943295 81.722615) + (xy 157.079684 81.773745) + (xy 157.141866 81.7805) + (xy 160.388134 81.7805) + (xy 160.450316 81.773745) + (xy 160.586705 81.722615) + (xy 160.703261 81.635261) + (xy 160.790615 81.518705) + (xy 160.841745 81.382316) + (xy 160.8485 81.320134) + (xy 160.8485 80.706989) + (xy 160.868502 80.638868) + (xy 160.922158 80.592375) + (xy 160.9745 80.580989) + (xy 161.6055 80.580989) + (xy 161.673621 80.600991) + (xy 161.720114 80.654647) + (xy 161.7315 80.706989) + (xy 161.7315 81.320134) + (xy 161.738255 81.382316) + (xy 161.789385 81.518705) + (xy 161.876739 81.635261) + (xy 161.993295 81.722615) + (xy 162.129684 81.773745) + (xy 162.191866 81.7805) + (xy 165.438134 81.7805) + (xy 165.500316 81.773745) + (xy 165.636705 81.722615) + (xy 165.753261 81.635261) + (xy 165.840615 81.518705) + (xy 165.891745 81.382316) + (xy 165.8985 81.320134) + (xy 165.8985 80.223866) + (xy 165.891745 80.161684) + (xy 165.840615 80.025295) + (xy 165.753261 79.908739) + (xy 165.636705 79.821385) + (xy 165.500316 79.770255) + (xy 165.438134 79.7635) + (xy 163.754595 79.7635) + (xy 163.686474 79.743498) + (xy 163.6655 79.726595) + (xy 163.494141 79.555236) + (xy 163.486601 79.54695) + (xy 163.482489 79.540471) + (xy 163.432837 79.493845) + (xy 163.429996 79.491091) + (xy 163.410259 79.471354) + (xy 163.407062 79.468874) + (xy 163.398041 79.46117) + (xy 163.395039 79.458351) + (xy 163.359073 79.397139) + (xy 163.36191 79.326199) + (xy 163.40265 79.268054) + (xy 163.468358 79.241166) + (xy 163.481291 79.2405) + (xy 165.438134 79.2405) + (xy 165.500316 79.233745) + (xy 165.636705 79.182615) + (xy 165.753261 79.095261) + (xy 165.840615 78.978705) + (xy 165.891745 78.842316) + (xy 165.8985 78.780134) + (xy 165.8985 77.683866) + (xy 165.891745 77.621684) + (xy 165.840615 77.485295) + (xy 165.753261 77.368739) + (xy 165.636705 77.281385) + (xy 165.500316 77.230255) + (xy 165.438134 77.2235) + (xy 163.754595 77.2235) + (xy 163.686474 77.203498) + (xy 163.6655 77.186595) + (xy 163.494141 77.015236) + (xy 163.486601 77.00695) + (xy 163.482489 77.000471) + (xy 163.432837 76.953845) + (xy 163.429996 76.951091) + (xy 163.410259 76.931354) + (xy 163.407062 76.928874) + (xy 163.398041 76.92117) + (xy 163.395039 76.918351) + (xy 163.359073 76.857139) + (xy 163.36191 76.786199) + (xy 163.40265 76.728054) + (xy 163.468358 76.701166) + (xy 163.481291 76.7005) + (xy 165.438134 76.7005) + (xy 165.500316 76.693745) + (xy 165.636705 76.642615) + (xy 165.753261 76.555261) + (xy 165.840615 76.438705) + (xy 165.891745 76.302316) + (xy 165.8985 76.240134) + (xy 165.8985 75.143866) + (xy 165.891745 75.081684) + (xy 165.840615 74.945295) + (xy 165.753261 74.828739) + (xy 165.636705 74.741385) + (xy 165.500316 74.690255) + (xy 165.438134 74.6835) + (xy 163.754595 74.6835) + (xy 163.686474 74.663498) + (xy 163.6655 74.646595) + (xy 163.494141 74.475236) + (xy 163.486601 74.46695) + (xy 163.482489 74.460471) + (xy 163.432837 74.413845) + (xy 163.429996 74.411091) + (xy 163.410259 74.391354) + (xy 163.407062 74.388874) + (xy 163.398041 74.38117) + (xy 163.395039 74.378351) + (xy 163.359073 74.317139) + (xy 163.36191 74.246199) + (xy 163.40265 74.188054) + (xy 163.468358 74.161166) + (xy 163.481291 74.1605) + (xy 165.438134 74.1605) + (xy 165.500316 74.153745) + (xy 165.636705 74.102615) + (xy 165.753261 74.015261) + (xy 165.840615 73.898705) + (xy 165.891745 73.762316) + (xy 165.8985 73.700134) + (xy 165.8985 72.603866) + (xy 165.891745 72.541684) + (xy 165.840615 72.405295) + (xy 165.753261 72.288739) + (xy 165.636705 72.201385) + (xy 165.500316 72.150255) + (xy 165.438134 72.1435) + (xy 163.754595 72.1435) + (xy 163.686474 72.123498) + (xy 163.6655 72.106595) + (xy 163.494141 71.935236) + (xy 163.486601 71.92695) + (xy 163.482489 71.920471) + (xy 163.432837 71.873845) + (xy 163.429996 71.871091) + (xy 163.410259 71.851354) + (xy 163.407062 71.848874) + (xy 163.398041 71.84117) + (xy 163.395039 71.838351) + (xy 163.359073 71.777139) + (xy 163.36191 71.706199) + (xy 163.40265 71.648054) + (xy 163.468358 71.621166) + (xy 163.481291 71.6205) + (xy 165.438134 71.6205) + (xy 165.500316 71.613745) + (xy 165.636705 71.562615) + (xy 165.753261 71.475261) + (xy 165.840615 71.358705) + (xy 165.891745 71.222316) + (xy 165.8985 71.160134) + (xy 165.8985 70.063866) + (xy 165.891745 70.001684) + (xy 165.840615 69.865295) + (xy 165.753261 69.748739) + (xy 165.636705 69.661385) + (xy 165.500316 69.610255) + (xy 165.438134 69.6035) + (xy 163.754595 69.6035) + (xy 163.686474 69.583498) + (xy 163.6655 69.566595) + (xy 163.494141 69.395236) + (xy 163.486601 69.38695) + (xy 163.482489 69.380471) + (xy 163.432837 69.333845) + (xy 163.429996 69.331091) + (xy 163.410259 69.311354) + (xy 163.407062 69.308874) + (xy 163.398041 69.30117) + (xy 163.395039 69.298351) + (xy 163.359073 69.237139) + (xy 163.36191 69.166199) + (xy 163.40265 69.108054) + (xy 163.468358 69.081166) + (xy 163.481291 69.0805) + (xy 165.438134 69.0805) + (xy 165.500316 69.073745) + (xy 165.636705 69.022615) + (xy 165.753261 68.935261) + (xy 165.840615 68.818705) + (xy 165.891745 68.682316) + (xy 165.8985 68.620134) + (xy 165.8985 67.523866) + (xy 165.891745 67.461684) + (xy 165.840615 67.325295) + (xy 165.753261 67.208739) + (xy 165.636705 67.121385) + (xy 165.500316 67.070255) + (xy 165.438134 67.0635) + (xy 163.754595 67.0635) + (xy 163.686474 67.043498) + (xy 163.6655 67.026595) + (xy 163.494141 66.855236) + (xy 163.486601 66.84695) + (xy 163.482489 66.840471) + (xy 163.432837 66.793845) + (xy 163.429996 66.791091) + (xy 163.410259 66.771354) + (xy 163.407062 66.768874) + (xy 163.398041 66.76117) + (xy 163.395039 66.758351) + (xy 163.359073 66.697139) + (xy 163.36191 66.626199) + (xy 163.40265 66.568054) + (xy 163.468358 66.541166) + (xy 163.481291 66.5405) + (xy 165.438134 66.5405) + (xy 165.500316 66.533745) + (xy 165.636705 66.482615) + (xy 165.753261 66.395261) + (xy 165.840615 66.278705) + (xy 165.891745 66.142316) + (xy 165.8985 66.080134) + (xy 165.8985 64.983866) + (xy 165.891745 64.921684) + (xy 165.840615 64.785295) + (xy 165.753261 64.668739) + (xy 165.636705 64.581385) + (xy 165.500316 64.530255) + (xy 165.438134 64.5235) + (xy 163.754595 64.5235) + (xy 163.686474 64.503498) + (xy 163.6655 64.486595) + (xy 163.494141 64.315236) + (xy 163.486601 64.30695) + (xy 163.482489 64.300471) + (xy 163.432837 64.253845) + (xy 163.429996 64.251091) + (xy 163.410259 64.231354) + (xy 163.407062 64.228874) + (xy 163.398041 64.22117) + (xy 163.395039 64.218351) + (xy 163.359073 64.157139) + (xy 163.36191 64.086199) + (xy 163.40265 64.028054) + (xy 163.468358 64.001166) + (xy 163.481291 64.0005) + (xy 165.438134 64.0005) + (xy 165.500316 63.993745) + (xy 165.636705 63.942615) + (xy 165.753261 63.855261) + (xy 165.840615 63.738705) + (xy 165.891745 63.602316) + (xy 165.8985 63.540134) + (xy 165.8985 62.443866) + (xy 165.891745 62.381684) + (xy 165.840615 62.245295) + (xy 165.753261 62.128739) + (xy 165.636705 62.041385) + (xy 165.500316 61.990255) + (xy 165.438134 61.9835) + (xy 163.754595 61.9835) + (xy 163.686474 61.963498) + (xy 163.6655 61.946595) + (xy 163.494141 61.775236) + (xy 163.486601 61.76695) + (xy 163.482489 61.760471) + (xy 163.432837 61.713845) + (xy 163.429996 61.711091) + (xy 163.410259 61.691354) + (xy 163.407062 61.688875) + (xy 163.398035 61.681164) + (xy 163.394501 61.677845) + (xy 163.358539 61.616631) + (xy 163.361381 61.545691) + (xy 163.402124 61.487549) + (xy 163.467834 61.460665) + (xy 163.480759 61.46) + (xy 163.542885 61.46) + (xy 163.558124 61.455525) + (xy 163.559329 61.454135) + (xy 163.561 61.446452) + (xy 163.561 61.441884) + (xy 164.069 61.441884) + (xy 164.073475 61.457123) + (xy 164.074865 61.458328) + (xy 164.082548 61.459999) + (xy 165.434669 61.459999) + (xy 165.44149 61.459629) + (xy 165.492352 61.454105) + (xy 165.507604 61.450479) + (xy 165.628054 61.405324) + (xy 165.643649 61.396786) + (xy 165.745724 61.320285) + (xy 165.758285 61.307724) + (xy 165.834786 61.205649) + (xy 165.843324 61.190054) + (xy 165.888478 61.069606) + (xy 165.892105 61.054351) + (xy 165.897631 61.003486) + (xy 165.898 60.996672) + (xy 165.898 60.724115) + (xy 165.893525 60.708876) + (xy 165.892135 60.707671) + (xy 165.884452 60.706) + (xy 164.087115 60.706) + (xy 164.071876 60.710475) + (xy 164.070671 60.711865) + (xy 164.069 60.719548) + (xy 164.069 61.441884) + (xy 163.561 61.441884) + (xy 163.561 60.724115) + (xy 163.556525 60.708876) + (xy 163.555135 60.707671) + (xy 163.547452 60.706) + (xy 161.750116 60.706) + (xy 161.734877 60.710475) + (xy 161.733672 60.711865) + (xy 161.732001 60.719548) + (xy 161.732001 60.996669) + (xy 161.732371 61.00349) + (xy 161.737895 61.054352) + (xy 161.741521 61.069604) + (xy 161.786676 61.190054) + (xy 161.795214 61.205649) + (xy 161.871715 61.307724) + (xy 161.882885 61.318894) + (xy 161.916911 61.381206) + (xy 161.911846 61.452021) + (xy 161.869299 61.508857) + (xy 161.802779 61.533668) + (xy 161.79379 61.533989) + (xy 160.786924 61.533989) + (xy 160.718803 61.513987) + (xy 160.67231 61.460331) + (xy 160.662206 61.390057) + (xy 160.6917 61.325477) + (xy 160.69648 61.320343) + (xy 160.703261 61.315261) + (xy 160.790615 61.198705) + (xy 160.841745 61.062316) + (xy 160.8485 61.000134) + (xy 160.8485 60.179885) + (xy 161.732 60.179885) + (xy 161.736475 60.195124) + (xy 161.737865 60.196329) + (xy 161.745548 60.198) + (xy 163.542885 60.198) + (xy 163.558124 60.193525) + (xy 163.559329 60.192135) + (xy 163.561 60.184452) + (xy 163.561 60.179885) + (xy 164.069 60.179885) + (xy 164.073475 60.195124) + (xy 164.074865 60.196329) + (xy 164.082548 60.198) + (xy 165.879884 60.198) + (xy 165.895123 60.193525) + (xy 165.896328 60.192135) + (xy 165.897999 60.184452) + (xy 165.897999 59.907331) + (xy 165.897629 59.90051) + (xy 165.892105 59.849648) + (xy 165.888479 59.834396) + (xy 165.843324 59.713946) + (xy 165.834786 59.698351) + (xy 165.758285 59.596276) + (xy 165.745724 59.583715) + (xy 165.643649 59.507214) + (xy 165.628054 59.498676) + (xy 165.507606 59.453522) + (xy 165.492351 59.449895) + (xy 165.441486 59.444369) + (xy 165.434672 59.444) + (xy 164.087115 59.444) + (xy 164.071876 59.448475) + (xy 164.070671 59.449865) + (xy 164.069 59.457548) + (xy 164.069 60.179885) + (xy 163.561 60.179885) + (xy 163.561 59.462116) + (xy 163.556525 59.446877) + (xy 163.555135 59.445672) + (xy 163.547452 59.444001) + (xy 162.195331 59.444001) + (xy 162.18851 59.444371) + (xy 162.137648 59.449895) + (xy 162.122396 59.453521) + (xy 162.001946 59.498676) + (xy 161.986351 59.507214) + (xy 161.884276 59.583715) + (xy 161.871715 59.596276) + (xy 161.795214 59.698351) + (xy 161.786676 59.713946) + (xy 161.741522 59.834394) + (xy 161.737895 59.849649) + (xy 161.732369 59.900514) + (xy 161.732 59.907328) + (xy 161.732 60.179885) + (xy 160.8485 60.179885) + (xy 160.8485 59.903866) + (xy 160.841745 59.841684) + (xy 160.790615 59.705295) + (xy 160.703261 59.588739) + (xy 160.586705 59.501385) + (xy 160.450316 59.450255) + (xy 160.388134 59.4435) + (xy 157.141866 59.4435) + (xy 157.079684 59.450255) + (xy 156.943295 59.501385) + (xy 156.826739 59.588739) + (xy 156.739385 59.705295) + (xy 156.736233 59.713703) + (xy 156.736231 59.713707) + (xy 156.727599 59.736731) + (xy 156.684957 59.793495) + (xy 156.618395 59.818194) + (xy 156.609618 59.8185) + (xy 155.526763 59.8185) + (xy 155.515579 59.817973) + (xy 155.508091 59.816299) + (xy 155.500168 59.816548) + (xy 155.440033 59.818438) + (xy 155.436075 59.8185) + (xy 155.408144 59.8185) + (xy 155.404229 59.818995) + (xy 155.404225 59.818995) + (xy 155.404167 59.819003) + (xy 155.404138 59.819006) + (xy 155.392296 59.819939) + (xy 155.34811 59.821327) + (xy 155.330744 59.826372) + (xy 155.328658 59.826978) + (xy 155.309306 59.830986) + (xy 155.297068 59.832532) + (xy 155.297066 59.832533) + (xy 155.289203 59.833526) + (xy 155.248086 59.849806) + (xy 155.236885 59.853641) + (xy 155.194406 59.865982) + (xy 155.187587 59.870015) + (xy 155.187582 59.870017) + (xy 155.176971 59.876293) + (xy 155.159221 59.88499) + (xy 155.140383 59.892448) + (xy 155.133967 59.897109) + (xy 155.133966 59.89711) + (xy 155.104625 59.918428) + (xy 155.094701 59.924947) + (xy 155.06346 59.943422) + (xy 155.063455 59.943426) + (xy 155.056637 59.947458) + (xy 155.042313 59.961782) + (xy 155.027281 59.974621) + (xy 155.010893 59.986528) + (xy 155.005842 59.992634) + (xy 154.994936 60.005817) + (xy 154.936102 60.045554) + (xy 154.897852 60.0515) + (xy 154.844513 60.0515) + (xy 154.838061 60.052872) + (xy 154.838056 60.052872) + (xy 154.751112 60.071353) + (xy 154.657712 60.091206) + (xy 154.651682 60.093891) + (xy 154.651681 60.093891) + (xy 154.489278 60.166197) + (xy 154.489276 60.166198) + (xy 154.483248 60.168882) + (xy 154.477907 60.172762) + (xy 154.477906 60.172763) + (xy 154.443171 60.198) + (xy 154.328747 60.281134) + (xy 154.20096 60.423056) + (xy 154.197659 60.428774) + (xy 154.110342 60.580011) + (xy 154.05896 60.629004) + (xy 154.001223 60.643011) + (xy 148.2745 60.643011) + (xy 148.206379 60.623009) + (xy 148.159886 60.569353) + (xy 148.1485 60.517011) + (xy 148.1485 60.179885) + (xy 149.032 60.179885) + (xy 149.036475 60.195124) + (xy 149.037865 60.196329) + (xy 149.045548 60.198) + (xy 150.842885 60.198) + (xy 150.858124 60.193525) + (xy 150.859329 60.192135) + (xy 150.861 60.184452) + (xy 150.861 60.179885) + (xy 151.369 60.179885) + (xy 151.373475 60.195124) + (xy 151.374865 60.196329) + (xy 151.382548 60.198) + (xy 153.179884 60.198) + (xy 153.195123 60.193525) + (xy 153.196328 60.192135) + (xy 153.197999 60.184452) + (xy 153.197999 59.907331) + (xy 153.197629 59.90051) + (xy 153.192105 59.849648) + (xy 153.188479 59.834396) + (xy 153.143324 59.713946) + (xy 153.134786 59.698351) + (xy 153.058285 59.596276) + (xy 153.045724 59.583715) + (xy 152.943649 59.507214) + (xy 152.928054 59.498676) + (xy 152.807606 59.453522) + (xy 152.792351 59.449895) + (xy 152.741486 59.444369) + (xy 152.734672 59.444) + (xy 151.387115 59.444) + (xy 151.371876 59.448475) + (xy 151.370671 59.449865) + (xy 151.369 59.457548) + (xy 151.369 60.179885) + (xy 150.861 60.179885) + (xy 150.861 59.462116) + (xy 150.856525 59.446877) + (xy 150.855135 59.445672) + (xy 150.847452 59.444001) + (xy 149.495331 59.444001) + (xy 149.48851 59.444371) + (xy 149.437648 59.449895) + (xy 149.422396 59.453521) + (xy 149.301946 59.498676) + (xy 149.286351 59.507214) + (xy 149.184276 59.583715) + (xy 149.171715 59.596276) + (xy 149.095214 59.698351) + (xy 149.086676 59.713946) + (xy 149.041522 59.834394) + (xy 149.037895 59.849649) + (xy 149.032369 59.900514) + (xy 149.032 59.907328) + (xy 149.032 60.179885) + (xy 148.1485 60.179885) + (xy 148.1485 59.903866) + (xy 148.141745 59.841684) + (xy 148.090615 59.705295) + (xy 148.003261 59.588739) + (xy 147.886705 59.501385) + (xy 147.750316 59.450255) + (xy 147.688134 59.4435) + (xy 144.441866 59.4435) + (xy 144.379684 59.450255) + (xy 144.243295 59.501385) + (xy 144.126739 59.588739) + (xy 144.039385 59.705295) + (xy 143.988255 59.841684) + (xy 143.9815 59.903866) + (xy 143.9815 61.000134) + (xy 143.988255 61.062316) + (xy 144.039385 61.198705) + (xy 144.126739 61.315261) + (xy 144.243295 61.402615) + (xy 144.379684 61.453745) + (xy 144.441866 61.4605) + (xy 146.125406 61.4605) + (xy 146.193527 61.480502) + (xy 146.214501 61.497405) + (xy 146.385854 61.668758) + (xy 146.393398 61.677048) + (xy 146.397511 61.683529) + (xy 146.403288 61.688954) + (xy 146.447178 61.730169) + (xy 146.45002 61.732924) + (xy 146.469742 61.752646) + (xy 146.472866 61.755069) + (xy 146.47287 61.755073) + (xy 146.472935 61.755123) + (xy 146.481958 61.76283) + (xy 146.484962 61.765651) + (xy 146.520927 61.826864) + (xy 146.518088 61.897804) + (xy 146.477347 61.955947) + (xy 146.411639 61.982835) + (xy 146.398708 61.9835) + (xy 144.441866 61.9835) + (xy 144.379684 61.990255) + (xy 144.243295 62.041385) + (xy 144.126739 62.128739) + (xy 144.039385 62.245295) + (xy 143.988255 62.381684) + (xy 143.9815 62.443866) + (xy 143.9815 63.540134) + (xy 143.988255 63.602316) + (xy 144.039385 63.738705) + (xy 144.126739 63.855261) + (xy 144.243295 63.942615) + (xy 144.379684 63.993745) + (xy 144.441866 64.0005) + (xy 146.125406 64.0005) + (xy 146.193527 64.020502) + (xy 146.214501 64.037405) + (xy 146.385854 64.208758) + (xy 146.393398 64.217048) + (xy 146.397511 64.223529) + (xy 146.403288 64.228954) + (xy 146.447178 64.270169) + (xy 146.45002 64.272924) + (xy 146.469742 64.292646) + (xy 146.472866 64.295069) + (xy 146.47287 64.295073) + (xy 146.472935 64.295123) + (xy 146.481958 64.30283) + (xy 146.484962 64.305651) + (xy 146.520927 64.366864) + (xy 146.518088 64.437804) + (xy 146.477347 64.495947) + (xy 146.411639 64.522835) + (xy 146.398708 64.5235) + (xy 144.441866 64.5235) + (xy 144.379684 64.530255) + (xy 144.243295 64.581385) + (xy 144.126739 64.668739) + (xy 144.039385 64.785295) + (xy 143.988255 64.921684) + (xy 143.9815 64.983866) + (xy 143.9815 66.080134) + (xy 143.988255 66.142316) + (xy 144.039385 66.278705) + (xy 144.126739 66.395261) + (xy 144.243295 66.482615) + (xy 144.379684 66.533745) + (xy 144.441866 66.5405) + (xy 146.125406 66.5405) + (xy 146.193527 66.560502) + (xy 146.214501 66.577405) + (xy 146.385854 66.748758) + (xy 146.393398 66.757048) + (xy 146.397511 66.763529) + (xy 146.403288 66.768954) + (xy 146.447178 66.810169) + (xy 146.45002 66.812924) + (xy 146.469742 66.832646) + (xy 146.472866 66.835069) + (xy 146.47287 66.835073) + (xy 146.472935 66.835123) + (xy 146.481958 66.84283) + (xy 146.484962 66.845651) + (xy 146.520927 66.906864) + (xy 146.518088 66.977804) + (xy 146.477347 67.035947) + (xy 146.411639 67.062835) + (xy 146.398708 67.0635) + (xy 144.441866 67.0635) + (xy 144.379684 67.070255) + (xy 144.243295 67.121385) + (xy 144.126739 67.208739) + (xy 144.039385 67.325295) + (xy 143.988255 67.461684) + (xy 143.9815 67.523866) + (xy 143.9815 68.620134) + (xy 143.988255 68.682316) + (xy 144.039385 68.818705) + (xy 144.126739 68.935261) + (xy 144.243295 69.022615) + (xy 144.379684 69.073745) + (xy 144.441866 69.0805) + (xy 146.125406 69.0805) + (xy 146.193527 69.100502) + (xy 146.214501 69.117405) + (xy 146.385854 69.288758) + (xy 146.393398 69.297048) + (xy 146.397511 69.303529) + (xy 146.403288 69.308954) + (xy 146.447178 69.350169) + (xy 146.45002 69.352924) + (xy 146.469742 69.372646) + (xy 146.472866 69.375069) + (xy 146.47287 69.375073) + (xy 146.472935 69.375123) + (xy 146.481958 69.38283) + (xy 146.484962 69.385651) + (xy 146.520927 69.446864) + (xy 146.518088 69.517804) + (xy 146.477347 69.575947) + (xy 146.411639 69.602835) + (xy 146.398708 69.6035) + (xy 144.441866 69.6035) + (xy 144.379684 69.610255) + (xy 144.243295 69.661385) + (xy 144.126739 69.748739) + (xy 144.039385 69.865295) + (xy 143.988255 70.001684) + (xy 143.9815 70.063866) + (xy 143.9815 71.160134) + (xy 143.988255 71.222316) + (xy 144.039385 71.358705) + (xy 144.126739 71.475261) + (xy 144.243295 71.562615) + (xy 144.379684 71.613745) + (xy 144.441866 71.6205) + (xy 146.125406 71.6205) + (xy 146.193527 71.640502) + (xy 146.214501 71.657405) + (xy 146.385854 71.828758) + (xy 146.393398 71.837048) + (xy 146.397511 71.843529) + (xy 146.403288 71.848954) + (xy 146.447178 71.890169) + (xy 146.45002 71.892924) + (xy 146.469742 71.912646) + (xy 146.472866 71.915069) + (xy 146.47287 71.915073) + (xy 146.472935 71.915123) + (xy 146.481958 71.92283) + (xy 146.484962 71.925651) + (xy 146.520927 71.986864) + (xy 146.518088 72.057804) + (xy 146.477347 72.115947) + (xy 146.411639 72.142835) + (xy 146.398708 72.1435) + (xy 144.441866 72.1435) + (xy 144.379684 72.150255) + (xy 144.243295 72.201385) + (xy 144.126739 72.288739) + (xy 144.039385 72.405295) + (xy 143.988255 72.541684) + (xy 143.9815 72.603866) + (xy 143.9815 73.700134) + (xy 143.988255 73.762316) + (xy 144.039385 73.898705) + (xy 144.126739 74.015261) + (xy 144.243295 74.102615) + (xy 144.379684 74.153745) + (xy 144.441866 74.1605) + (xy 146.125406 74.1605) + (xy 146.193527 74.180502) + (xy 146.214501 74.197405) + (xy 146.385854 74.368758) + (xy 146.393398 74.377048) + (xy 146.397511 74.383529) + (xy 146.403288 74.388954) + (xy 146.447178 74.430169) + (xy 146.45002 74.432924) + (xy 146.469742 74.452646) + (xy 146.472866 74.455069) + (xy 146.47287 74.455073) + (xy 146.472935 74.455123) + (xy 146.481958 74.46283) + (xy 146.484962 74.465651) + (xy 146.520927 74.526864) + (xy 146.518088 74.597804) + (xy 146.477347 74.655947) + (xy 146.411639 74.682835) + (xy 146.398708 74.6835) + (xy 144.441866 74.6835) + (xy 144.379684 74.690255) + (xy 144.243295 74.741385) + (xy 144.126739 74.828739) + (xy 144.039385 74.945295) + (xy 143.988255 75.081684) + (xy 143.9815 75.143866) + (xy 143.9815 76.240134) + (xy 143.988255 76.302316) + (xy 144.039385 76.438705) + (xy 144.126739 76.555261) + (xy 144.243295 76.642615) + (xy 144.379684 76.693745) + (xy 144.441866 76.7005) + (xy 146.125406 76.7005) + (xy 146.193527 76.720502) + (xy 146.214501 76.737405) + (xy 146.385854 76.908758) + (xy 146.393398 76.917048) + (xy 146.397511 76.923529) + (xy 146.403288 76.928954) + (xy 146.447178 76.970169) + (xy 146.45002 76.972924) + (xy 146.469742 76.992646) + (xy 146.472866 76.995069) + (xy 146.47287 76.995073) + (xy 146.472935 76.995123) + (xy 146.481958 77.00283) + (xy 146.484962 77.005651) + (xy 146.520927 77.066864) + (xy 146.518088 77.137804) + (xy 146.477347 77.195947) + (xy 146.411639 77.222835) + (xy 146.398708 77.2235) + (xy 144.441866 77.2235) + (xy 144.379684 77.230255) + (xy 144.243295 77.281385) + (xy 144.126739 77.368739) + (xy 144.039385 77.485295) + (xy 143.988255 77.621684) + (xy 143.9815 77.683866) + (xy 143.9815 78.780134) + (xy 143.988255 78.842316) + (xy 144.039385 78.978705) + (xy 144.126739 79.095261) + (xy 144.243295 79.182615) + (xy 144.379684 79.233745) + (xy 144.441866 79.2405) + (xy 146.125406 79.2405) + (xy 146.193527 79.260502) + (xy 146.214501 79.277405) + (xy 146.385854 79.448758) + (xy 146.393398 79.457048) + (xy 146.397511 79.463529) + (xy 146.403288 79.468954) + (xy 146.447178 79.510169) + (xy 146.45002 79.512924) + (xy 146.469742 79.532646) + (xy 146.472866 79.535069) + (xy 146.47287 79.535073) + (xy 146.472935 79.535123) + (xy 146.481958 79.54283) + (xy 146.484962 79.545651) + (xy 146.520927 79.606864) + (xy 146.518088 79.677804) + (xy 146.477347 79.735947) + (xy 146.411639 79.762835) + (xy 146.398708 79.7635) + (xy 144.441866 79.7635) + (xy 144.379684 79.770255) + (xy 144.243295 79.821385) + (xy 144.126739 79.908739) + (xy 144.039385 80.025295) + (xy 143.988255 80.161684) + (xy 143.9815 80.223866) + (xy 143.9815 81.320134) + (xy 143.5105 81.320134) + (xy 143.5105 59.74325) + (xy 143.512246 59.722345) + (xy 143.51477 59.707344) + (xy 143.51477 59.707341) + (xy 143.515576 59.702552) + (xy 143.515729 59.69) + (xy 143.514788 59.683429) + (xy 143.514123 59.653218) + (xy 143.523916 59.553789) + (xy 143.528733 59.529568) + (xy 143.564866 59.410454) + (xy 143.574315 59.387645) + (xy 143.632987 59.277876) + (xy 143.646705 59.257345) + (xy 143.725671 59.161124) + (xy 143.743124 59.143671) + (xy 143.839345 59.064705) + (xy 143.859876 59.050987) + (xy 143.969645 58.992315) + (xy 143.992454 58.982866) + (xy 144.111568 58.946733) + (xy 144.135789 58.941916) + (xy 144.231809 58.932459) + (xy 144.247868 58.932909) + (xy 144.247877 58.9322) + (xy 144.256853 58.93231) + (xy 144.265724 58.933691) + (xy 144.274626 58.932527) + (xy 144.274628 58.932527) + (xy 144.291059 58.930378) + (xy 144.297286 58.929564) + (xy 144.313621 58.9285) + (xy 165.558633 58.9285) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 165.578018 58.93) + (xy 165.592852 58.93231) + (xy 165.592855 58.93231) + (xy 165.601724 58.933691) + (xy 165.611659 58.932392) + (xy 165.612746 58.93225) + (xy 165.641431 58.931793) + (xy 165.714741 58.939013) + (xy 165.744212 58.941916) + (xy 165.768432 58.946733) + (xy 165.887546 58.982866) + (xy 165.910355 58.992315) + (xy 166.020124 59.050987) + (xy 166.040655 59.064705) + (xy 166.136876 59.143671) + (xy 166.154329 59.161124) + (xy 166.233295 59.257345) + (xy 166.247013 59.277876) + (xy 166.305685 59.387645) + (xy 166.315134 59.410454) + (xy 166.351267 59.529568) + (xy 166.356084 59.553789) + (xy 166.365541 59.649809) + (xy 166.365091 59.665868) + (xy 166.3658 59.665877) + (xy 166.36569 59.674853) + (xy 166.364309 59.683724) + (xy 166.365473 59.692626) + (xy 166.365473 59.692628) + (xy 166.368436 59.715283) + (xy 166.3695 59.731621) + (xy 166.369499 113.615632) + (xy 166.367999 113.635017) + (xy 166.367268 113.639716) + (xy 166.364308 113.658723) + (xy 166.365472 113.667625) + (xy 166.365749 113.669745) + (xy 166.366206 113.69843) + (xy 166.365541 113.705183) + (xy 166.356083 113.801212) + (xy 166.351264 113.825438) + (xy 166.315136 113.944536) + (xy 166.305685 113.967355) + (xy 166.275189 114.024409) + (xy 166.247012 114.077124) + (xy 166.233294 114.097654) + (xy 166.154328 114.193875) + (xy 166.136875 114.211328) + (xy 166.040654 114.290294) + (xy 166.020127 114.30401) + (xy 165.928771 114.352841) + (xy 165.910356 114.362684) + (xy 165.887546 114.372133) + (xy 165.768432 114.408266) + (xy 165.744211 114.413083) + (xy 165.64819 114.42254) + (xy 165.632131 114.42209) + (xy 165.632122 114.422799) + (xy 165.623146 114.422689) + (xy 165.614275 114.421308) + (xy 165.605373 114.422472) + (xy 165.605371 114.422472) + (xy 165.592855 114.424109) + (xy 165.582713 114.425435) + (xy 165.566378 114.426499) + (xy 156.980279 114.426499) + (xy 156.912158 114.406497) + (xy 156.865665 114.352841) + (xy 156.855561 114.282567) + (xy 156.885055 114.217987) + (xy 156.919192 114.190297) + (xy 156.984271 114.154223) + (xy 156.984274 114.154221) + (xy 156.98985 114.15113) + (xy 156.994691 114.146981) + (xy 156.994695 114.146978) + (xy 157.132855 114.02856) + (xy 157.137698 114.024409) + (xy 157.181952 113.967358) + (xy 157.253131 113.875594) + (xy 157.257046 113.870547) + (xy 157.279243 113.825438) + (xy 157.3402 113.701556) + (xy 157.343018 113.695829) + (xy 157.347779 113.677551) + (xy 157.390492 113.513575) + (xy 157.390492 113.513572) + (xy 157.392102 113.507393) + (xy 157.402293 113.312936) + (xy 157.373175 113.120401) + (xy 157.370972 113.114415) + (xy 157.370971 113.114409) + (xy 157.30814 112.94364) + (xy 157.308138 112.943635) + (xy 157.305937 112.937654) + (xy 157.203326 112.77216) + (xy 157.069534 112.630678) + (xy 156.910025 112.518989) + (xy 156.862013 112.498212) + (xy 156.737175 112.44419) + (xy 156.737171 112.444189) + (xy 156.731316 112.441655) + (xy 156.725069 112.44035) + (xy 156.725066 112.440349) + (xy 156.545443 112.402824) + (xy 156.545438 112.402823) + (xy 156.540707 112.401835) + (xy 156.534315 112.4015) + (xy 156.391337 112.4015) + (xy 156.322049 112.408538) + (xy 156.252622 112.41559) + (xy 156.252621 112.41559) + (xy 156.246273 112.416235) + (xy 156.189939 112.433889) + (xy 156.066549 112.472556) + (xy 156.066544 112.472558) + (xy 156.060459 112.474465) + (xy 155.984713 112.516452) + (xy 155.895729 112.565777) + (xy 155.895726 112.565779) + (xy 155.89015 112.56887) + (xy 155.885309 112.573019) + (xy 155.885305 112.573022) + (xy 155.747145 112.69144) + (xy 155.742302 112.695591) + (xy 155.622954 112.849453) + (xy 155.620138 112.855176) + (xy 155.620136 112.855179) + (xy 155.576608 112.94364) + (xy 155.536982 113.024171) + (xy 155.535373 113.030349) + (xy 155.535372 113.030351) + (xy 155.513477 113.114409) + (xy 155.487898 113.212607) + (xy 155.477707 113.407064) + (xy 155.506825 113.599599) + (xy 155.509028 113.605585) + (xy 155.509029 113.605591) + (xy 155.57186 113.77636) + (xy 155.571862 113.776365) + (xy 155.574063 113.782346) + (xy 155.600781 113.825438) + (xy 155.658639 113.918752) + (xy 155.676674 113.94784) + (xy 155.810466 114.089322) + (xy 155.815696 114.092984) + (xy 155.815697 114.092985) + (xy 155.964655 114.197286) + (xy 156.008984 114.252743) + (xy 156.016293 114.323362) + (xy 155.984263 114.386723) + (xy 155.923061 114.422708) + (xy 155.892385 114.426499) + (xy 153.980279 114.426499) + (xy 153.912158 114.406497) + (xy 153.865665 114.352841) + (xy 153.855561 114.282567) + (xy 153.885055 114.217987) + (xy 153.919192 114.190297) + (xy 153.984271 114.154223) + (xy 153.984274 114.154221) + (xy 153.98985 114.15113) + (xy 153.994691 114.146981) + (xy 153.994695 114.146978) + (xy 154.132855 114.02856) + (xy 154.137698 114.024409) + (xy 154.181952 113.967358) + (xy 154.253131 113.875594) + (xy 154.257046 113.870547) + (xy 154.279243 113.825438) + (xy 154.3402 113.701556) + (xy 154.343018 113.695829) + (xy 154.347779 113.677551) + (xy 154.390492 113.513575) + (xy 154.390492 113.513572) + (xy 154.392102 113.507393) + (xy 154.402293 113.312936) + (xy 154.373175 113.120401) + (xy 154.370972 113.114415) + (xy 154.370971 113.114409) + (xy 154.30814 112.94364) + (xy 154.308138 112.943635) + (xy 154.305937 112.937654) + (xy 154.203326 112.77216) + (xy 154.069534 112.630678) + (xy 153.910025 112.518989) + (xy 153.862013 112.498212) + (xy 153.737175 112.44419) + (xy 153.737171 112.444189) + (xy 153.731316 112.441655) + (xy 153.725069 112.44035) + (xy 153.725066 112.440349) + (xy 153.545443 112.402824) + (xy 153.545438 112.402823) + (xy 153.540707 112.401835) + (xy 153.534315 112.4015) + (xy 153.391337 112.4015) + (xy 153.322049 112.408538) + (xy 153.252622 112.41559) + (xy 153.252621 112.41559) + (xy 153.246273 112.416235) + (xy 153.189939 112.433889) + (xy 153.066549 112.472556) + (xy 153.066544 112.472558) + (xy 153.060459 112.474465) + (xy 152.984713 112.516452) + (xy 152.895729 112.565777) + (xy 152.895726 112.565779) + (xy 152.89015 112.56887) + (xy 152.885309 112.573019) + (xy 152.885305 112.573022) + (xy 152.747145 112.69144) + (xy 152.742302 112.695591) + (xy 152.622954 112.849453) + (xy 152.620138 112.855176) + (xy 152.620136 112.855179) + (xy 152.576608 112.94364) + (xy 152.536982 113.024171) + (xy 152.535373 113.030349) + (xy 152.535372 113.030351) + (xy 152.513477 113.114409) + (xy 152.487898 113.212607) + (xy 152.477707 113.407064) + (xy 152.506825 113.599599) + (xy 152.509028 113.605585) + (xy 152.509029 113.605591) + (xy 152.57186 113.77636) + (xy 152.571862 113.776365) + (xy 152.574063 113.782346) + (xy 152.600781 113.825438) + (xy 152.658639 113.918752) + (xy 152.676674 113.94784) + (xy 152.810466 114.089322) + (xy 152.815696 114.092984) + (xy 152.815697 114.092985) + (xy 152.964655 114.197286) + (xy 153.008984 114.252743) + (xy 153.016293 114.323362) + (xy 152.984263 114.386723) + (xy 152.923061 114.422708) + (xy 152.892385 114.426499) + (xy 144.321367 114.426499) + (xy 144.301982 114.424999) + (xy 144.287148 114.422689) + (xy 144.287145 114.422689) + (xy 144.278276 114.421308) + (xy 144.268341 114.422607) + (xy 144.267254 114.422749) + (xy 144.238569 114.423206) + (xy 144.165259 114.415986) + (xy 144.135788 114.413083) + (xy 144.111568 114.408266) + (xy 143.992454 114.372133) + (xy 143.969644 114.362684) + (xy 143.951229 114.352841) + (xy 143.859873 114.30401) + (xy 143.839346 114.290294) + (xy 143.743125 114.211328) + (xy 143.725672 114.193875) + (xy 143.646707 114.097656) + (xy 143.63299 114.077127) + (xy 143.604811 114.024409) + (xy 143.574315 113.967355) + (xy 143.564865 113.944542) + (xy 143.528732 113.825427) + (xy 143.523916 113.801208) + (xy 143.522593 113.787768) + (xy 143.514459 113.705181) + (xy 143.514912 113.689129) + (xy 143.5142 113.68912) + (xy 143.51431 113.680147) + (xy 143.515691 113.671275) + (xy 143.51289 113.649851) + (xy 143.511564 113.639716) + (xy 143.5105 113.623378) + (xy 143.5105 93.584952) + (xy 147.227269 93.584952) + (xy 147.228015 93.592844) + (xy 147.23143 93.62897) + (xy 147.231989 93.640828) + (xy 147.231989 110.321722) + (xy 147.231462 110.332905) + (xy 147.229787 110.340398) + (xy 147.230036 110.348324) + (xy 147.230036 110.348325) + (xy 147.231927 110.408475) + (xy 147.231989 110.412434) + (xy 147.231989 110.440345) + (xy 147.232486 110.444279) + (xy 147.232486 110.44428) + (xy 147.232494 110.444345) + (xy 147.233427 110.456182) + (xy 147.234816 110.500378) + (xy 147.240467 110.519828) + (xy 147.244476 110.539189) + (xy 147.247015 110.559286) + (xy 147.249934 110.566657) + (xy 147.249934 110.566659) + (xy 147.263293 110.600401) + (xy 147.267138 110.611631) + (xy 147.279471 110.654082) + (xy 147.283504 110.660901) + (xy 147.283506 110.660906) + (xy 147.289782 110.671517) + (xy 147.298477 110.689265) + (xy 147.305937 110.708106) + (xy 147.310599 110.714522) + (xy 147.310599 110.714523) + (xy 147.331925 110.743876) + (xy 147.338441 110.753796) + (xy 147.360947 110.791851) + (xy 147.375268 110.806172) + (xy 147.388108 110.821205) + (xy 147.400017 110.837596) + (xy 147.434094 110.865787) + (xy 147.442873 110.873777) + (xy 148.277878 111.708782) + (xy 148.311904 111.771094) + (xy 148.314092 111.784703) + (xy 148.316515 111.807749) + (xy 148.327497 111.912237) + (xy 148.331458 111.949928) + (xy 148.390473 112.131556) + (xy 148.48596 112.296944) + (xy 148.490378 112.301851) + (xy 148.490379 112.301852) + (xy 148.580179 112.401585) + (xy 148.613747 112.438866) + (xy 148.621075 112.44419) + (xy 148.720535 112.516452) + (xy 148.768248 112.551118) + (xy 148.774276 112.553802) + (xy 148.774278 112.553803) + (xy 148.817445 112.573022) + (xy 148.942712 112.628794) + (xy 149.036112 112.648647) + (xy 149.123056 112.667128) + (xy 149.123061 112.667128) + (xy 149.129513 112.6685) + (xy 149.320487 112.6685) + (xy 149.326939 112.667128) + (xy 149.326944 112.667128) + (xy 149.413888 112.648647) + (xy 149.507288 112.628794) + (xy 149.632555 112.573022) + (xy 149.675722 112.553803) + (xy 149.675724 112.553802) + (xy 149.681752 112.551118) + (xy 149.729466 112.516452) + (xy 149.828925 112.44419) + (xy 149.836253 112.438866) + (xy 149.869821 112.401585) + (xy 149.959621 112.301852) + (xy 149.959622 112.301851) + (xy 149.96404 112.296944) + (xy 150.059527 112.131556) + (xy 150.118542 111.949928) + (xy 150.121814 111.918803) + (xy 150.137814 111.766565) + (xy 150.138504 111.76) + (xy 150.118542 111.570072) + (xy 150.059527 111.388444) + (xy 149.96404 111.223056) + (xy 149.87575 111.125) + (xy 160.376496 111.125) + (xy 160.396458 111.314928) + (xy 160.455473 111.496556) + (xy 160.55096 111.661944) + (xy 160.678747 111.803866) + (xy 160.833248 111.916118) + (xy 160.839276 111.918802) + (xy 160.839278 111.918803) + (xy 161.001681 111.991109) + (xy 161.007712 111.993794) + (xy 161.101112 112.013647) + (xy 161.188056 112.032128) + (xy 161.188061 112.032128) + (xy 161.194513 112.0335) + (xy 161.385487 112.0335) + (xy 161.391939 112.032128) + (xy 161.391944 112.032128) + (xy 161.478888 112.013647) + (xy 161.572288 111.993794) + (xy 161.578319 111.991109) + (xy 161.740722 111.918803) + (xy 161.740724 111.918802) + (xy 161.746752 111.916118) + (xy 161.901253 111.803866) + (xy 162.02904 111.661944) + (xy 162.124527 111.496556) + (xy 162.183542 111.314928) + (xy 162.200907 111.149706) + (xy 162.22792 111.08405) + (xy 162.237122 111.073782) + (xy 162.952247 110.358657) + (xy 162.960537 110.351113) + (xy 162.967018 110.347) + (xy 163.013659 110.297332) + (xy 163.016413 110.294491) + (xy 163.036134 110.27477) + (xy 163.038612 110.271575) + (xy 163.046318 110.262553) + (xy 163.071158 110.236101) + (xy 163.076586 110.230321) + (xy 163.086346 110.212568) + (xy 163.097199 110.196045) + (xy 163.104753 110.186306) + (xy 163.109613 110.180041) + (xy 163.127176 110.139457) + (xy 163.132383 110.128827) + (xy 163.153695 110.09006) + (xy 163.155666 110.082383) + (xy 163.155668 110.082378) + (xy 163.158732 110.070442) + (xy 163.165138 110.05173) + (xy 163.170033 110.040419) + (xy 163.173181 110.033145) + (xy 163.174421 110.025317) + (xy 163.174423 110.02531) + (xy 163.180099 109.989476) + (xy 163.182505 109.977856) + (xy 163.191528 109.942711) + (xy 163.191528 109.94271) + (xy 163.1935 109.93503) + (xy 163.1935 109.914776) + (xy 163.195051 109.895065) + (xy 163.19698 109.882886) + (xy 163.19822 109.875057) + (xy 163.194059 109.831038) + (xy 163.1935 109.819181) + (xy 163.1935 95.317524) + (xy 163.213502 95.249403) + (xy 163.225858 95.233221) + (xy 163.29904 95.151944) + (xy 163.38349 95.005672) + (xy 163.391223 94.992279) + (xy 163.391224 94.992278) + (xy 163.394527 94.986556) + (xy 163.453542 94.804928) + (xy 163.456814 94.773803) + (xy 163.472814 94.621565) + (xy 163.473504 94.615) + (xy 163.453542 94.425072) + (xy 163.394527 94.243444) + (xy 163.29904 94.078056) + (xy 163.171253 93.936134) + (xy 163.016752 93.823882) + (xy 163.010724 93.821198) + (xy 163.010722 93.821197) + (xy 162.848319 93.748891) + (xy 162.848318 93.748891) + (xy 162.842288 93.746206) + (xy 162.748888 93.726353) + (xy 162.661944 93.707872) + (xy 162.661939 93.707872) + (xy 162.655487 93.7065) + (xy 162.464513 93.7065) + (xy 162.458061 93.707872) + (xy 162.458056 93.707872) + (xy 162.371113 93.726353) + (xy 162.277712 93.746206) + (xy 162.271682 93.748891) + (xy 162.271681 93.748891) + (xy 162.109278 93.821197) + (xy 162.109276 93.821198) + (xy 162.103248 93.823882) + (xy 161.948747 93.936134) + (xy 161.82096 94.078056) + (xy 161.725473 94.243444) + (xy 161.666458 94.425072) + (xy 161.646496 94.615) + (xy 161.647186 94.621565) + (xy 161.663187 94.773803) + (xy 161.666458 94.804928) + (xy 161.725473 94.986556) + (xy 161.728776 94.992278) + (xy 161.728777 94.992279) + (xy 161.73651 95.005672) + (xy 161.82096 95.151944) + (xy 161.894137 95.233215) + (xy 161.924853 95.297221) + (xy 161.9265 95.317524) + (xy 161.9265 109.540405) + (xy 161.906498 109.608526) + (xy 161.889595 109.6295) + (xy 161.3395 110.179595) + (xy 161.277188 110.213621) + (xy 161.250405 110.2165) + (xy 161.194513 110.2165) + (xy 161.188061 110.217872) + (xy 161.188056 110.217872) + (xy 161.102298 110.236101) + (xy 161.007712 110.256206) + (xy 161.001682 110.258891) + (xy 161.001681 110.258891) + (xy 160.839278 110.331197) + (xy 160.839276 110.331198) + (xy 160.833248 110.333882) + (xy 160.827907 110.337762) + (xy 160.827906 110.337763) + (xy 160.813369 110.348325) + (xy 160.678747 110.446134) + (xy 160.674326 110.451044) + (xy 160.674325 110.451045) + (xy 160.576865 110.559286) + (xy 160.55096 110.588056) + (xy 160.455473 110.753444) + (xy 160.396458 110.935072) + (xy 160.395768 110.941633) + (xy 160.395768 110.941635) + (xy 160.381879 111.073782) + (xy 160.376496 111.125) + (xy 149.87575 111.125) + (xy 149.836253 111.081134) + (xy 149.681752 110.968882) + (xy 149.675724 110.966198) + (xy 149.675722 110.966197) + (xy 149.513319 110.893891) + (xy 149.513318 110.893891) + (xy 149.507288 110.891206) + (xy 149.413887 110.871353) + (xy 149.326944 110.852872) + (xy 149.326939 110.852872) + (xy 149.320487 110.8515) + (xy 149.264595 110.8515) + (xy 149.196474 110.831498) + (xy 149.175499 110.814595) + (xy 148.535893 110.174988) + (xy 148.501868 110.112676) + (xy 148.498989 110.085893) + (xy 148.498989 109.256669) + (xy 150.332001 109.256669) + (xy 150.332371 109.26349) + (xy 150.337895 109.314352) + (xy 150.341521 109.329604) + (xy 150.386676 109.450054) + (xy 150.395214 109.465649) + (xy 150.471715 109.567724) + (xy 150.484276 109.580285) + (xy 150.586351 109.656786) + (xy 150.601946 109.665324) + (xy 150.722394 109.710478) + (xy 150.737649 109.714105) + (xy 150.788514 109.719631) + (xy 150.795328 109.72) + (xy 152.142885 109.72) + (xy 152.158124 109.715525) + (xy 152.159329 109.714135) + (xy 152.161 109.706452) + (xy 152.161 109.701884) + (xy 152.669 109.701884) + (xy 152.673475 109.717123) + (xy 152.674865 109.718328) + (xy 152.682548 109.719999) + (xy 154.034669 109.719999) + (xy 154.041488 109.71963) + (xy 154.092348 109.714105) + (xy 154.16223 109.726634) + (xy 154.199532 109.75823) + (xy 154.20096 109.756944) + (xy 154.314359 109.882886) + (xy 154.328747 109.898866) + (xy 154.483248 110.011118) + (xy 154.489276 110.013802) + (xy 154.489278 110.013803) + (xy 154.643301 110.082378) + (xy 154.657712 110.088794) + (xy 154.751113 110.108647) + (xy 154.838056 110.127128) + (xy 154.838061 110.127128) + (xy 154.844513 110.1285) + (xy 155.035487 110.1285) + (xy 155.041939 110.127128) + (xy 155.041944 110.127128) + (xy 155.128887 110.108647) + (xy 155.222288 110.088794) + (xy 155.236699 110.082378) + (xy 155.390722 110.013803) + (xy 155.390724 110.013802) + (xy 155.396752 110.011118) + (xy 155.551253 109.898866) + (xy 155.565642 109.882886) + (xy 155.67904 109.756944) + (xy 155.680966 109.758678) + (xy 155.728019 109.722412) + (xy 155.787313 109.714574) + (xy 155.838467 109.720131) + (xy 155.838471 109.720131) + (xy 155.841866 109.7205) + (xy 159.088134 109.7205) + (xy 159.150316 109.713745) + (xy 159.286705 109.662615) + (xy 159.403261 109.575261) + (xy 159.490615 109.458705) + (xy 159.541745 109.322316) + (xy 159.5485 109.260134) + (xy 159.5485 108.163866) + (xy 159.541745 108.101684) + (xy 159.490615 107.965295) + (xy 159.403261 107.848739) + (xy 159.286705 107.761385) + (xy 159.150316 107.710255) + (xy 159.088134 107.7035) + (xy 155.841866 107.7035) + (xy 155.779684 107.710255) + (xy 155.643295 107.761385) + (xy 155.526739 107.848739) + (xy 155.521358 107.855919) + (xy 155.444767 107.958113) + (xy 155.444765 107.958116) + (xy 155.439385 107.965295) + (xy 155.436234 107.973699) + (xy 155.436234 107.9737) + (xy 155.423899 108.006604) + (xy 155.381258 108.063369) + (xy 155.341064 108.083374) + (xy 155.332327 108.085912) + (xy 155.328654 108.086979) + (xy 155.309306 108.090986) + (xy 155.297068 108.092532) + (xy 155.297066 108.092533) + (xy 155.289203 108.093526) + (xy 155.248086 108.109806) + (xy 155.236885 108.113641) + (xy 155.194406 108.125982) + (xy 155.187587 108.130015) + (xy 155.187582 108.130017) + (xy 155.176971 108.136293) + (xy 155.159221 108.14499) + (xy 155.140383 108.152448) + (xy 155.133967 108.157109) + (xy 155.133966 108.15711) + (xy 155.104625 108.178428) + (xy 155.094701 108.184947) + (xy 155.06346 108.203422) + (xy 155.063455 108.203426) + (xy 155.056637 108.207458) + (xy 155.042313 108.221782) + (xy 155.027281 108.234621) + (xy 155.010893 108.246528) + (xy 155.005842 108.252634) + (xy 154.994936 108.265817) + (xy 154.936102 108.305554) + (xy 154.897852 108.3115) + (xy 154.844513 108.3115) + (xy 154.838061 108.312872) + (xy 154.838056 108.312872) + (xy 154.664171 108.349833) + (xy 154.657712 108.351206) + (xy 154.657538 108.350389) + (xy 154.591966 108.352261) + (xy 154.531169 108.315598) + (xy 154.499844 108.251885) + (xy 154.497999 108.230401) + (xy 154.497999 108.167331) + (xy 154.497629 108.16051) + (xy 154.492105 108.109648) + (xy 154.488479 108.094396) + (xy 154.443324 107.973946) + (xy 154.434786 107.958351) + (xy 154.358285 107.856276) + (xy 154.345724 107.843715) + (xy 154.243649 107.767214) + (xy 154.228054 107.758676) + (xy 154.107606 107.713522) + (xy 154.092351 107.709895) + (xy 154.041486 107.704369) + (xy 154.034672 107.704) + (xy 152.687115 107.704) + (xy 152.671876 107.708475) + (xy 152.670671 107.709865) + (xy 152.669 107.717548) + (xy 152.669 109.701884) + (xy 152.161 109.701884) + (xy 152.161 108.984115) + (xy 152.156525 108.968876) + (xy 152.155135 108.967671) + (xy 152.147452 108.966) + (xy 150.350116 108.966) + (xy 150.334877 108.970475) + (xy 150.333672 108.971865) + (xy 150.332001 108.979548) + (xy 150.332001 109.256669) + (xy 148.498989 109.256669) + (xy 148.498989 108.439885) + (xy 150.332 108.439885) + (xy 150.336475 108.455124) + (xy 150.337865 108.456329) + (xy 150.345548 108.458) + (xy 152.142885 108.458) + (xy 152.158124 108.453525) + (xy 152.159329 108.452135) + (xy 152.161 108.444452) + (xy 152.161 107.722116) + (xy 152.156525 107.706877) + (xy 152.155135 107.705672) + (xy 152.147452 107.704001) + (xy 150.795331 107.704001) + (xy 150.78851 107.704371) + (xy 150.737648 107.709895) + (xy 150.722396 107.713521) + (xy 150.601946 107.758676) + (xy 150.586351 107.767214) + (xy 150.484276 107.843715) + (xy 150.471715 107.856276) + (xy 150.395214 107.958351) + (xy 150.386676 107.973946) + (xy 150.341522 108.094394) + (xy 150.337895 108.109649) + (xy 150.332369 108.160514) + (xy 150.332 108.167328) + (xy 150.332 108.439885) + (xy 148.498989 108.439885) + (xy 148.498989 106.720134) + (xy 150.3315 106.720134) + (xy 150.338255 106.782316) + (xy 150.389385 106.918705) + (xy 150.476739 107.035261) + (xy 150.593295 107.122615) + (xy 150.729684 107.173745) + (xy 150.791866 107.1805) + (xy 154.038134 107.1805) + (xy 154.041529 107.180131) + (xy 154.041533 107.180131) + (xy 154.092687 107.174574) + (xy 154.162569 107.187102) + (xy 154.199433 107.218319) + (xy 154.20096 107.216944) + (xy 154.328747 107.358866) + (xy 154.483248 107.471118) + (xy 154.489276 107.473802) + (xy 154.489278 107.473803) + (xy 154.651681 107.546109) + (xy 154.657712 107.548794) + (xy 154.751113 107.568647) + (xy 154.838056 107.587128) + (xy 154.838061 107.587128) + (xy 154.844513 107.5885) + (xy 155.035487 107.5885) + (xy 155.041939 107.587128) + (xy 155.041944 107.587128) + (xy 155.128888 107.568647) + (xy 155.222288 107.548794) + (xy 155.228319 107.546109) + (xy 155.390722 107.473803) + (xy 155.390724 107.473802) + (xy 155.396752 107.471118) + (xy 155.551253 107.358866) + (xy 155.67904 107.216944) + (xy 155.680966 107.218678) + (xy 155.728019 107.182412) + (xy 155.787313 107.174574) + (xy 155.838467 107.180131) + (xy 155.838471 107.180131) + (xy 155.841866 107.1805) + (xy 159.088134 107.1805) + (xy 159.150316 107.173745) + (xy 159.286705 107.122615) + (xy 159.403261 107.035261) + (xy 159.490615 106.918705) + (xy 159.541745 106.782316) + (xy 159.5485 106.720134) + (xy 159.5485 105.623866) + (xy 159.541745 105.561684) + (xy 159.490615 105.425295) + (xy 159.403261 105.308739) + (xy 159.286705 105.221385) + (xy 159.150316 105.170255) + (xy 159.088134 105.1635) + (xy 155.906704 105.1635) + (xy 155.838583 105.143498) + (xy 155.79209 105.089842) + (xy 155.786871 105.076435) + (xy 155.77657 105.044731) + (xy 155.776569 105.044729) + (xy 155.774527 105.038444) + (xy 155.67904 104.873056) + (xy 155.666662 104.859309) + (xy 155.635946 104.795303) + (xy 155.64471 104.72485) + (xy 155.666661 104.690693) + (xy 155.67904 104.676944) + (xy 155.67904 104.676943) + (xy 155.680967 104.678678) + (xy 155.728019 104.642412) + (xy 155.787313 104.634574) + (xy 155.838467 104.640131) + (xy 155.838471 104.640131) + (xy 155.841866 104.6405) + (xy 159.088134 104.6405) + (xy 159.150316 104.633745) + (xy 159.286705 104.582615) + (xy 159.403261 104.495261) + (xy 159.490615 104.378705) + (xy 159.541745 104.242316) + (xy 159.5485 104.180134) + (xy 159.5485 103.083866) + (xy 159.541745 103.021684) + (xy 159.490615 102.885295) + (xy 159.403261 102.768739) + (xy 159.286705 102.681385) + (xy 159.150316 102.630255) + (xy 159.088134 102.6235) + (xy 155.906704 102.6235) + (xy 155.838583 102.603498) + (xy 155.79209 102.549842) + (xy 155.786871 102.536435) + (xy 155.77657 102.504731) + (xy 155.776569 102.504729) + (xy 155.774527 102.498444) + (xy 155.67904 102.333056) + (xy 155.666662 102.319309) + (xy 155.635946 102.255303) + (xy 155.64471 102.18485) + (xy 155.666661 102.150693) + (xy 155.67904 102.136944) + (xy 155.67904 102.136943) + (xy 155.680967 102.138678) + (xy 155.728019 102.102412) + (xy 155.787313 102.094574) + (xy 155.838467 102.100131) + (xy 155.838471 102.100131) + (xy 155.841866 102.1005) + (xy 159.088134 102.1005) + (xy 159.150316 102.093745) + (xy 159.286705 102.042615) + (xy 159.403261 101.955261) + (xy 159.490615 101.838705) + (xy 159.541745 101.702316) + (xy 159.5485 101.640134) + (xy 159.5485 100.543866) + (xy 159.541745 100.481684) + (xy 159.490615 100.345295) + (xy 159.403261 100.228739) + (xy 159.286705 100.141385) + (xy 159.150316 100.090255) + (xy 159.088134 100.0835) + (xy 155.906704 100.0835) + (xy 155.838583 100.063498) + (xy 155.79209 100.009842) + (xy 155.786871 99.996435) + (xy 155.77657 99.964731) + (xy 155.776569 99.964729) + (xy 155.774527 99.958444) + (xy 155.67904 99.793056) + (xy 155.666662 99.779309) + (xy 155.635946 99.715303) + (xy 155.64471 99.64485) + (xy 155.666661 99.610693) + (xy 155.67904 99.596944) + (xy 155.67904 99.596943) + (xy 155.680967 99.598678) + (xy 155.728019 99.562412) + (xy 155.787313 99.554574) + (xy 155.838467 99.560131) + (xy 155.838471 99.560131) + (xy 155.841866 99.5605) + (xy 159.088134 99.5605) + (xy 159.150316 99.553745) + (xy 159.286705 99.502615) + (xy 159.403261 99.415261) + (xy 159.490615 99.298705) + (xy 159.541745 99.162316) + (xy 159.5485 99.100134) + (xy 159.5485 98.003866) + (xy 159.541745 97.941684) + (xy 159.490615 97.805295) + (xy 159.403261 97.688739) + (xy 159.286705 97.601385) + (xy 159.150316 97.550255) + (xy 159.088134 97.5435) + (xy 155.906704 97.5435) + (xy 155.838583 97.523498) + (xy 155.79209 97.469842) + (xy 155.786871 97.456435) + (xy 155.77657 97.424731) + (xy 155.776569 97.424729) + (xy 155.774527 97.418444) + (xy 155.67904 97.253056) + (xy 155.666662 97.239309) + (xy 155.635946 97.175303) + (xy 155.64471 97.10485) + (xy 155.666661 97.070693) + (xy 155.67904 97.056944) + (xy 155.67904 97.056943) + (xy 155.680967 97.058678) + (xy 155.728019 97.022412) + (xy 155.787313 97.014574) + (xy 155.838467 97.020131) + (xy 155.838471 97.020131) + (xy 155.841866 97.0205) + (xy 159.088134 97.0205) + (xy 159.150316 97.013745) + (xy 159.286705 96.962615) + (xy 159.403261 96.875261) + (xy 159.490615 96.758705) + (xy 159.541745 96.622316) + (xy 159.5485 96.560134) + (xy 159.5485 95.463866) + (xy 159.541745 95.401684) + (xy 159.490615 95.265295) + (xy 159.403261 95.148739) + (xy 159.286705 95.061385) + (xy 159.150316 95.010255) + (xy 159.088134 95.0035) + (xy 155.841866 95.0035) + (xy 155.779684 95.010255) + (xy 155.643295 95.061385) + (xy 155.526739 95.148739) + (xy 155.521358 95.155919) + (xy 155.444767 95.258113) + (xy 155.444765 95.258116) + (xy 155.439385 95.265295) + (xy 155.436234 95.273699) + (xy 155.436234 95.2737) + (xy 155.423899 95.306604) + (xy 155.381258 95.363369) + (xy 155.341064 95.383374) + (xy 155.332327 95.385912) + (xy 155.328654 95.386979) + (xy 155.309306 95.390986) + (xy 155.297068 95.392532) + (xy 155.297066 95.392533) + (xy 155.289203 95.393526) + (xy 155.248086 95.409806) + (xy 155.236885 95.413641) + (xy 155.194406 95.425982) + (xy 155.187587 95.430015) + (xy 155.187582 95.430017) + (xy 155.176971 95.436293) + (xy 155.159221 95.44499) + (xy 155.140383 95.452448) + (xy 155.133967 95.457109) + (xy 155.133966 95.45711) + (xy 155.104625 95.478428) + (xy 155.094701 95.484947) + (xy 155.06346 95.503422) + (xy 155.063455 95.503426) + (xy 155.056637 95.507458) + (xy 155.042313 95.521782) + (xy 155.027281 95.534621) + (xy 155.010893 95.546528) + (xy 155.005842 95.552634) + (xy 154.994936 95.565817) + (xy 154.936102 95.605554) + (xy 154.897852 95.6115) + (xy 154.844513 95.6115) + (xy 154.838061 95.612872) + (xy 154.838056 95.612872) + (xy 154.664171 95.649833) + (xy 154.657712 95.651206) + (xy 154.657538 95.650389) + (xy 154.591966 95.652261) + (xy 154.531169 95.615598) + (xy 154.499844 95.551885) + (xy 154.497999 95.530401) + (xy 154.497999 95.467331) + (xy 154.497629 95.46051) + (xy 154.492105 95.409648) + (xy 154.488479 95.394396) + (xy 154.443324 95.273946) + (xy 154.434786 95.258351) + (xy 154.358285 95.156276) + (xy 154.345724 95.143715) + (xy 154.243649 95.067214) + (xy 154.228054 95.058676) + (xy 154.107606 95.013522) + (xy 154.092351 95.009895) + (xy 154.041486 95.004369) + (xy 154.034672 95.004) + (xy 152.687115 95.004) + (xy 152.671876 95.008475) + (xy 152.670671 95.009865) + (xy 152.669 95.017548) + (xy 152.669 97.001884) + (xy 152.673475 97.017123) + (xy 152.674865 97.018328) + (xy 152.682548 97.019999) + (xy 154.034669 97.019999) + (xy 154.041488 97.01963) + (xy 154.092348 97.014105) + (xy 154.16223 97.026634) + (xy 154.199531 97.05823) + (xy 154.20096 97.056943) + (xy 154.20096 97.056944) + (xy 154.213338 97.070691) + (xy 154.244054 97.134697) + (xy 154.23529 97.20515) + (xy 154.213339 97.239307) + (xy 154.20096 97.253056) + (xy 154.105473 97.418444) + (xy 154.103431 97.424729) + (xy 154.10343 97.424731) + (xy 154.093129 97.456435) + (xy 154.053056 97.515041) + (xy 153.98766 97.542679) + (xy 153.973296 97.5435) + (xy 150.791866 97.5435) + (xy 150.729684 97.550255) + (xy 150.593295 97.601385) + (xy 150.476739 97.688739) + (xy 150.389385 97.805295) + (xy 150.338255 97.941684) + (xy 150.3315 98.003866) + (xy 150.3315 99.100134) + (xy 150.338255 99.162316) + (xy 150.389385 99.298705) + (xy 150.476739 99.415261) + (xy 150.593295 99.502615) + (xy 150.729684 99.553745) + (xy 150.791866 99.5605) + (xy 154.038134 99.5605) + (xy 154.041529 99.560131) + (xy 154.041533 99.560131) + (xy 154.092687 99.554574) + (xy 154.162569 99.567102) + (xy 154.199433 99.598318) + (xy 154.20096 99.596943) + (xy 154.20096 99.596944) + (xy 154.213338 99.610691) + (xy 154.244054 99.674697) + (xy 154.23529 99.74515) + (xy 154.213339 99.779307) + (xy 154.20096 99.793056) + (xy 154.105473 99.958444) + (xy 154.103431 99.964729) + (xy 154.10343 99.964731) + (xy 154.093129 99.996435) + (xy 154.053056 100.055041) + (xy 153.98766 100.082679) + (xy 153.973296 100.0835) + (xy 150.791866 100.0835) + (xy 150.729684 100.090255) + (xy 150.593295 100.141385) + (xy 150.476739 100.228739) + (xy 150.389385 100.345295) + (xy 150.338255 100.481684) + (xy 150.3315 100.543866) + (xy 150.3315 101.640134) + (xy 150.338255 101.702316) + (xy 150.389385 101.838705) + (xy 150.476739 101.955261) + (xy 150.593295 102.042615) + (xy 150.729684 102.093745) + (xy 150.791866 102.1005) + (xy 154.038134 102.1005) + (xy 154.041529 102.100131) + (xy 154.041533 102.100131) + (xy 154.092687 102.094574) + (xy 154.162569 102.107102) + (xy 154.199433 102.138318) + (xy 154.20096 102.136943) + (xy 154.20096 102.136944) + (xy 154.213338 102.150691) + (xy 154.244054 102.214697) + (xy 154.23529 102.28515) + (xy 154.213339 102.319307) + (xy 154.20096 102.333056) + (xy 154.105473 102.498444) + (xy 154.103431 102.504729) + (xy 154.10343 102.504731) + (xy 154.093129 102.536435) + (xy 154.053056 102.595041) + (xy 153.98766 102.622679) + (xy 153.973296 102.6235) + (xy 150.791866 102.6235) + (xy 150.729684 102.630255) + (xy 150.593295 102.681385) + (xy 150.476739 102.768739) + (xy 150.389385 102.885295) + (xy 150.338255 103.021684) + (xy 150.3315 103.083866) + (xy 150.3315 104.180134) + (xy 150.338255 104.242316) + (xy 150.389385 104.378705) + (xy 150.476739 104.495261) + (xy 150.593295 104.582615) + (xy 150.729684 104.633745) + (xy 150.791866 104.6405) + (xy 154.038134 104.6405) + (xy 154.041529 104.640131) + (xy 154.041533 104.640131) + (xy 154.092687 104.634574) + (xy 154.162569 104.647102) + (xy 154.199433 104.678318) + (xy 154.20096 104.676943) + (xy 154.20096 104.676944) + (xy 154.213338 104.690691) + (xy 154.244054 104.754697) + (xy 154.23529 104.82515) + (xy 154.213339 104.859307) + (xy 154.20096 104.873056) + (xy 154.105473 105.038444) + (xy 154.103431 105.044729) + (xy 154.10343 105.044731) + (xy 154.093129 105.076435) + (xy 154.053056 105.135041) + (xy 153.98766 105.162679) + (xy 153.973296 105.1635) + (xy 150.791866 105.1635) + (xy 150.729684 105.170255) + (xy 150.593295 105.221385) + (xy 150.476739 105.308739) + (xy 150.389385 105.425295) + (xy 150.338255 105.561684) + (xy 150.3315 105.623866) + (xy 150.3315 106.720134) + (xy 148.498989 106.720134) + (xy 148.498989 96.556669) + (xy 150.332001 96.556669) + (xy 150.332371 96.56349) + (xy 150.337895 96.614352) + (xy 150.341521 96.629604) + (xy 150.386676 96.750054) + (xy 150.395214 96.765649) + (xy 150.471715 96.867724) + (xy 150.484276 96.880285) + (xy 150.586351 96.956786) + (xy 150.601946 96.965324) + (xy 150.722394 97.010478) + (xy 150.737649 97.014105) + (xy 150.788514 97.019631) + (xy 150.795328 97.02) + (xy 152.142885 97.02) + (xy 152.158124 97.015525) + (xy 152.159329 97.014135) + (xy 152.161 97.006452) + (xy 152.161 96.284115) + (xy 152.156525 96.268876) + (xy 152.155135 96.267671) + (xy 152.147452 96.266) + (xy 150.350116 96.266) + (xy 150.334877 96.270475) + (xy 150.333672 96.271865) + (xy 150.332001 96.279548) + (xy 150.332001 96.556669) + (xy 148.498989 96.556669) + (xy 148.498989 95.739885) + (xy 150.332 95.739885) + (xy 150.336475 95.755124) + (xy 150.337865 95.756329) + (xy 150.345548 95.758) + (xy 152.142885 95.758) + (xy 152.158124 95.753525) + (xy 152.159329 95.752135) + (xy 152.161 95.744452) + (xy 152.161 95.022116) + (xy 152.156525 95.006877) + (xy 152.155135 95.005672) + (xy 152.147452 95.004001) + (xy 150.795331 95.004001) + (xy 150.78851 95.004371) + (xy 150.737648 95.009895) + (xy 150.722396 95.013521) + (xy 150.601946 95.058676) + (xy 150.586351 95.067214) + (xy 150.484276 95.143715) + (xy 150.471715 95.156276) + (xy 150.395214 95.258351) + (xy 150.386676 95.273946) + (xy 150.341522 95.394394) + (xy 150.337895 95.409649) + (xy 150.332369 95.460514) + (xy 150.332 95.467328) + (xy 150.332 95.739885) + (xy 148.498989 95.739885) + (xy 148.498989 94.020134) + (xy 150.3315 94.020134) + (xy 150.338255 94.082316) + (xy 150.389385 94.218705) + (xy 150.476739 94.335261) + (xy 150.593295 94.422615) + (xy 150.729684 94.473745) + (xy 150.791866 94.4805) + (xy 154.038134 94.4805) + (xy 154.041529 94.480131) + (xy 154.041533 94.480131) + (xy 154.092687 94.474574) + (xy 154.162569 94.487102) + (xy 154.199433 94.518319) + (xy 154.20096 94.516944) + (xy 154.328747 94.658866) + (xy 154.483248 94.771118) + (xy 154.489276 94.773802) + (xy 154.489278 94.773803) + (xy 154.651681 94.846109) + (xy 154.657712 94.848794) + (xy 154.751113 94.868647) + (xy 154.838056 94.887128) + (xy 154.838061 94.887128) + (xy 154.844513 94.8885) + (xy 155.035487 94.8885) + (xy 155.041939 94.887128) + (xy 155.041944 94.887128) + (xy 155.128888 94.868647) + (xy 155.222288 94.848794) + (xy 155.228319 94.846109) + (xy 155.390722 94.773803) + (xy 155.390724 94.773802) + (xy 155.396752 94.771118) + (xy 155.551253 94.658866) + (xy 155.67904 94.516944) + (xy 155.680966 94.518678) + (xy 155.728019 94.482412) + (xy 155.787313 94.474574) + (xy 155.838467 94.480131) + (xy 155.838471 94.480131) + (xy 155.841866 94.4805) + (xy 159.088134 94.4805) + (xy 159.150316 94.473745) + (xy 159.286705 94.422615) + (xy 159.403261 94.335261) + (xy 159.490615 94.218705) + (xy 159.541745 94.082316) + (xy 159.5485 94.020134) + (xy 159.5485 92.923866) + (xy 159.541745 92.861684) + (xy 159.490615 92.725295) + (xy 159.403261 92.608739) + (xy 159.286705 92.521385) + (xy 159.150316 92.470255) + (xy 159.088134 92.4635) + (xy 155.841866 92.4635) + (xy 155.779684 92.470255) + (xy 155.643295 92.521385) + (xy 155.526739 92.608739) + (xy 155.521358 92.615919) + (xy 155.444767 92.718113) + (xy 155.444765 92.718116) + (xy 155.439385 92.725295) + (xy 155.436234 92.733699) + (xy 155.436234 92.7337) + (xy 155.423899 92.766604) + (xy 155.381258 92.823369) + (xy 155.341064 92.843374) + (xy 155.332327 92.845912) + (xy 155.328654 92.846979) + (xy 155.309306 92.850986) + (xy 155.297068 92.852532) + (xy 155.297066 92.852533) + (xy 155.289203 92.853526) + (xy 155.248086 92.869806) + (xy 155.236885 92.873641) + (xy 155.194406 92.885982) + (xy 155.187587 92.890015) + (xy 155.187582 92.890017) + (xy 155.176971 92.896293) + (xy 155.159221 92.90499) + (xy 155.140383 92.912448) + (xy 155.133967 92.917109) + (xy 155.133966 92.91711) + (xy 155.104625 92.938428) + (xy 155.094701 92.944947) + (xy 155.06346 92.963422) + (xy 155.063455 92.963426) + (xy 155.056637 92.967458) + (xy 155.042313 92.981782) + (xy 155.027281 92.994621) + (xy 155.010893 93.006528) + (xy 155.005842 93.012634) + (xy 154.994936 93.025817) + (xy 154.936102 93.065554) + (xy 154.897852 93.0715) + (xy 154.844513 93.0715) + (xy 154.838061 93.072872) + (xy 154.838056 93.072872) + (xy 154.683373 93.105752) + (xy 154.657712 93.111206) + (xy 154.657507 93.110242) + (xy 154.592464 93.112097) + (xy 154.531667 93.075432) + (xy 154.500345 93.011719) + (xy 154.4985 92.990238) + (xy 154.4985 92.923866) + (xy 154.491745 92.861684) + (xy 154.440615 92.725295) + (xy 154.353261 92.608739) + (xy 154.236705 92.521385) + (xy 154.100316 92.470255) + (xy 154.038134 92.4635) + (xy 150.791866 92.4635) + (xy 150.729684 92.470255) + (xy 150.593295 92.521385) + (xy 150.476739 92.608739) + (xy 150.389385 92.725295) + (xy 150.338255 92.861684) + (xy 150.3315 92.923866) + (xy 150.3315 94.020134) + (xy 148.498989 94.020134) + (xy 148.498989 93.919603) + (xy 148.518991 93.851482) + (xy 148.535894 93.830508) + (xy 148.540499 93.825903) + (xy 148.602811 93.791877) + (xy 148.629594 93.788998) + (xy 148.685487 93.788998) + (xy 148.691939 93.787626) + (xy 148.691944 93.787626) + (xy 148.778888 93.769145) + (xy 148.872288 93.749292) + (xy 148.878319 93.746607) + (xy 149.040722 93.674301) + (xy 149.040724 93.6743) + (xy 149.046752 93.671616) + (xy 149.201253 93.559364) + (xy 149.313504 93.434697) + (xy 149.324621 93.42235) + (xy 149.324622 93.422349) + (xy 149.32904 93.417442) + (xy 149.424527 93.252054) + (xy 149.483542 93.070426) + (xy 149.490748 93.00187) + (xy 149.502814 92.887063) + (xy 149.503504 92.880498) + (xy 149.4975 92.823369) + (xy 149.484232 92.697133) + (xy 149.484232 92.697131) + (xy 149.483542 92.69057) + (xy 149.424527 92.508942) + (xy 149.32904 92.343554) + (xy 149.298978 92.310166) + (xy 149.205675 92.206543) + (xy 149.205674 92.206542) + (xy 149.201253 92.201632) + (xy 149.087336 92.118866) + (xy 149.052094 92.093261) + (xy 149.052093 92.09326) + (xy 149.046752 92.08938) + (xy 149.040724 92.086696) + (xy 149.040722 92.086695) + (xy 148.878319 92.014389) + (xy 148.878318 92.014389) + (xy 148.872288 92.011704) + (xy 148.778887 91.991851) + (xy 148.691944 91.97337) + (xy 148.691939 91.97337) + (xy 148.685487 91.971998) + (xy 148.494513 91.971998) + (xy 148.488061 91.97337) + (xy 148.488056 91.97337) + (xy 148.401113 91.991851) + (xy 148.307712 92.011704) + (xy 148.301682 92.014389) + (xy 148.301681 92.014389) + (xy 148.139278 92.086695) + (xy 148.139276 92.086696) + (xy 148.133248 92.08938) + (xy 148.127907 92.09326) + (xy 148.127906 92.093261) + (xy 148.092664 92.118866) + (xy 147.978747 92.201632) + (xy 147.974326 92.206542) + (xy 147.974325 92.206543) + (xy 147.881023 92.310166) + (xy 147.85096 92.343554) + (xy 147.755473 92.508942) + (xy 147.696458 92.69057) + (xy 147.695768 92.697131) + (xy 147.695768 92.697133) + (xy 147.679093 92.85579) + (xy 147.65208 92.921447) + (xy 147.642878 92.931715) + (xy 147.473236 93.101357) + (xy 147.46495 93.108897) + (xy 147.458471 93.113009) + (xy 147.453046 93.118786) + (xy 147.411846 93.16266) + (xy 147.409091 93.165502) + (xy 147.389354 93.185239) + (xy 147.386874 93.188436) + (xy 147.379171 93.197456) + (xy 147.348903 93.229688) + (xy 147.345084 93.236634) + (xy 147.345082 93.236637) + (xy 147.339141 93.247443) + (xy 147.32829 93.263962) + (xy 147.315875 93.279968) + (xy 147.31273 93.287237) + (xy 147.312727 93.287241) + (xy 147.298315 93.320546) + (xy 147.293098 93.331196) + (xy 147.271794 93.369949) + (xy 147.269823 93.377624) + (xy 147.269823 93.377625) + (xy 147.266756 93.389571) + (xy 147.260352 93.408275) + (xy 147.25886 93.411724) + (xy 147.252308 93.426864) + (xy 147.251069 93.434687) + (xy 147.251066 93.434697) + (xy 147.24539 93.470533) + (xy 147.242984 93.482153) + (xy 147.231989 93.524979) + (xy 147.231989 93.545233) + (xy 147.230438 93.564943) + (xy 147.227269 93.584952) + (xy 143.5105 93.584952) + (xy 143.5105 91.476669) + (xy 150.332001 91.476669) + (xy 150.332371 91.48349) + (xy 150.337895 91.534352) + (xy 150.341521 91.549604) + (xy 150.386676 91.670054) + (xy 150.395214 91.685649) + (xy 150.471715 91.787724) + (xy 150.484276 91.800285) + (xy 150.586351 91.876786) + (xy 150.601946 91.885324) + (xy 150.722394 91.930478) + (xy 150.737649 91.934105) + (xy 150.788514 91.939631) + (xy 150.795328 91.94) + (xy 152.142885 91.94) + (xy 152.158124 91.935525) + (xy 152.159329 91.934135) + (xy 152.161 91.926452) + (xy 152.161 91.921884) + (xy 152.669 91.921884) + (xy 152.673475 91.937123) + (xy 152.674865 91.938328) + (xy 152.682548 91.939999) + (xy 154.034669 91.939999) + (xy 154.041488 91.93963) + (xy 154.092348 91.934105) + (xy 154.16223 91.946634) + (xy 154.199532 91.97823) + (xy 154.20096 91.976944) + (xy 154.328747 92.118866) + (xy 154.427843 92.190864) + (xy 154.449424 92.206543) + (xy 154.483248 92.231118) + (xy 154.489276 92.233802) + (xy 154.489278 92.233803) + (xy 154.651681 92.306109) + (xy 154.657712 92.308794) + (xy 154.751112 92.328647) + (xy 154.838056 92.347128) + (xy 154.838061 92.347128) + (xy 154.844513 92.3485) + (xy 155.035487 92.3485) + (xy 155.041939 92.347128) + (xy 155.041944 92.347128) + (xy 155.128888 92.328647) + (xy 155.222288 92.308794) + (xy 155.228319 92.306109) + (xy 155.390722 92.233803) + (xy 155.390724 92.233802) + (xy 155.396752 92.231118) + (xy 155.430577 92.206543) + (xy 155.452157 92.190864) + (xy 155.551253 92.118866) + (xy 155.67904 91.976944) + (xy 155.680966 91.978678) + (xy 155.728019 91.942412) + (xy 155.787313 91.934574) + (xy 155.838467 91.940131) + (xy 155.838471 91.940131) + (xy 155.841866 91.9405) + (xy 159.088134 91.9405) + (xy 159.150316 91.933745) + (xy 159.286705 91.882615) + (xy 159.403261 91.795261) + (xy 159.490615 91.678705) + (xy 159.541745 91.542316) + (xy 159.5485 91.480134) + (xy 159.5485 90.383866) + (xy 159.541745 90.321684) + (xy 159.490615 90.185295) + (xy 159.403261 90.068739) + (xy 159.286705 89.981385) + (xy 159.150316 89.930255) + (xy 159.088134 89.9235) + (xy 155.841866 89.9235) + (xy 155.779684 89.930255) + (xy 155.643295 89.981385) + (xy 155.526739 90.068739) + (xy 155.521358 90.075919) + (xy 155.444767 90.178113) + (xy 155.444765 90.178116) + (xy 155.439385 90.185295) + (xy 155.436234 90.193699) + (xy 155.436234 90.1937) + (xy 155.423899 90.226604) + (xy 155.381258 90.283369) + (xy 155.341064 90.303374) + (xy 155.332327 90.305912) + (xy 155.328654 90.306979) + (xy 155.309306 90.310986) + (xy 155.297068 90.312532) + (xy 155.297066 90.312533) + (xy 155.289203 90.313526) + (xy 155.248086 90.329806) + (xy 155.236885 90.333641) + (xy 155.194406 90.345982) + (xy 155.187587 90.350015) + (xy 155.187582 90.350017) + (xy 155.176971 90.356293) + (xy 155.159221 90.36499) + (xy 155.140383 90.372448) + (xy 155.133967 90.377109) + (xy 155.133966 90.37711) + (xy 155.104625 90.398428) + (xy 155.094701 90.404947) + (xy 155.06346 90.423422) + (xy 155.063455 90.423426) + (xy 155.056637 90.427458) + (xy 155.042313 90.441782) + (xy 155.027281 90.454621) + (xy 155.010893 90.466528) + (xy 155.005842 90.472634) + (xy 154.994936 90.485817) + (xy 154.936102 90.525554) + (xy 154.897852 90.5315) + (xy 154.844513 90.5315) + (xy 154.838061 90.532872) + (xy 154.838056 90.532872) + (xy 154.664171 90.569833) + (xy 154.657712 90.571206) + (xy 154.657538 90.570389) + (xy 154.591966 90.572261) + (xy 154.531169 90.535598) + (xy 154.499844 90.471885) + (xy 154.497999 90.450401) + (xy 154.497999 90.387331) + (xy 154.497629 90.38051) + (xy 154.492105 90.329648) + (xy 154.488479 90.314396) + (xy 154.443324 90.193946) + (xy 154.434786 90.178351) + (xy 154.358285 90.076276) + (xy 154.345724 90.063715) + (xy 154.243649 89.987214) + (xy 154.228054 89.978676) + (xy 154.107606 89.933522) + (xy 154.092351 89.929895) + (xy 154.041486 89.924369) + (xy 154.034672 89.924) + (xy 152.687115 89.924) + (xy 152.671876 89.928475) + (xy 152.670671 89.929865) + (xy 152.669 89.937548) + (xy 152.669 91.921884) + (xy 152.161 91.921884) + (xy 152.161 91.204115) + (xy 152.156525 91.188876) + (xy 152.155135 91.187671) + (xy 152.147452 91.186) + (xy 150.350116 91.186) + (xy 150.334877 91.190475) + (xy 150.333672 91.191865) + (xy 150.332001 91.199548) + (xy 150.332001 91.476669) + (xy 143.5105 91.476669) + (xy 143.5105 90.659885) + (xy 150.332 90.659885) + (xy 150.336475 90.675124) + (xy 150.337865 90.676329) + (xy 150.345548 90.678) + (xy 152.142885 90.678) + (xy 152.158124 90.673525) + (xy 152.159329 90.672135) + (xy 152.161 90.664452) + (xy 152.161 89.942116) + (xy 152.156525 89.926877) + (xy 152.155135 89.925672) + (xy 152.147452 89.924001) + (xy 150.795331 89.924001) + (xy 150.78851 89.924371) + (xy 150.737648 89.929895) + (xy 150.722396 89.933521) + (xy 150.601946 89.978676) + (xy 150.586351 89.987214) + (xy 150.484276 90.063715) + (xy 150.471715 90.076276) + (xy 150.395214 90.178351) + (xy 150.386676 90.193946) + (xy 150.341522 90.314394) + (xy 150.337895 90.329649) + (xy 150.332369 90.380514) + (xy 150.332 90.387328) + (xy 150.332 90.659885) + (xy 143.5105 90.659885) + (xy 143.5105 88.936669) + (xy 150.332001 88.936669) + (xy 150.332371 88.94349) + (xy 150.337895 88.994352) + (xy 150.341521 89.009604) + (xy 150.386676 89.130054) + (xy 150.395214 89.145649) + (xy 150.471715 89.247724) + (xy 150.484276 89.260285) + (xy 150.586351 89.336786) + (xy 150.601946 89.345324) + (xy 150.722394 89.390478) + (xy 150.737649 89.394105) + (xy 150.788514 89.399631) + (xy 150.795328 89.4) + (xy 152.142885 89.4) + (xy 152.158124 89.395525) + (xy 152.159329 89.394135) + (xy 152.161 89.386452) + (xy 152.161 89.381884) + (xy 152.669 89.381884) + (xy 152.673475 89.397123) + (xy 152.674865 89.398328) + (xy 152.682548 89.399999) + (xy 154.034669 89.399999) + (xy 154.041488 89.39963) + (xy 154.092348 89.394105) + (xy 154.16223 89.406634) + (xy 154.199532 89.43823) + (xy 154.20096 89.436944) + (xy 154.328747 89.578866) + (xy 154.483248 89.691118) + (xy 154.489276 89.693802) + (xy 154.489278 89.693803) + (xy 154.651681 89.766109) + (xy 154.657712 89.768794) + (xy 154.751112 89.788647) + (xy 154.838056 89.807128) + (xy 154.838061 89.807128) + (xy 154.844513 89.8085) + (xy 155.035487 89.8085) + (xy 155.041939 89.807128) + (xy 155.041944 89.807128) + (xy 155.128887 89.788647) + (xy 155.222288 89.768794) + (xy 155.228319 89.766109) + (xy 155.390722 89.693803) + (xy 155.390724 89.693802) + (xy 155.396752 89.691118) + (xy 155.551253 89.578866) + (xy 155.67904 89.436944) + (xy 155.680966 89.438678) + (xy 155.728019 89.402412) + (xy 155.787313 89.394574) + (xy 155.838467 89.400131) + (xy 155.838471 89.400131) + (xy 155.841866 89.4005) + (xy 159.088134 89.4005) + (xy 159.150316 89.393745) + (xy 159.286705 89.342615) + (xy 159.403261 89.255261) + (xy 159.490615 89.138705) + (xy 159.541745 89.002316) + (xy 159.5485 88.940134) + (xy 159.5485 87.843866) + (xy 159.541745 87.781684) + (xy 159.490615 87.645295) + (xy 159.403261 87.528739) + (xy 159.286705 87.441385) + (xy 159.150316 87.390255) + (xy 159.088134 87.3835) + (xy 155.841866 87.3835) + (xy 155.779684 87.390255) + (xy 155.643295 87.441385) + (xy 155.526739 87.528739) + (xy 155.521358 87.535919) + (xy 155.444767 87.638113) + (xy 155.444765 87.638116) + (xy 155.439385 87.645295) + (xy 155.436234 87.653699) + (xy 155.436234 87.6537) + (xy 155.423899 87.686604) + (xy 155.381258 87.743369) + (xy 155.341064 87.763374) + (xy 155.332327 87.765912) + (xy 155.328654 87.766979) + (xy 155.309306 87.770986) + (xy 155.297068 87.772532) + (xy 155.297066 87.772533) + (xy 155.289203 87.773526) + (xy 155.248086 87.789806) + (xy 155.236885 87.793641) + (xy 155.194406 87.805982) + (xy 155.187587 87.810015) + (xy 155.187582 87.810017) + (xy 155.176971 87.816293) + (xy 155.159221 87.82499) + (xy 155.140383 87.832448) + (xy 155.133967 87.837109) + (xy 155.133966 87.83711) + (xy 155.104625 87.858428) + (xy 155.094701 87.864947) + (xy 155.06346 87.883422) + (xy 155.063455 87.883426) + (xy 155.056637 87.887458) + (xy 155.042313 87.901782) + (xy 155.027281 87.914621) + (xy 155.010893 87.926528) + (xy 155.005842 87.932634) + (xy 154.994936 87.945817) + (xy 154.936102 87.985554) + (xy 154.897852 87.9915) + (xy 154.844513 87.9915) + (xy 154.838061 87.992872) + (xy 154.838056 87.992872) + (xy 154.664171 88.029833) + (xy 154.657712 88.031206) + (xy 154.657538 88.030389) + (xy 154.591966 88.032261) + (xy 154.531169 87.995598) + (xy 154.499844 87.931885) + (xy 154.497999 87.910401) + (xy 154.497999 87.847331) + (xy 154.497629 87.84051) + (xy 154.492105 87.789648) + (xy 154.488479 87.774396) + (xy 154.443324 87.653946) + (xy 154.434786 87.638351) + (xy 154.358285 87.536276) + (xy 154.345724 87.523715) + (xy 154.243649 87.447214) + (xy 154.228054 87.438676) + (xy 154.107606 87.393522) + (xy 154.092351 87.389895) + (xy 154.041486 87.384369) + (xy 154.034672 87.384) + (xy 152.687115 87.384) + (xy 152.671876 87.388475) + (xy 152.670671 87.389865) + (xy 152.669 87.397548) + (xy 152.669 89.381884) + (xy 152.161 89.381884) + (xy 152.161 88.664115) + (xy 152.156525 88.648876) + (xy 152.155135 88.647671) + (xy 152.147452 88.646) + (xy 150.350116 88.646) + (xy 150.334877 88.650475) + (xy 150.333672 88.651865) + (xy 150.332001 88.659548) + (xy 150.332001 88.936669) + (xy 143.5105 88.936669) + (xy 143.5105 88.119885) + (xy 150.332 88.119885) + (xy 150.336475 88.135124) + (xy 150.337865 88.136329) + (xy 150.345548 88.138) + (xy 152.142885 88.138) + (xy 152.158124 88.133525) + (xy 152.159329 88.132135) + (xy 152.161 88.124452) + (xy 152.161 87.402116) + (xy 152.156525 87.386877) + (xy 152.155135 87.385672) + (xy 152.147452 87.384001) + (xy 150.795331 87.384001) + (xy 150.78851 87.384371) + (xy 150.737648 87.389895) + (xy 150.722396 87.393521) + (xy 150.601946 87.438676) + (xy 150.586351 87.447214) + (xy 150.484276 87.523715) + (xy 150.471715 87.536276) + (xy 150.395214 87.638351) + (xy 150.386676 87.653946) + (xy 150.341522 87.774394) + (xy 150.337895 87.789649) + (xy 150.332369 87.840514) + (xy 150.332 87.847328) + (xy 150.332 88.119885) + (xy 143.5105 88.119885) + (xy 143.5105 86.396669) + (xy 150.332001 86.396669) + (xy 150.332371 86.40349) + (xy 150.337895 86.454352) + (xy 150.341521 86.469604) + (xy 150.386676 86.590054) + (xy 150.395214 86.605649) + (xy 150.471715 86.707724) + (xy 150.484276 86.720285) + (xy 150.586351 86.796786) + (xy 150.601946 86.805324) + (xy 150.722394 86.850478) + (xy 150.737649 86.854105) + (xy 150.788514 86.859631) + (xy 150.795328 86.86) + (xy 152.142885 86.86) + (xy 152.158124 86.855525) + (xy 152.159329 86.854135) + (xy 152.161 86.846452) + (xy 152.161 86.841884) + (xy 152.669 86.841884) + (xy 152.673475 86.857123) + (xy 152.674865 86.858328) + (xy 152.682548 86.859999) + (xy 154.034669 86.859999) + (xy 154.041488 86.85963) + (xy 154.092348 86.854105) + (xy 154.16223 86.866634) + (xy 154.199532 86.89823) + (xy 154.20096 86.896944) + (xy 154.328747 87.038866) + (xy 154.483248 87.151118) + (xy 154.489276 87.153802) + (xy 154.489278 87.153803) + (xy 154.651681 87.226109) + (xy 154.657712 87.228794) + (xy 154.751113 87.248647) + (xy 154.838056 87.267128) + (xy 154.838061 87.267128) + (xy 154.844513 87.2685) + (xy 155.035487 87.2685) + (xy 155.041939 87.267128) + (xy 155.041944 87.267128) + (xy 155.128888 87.248647) + (xy 155.222288 87.228794) + (xy 155.228319 87.226109) + (xy 155.390722 87.153803) + (xy 155.390724 87.153802) + (xy 155.396752 87.151118) + (xy 155.551253 87.038866) + (xy 155.67904 86.896944) + (xy 155.680966 86.898678) + (xy 155.728019 86.862412) + (xy 155.787313 86.854574) + (xy 155.838467 86.860131) + (xy 155.838471 86.860131) + (xy 155.841866 86.8605) + (xy 159.088134 86.8605) + (xy 159.150316 86.853745) + (xy 159.286705 86.802615) + (xy 159.403261 86.715261) + (xy 159.490615 86.598705) + (xy 159.541745 86.462316) + (xy 159.5485 86.400134) + (xy 159.5485 85.303866) + (xy 159.541745 85.241684) + (xy 159.490615 85.105295) + (xy 159.403261 84.988739) + (xy 159.286705 84.901385) + (xy 159.150316 84.850255) + (xy 159.088134 84.8435) + (xy 155.841866 84.8435) + (xy 155.779684 84.850255) + (xy 155.643295 84.901385) + (xy 155.526739 84.988739) + (xy 155.521358 84.995919) + (xy 155.444767 85.098113) + (xy 155.444765 85.098116) + (xy 155.439385 85.105295) + (xy 155.436234 85.113699) + (xy 155.436234 85.1137) + (xy 155.423899 85.146604) + (xy 155.381258 85.203369) + (xy 155.341064 85.223374) + (xy 155.332327 85.225912) + (xy 155.328654 85.226979) + (xy 155.309306 85.230986) + (xy 155.297068 85.232532) + (xy 155.297066 85.232533) + (xy 155.289203 85.233526) + (xy 155.248086 85.249806) + (xy 155.236885 85.253641) + (xy 155.194406 85.265982) + (xy 155.187587 85.270015) + (xy 155.187582 85.270017) + (xy 155.176971 85.276293) + (xy 155.159221 85.28499) + (xy 155.140383 85.292448) + (xy 155.133967 85.297109) + (xy 155.133966 85.29711) + (xy 155.104625 85.318428) + (xy 155.094701 85.324947) + (xy 155.06346 85.343422) + (xy 155.063455 85.343426) + (xy 155.056637 85.347458) + (xy 155.042313 85.361782) + (xy 155.027281 85.374621) + (xy 155.010893 85.386528) + (xy 155.005842 85.392634) + (xy 154.994936 85.405817) + (xy 154.936102 85.445554) + (xy 154.897852 85.4515) + (xy 154.844513 85.4515) + (xy 154.838061 85.452872) + (xy 154.838056 85.452872) + (xy 154.664171 85.489833) + (xy 154.657712 85.491206) + (xy 154.657538 85.490389) + (xy 154.591966 85.492261) + (xy 154.531169 85.455598) + (xy 154.499844 85.391885) + (xy 154.497999 85.370401) + (xy 154.497999 85.307331) + (xy 154.497629 85.30051) + (xy 154.492105 85.249648) + (xy 154.488479 85.234396) + (xy 154.443324 85.113946) + (xy 154.434786 85.098351) + (xy 154.358285 84.996276) + (xy 154.345724 84.983715) + (xy 154.243649 84.907214) + (xy 154.228054 84.898676) + (xy 154.107606 84.853522) + (xy 154.092351 84.849895) + (xy 154.041486 84.844369) + (xy 154.034672 84.844) + (xy 152.687115 84.844) + (xy 152.671876 84.848475) + (xy 152.670671 84.849865) + (xy 152.669 84.857548) + (xy 152.669 86.841884) + (xy 152.161 86.841884) + (xy 152.161 86.124115) + (xy 152.156525 86.108876) + (xy 152.155135 86.107671) + (xy 152.147452 86.106) + (xy 150.350116 86.106) + (xy 150.334877 86.110475) + (xy 150.333672 86.111865) + (xy 150.332001 86.119548) + (xy 150.332001 86.396669) + (xy 143.5105 86.396669) + (xy 143.5105 85.579885) + (xy 150.332 85.579885) + (xy 150.336475 85.595124) + (xy 150.337865 85.596329) + (xy 150.345548 85.598) + (xy 152.142885 85.598) + (xy 152.158124 85.593525) + (xy 152.159329 85.592135) + (xy 152.161 85.584452) + (xy 152.161 84.862116) + (xy 152.156525 84.846877) + (xy 152.155135 84.845672) + (xy 152.147452 84.844001) + (xy 150.795331 84.844001) + (xy 150.78851 84.844371) + (xy 150.737648 84.849895) + (xy 150.722396 84.853521) + (xy 150.601946 84.898676) + (xy 150.586351 84.907214) + (xy 150.484276 84.983715) + (xy 150.471715 84.996276) + (xy 150.395214 85.098351) + (xy 150.386676 85.113946) + (xy 150.341522 85.234394) + (xy 150.337895 85.249649) + (xy 150.332369 85.300514) + (xy 150.332 85.307328) + (xy 150.332 85.579885) + (xy 143.5105 85.579885) + (xy 143.5105 83.860134) + (xy 150.3315 83.860134) + (xy 150.338255 83.922316) + (xy 150.389385 84.058705) + (xy 150.476739 84.175261) + (xy 150.593295 84.262615) + (xy 150.729684 84.313745) + (xy 150.791866 84.3205) + (xy 154.038134 84.3205) + (xy 154.100316 84.313745) + (xy 154.236705 84.262615) + (xy 154.353261 84.175261) + (xy 154.440615 84.058705) + (xy 154.491745 83.922316) + (xy 154.4985 83.860134) + (xy 154.4985 83.856669) + (xy 155.382001 83.856669) + (xy 155.382371 83.86349) + (xy 155.387895 83.914352) + (xy 155.391521 83.929604) + (xy 155.436676 84.050054) + (xy 155.445214 84.065649) + (xy 155.521715 84.167724) + (xy 155.534276 84.180285) + (xy 155.636351 84.256786) + (xy 155.651946 84.265324) + (xy 155.772394 84.310478) + (xy 155.787649 84.314105) + (xy 155.838514 84.319631) + (xy 155.845328 84.32) + (xy 157.192885 84.32) + (xy 157.208124 84.315525) + (xy 157.209329 84.314135) + (xy 157.211 84.306452) + (xy 157.211 84.301884) + (xy 157.719 84.301884) + (xy 157.723475 84.317123) + (xy 157.724865 84.318328) + (xy 157.732548 84.319999) + (xy 159.084669 84.319999) + (xy 159.09149 84.319629) + (xy 159.142352 84.314105) + (xy 159.157604 84.310479) + (xy 159.278054 84.265324) + (xy 159.293649 84.256786) + (xy 159.395724 84.180285) + (xy 159.408285 84.167724) + (xy 159.484786 84.065649) + (xy 159.493324 84.050054) + (xy 159.538478 83.929606) + (xy 159.542105 83.914351) + (xy 159.547631 83.863486) + (xy 159.548 83.856672) + (xy 159.548 83.584115) + (xy 159.543525 83.568876) + (xy 159.542135 83.567671) + (xy 159.534452 83.566) + (xy 157.737115 83.566) + (xy 157.721876 83.570475) + (xy 157.720671 83.571865) + (xy 157.719 83.579548) + (xy 157.719 84.301884) + (xy 157.211 84.301884) + (xy 157.211 83.584115) + (xy 157.206525 83.568876) + (xy 157.205135 83.567671) + (xy 157.197452 83.566) + (xy 155.400116 83.566) + (xy 155.384877 83.570475) + (xy 155.383672 83.571865) + (xy 155.382001 83.579548) + (xy 155.382001 83.856669) + (xy 154.4985 83.856669) + (xy 154.4985 83.039885) + (xy 155.382 83.039885) + (xy 155.386475 83.055124) + (xy 155.387865 83.056329) + (xy 155.395548 83.058) + (xy 157.192885 83.058) + (xy 157.208124 83.053525) + (xy 157.209329 83.052135) + (xy 157.211 83.044452) + (xy 157.211 83.039885) + (xy 157.719 83.039885) + (xy 157.723475 83.055124) + (xy 157.724865 83.056329) + (xy 157.732548 83.058) + (xy 159.529884 83.058) + (xy 159.545123 83.053525) + (xy 159.546328 83.052135) + (xy 159.547999 83.044452) + (xy 159.547999 82.767331) + (xy 159.547629 82.76051) + (xy 159.542105 82.709648) + (xy 159.538479 82.694396) + (xy 159.493324 82.573946) + (xy 159.484786 82.558351) + (xy 159.408285 82.456276) + (xy 159.395724 82.443715) + (xy 159.293649 82.367214) + (xy 159.278054 82.358676) + (xy 159.157606 82.313522) + (xy 159.142351 82.309895) + (xy 159.091486 82.304369) + (xy 159.084672 82.304) + (xy 157.737115 82.304) + (xy 157.721876 82.308475) + (xy 157.720671 82.309865) + (xy 157.719 82.317548) + (xy 157.719 83.039885) + (xy 157.211 83.039885) + (xy 157.211 82.322116) + (xy 157.206525 82.306877) + (xy 157.205135 82.305672) + (xy 157.197452 82.304001) + (xy 155.845331 82.304001) + (xy 155.83851 82.304371) + (xy 155.787648 82.309895) + (xy 155.772396 82.313521) + (xy 155.651946 82.358676) + (xy 155.636351 82.367214) + (xy 155.534276 82.443715) + (xy 155.521715 82.456276) + (xy 155.445214 82.558351) + (xy 155.436676 82.573946) + (xy 155.391522 82.694394) + (xy 155.387895 82.709649) + (xy 155.382369 82.760514) + (xy 155.382 82.767328) + (xy 155.382 83.039885) + (xy 154.4985 83.039885) + (xy 154.4985 82.763866) + (xy 154.491745 82.701684) + (xy 154.440615 82.565295) + (xy 154.353261 82.448739) + (xy 154.236705 82.361385) + (xy 154.100316 82.310255) + (xy 154.038134 82.3035) + (xy 150.791866 82.3035) + (xy 150.729684 82.310255) + (xy 150.593295 82.361385) + (xy 150.476739 82.448739) + (xy 150.389385 82.565295) + (xy 150.338255 82.701684) + (xy 150.3315 82.763866) + (xy 150.3315 83.860134) + (xy 143.5105 83.860134) + (xy 143.5105 81.320134) + (xy 150.3315 81.320134) + (xy 150.338255 81.382316) + (xy 150.389385 81.518705) + (xy 150.476739 81.635261) + (xy 150.593295 81.722615) + (xy 150.729684 81.773745) + (xy 150.791866 81.7805) + (xy 154.038134 81.7805) + (xy 154.041529 81.780131) + (xy 154.041533 81.780131) + (xy 154.092687 81.774574) + (xy 154.162569 81.787102) + (xy 154.199433 81.818319) + (xy 154.20096 81.816944) + (xy 154.328747 81.958866) + (xy 154.483248 82.071118) + (xy 154.489276 82.073802) + (xy 154.489278 82.073803) + (xy 154.651681 82.146109) + (xy 154.657712 82.148794) + (xy 154.751112 82.168647) + (xy 154.838056 82.187128) + (xy 154.838061 82.187128) + (xy 154.844513 82.1885) + (xy 155.035487 82.1885) + (xy 155.041939 82.187128) + (xy 155.041944 82.187128) + (xy 155.128887 82.168647) + (xy 155.222288 82.148794) + (xy 155.228319 82.146109) + (xy 155.390722 82.073803) + (xy 155.390724 82.073802) + (xy 155.396752 82.071118) + (xy 155.551253 81.958866) + (xy 155.67904 81.816944) + (xy 155.680966 81.818678) + (xy 155.728019 81.782412) + (xy 155.787313 81.774574) + (xy 155.838467 81.780131) + (xy 155.838471 81.780131) + (xy 155.841866 81.7805) + (xy 159.088134 81.7805) + (xy 159.150316 81.773745) + (xy 159.286705 81.722615) + (xy 159.403261 81.635261) + (xy 159.490615 81.518705) + (xy 159.541745 81.382316) + (xy 159.5485 81.320134) + (xy 159.5485 80.223866) + (xy 159.541745 80.161684) + (xy 159.490615 80.025295) + (xy 159.403261 79.908739) + (xy 159.286705 79.821385) + (xy 159.150316 79.770255) + (xy 159.088134 79.7635) + (xy 155.906704 79.7635) + (xy 155.838583 79.743498) + (xy 155.79209 79.689842) + (xy 155.786871 79.676435) + (xy 155.77657 79.644731) + (xy 155.776569 79.644729) + (xy 155.774527 79.638444) + (xy 155.67904 79.473056) + (xy 155.666662 79.459309) + (xy 155.635946 79.395303) + (xy 155.64471 79.32485) + (xy 155.666661 79.290693) + (xy 155.67904 79.276944) + (xy 155.67904 79.276943) + (xy 155.680967 79.278678) + (xy 155.728019 79.242412) + (xy 155.787313 79.234574) + (xy 155.838467 79.240131) + (xy 155.838471 79.240131) + (xy 155.841866 79.2405) + (xy 159.088134 79.2405) + (xy 159.150316 79.233745) + (xy 159.286705 79.182615) + (xy 159.403261 79.095261) + (xy 159.490615 78.978705) + (xy 159.541745 78.842316) + (xy 159.5485 78.780134) + (xy 159.5485 77.683866) + (xy 159.541745 77.621684) + (xy 159.490615 77.485295) + (xy 159.403261 77.368739) + (xy 159.286705 77.281385) + (xy 159.150316 77.230255) + (xy 159.088134 77.2235) + (xy 155.906704 77.2235) + (xy 155.838583 77.203498) + (xy 155.79209 77.149842) + (xy 155.786871 77.136435) + (xy 155.77657 77.104731) + (xy 155.776569 77.104729) + (xy 155.774527 77.098444) + (xy 155.67904 76.933056) + (xy 155.666662 76.919309) + (xy 155.635946 76.855303) + (xy 155.64471 76.78485) + (xy 155.666661 76.750693) + (xy 155.67904 76.736944) + (xy 155.67904 76.736943) + (xy 155.680967 76.738678) + (xy 155.728019 76.702412) + (xy 155.787313 76.694574) + (xy 155.838467 76.700131) + (xy 155.838471 76.700131) + (xy 155.841866 76.7005) + (xy 159.088134 76.7005) + (xy 159.150316 76.693745) + (xy 159.286705 76.642615) + (xy 159.403261 76.555261) + (xy 159.490615 76.438705) + (xy 159.541745 76.302316) + (xy 159.5485 76.240134) + (xy 159.5485 75.143866) + (xy 159.541745 75.081684) + (xy 159.490615 74.945295) + (xy 159.403261 74.828739) + (xy 159.286705 74.741385) + (xy 159.150316 74.690255) + (xy 159.088134 74.6835) + (xy 155.906704 74.6835) + (xy 155.838583 74.663498) + (xy 155.79209 74.609842) + (xy 155.786871 74.596435) + (xy 155.77657 74.564731) + (xy 155.776569 74.564729) + (xy 155.774527 74.558444) + (xy 155.67904 74.393056) + (xy 155.666662 74.379309) + (xy 155.635946 74.315303) + (xy 155.64471 74.24485) + (xy 155.666661 74.210693) + (xy 155.67904 74.196944) + (xy 155.67904 74.196943) + (xy 155.680967 74.198678) + (xy 155.728019 74.162412) + (xy 155.787313 74.154574) + (xy 155.838467 74.160131) + (xy 155.838471 74.160131) + (xy 155.841866 74.1605) + (xy 159.088134 74.1605) + (xy 159.150316 74.153745) + (xy 159.286705 74.102615) + (xy 159.403261 74.015261) + (xy 159.490615 73.898705) + (xy 159.541745 73.762316) + (xy 159.5485 73.700134) + (xy 159.5485 72.603866) + (xy 159.541745 72.541684) + (xy 159.490615 72.405295) + (xy 159.403261 72.288739) + (xy 159.286705 72.201385) + (xy 159.150316 72.150255) + (xy 159.088134 72.1435) + (xy 155.906704 72.1435) + (xy 155.838583 72.123498) + (xy 155.79209 72.069842) + (xy 155.786871 72.056435) + (xy 155.77657 72.024731) + (xy 155.776569 72.024729) + (xy 155.774527 72.018444) + (xy 155.67904 71.853056) + (xy 155.666662 71.839309) + (xy 155.635946 71.775303) + (xy 155.64471 71.70485) + (xy 155.666661 71.670693) + (xy 155.67904 71.656944) + (xy 155.67904 71.656943) + (xy 155.680967 71.658678) + (xy 155.728019 71.622412) + (xy 155.787313 71.614574) + (xy 155.838467 71.620131) + (xy 155.838471 71.620131) + (xy 155.841866 71.6205) + (xy 159.088134 71.6205) + (xy 159.150316 71.613745) + (xy 159.286705 71.562615) + (xy 159.403261 71.475261) + (xy 159.490615 71.358705) + (xy 159.541745 71.222316) + (xy 159.5485 71.160134) + (xy 159.5485 70.063866) + (xy 159.541745 70.001684) + (xy 159.490615 69.865295) + (xy 159.403261 69.748739) + (xy 159.286705 69.661385) + (xy 159.150316 69.610255) + (xy 159.088134 69.6035) + (xy 155.906704 69.6035) + (xy 155.838583 69.583498) + (xy 155.79209 69.529842) + (xy 155.786871 69.516435) + (xy 155.77657 69.484731) + (xy 155.776569 69.484729) + (xy 155.774527 69.478444) + (xy 155.67904 69.313056) + (xy 155.666662 69.299309) + (xy 155.635946 69.235303) + (xy 155.64471 69.16485) + (xy 155.666661 69.130693) + (xy 155.67904 69.116944) + (xy 155.67904 69.116943) + (xy 155.680967 69.118678) + (xy 155.728019 69.082412) + (xy 155.787313 69.074574) + (xy 155.838467 69.080131) + (xy 155.838471 69.080131) + (xy 155.841866 69.0805) + (xy 159.088134 69.0805) + (xy 159.150316 69.073745) + (xy 159.286705 69.022615) + (xy 159.403261 68.935261) + (xy 159.490615 68.818705) + (xy 159.541745 68.682316) + (xy 159.5485 68.620134) + (xy 159.5485 67.523866) + (xy 159.541745 67.461684) + (xy 159.490615 67.325295) + (xy 159.403261 67.208739) + (xy 159.286705 67.121385) + (xy 159.150316 67.070255) + (xy 159.088134 67.0635) + (xy 155.906704 67.0635) + (xy 155.838583 67.043498) + (xy 155.79209 66.989842) + (xy 155.786871 66.976435) + (xy 155.77657 66.944731) + (xy 155.776569 66.944729) + (xy 155.774527 66.938444) + (xy 155.67904 66.773056) + (xy 155.666662 66.759309) + (xy 155.635946 66.695303) + (xy 155.64471 66.62485) + (xy 155.666661 66.590693) + (xy 155.67904 66.576944) + (xy 155.67904 66.576943) + (xy 155.680967 66.578678) + (xy 155.728019 66.542412) + (xy 155.787313 66.534574) + (xy 155.838467 66.540131) + (xy 155.838471 66.540131) + (xy 155.841866 66.5405) + (xy 159.088134 66.5405) + (xy 159.150316 66.533745) + (xy 159.286705 66.482615) + (xy 159.403261 66.395261) + (xy 159.490615 66.278705) + (xy 159.541745 66.142316) + (xy 159.5485 66.080134) + (xy 159.5485 64.983866) + (xy 159.541745 64.921684) + (xy 159.490615 64.785295) + (xy 159.403261 64.668739) + (xy 159.286705 64.581385) + (xy 159.150316 64.530255) + (xy 159.088134 64.5235) + (xy 155.906704 64.5235) + (xy 155.838583 64.503498) + (xy 155.79209 64.449842) + (xy 155.786871 64.436435) + (xy 155.77657 64.404731) + (xy 155.776569 64.404729) + (xy 155.774527 64.398444) + (xy 155.67904 64.233056) + (xy 155.666662 64.219309) + (xy 155.635946 64.155303) + (xy 155.64471 64.08485) + (xy 155.666661 64.050693) + (xy 155.67904 64.036944) + (xy 155.67904 64.036943) + (xy 155.680967 64.038678) + (xy 155.728019 64.002412) + (xy 155.787313 63.994574) + (xy 155.838467 64.000131) + (xy 155.838471 64.000131) + (xy 155.841866 64.0005) + (xy 159.088134 64.0005) + (xy 159.150316 63.993745) + (xy 159.286705 63.942615) + (xy 159.403261 63.855261) + (xy 159.490615 63.738705) + (xy 159.541745 63.602316) + (xy 159.5485 63.540134) + (xy 159.5485 62.443866) + (xy 159.541745 62.381684) + (xy 159.490615 62.245295) + (xy 159.403261 62.128739) + (xy 159.286705 62.041385) + (xy 159.150316 61.990255) + (xy 159.088134 61.9835) + (xy 155.906704 61.9835) + (xy 155.838583 61.963498) + (xy 155.79209 61.909842) + (xy 155.786871 61.896435) + (xy 155.77657 61.864731) + (xy 155.776569 61.864729) + (xy 155.774527 61.858444) + (xy 155.67904 61.693056) + (xy 155.666662 61.679309) + (xy 155.635946 61.615303) + (xy 155.64471 61.54485) + (xy 155.666661 61.510693) + (xy 155.67904 61.496944) + (xy 155.67904 61.496943) + (xy 155.680967 61.498678) + (xy 155.728019 61.462412) + (xy 155.787313 61.454574) + (xy 155.838467 61.460131) + (xy 155.838471 61.460131) + (xy 155.841866 61.4605) + (xy 159.088134 61.4605) + (xy 159.150316 61.453745) + (xy 159.286705 61.402615) + (xy 159.403261 61.315261) + (xy 159.490615 61.198705) + (xy 159.541745 61.062316) + (xy 159.5485 61.000134) + (xy 159.5485 59.903866) + (xy 159.541745 59.841684) + (xy 159.490615 59.705295) + (xy 159.403261 59.588739) + (xy 159.286705 59.501385) + (xy 159.150316 59.450255) + (xy 159.088134 59.4435) + (xy 155.841866 59.4435) + (xy 155.779684 59.450255) + (xy 155.643295 59.501385) + (xy 155.526739 59.588739) + (xy 155.521358 59.595919) + (xy 155.444767 59.698113) + (xy 155.444765 59.698116) + (xy 155.439385 59.705295) + (xy 155.436234 59.713699) + (xy 155.436234 59.7137) + (xy 155.423899 59.746604) + (xy 155.381258 59.803369) + (xy 155.341064 59.823374) + (xy 155.332327 59.825912) + (xy 155.328654 59.826979) + (xy 155.309306 59.830986) + (xy 155.297068 59.832532) + (xy 155.297066 59.832533) + (xy 155.289203 59.833526) + (xy 155.248086 59.849806) + (xy 155.236885 59.853641) + (xy 155.194406 59.865982) + (xy 155.187587 59.870015) + (xy 155.187582 59.870017) + (xy 155.176971 59.876293) + (xy 155.159221 59.88499) + (xy 155.140383 59.892448) + (xy 155.133967 59.897109) + (xy 155.133966 59.89711) + (xy 155.104625 59.918428) + (xy 155.094701 59.924947) + (xy 155.06346 59.943422) + (xy 155.063455 59.943426) + (xy 155.056637 59.947458) + (xy 155.042313 59.961782) + (xy 155.027281 59.974621) + (xy 155.010893 59.986528) + (xy 155.005842 59.992634) + (xy 154.994936 60.005817) + (xy 154.936102 60.045554) + (xy 154.897852 60.0515) + (xy 154.844513 60.0515) + (xy 154.838061 60.052872) + (xy 154.838056 60.052872) + (xy 154.664171 60.089833) + (xy 154.657712 60.091206) + (xy 154.657538 60.090389) + (xy 154.591966 60.092261) + (xy 154.531169 60.055598) + (xy 154.499844 59.991885) + (xy 154.497999 59.970401) + (xy 154.497999 59.907331) + (xy 154.497629 59.90051) + (xy 154.492105 59.849648) + (xy 154.488479 59.834396) + (xy 154.443324 59.713946) + (xy 154.434786 59.698351) + (xy 154.358285 59.596276) + (xy 154.345724 59.583715) + (xy 154.243649 59.507214) + (xy 154.228054 59.498676) + (xy 154.107606 59.453522) + (xy 154.092351 59.449895) + (xy 154.041486 59.444369) + (xy 154.034672 59.444) + (xy 152.687115 59.444) + (xy 152.671876 59.448475) + (xy 152.670671 59.449865) + (xy 152.669 59.457548) + (xy 152.669 61.441884) + (xy 152.673475 61.457123) + (xy 152.674865 61.458328) + (xy 152.682548 61.459999) + (xy 154.034669 61.459999) + (xy 154.041488 61.45963) + (xy 154.092348 61.454105) + (xy 154.16223 61.466634) + (xy 154.199531 61.49823) + (xy 154.20096 61.496943) + (xy 154.20096 61.496944) + (xy 154.213338 61.510691) + (xy 154.244054 61.574697) + (xy 154.23529 61.64515) + (xy 154.213339 61.679307) + (xy 154.20096 61.693056) + (xy 154.105473 61.858444) + (xy 154.103431 61.864729) + (xy 154.10343 61.864731) + (xy 154.093129 61.896435) + (xy 154.053056 61.955041) + (xy 153.98766 61.982679) + (xy 153.973296 61.9835) + (xy 150.791866 61.9835) + (xy 150.729684 61.990255) + (xy 150.593295 62.041385) + (xy 150.476739 62.128739) + (xy 150.389385 62.245295) + (xy 150.338255 62.381684) + (xy 150.3315 62.443866) + (xy 150.3315 63.540134) + (xy 150.338255 63.602316) + (xy 150.389385 63.738705) + (xy 150.476739 63.855261) + (xy 150.593295 63.942615) + (xy 150.729684 63.993745) + (xy 150.791866 64.0005) + (xy 154.038134 64.0005) + (xy 154.041529 64.000131) + (xy 154.041533 64.000131) + (xy 154.092687 63.994574) + (xy 154.162569 64.007102) + (xy 154.199433 64.038318) + (xy 154.20096 64.036943) + (xy 154.20096 64.036944) + (xy 154.213338 64.050691) + (xy 154.244054 64.114697) + (xy 154.23529 64.18515) + (xy 154.213339 64.219307) + (xy 154.20096 64.233056) + (xy 154.105473 64.398444) + (xy 154.103431 64.404729) + (xy 154.10343 64.404731) + (xy 154.093129 64.436435) + (xy 154.053056 64.495041) + (xy 153.98766 64.522679) + (xy 153.973296 64.5235) + (xy 150.791866 64.5235) + (xy 150.729684 64.530255) + (xy 150.593295 64.581385) + (xy 150.476739 64.668739) + (xy 150.389385 64.785295) + (xy 150.338255 64.921684) + (xy 150.3315 64.983866) + (xy 150.3315 66.080134) + (xy 150.338255 66.142316) + (xy 150.389385 66.278705) + (xy 150.476739 66.395261) + (xy 150.593295 66.482615) + (xy 150.729684 66.533745) + (xy 150.791866 66.5405) + (xy 154.038134 66.5405) + (xy 154.041529 66.540131) + (xy 154.041533 66.540131) + (xy 154.092687 66.534574) + (xy 154.162569 66.547102) + (xy 154.199433 66.578318) + (xy 154.20096 66.576943) + (xy 154.20096 66.576944) + (xy 154.213338 66.590691) + (xy 154.244054 66.654697) + (xy 154.23529 66.72515) + (xy 154.213339 66.759307) + (xy 154.20096 66.773056) + (xy 154.105473 66.938444) + (xy 154.103431 66.944729) + (xy 154.10343 66.944731) + (xy 154.093129 66.976435) + (xy 154.053056 67.035041) + (xy 153.98766 67.062679) + (xy 153.973296 67.0635) + (xy 150.791866 67.0635) + (xy 150.729684 67.070255) + (xy 150.593295 67.121385) + (xy 150.476739 67.208739) + (xy 150.389385 67.325295) + (xy 150.338255 67.461684) + (xy 150.3315 67.523866) + (xy 150.3315 68.620134) + (xy 150.338255 68.682316) + (xy 150.389385 68.818705) + (xy 150.476739 68.935261) + (xy 150.593295 69.022615) + (xy 150.729684 69.073745) + (xy 150.791866 69.0805) + (xy 154.038134 69.0805) + (xy 154.041529 69.080131) + (xy 154.041533 69.080131) + (xy 154.092687 69.074574) + (xy 154.162569 69.087102) + (xy 154.199433 69.118318) + (xy 154.20096 69.116943) + (xy 154.20096 69.116944) + (xy 154.213338 69.130691) + (xy 154.244054 69.194697) + (xy 154.23529 69.26515) + (xy 154.213339 69.299307) + (xy 154.20096 69.313056) + (xy 154.105473 69.478444) + (xy 154.103431 69.484729) + (xy 154.10343 69.484731) + (xy 154.093129 69.516435) + (xy 154.053056 69.575041) + (xy 153.98766 69.602679) + (xy 153.973296 69.6035) + (xy 150.791866 69.6035) + (xy 150.729684 69.610255) + (xy 150.593295 69.661385) + (xy 150.476739 69.748739) + (xy 150.389385 69.865295) + (xy 150.338255 70.001684) + (xy 150.3315 70.063866) + (xy 150.3315 71.160134) + (xy 150.338255 71.222316) + (xy 150.389385 71.358705) + (xy 150.476739 71.475261) + (xy 150.593295 71.562615) + (xy 150.729684 71.613745) + (xy 150.791866 71.6205) + (xy 154.038134 71.6205) + (xy 154.041529 71.620131) + (xy 154.041533 71.620131) + (xy 154.092687 71.614574) + (xy 154.162569 71.627102) + (xy 154.199433 71.658318) + (xy 154.20096 71.656943) + (xy 154.20096 71.656944) + (xy 154.213338 71.670691) + (xy 154.244054 71.734697) + (xy 154.23529 71.80515) + (xy 154.213339 71.839307) + (xy 154.20096 71.853056) + (xy 154.105473 72.018444) + (xy 154.103431 72.024729) + (xy 154.10343 72.024731) + (xy 154.093129 72.056435) + (xy 154.053056 72.115041) + (xy 153.98766 72.142679) + (xy 153.973296 72.1435) + (xy 150.791866 72.1435) + (xy 150.729684 72.150255) + (xy 150.593295 72.201385) + (xy 150.476739 72.288739) + (xy 150.389385 72.405295) + (xy 150.338255 72.541684) + (xy 150.3315 72.603866) + (xy 150.3315 73.700134) + (xy 150.338255 73.762316) + (xy 150.389385 73.898705) + (xy 150.476739 74.015261) + (xy 150.593295 74.102615) + (xy 150.729684 74.153745) + (xy 150.791866 74.1605) + (xy 154.038134 74.1605) + (xy 154.041529 74.160131) + (xy 154.041533 74.160131) + (xy 154.092687 74.154574) + (xy 154.162569 74.167102) + (xy 154.199433 74.198318) + (xy 154.20096 74.196943) + (xy 154.20096 74.196944) + (xy 154.213338 74.210691) + (xy 154.244054 74.274697) + (xy 154.23529 74.34515) + (xy 154.213339 74.379307) + (xy 154.20096 74.393056) + (xy 154.105473 74.558444) + (xy 154.103431 74.564729) + (xy 154.10343 74.564731) + (xy 154.093129 74.596435) + (xy 154.053056 74.655041) + (xy 153.98766 74.682679) + (xy 153.973296 74.6835) + (xy 150.791866 74.6835) + (xy 150.729684 74.690255) + (xy 150.593295 74.741385) + (xy 150.476739 74.828739) + (xy 150.389385 74.945295) + (xy 150.338255 75.081684) + (xy 150.3315 75.143866) + (xy 150.3315 76.240134) + (xy 150.338255 76.302316) + (xy 150.389385 76.438705) + (xy 150.476739 76.555261) + (xy 150.593295 76.642615) + (xy 150.729684 76.693745) + (xy 150.791866 76.7005) + (xy 154.038134 76.7005) + (xy 154.041529 76.700131) + (xy 154.041533 76.700131) + (xy 154.092687 76.694574) + (xy 154.162569 76.707102) + (xy 154.199433 76.738318) + (xy 154.20096 76.736943) + (xy 154.20096 76.736944) + (xy 154.213338 76.750691) + (xy 154.244054 76.814697) + (xy 154.23529 76.88515) + (xy 154.213339 76.919307) + (xy 154.20096 76.933056) + (xy 154.105473 77.098444) + (xy 154.103431 77.104729) + (xy 154.10343 77.104731) + (xy 154.093129 77.136435) + (xy 154.053056 77.195041) + (xy 153.98766 77.222679) + (xy 153.973296 77.2235) + (xy 150.791866 77.2235) + (xy 150.729684 77.230255) + (xy 150.593295 77.281385) + (xy 150.476739 77.368739) + (xy 150.389385 77.485295) + (xy 150.338255 77.621684) + (xy 150.3315 77.683866) + (xy 150.3315 78.780134) + (xy 150.338255 78.842316) + (xy 150.389385 78.978705) + (xy 150.476739 79.095261) + (xy 150.593295 79.182615) + (xy 150.729684 79.233745) + (xy 150.791866 79.2405) + (xy 154.038134 79.2405) + (xy 154.041529 79.240131) + (xy 154.041533 79.240131) + (xy 154.092687 79.234574) + (xy 154.162569 79.247102) + (xy 154.199433 79.278318) + (xy 154.20096 79.276943) + (xy 154.20096 79.276944) + (xy 154.213338 79.290691) + (xy 154.244054 79.354697) + (xy 154.23529 79.42515) + (xy 154.213339 79.459307) + (xy 154.20096 79.473056) + (xy 154.105473 79.638444) + (xy 154.103431 79.644729) + (xy 154.10343 79.644731) + (xy 154.093129 79.676435) + (xy 154.053056 79.735041) + (xy 153.98766 79.762679) + (xy 153.973296 79.7635) + (xy 150.791866 79.7635) + (xy 150.729684 79.770255) + (xy 150.593295 79.821385) + (xy 150.476739 79.908739) + (xy 150.389385 80.025295) + (xy 150.338255 80.161684) + (xy 150.3315 80.223866) + (xy 150.3315 81.320134) + (xy 143.5105 81.320134) + (xy 143.5105 60.996669) + (xy 150.332001 60.996669) + (xy 150.332371 61.00349) + (xy 150.337895 61.054352) + (xy 150.341521 61.069604) + (xy 150.386676 61.190054) + (xy 150.395214 61.205649) + (xy 150.471715 61.307724) + (xy 150.484276 61.320285) + (xy 150.586351 61.396786) + (xy 150.601946 61.405324) + (xy 150.722394 61.450478) + (xy 150.737649 61.454105) + (xy 150.788514 61.459631) + (xy 150.795328 61.46) + (xy 152.142885 61.46) + (xy 152.158124 61.455525) + (xy 152.159329 61.454135) + (xy 152.161 61.446452) + (xy 152.161 60.724115) + (xy 152.156525 60.708876) + (xy 152.155135 60.707671) + (xy 152.147452 60.706) + (xy 150.350116 60.706) + (xy 150.334877 60.710475) + (xy 150.333672 60.711865) + (xy 150.332001 60.719548) + (xy 150.332001 60.996669) + (xy 143.5105 60.996669) + (xy 143.5105 60.179885) + (xy 150.332 60.179885) + (xy 150.336475 60.195124) + (xy 150.337865 60.196329) + (xy 150.345548 60.198) + (xy 152.142885 60.198) + (xy 152.158124 60.193525) + (xy 152.159329 60.192135) + (xy 152.161 60.184452) + (xy 152.161 59.462116) + (xy 152.156525 59.446877) + (xy 152.155135 59.445672) + (xy 152.147452 59.444001) + (xy 150.795331 59.444001) + (xy 150.78851 59.444371) + (xy 150.737648 59.449895) + (xy 150.722396 59.453521) + (xy 150.601946 59.498676) + (xy 150.586351 59.507214) + (xy 150.484276 59.583715) + (xy 150.471715 59.596276) + (xy 150.395214 59.698351) + (xy 150.386676 59.713946) + (xy 150.341522 59.834394) + (xy 150.337895 59.849649) + (xy 150.332369 59.900514) + (xy 150.332 59.907328) + (xy 150.332 60.179885) + (xy 143.5105 60.179885) + (xy 143.5105 59.74325) + (xy 143.512246 59.722345) + (xy 143.51477 59.707344) + (xy 143.51477 59.707341) + (xy 143.515576 59.702552) + (xy 143.515729 59.69) + (xy 143.514788 59.683429) + (xy 143.514123 59.653218) + (xy 143.523916 59.553789) + (xy 143.528733 59.529568) + (xy 143.564866 59.410454) + (xy 143.574315 59.387645) + (xy 143.632987 59.277876) + (xy 143.646705 59.257345) + (xy 143.725671 59.161124) + (xy 143.743124 59.143671) + (xy 143.839345 59.064705) + (xy 143.859876 59.050987) + (xy 143.969645 58.992315) + (xy 143.992454 58.982866) + (xy 144.111568 58.946733) + (xy 144.135789 58.941916) + (xy 144.231809 58.932459) + (xy 144.247868 58.932909) + (xy 144.247877 58.9322) + (xy 144.256853 58.93231) + (xy 144.265724 58.933691) + (xy 144.274626 58.932527) + (xy 144.274628 58.932527) + (xy 144.291059 58.930378) + (xy 144.297286 58.929564) + (xy 144.313621 58.9285) + (xy 165.558633 58.9285) + ) + ) + ) +) diff --git a/ide_cable_select_switch/ide_cable_select_switch.kicad_prl b/ide_cable_select_switch/ide_cable_select_switch.kicad_prl new file mode 100644 index 0000000..436c665 --- /dev/null +++ b/ide_cable_select_switch/ide_cable_select_switch.kicad_prl @@ -0,0 +1,75 @@ +{ + "board": { + "active_layer": 36, + "active_layer_preset": "All Layers", + "auto_track_width": true, + "hidden_nets": [], + "high_contrast_mode": 0, + "net_color_mode": 1, + "opacity": { + "pads": 1.0, + "tracks": 1.0, + "vias": 1.0, + "zones": 0.6 + }, + "ratsnest_display_mode": 0, + "selection_filter": { + "dimensions": true, + "footprints": true, + "graphics": true, + "keepouts": true, + "lockedItems": true, + "otherItems": true, + "pads": true, + "text": true, + "tracks": true, + "vias": true, + "zones": true + }, + "visible_items": [ + 0, + 1, + 2, + 3, + 4, + 5, + 8, + 9, + 10, + 11, + 12, + 13, + 14, + 15, + 16, + 17, + 18, + 19, + 20, + 21, + 22, + 23, + 24, + 25, + 26, + 27, + 28, + 29, + 30, + 32, + 33, + 34, + 35, + 36 + ], + "visible_layers": "fffffff_ffffffff", + "zone_display_mode": 0 + }, + "meta": { + "filename": "ide_cable_select_switch.kicad_prl", + "version": 3 + }, + "project": { + "files": [] + } +} diff --git a/ide_cable_select_switch/ide_cable_select_switch.kicad_pro b/ide_cable_select_switch/ide_cable_select_switch.kicad_pro new file mode 100644 index 0000000..11b6106 --- /dev/null +++ b/ide_cable_select_switch/ide_cable_select_switch.kicad_pro @@ -0,0 +1,420 @@ +{ + "board": { + "design_settings": { + "defaults": { + "board_outline_line_width": 0.09999999999999999, + "copper_line_width": 0.19999999999999998, + "copper_text_italic": false, + "copper_text_size_h": 1.5, + "copper_text_size_v": 1.5, + "copper_text_thickness": 0.3, + "copper_text_upright": false, + "courtyard_line_width": 0.049999999999999996, + "dimension_precision": 4, + "dimension_units": 3, + "dimensions": { + "arrow_length": 1270000, + "extension_offset": 500000, + "keep_text_aligned": true, + "suppress_zeroes": false, + "text_position": 0, + "units_format": 1 + }, + "fab_line_width": 0.09999999999999999, + "fab_text_italic": false, + "fab_text_size_h": 1.0, + "fab_text_size_v": 1.0, + "fab_text_thickness": 0.15, + "fab_text_upright": false, + "other_line_width": 0.15, + "other_text_italic": false, + "other_text_size_h": 1.0, + "other_text_size_v": 1.0, + "other_text_thickness": 0.15, + "other_text_upright": false, + "pads": { + "drill": 0.762, + "height": 1.524, + "width": 1.524 + }, + "silk_line_width": 0.15, + "silk_text_italic": false, + "silk_text_size_h": 1.0, + "silk_text_size_v": 1.0, + "silk_text_thickness": 0.15, + "silk_text_upright": false, + "zones": { + "45_degree_only": false, + "min_clearance": 0.508 + } + }, + "diff_pair_dimensions": [], + "drc_exclusions": [], + "meta": { + "version": 2 + }, + "rule_severities": { + "annular_width": "error", + "clearance": "error", + "copper_edge_clearance": "error", + "courtyards_overlap": "error", + "diff_pair_gap_out_of_range": "error", + "diff_pair_uncoupled_length_too_long": "error", + "drill_out_of_range": "error", + "duplicate_footprints": "warning", + "extra_footprint": "warning", + "footprint_type_mismatch": "error", + "hole_clearance": "error", + "hole_near_hole": "error", + "invalid_outline": "error", + "item_on_disabled_layer": "error", + "items_not_allowed": "error", + "length_out_of_range": "error", + "malformed_courtyard": "error", + "microvia_drill_out_of_range": "error", + "missing_courtyard": "ignore", + "missing_footprint": "warning", + "net_conflict": "warning", + "npth_inside_courtyard": "ignore", + "padstack": "error", + "pth_inside_courtyard": "ignore", + "shorting_items": "error", + "silk_over_copper": "warning", + "silk_overlap": "warning", + "skew_out_of_range": "error", + "through_hole_pad_without_hole": "error", + "too_many_vias": "error", + "track_dangling": "warning", + "track_width": "error", + "tracks_crossing": "error", + "unconnected_items": "error", + "unresolved_variable": "error", + "via_dangling": "warning", + "zone_has_empty_net": "error", + "zones_intersect": "error" + }, + "rules": { + "allow_blind_buried_vias": false, + "allow_microvias": false, + "max_error": 0.005, + "min_clearance": 0.0, + "min_copper_edge_clearance": 0.0, + "min_hole_clearance": 0.25, + "min_hole_to_hole": 0.25, + "min_microvia_diameter": 0.19999999999999998, + "min_microvia_drill": 0.09999999999999999, + "min_silk_clearance": 0.0, + "min_through_hole_diameter": 0.3, + "min_track_width": 0.19999999999999998, + "min_via_annular_width": 0.049999999999999996, + "min_via_diameter": 0.39999999999999997, + "solder_mask_clearance": 0.0, + "solder_mask_min_width": 0.0, + "use_height_for_length_calcs": true + }, + "track_widths": [], + "via_dimensions": [], + "zones_allow_external_fillets": false, + "zones_use_no_outline": true + }, + "layer_presets": [] + }, + "boards": [], + "cvpcb": { + "equivalence_files": [] + }, + "erc": { + "erc_exclusions": [], + "meta": { + "version": 0 + }, + "pin_map": [ + [ + 0, + 0, + 0, + 0, + 0, + 0, + 1, + 0, + 0, + 0, + 0, + 2 + ], + [ + 0, + 2, + 0, + 1, + 0, + 0, + 1, + 0, + 2, + 2, + 2, + 2 + ], + [ + 0, + 0, + 0, + 0, + 0, + 0, + 1, + 0, + 1, + 0, + 1, + 2 + ], + [ + 0, + 1, + 0, + 0, + 0, + 0, + 1, + 1, + 2, + 1, + 1, + 2 + ], + [ + 0, + 0, + 0, + 0, + 0, + 0, + 1, + 0, + 0, + 0, + 0, + 2 + ], + [ + 0, + 0, + 0, + 0, + 0, + 0, + 0, + 0, + 0, + 0, + 0, + 2 + ], + [ + 1, + 1, + 1, + 1, + 1, + 0, + 1, + 1, + 1, + 1, + 1, + 2 + ], + [ + 0, + 0, + 0, + 1, + 0, + 0, + 1, + 0, + 0, + 0, + 0, + 2 + ], + [ + 0, + 2, + 1, + 2, + 0, + 0, + 1, + 0, + 2, + 2, + 2, + 2 + ], + [ + 0, + 2, + 0, + 1, + 0, + 0, + 1, + 0, + 2, + 0, + 0, + 2 + ], + [ + 0, + 2, + 1, + 1, + 0, + 0, + 1, + 0, + 2, + 0, + 0, + 2 + ], + [ + 2, + 2, + 2, + 2, + 2, + 2, + 2, + 2, + 2, + 2, + 2, + 2 + ] + ], + "rule_severities": { + "bus_definition_conflict": "error", + "bus_entry_needed": "error", + "bus_label_syntax": "error", + "bus_to_bus_conflict": "error", + "bus_to_net_conflict": "error", + "different_unit_footprint": "error", + "different_unit_net": "error", + "duplicate_reference": "error", + "duplicate_sheet_names": "error", + "extra_units": "error", + "global_label_dangling": "warning", + "hier_label_mismatch": "error", + "label_dangling": "error", + "lib_symbol_issues": "warning", + "multiple_net_names": "warning", + "net_not_bus_member": "warning", + "no_connect_connected": "warning", + "no_connect_dangling": "warning", + "pin_not_connected": "error", + "pin_not_driven": "error", + "pin_to_pin": "warning", + "power_pin_not_driven": "error", + "similar_labels": "warning", + "unannotated": "error", + "unit_value_mismatch": "error", + "unresolved_variable": "error", + "wire_dangling": "error" + } + }, + "libraries": { + "pinned_footprint_libs": [], + "pinned_symbol_libs": [] + }, + "meta": { + "filename": "ide_cable_select_switch.kicad_pro", + "version": 1 + }, + "net_settings": { + "classes": [ + { + "bus_width": 12.0, + "clearance": 0.2, + "diff_pair_gap": 0.25, + "diff_pair_via_gap": 0.25, + "diff_pair_width": 0.2, + "line_style": 0, + "microvia_diameter": 0.3, + "microvia_drill": 0.1, + "name": "Default", + "pcb_color": "rgba(0, 0, 0, 0.000)", + "schematic_color": "rgba(0, 0, 0, 0.000)", + "track_width": 0.25, + "via_diameter": 0.8, + "via_drill": 0.4, + "wire_width": 6.0 + } + ], + "meta": { + "version": 2 + }, + "net_colors": null + }, + "pcbnew": { + "last_paths": { + "gencad": "", + "idf": "", + "netlist": "", + "specctra_dsn": "", + "step": "", + "vrml": "" + }, + "page_layout_descr_file": "" + }, + "schematic": { + "annotate_start_num": 0, + "drawing": { + "default_line_thickness": 6.0, + "default_text_size": 50.0, + "field_names": [], + "intersheets_ref_own_page": false, + "intersheets_ref_prefix": "", + "intersheets_ref_short": false, + "intersheets_ref_show": false, + "intersheets_ref_suffix": "", + "junction_size_choice": 3, + "label_size_ratio": 0.375, + "pin_symbol_size": 25.0, + "text_offset_ratio": 0.15 + }, + "legacy_lib_dir": "", + "legacy_lib_list": [], + "meta": { + "version": 1 + }, + "net_format_name": "", + "ngspice": { + "fix_include_paths": true, + "fix_passive_vals": false, + "meta": { + "version": 0 + }, + "model_mode": 0, + "workbook_filename": "" + }, + "page_layout_descr_file": "", + "plot_directory": "", + "spice_adjust_passive_values": false, + "spice_external_command": "spice \"%I\"", + "subpart_first_id": 65, + "subpart_id_separator": 0 + }, + "sheets": [ + [ + "e63e39d7-6ac0-4ffd-8aa3-1841a4541b55", + "" + ] + ], + "text_variables": {} +} diff --git a/ide_cable_select_switch/ide_cable_select_switch.kicad_sch b/ide_cable_select_switch/ide_cable_select_switch.kicad_sch new file mode 100644 index 0000000..3e9853b --- /dev/null +++ b/ide_cable_select_switch/ide_cable_select_switch.kicad_sch @@ -0,0 +1,10326 @@ +(kicad_sch (version 20211123) (generator eeschema) + + (uuid e63e39d7-6ac0-4ffd-8aa3-1841a4541b55) + + (paper "A4") + + (lib_symbols + (symbol "Connector_Generic:Conn_02x20_Odd_Even" (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes) + (property "Reference" "J" (id 0) (at 1.27 25.4 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "Conn_02x20_Odd_Even" (id 1) (at 1.27 -27.94 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "connector" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Generic connector, double row, 02x20, odd/even pin numbering scheme (row 1 odd numbers, row 2 even numbers), script generated (kicad-library-utils/schlib/autogen/connector/)" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "Connector*:*_2x??_*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "Conn_02x20_Odd_Even_1_1" + (rectangle (start -1.27 -25.273) (end 0 -25.527) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start -1.27 -22.733) (end 0 -22.987) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start -1.27 -20.193) (end 0 -20.447) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start -1.27 -17.653) (end 0 -17.907) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start -1.27 -15.113) (end 0 -15.367) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start -1.27 -12.573) (end 0 -12.827) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start -1.27 -10.033) (end 0 -10.287) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start -1.27 -7.493) (end 0 -7.747) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start -1.27 -4.953) (end 0 -5.207) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start -1.27 -2.413) (end 0 -2.667) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start -1.27 0.127) (end 0 -0.127) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start -1.27 2.667) (end 0 2.413) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start -1.27 5.207) (end 0 4.953) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start -1.27 7.747) (end 0 7.493) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start -1.27 10.287) (end 0 10.033) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start -1.27 12.827) (end 0 12.573) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start -1.27 15.367) (end 0 15.113) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start -1.27 17.907) (end 0 17.653) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start -1.27 20.447) (end 0 20.193) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start -1.27 22.987) (end 0 22.733) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start -1.27 24.13) (end 3.81 -26.67) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + (rectangle (start 3.81 -25.273) (end 2.54 -25.527) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start 3.81 -22.733) (end 2.54 -22.987) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start 3.81 -20.193) (end 2.54 -20.447) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start 3.81 -17.653) (end 2.54 -17.907) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start 3.81 -15.113) (end 2.54 -15.367) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start 3.81 -12.573) (end 2.54 -12.827) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start 3.81 -10.033) (end 2.54 -10.287) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start 3.81 -7.493) (end 2.54 -7.747) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start 3.81 -4.953) (end 2.54 -5.207) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start 3.81 -2.413) (end 2.54 -2.667) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start 3.81 0.127) (end 2.54 -0.127) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start 3.81 2.667) (end 2.54 2.413) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start 3.81 5.207) (end 2.54 4.953) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start 3.81 7.747) (end 2.54 7.493) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start 3.81 10.287) (end 2.54 10.033) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start 3.81 12.827) (end 2.54 12.573) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start 3.81 15.367) (end 2.54 15.113) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start 3.81 17.907) (end 2.54 17.653) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start 3.81 20.447) (end 2.54 20.193) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start 3.81 22.987) (end 2.54 22.733) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (pin passive line (at -5.08 22.86 0) (length 3.81) + (name "Pin_1" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 12.7 180) (length 3.81) + (name "Pin_10" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 10.16 0) (length 3.81) + (name "Pin_11" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 10.16 180) (length 3.81) + (name "Pin_12" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 7.62 0) (length 3.81) + (name "Pin_13" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 7.62 180) (length 3.81) + (name "Pin_14" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 5.08 0) (length 3.81) + (name "Pin_15" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 5.08 180) (length 3.81) + (name "Pin_16" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 2.54 0) (length 3.81) + (name "Pin_17" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 2.54 180) (length 3.81) + (name "Pin_18" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 0 0) (length 3.81) + (name "Pin_19" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 22.86 180) (length 3.81) + (name "Pin_2" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 0 180) (length 3.81) + (name "Pin_20" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -2.54 0) (length 3.81) + (name "Pin_21" (effects (font (size 1.27 1.27)))) + (number "21" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 -2.54 180) (length 3.81) + (name "Pin_22" (effects (font (size 1.27 1.27)))) + (number "22" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -5.08 0) (length 3.81) + (name "Pin_23" (effects (font (size 1.27 1.27)))) + (number "23" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 -5.08 180) (length 3.81) + (name "Pin_24" (effects (font (size 1.27 1.27)))) + (number "24" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -7.62 0) (length 3.81) + (name "Pin_25" (effects (font (size 1.27 1.27)))) + (number "25" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 -7.62 180) (length 3.81) + (name "Pin_26" (effects (font (size 1.27 1.27)))) + (number "26" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -10.16 0) (length 3.81) + (name "Pin_27" (effects (font (size 1.27 1.27)))) + (number "27" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 -10.16 180) (length 3.81) + (name "Pin_28" (effects (font (size 1.27 1.27)))) + (number "28" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -12.7 0) (length 3.81) + (name "Pin_29" (effects (font (size 1.27 1.27)))) + (number "29" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 20.32 0) (length 3.81) + (name "Pin_3" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 -12.7 180) (length 3.81) + (name "Pin_30" (effects (font (size 1.27 1.27)))) + (number "30" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -15.24 0) (length 3.81) + (name "Pin_31" (effects (font (size 1.27 1.27)))) + (number "31" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 -15.24 180) (length 3.81) + (name "Pin_32" (effects (font (size 1.27 1.27)))) + (number "32" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -17.78 0) (length 3.81) + (name "Pin_33" (effects (font (size 1.27 1.27)))) + (number "33" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 -17.78 180) (length 3.81) + (name "Pin_34" (effects (font (size 1.27 1.27)))) + (number "34" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -20.32 0) (length 3.81) + (name "Pin_35" (effects (font (size 1.27 1.27)))) + (number "35" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 -20.32 180) (length 3.81) + (name "Pin_36" (effects (font (size 1.27 1.27)))) + (number "36" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -22.86 0) (length 3.81) + (name "Pin_37" (effects (font (size 1.27 1.27)))) + (number "37" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 -22.86 180) (length 3.81) + (name "Pin_38" (effects (font (size 1.27 1.27)))) + (number "38" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -25.4 0) (length 3.81) + (name "Pin_39" (effects (font (size 1.27 1.27)))) + (number "39" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 20.32 180) (length 3.81) + (name "Pin_4" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 -25.4 180) (length 3.81) + (name "Pin_40" (effects (font (size 1.27 1.27)))) + (number "40" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 17.78 0) (length 3.81) + (name "Pin_5" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 17.78 180) (length 3.81) + (name "Pin_6" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 15.24 0) (length 3.81) + (name "Pin_7" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 15.24 180) (length 3.81) + (name "Pin_8" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 12.7 0) (length 3.81) + (name "Pin_9" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Switch:SW_SPDT" (pin_names (offset 0) hide) (in_bom yes) (on_board yes) + (property "Reference" "SW" (id 0) (at 0 4.318 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "SW_SPDT" (id 1) (at 0 -5.08 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "switch single-pole double-throw spdt ON-ON" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Switch, single pole double throw" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "SW_SPDT_0_0" + (circle (center -2.032 0) (radius 0.508) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (circle (center 2.032 -2.54) (radius 0.508) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + ) + (symbol "SW_SPDT_0_1" + (polyline + (pts + (xy -1.524 0.254) + (xy 1.651 2.286) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (circle (center 2.032 2.54) (radius 0.508) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + ) + (symbol "SW_SPDT_1_1" + (pin passive line (at 5.08 2.54 180) (length 2.54) + (name "A" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 0 0) (length 2.54) + (name "B" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 5.08 -2.54 180) (length 2.54) + (name "C" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + ) + ) + ) + + + (no_connect (at 184.15 127) (uuid 161ec2e8-6d72-45b4-9551-58644f9ac558)) + (no_connect (at 133.35 125.73) (uuid cd6d4e99-ff34-4d91-b1ca-ffa1605999c6)) + (no_connect (at 234.95 127) (uuid cd6d4e99-ff34-4d91-b1ca-ffa1605999c7)) + + (image (at 54.61 107.95) (scale 0.855362) + (uuid 653e74f0-0a40-4ab5-8f5c-787bbaf1d723) + (data + iVBORw0KGgoAAAANSUhEUgAABEAAAAlwCAIAAAAEMNd1AAAAA3NCSVQICAjb4U/gAAAACXBIWXMA + ABXgAAAV4AGNVCw4AAAgAElEQVR4nOy96XMbV37v3d3ovbEDBEAC3EVSoixZlm3JM+Mknmw3dVOp + SSr/QOr+JfmDbtV9c2/dpBLPYs3YY1uWRFEiJYr7AhD73o1e0P28+D48T4eUHDuPZyTKv88LFgg0 + uk93H/Q53/Pb+CAIOIIgCIIgCIIgiMuA8LobQBAEQRAEQRAE8V0hAUMQBEEQBEEQxKWBBAxBEARB + EARBEJcGEjAEQRAEQRAEQVwaSMAQBEEQBEEQBHFpIAFDEARBEARBEMSlgQQMQRAEQRAEQRCXBhIw + BEEQBEEQBEFcGkjAEARBEARBEARxaSABQxAEQRAEQRDEpYEEDEEQBEEQBEEQlwYSMARBEARBEARB + XBpIwBAEQRAEQRAEcWkgAUMQBEEQBEEQxKWBBAxBEARBEARBEJcGEjDEG814PPZ9n/3r+77neePx + 2Lbtc5uF/w2CAH8dx3FdNwgC3/dHoxHbCeA4bjgcfsvRfd8P79l1XXwLb3qex3Fcu90ej8d4zb6F + F2zn2I/v+2gYw7Zttn+281e15NsJguDczgmCIAiCIN5KeJr0EG8y4/FYEASe5/Gv7/s8z/M877qu + JEkcxwVBgKm/JEmRSARawvf9SCQiiqIgvESis52E3xyNRjzPS5LE87xt267rqqqKQ7yKwWAQjUYd + x5FlmeM40zQdx9E0TVEU/KzYIcL/Oo4TiUQikQhkVSQSObfbl/4kz7X2pRu/ahuCIAiCIIi3CfF1 + N4Agvo0gCC6qF47jPM/b3d199uzZ5uZmp9NJp9PT09O5XO7nP/+5oijn9jAejyORiOM4rVbLNM1I + JGIYRiKRkGXZsixN0zzPOzo6ajQaiURiYmLCMIxYLMb9R+ERBIHnea7ryrIcBEGj0djd3Z2ZmVEU + JZfLcRwXiURUVcXRsbEsy8Ph0DAMdgqWZfm+L8uy53k8zwuCAONMJBKB1nqVEeZV4oREC0EQBEEQ + PzZIwBCXA3hJYb7u+/7h4eGTJ08ajYYkSalUStO0wWAgiuLjx48LhUIulxMEgW2PF4qitNvtcrks + SVI2mzUMQ5ZlTdM4jhNFsdPpHBwcTE9Pp1IpaAnXdcfjsSiKoijCTYvneV3XscN2u721tSWK4vT0 + NMdxpmniI47jxuNxEASw3pyz4UiSBJ8xUfx/f3o8z8NkJAiC53lhcxPbgLvgI8e4aMAhCIIgCIJ4 + uyEBQ1wOmK/UaDQaDAa/+93v1tfXi8Xi1atXNU3rdrt7e3udTqfT6bz//vuZTIYJGDiGDQYDTdOG + w2G/39c0zbbtsE7wfb9Wqx0fHycSiW63Ox6PM5kMLCrYwHVdnufhKobt2+32wcFBoVAoFouu6yqK + wrzd4B6G/eMrjuOgGZBDnueJomjbtiiKkUiE7Zapmou81BeOIAiCIAjiRwgJGOKNJiwzIAx6vV65 + XEbcy8TExPz8vK7r3W4XAfHD4TAIAtM0+/3+ycnJaDRCxH82m52dne10OvV6fTwe7+3tHR0dcRwn + SdLCwsLCwoJpmnt7e/F4PJPJxOPxZrNZLpfb7bamadlsNplMwuUMHl+u6w6Hw2azibiXWq0WBEG1 + WpVleXFxURCE58+fl8tl+KpFo9F8Pm9ZVrlcTqfTS0tLoii22+3t7W1JkmZnZ3Vdh+MZ7DD/6XUI + A8sMPr0Y2EMQBEEQBPH2QQKGeKNhPmP4NwiCbrd7dHTUbDZ1XV9dXV1ZWYHhIp1Oj0ajra0tTdNq + tdrm5ubm5ma/37csq9Pp/OIXv8jlcpZltdvtVqtVr9djsZhpmvl83vf92dlZhO+LoojcZZ999tn2 + 9na5XI5Go4uLi8vLy1evXtV1XRAEQRAkSTIMA2kDRqNRtVrd3d0dDAbZbNb3/V6v98UXXxwcHOi6 + Ho1GJycn33333Xa7/eWXXy4vL09NTSmKUi6XHz9+LIqioihTU1PYp+M4zOaDEH+WW+xVrmLj8Zg/ + I3zFCIIgCIIg3lZIwBCXgHCuLcuyWq2WpmnxeHxmZkYQBMSfJJNJ27ZXV1ez2SziYeLxeCKRGI/H + 7Xb74cOHyWSy1WoFQZBMJg3DmJ2d9X1/c3Pz8PDw2bNnlUpFUZRYLHZycvLo0SOO40ql0tzcnOu6 + vV7v+fPnuq5PTU0hZEUURcdxBoNBq9U6ODjY29sTRTGVSuHQx8fHnuctLCyUSqVKpdLv94+Pj1ut + VqvVQoqz7e1tTdP6/T6C+Fm6M0mScKaQLuH8yI7jvPTKRCIRqJeLwTMEQRAEQRBvJSRgiDcaBJYg + oIXjOEEQbNtuNBqCIBSLxW63m8vldF0fj8fxeNxxHF3XkeDL9/1oNAq3MdM06/X68+fPTdNMJBKu + 66ZSqTt37jQaje3tbc/zKpWKaZqu69q2HYlEHj16pKrqn/3ZnyWTSZ7nv/nmm2fPns3NzR0dHRUK + BQTr93o9wzDq9Xqz2dzd3Z2bm7t9+7amaS9evIA1ZmZmplQqVatVjuPK5bLneclksl6vP3z4kOO4 + k5MTVVXhrob4GcuyotEoLCqu63a73Xa7bds2Mg28SsAg2EaSJFVVo9Eokqe5rsuETXjjb3FRIwiC + IAiCuCyQgCHeaOAeBkGCd+C+NR6Pm80mF/IxM02zVqu1222kEavX63t7ewiG2d7eTiaTCJuBmWVi + YiKRSFiWlclkEFeDMpe2bTuO0+l0RFE8OjpqtVqKogwGA1mWo9FoMplkAiAajXIct7GxARNKp9OR + JMk0zcPDw1ar5bpupVLhOK5eryPpWTKZjEajPM9XKpVOp8NxHCw2iH4RBAFnCp+0crm8tbX1/Pnz + SqXiOM63qA7P8wzDCIIgl8v96Z/+6fXr12VZflX5GlIvBEEQBEG8BZCAId5oztWC5DhO1/VsNluv + 1w8ODlqtlm3blmVFIpFarVar1fb39xcWFuLx+Gg0Go1GnufB/JLJZCzLchwnGo0qihKPxw3DgKhw + XZclMnZdF3H5zFVMFEVZlhGacq5qpCiKsHKoqqppmqqqg8Gg1+shhzJ8wJAPLZPJZDKZ8Xhcr9d3 + dnZOTk6y2ez09HSxWIQ9B1YU7NnzvMFg0Gw2T09Py+XyaDT6dgGjaVq1Wo1GoxMTExMTE8lkEnYY + giAIgiCItxISMMTlgImHRCJRKpW++eabarXa7/cdx9E0zTTNp0+f1uv14+PjIAjy+bzrupOTk7du + 3er3+zzPs2h4x3GGw2Gv1+v1egi4T6fT6XS6Xq/3ej1JkjRNy2Qyi4uLN2/eLBaLoihGo9FKpZJK + pQzDYFpiNBpFIpE7d+7E4/FyuTwYDJ4+feo4TjqdjkajoiiurKwsLCzs7OyMRiMkMeM4rtfrNZtN + HMgwjHw+j8xmgiCwMH1RFJPJ5NzcnCRJi4uLXKh6zEVgetrc3KzVahsbG+l0+vr16+cETLgcJ0EQ + BEEQxGWHBAzxRgMzSLg+fTweL5VK09PTQRAcHBz0+/1MJuO67sbGRiKRmJmZicfjQRBYlmWaJqL2 + o9Eo5AoMKY1Go9frDYdDjuOq1Sr21mw2u92uYRipVGp5eTmRSAwGg6OjI4iHTCaDFGSyLI/H40gk + ghRkV65cWV5efvz48dHR0fb2djweX1paqlQqx8fH7Xa7UqkMBoMgCFRVTaVSqqpWKhXXdZE3ORqN + GoaBc2SlYDiOU1U1l8tpmjY5OQn/MVEUXyVgBEEol8vxePzhw4ebm5uiKObz+UKhAEUUtl+RgCEI + giAI4u2ABAzxRoM0XMjWhVk4LCSffPLJ2tra8+fP7927l0gkEomE7/tLS0sfffRREATD4XBzc/PF + ixej0UjTNCiEIAgMwxBFsdfr1ev1arWKQP9MJoN6lLZt5/N5wzAMw9je3l5bW2u1WqqqFovFhYUF + URRd15Vl2bZtXdcLhUI+n49EIpqmLS0tpdPpJ0+eZDKZhYWFdrtdLpcR6JLNZhOJxNzcXCwWi0aj + aEYulyuVSul0OhKJvDSwXlVVWZbj8Thi+gVB8DzvpdcHWigej7uuu7+/v7W1tbOzk0wmc7mcoijM + LQ0GKNIwBEEQBEG8BZCAId5oWHbgcHl7TdPS6fTKyoqmacVi0fd9WZYNw5iYmMhms8PhUNf19957 + T1XVSCSi63oqlXIcZzwewwySz+c7nY7jOL7vLy4uTk1NJRKJhYWFWCyWz+clScI7qDajKMrMzMzC + wkI+n0e8CqLtc7nc3bt3kTCgVCrBSGIYRjabXV5e9jxPkqRut4uIlEKhIIqiaZo4bqlUeu+99yYm + Jlj6YwTMhPWMIAiI7wfh1+fI5/NIIb2zs7O9vf348WOe5z/55BPUluHOnMdIwBAEQRAE8XbAh+OS + CeJNI2ygYOYI/Gvbtu/7oigiyiWVSsG5q9frxeNxjuP6/f54PPZ9PxaLCYLQbrcNw0BKYs/zYNhR + VdWyLF3XHcdxHMcwDGgPz/OGwyEOB28xhOaz9nQ6nUQiwWL9kbvZdV1VVdmuGo0GrCi6rnc6nVqt + 9u///u+ff/753/3d333yySfpdJpZSHzfd103rFJ83x+Px2hk+KwvXh+kaKtUKp9++umnn37q+/7q + 6ur/+B//g2VV5kKGLNIwBEEQBEFcdkjAEJeSV8WEvGqC/qp+/i0V7n+o7V3XHY/Hg8FgY2Pj6dOn + sixfuXLlz/7sz166/feFCapqtdpsNu/du/d//s//SSQS//iP/zg/P//uu++ikozrulAvr2o/QRAE + QRDEZYFcyIhLyfe1JLzG7ZFwWVGUVCo1MzOjqmqhUPheO/8WmIBJJBKSJC0sLBSLxVartbm5KUnS + u+++63meoihIEhDOhUAQBEEQBHFJIQFDXEq+r6XldQkYeLXxPK8oSi6XMwwDGcm+186/BXiviaKI + uP/l5eWbN28+ePDg/v37mqaVy2UU3ARUyJIgCIIgiLeAyD//8z+/7jYQxPeGfwXf8tGrtv+++/9e + 2yPyBNtIkpRIJJLJJAt9+QGvBmJmkLdgOBw+evRIUZRkMplOp1VVdV33WwJpCIIgCIIgLhFkgSGI + PyBhzYNkAH+4QyDL8+LiYqPRWFtbq9frn376aSwWi8ViqGZDATAEQRAEQbwF0Ios8VbxfS0nf2iY + 0YM/y2UcBMGrirr8F3AcB3tGkU1BEBKJRKlU+vjjjxVF+eKLLx4+fFitViORCLI/EwRBEARBXHZo + TkMQf1iQ6VgQhCAIkBn5B7SEIC4fYTCiKCLX88TExJ07dzqdzrNnz7a2tvL5vKIoExMTCOUnCIIg + CIK41JCAIS4l3zdY/3Vtz3HceDwWBAGh/Kgm+QPGoqiqynHcaDTSNI3pIoTZrK6ufvHFF71eb319 + PZfLRaNREjAEQRAEQbwFXD4XMpYKllXe8H1/NBqxN+GfMxqNENYc/q5t23iBwudhXroZdsLed13X + NE3Hcc5t6bput9sNtw379zwPBeDZ3lASxHVdthmD/Ytmo/LguXOnoj2M1xWU/32353k+HPoiSdIf + wpUrGo3iEGihruuZTCaTyfzTP/2T4zj//u//vrW11e12fd9nfRu2IMdx2DsEQXxHHMcJP43xxMb7 + rxp0XNcdDoccxwVBgAGLfQUbYIA49y38ZnGsi2nQR6NR+HDnDo3Dhd90XReHYwdib7KdXzyF8KFd + 1/0BPWAJgiD+y1waC8x4PG6328fHx81mk+d5FDtHrfGZmZl4PO44jizLkUgEz2KsTI/HYzyveZ4X + RRGhzK7rwpmn3+8PBgNVVTOZTBAEKPY3GAxqtVosFpuenlZVFYqC4zhUZGf5o2zbVhQFS+mSJOm6 + zp0JDGgPHBH/ou677/uIUmAjH4p4MPWCdmKf4TfDL15jOAdxiRAEoVgsyrJcKpWazebe3t7a2pph + GJlMhjtL7sxxnCzLNB0hiG8Hj/SwYmHLEHiwsyc/GyAsy+p0Oo1Go9frcRxn23axWOR53jCMRCIR + toVikJJlWZZlPN4xuOBTQRAURQmCoNfr9Xq9IAgSiYSqqlgciUQi7Xa7VqulUql0Og1Lr+d5rutq + mmYYBpbDECkHL1MWMsdODd/iOM7zvH6/PxqN2OnEYrF4PM5xnGmao9EIsk3TNDQgEomQUZcgiNfF + pREwkUik1Wo9evSoWq1qmsZxHPQABpK5uTlN0/AwFQTB8zy8jkQikUiEiQGmDcbj8Wg0qtfr1Wo1 + lUpFo9F6vT4cDpPJpOu6x8fHhmFks1lM7wRBCPv84OsYqDB6sQ1wLIxnaBgGnm63a5qmJEm5XC4e + jyOMGxu8al0f7kbsNekW4vsyNTVlGMbq6mqn09nf33ddd3Z2Np1Oo/sJgkCdiiD+U8JGcjiChj/C + m+e+AqeAw8PD7e1t27ZFUTRN07Ks0WiUTqevXbuWTCbZPtnjPZxvnTsz8kDJDAaDRqPR7XZVVcXe + er2epmmZTKbX6+3u7i4vL2ezWXxRFEVmQkFuj/F4PB6PmehyXRdthvcB3nccp9fr1Wo1WIewvSzL + vu8Ph0PLsk5PTzudjqZp8Xg8FotRTB1BEK+XSyNgOI7Dk9q27cXFRawtjUYj27a/+eYb0zRv3rzp + ui7kxHg8tizL933DMPBd3/cty9I0DRvgkY2VquFw2Gw219fXh8Ph9evXM5kMi1XAcx8vsFCNMcbz + PFVV8WbYioJPwzaWXq+3s7Ozt7fXbrfT6fQ777yjqmp4exzo3JliiglrzLnxkiadxHfBdV1JkpLJ + 5NWrV/v9/mefffb8+fMXL16k0+mJiQlJkpBzmQuJ8NfdZIJ448Ajl0332fvMJhNWL0jXgeFjNBrt + 7e09ffo0k8nk83nDMI6PjyuVSqFQUBRlbm6uUChcXB0DgiD4vg+PAIwF3W631+s5jiOKYq1Wsyyr + Xq9ns1lVVbvdbrvdRvaO8B7QPGaoYe2E76jneZIkhQ3+rVbr5OTkyy+/xNBj27aqqjdv3iwWi41G + o1KpnJ6ettvtZDIpiqJhGB988MHCwgLlNiQI4nVxmZ4+vV4PBpPp6elMJuP7/unpKSZnlmUtLy/b + tl2tVkVRhIOvIAhzc3ORSGQ0Gg0Gg0qlomnacDhMp9OpVAqh1TzPN5vNdrt9//59LC9pmmbbtmEY + kiT1+30sPvV6Pc/zEolEPp+HdCmXy7VaDX5lMPikUqlkMsn8yoIgsG272Wy+ePHi0aNHcCEoFotz + c3PIFoWTsm0bo8s5Iw+W+phcgdkHRqc/+oUnLh8QMKIozszM2La9u7u7sbGxvr4ei8U+/PDDVCqF + OZaqqhAzJGAI4iLhNSPY9mHJP2d1gSSAZZ65Y5XL5f39/YmJiUKhIMvy0dGRKIqVSmU4HIqiODU1 + 1e12h8Mh3AEEQchms4lEAmttlmV1u13P85LJpKZpWCnzPO/09LRWq/V6vUajsbi4mEgkGo0GBqnx + eNxqtTAqjUajWCyGIra2bWMlbjAY9Ho95sYWj8fhCcZx3Gg0arVax8fHe3t7iUQikUh0u93Dw0Nd + 133fr1Qqe3t7cCvAKViWlcvlMCD+8W8KQRAEd7kEDFa2EolEsVjM5XKSJEWj0Z2dHcdx+v1+t9tt + tVpra2tYYRIEIRqNapoWi8V6vd7R0dH9+/d5nlcUJZPJwJcGbsr1et113cPDw9PT0ytXriSTyYOD + A0EQXNet1WqPHz82TbPdbruuOzk5uby8jCiC/f39zz//XBRFVVVbrVYul/vggw9WVlbYAAbJ4bru + aDTq9/uSJGEBD+tk/FlVEMTqgJf6jLFVNFrrIr47bDk2k8k4jvPuu+/2+/0XL17E4/FSqZRKpZhr + ZXhjgiDCMEsFd/YoPvccZrnRsZiFBSkEisiyLEnS5OTkwsKCJEnpdDoejz99+vT4+Bgeyy9evNjZ + 2REEodfrSZJ069atq1evmqZ5fHz85MmTTqfj+36xWJyfn4/FYhA23W734ODg5OTk4OBgOBxOTk4O + BgN4eY1Go+Pj48ePH9u2PRwOE4nE3Nzc7du34RhWqVSq1Wqv10NQzd27d0ulkqIoPM8jTgZnt7Cw + sLy8PDMzs7W19ejRoyAI6vX606dPU6nU/Pz8zMxMOp2+d+/es2fP0J7XdFsIgiAulYBRFMX3/V6v + t7e3d3p6mkwm2+326elpoVCIxWInJyfNZrPVaimKksvlTk9PTdPEole328VHiHSEYUSW5W63e3Jy + YlnW1NRULBaDh7Ft28fHx5qmNZvNw8PD3//+96VSKZlMmqZZrVZHo9Hk5GQikTg+Pl5fX5+bmyuV + Sp1OZzwe1+v1TCaj6zoTMJFIJB6PFwoFrIJrmhaObGEEQdDpdDqdDlbjYNaHIxzMRLquJxKJVCpF + Gob4jkAwY1IVj8ffe+89y7L+5V/+5fnz54iNgSsLdxbF+7rbSxBvIrC6YI2s3+87joPf1HA4hJEc + 0SaImUwkEvD+8jxPURRVVZFDrNFoaJrWarU6nY4sy7lcznGcra2tFy9eYPziOK7ZbB4cHCiK0m63 + 8b4oioqiwHdgMBjA29lxHEmSDMNAqyRJsizr5ORkcnKyUqkcHBxgGJJludVqeZ7HTCi7u7uWZUWj + Udd1K5XKxMSEoiixWAyjKvSVbduJRCIWi2Gw63a7+Xzesqy9vb1oNArfh2g06vt+o9Go1WrwhXvN + d4ggiB8rl2lCDBN5tVrF8lU6nTZNU1XVQqGgqurx8bHv+/l8fnZ29oMPPvj8888PDg6Oj4/L5fJg + MEilUsVi8c6dO9ls9sWLFwhoGQ6HjUYjHo+vrKycnp4KgoCEZqPRqNPptNttiKKf/vSnP/vZz5rN + 5hdffFGr1URR1HW90+l4nvfuu+9+8sknX3/9db1eD4Lg5OSkWCyyGSGCEIrF4mAwODg4EEXR8zzb + tnVdhzKBnQdDF8Yey7KYyzV8EhRFyWazMzMzs7Oz2WyWRfUQxLfDUlmIojg3N9ftdn/1q1+Vy+Vn + z57l8/l0Oq0oyng8Nk0zFou97sYSxJsIHJJbrdbu7u7e3l6j0WD+ljC2I84+n88vLS3BtgnnTGT0 + Ojo6evDgwdHRUSqVev78eblcvnbt2tWrV33f397ebrfbhULh1q1bjuOsra0huOX09HR7e/sv/uIv + pqamEokE7CflchkB96ZpplKpyclJjuOuXLlSLBY3NzcfPnwIb7GDgwNJkt5//32e57e2ttrt9r17 + 9yYmJizLGg6HuVzu5s2btm2vra2dnp5OTk6ORiOY9+GYgPQAz58///rrrz/77DOe52/evDk7Ozsz + M2OaJkJG+/0+kt9grfB13x+CIH68XBoBg6UvZJBcXl7meT6TyXS7XUVRbty4gQRlqqouLS0VCgWe + 56PRaCaT2dnZ8X1/ZmbG87zRaLSxscESi6mqappmEASxWMx1XVVVdV1XFCUSidi2fXp6Wq1Wfd+H + H1okEkmlUoVCYXNzczAYLCwsZDKZdDpdKBQMw4hGo6PRCMUEkRATTtLj8VjTtGw2u7Ozg0TPkUgE + KdRgr0fkJc/zlmU1Go2Tk5PhcIgVPjgx9/t9URR3dnY2Njb+5m/+BhnMotEoS4OLw7GcZgQBWIy+ + 67rRaJTjuGw2+7d/+7cPHjz43e9+l81mp6amlpaW0J0gueFJEvbvJ+MM8SOBPVHDQS/wAY5EImtr + a7/85S8x3Y9EIrqu42GO0Bc4Ys3MzDD3YFVVB4MBz/PI1pXNZsfj8c2bNxcWFvL5/LVr1/b29ra2 + tiYnJwuFwvz8fK1WKxQK5XJ5Z2dHVdVr166dnp4OBgNoJMMwdF1vtVpwAEsmkzzPYygcjUaSJCEE + HyErExMTGK0WFhZ+97vf7e7uchyH+MxkMlkoFCzLEkUxFovBtzkWi6G6AB4F8F+4c+eO67pHR0e9 + Xq9er8/MzCAJweHhYT6fR9EzURTxYCEIgngtXJpZL1x1DcOYmZn5+OOPs9ns9PQ00o5pmnb//n1F + USRJUhQlGo0mk8lEIoGEy/l8/sqVK51O56uvvlpbW3NdN5vNXrlypVQqoRSM7/vZbBbOAHBlZnXT + XdctFoswfXQ6HZShROg/DoSM+AiFhM8Ym/yxgRBZntBUViKGfeQ4DuqXsYT98CLTNG0wGMANoFwu + u66bTqcx7+RCmaMuZvAkCO5lFYSy2ezS0lKlUtna2kJ+JDjls9IQF7PeEcSPBNbtEanInqvtdrvZ + bH799de///3vJyYmcrnccDiMRqOiKCLzCtabBEGwbbvX6yHuBenIYKvHcDM9PS2KouM4+Xw+kUig + mhnP87Is67oei8VQxAyeWrFY7NGjR+Vy2XGcUqk0Ozsbi8XYohvL+IIiuaIowrMa+ZpFUczlcslk + 0rKsdDqNui5Y0YvFYpqmBUGg67pt2xhrWAEArMGlUqmVlRUkGEAusuFwOD8/32g0IGDS6fTBwQGC + fFD9jCAI4rVwaQQMq7uCUcEwDFEUWRpiZMR3Xbfb7VYqFV3XT09Pm80mDC/lcjkSiVy5ciWbzcIR + uV6vI/UkXJyR+R7+XViKQ4WZIAjW19evXbuWzWZRywWezaPRCOtPKI6JRPsY+cKWEKZSsHMMFUwC + cRzn+76iKJOTk6IoTkxMdLtdVBxDq7D+5zjOF1988fXXX+/s7Gxubuq6nkwmMV7CNMSFCggQBJBl + GeHFbGaWTCZXVlbK5fLW1tbJyclXX301MzOD8GI2H6KORPw4eamACYKg2+2ura0dHx+Lonj79u2P + P/641+tNTEzACRmpiuEqDNkQTkoOW00qlVpeXmbGinQ6zXEc1AJcAKAlUFUZisjzvNu3bzPHgZOT + E13X4SndaDQQdWOaJgJjUDNA1/VoNIpSM47jtFqto6OjdrutqmokEsEghYgXVvFZ0zT220eeGzhC + LywsFAqFpaWlwWBwcnKyt7enqqqmaYuLi8ViEYE91Wo1nU5TAAxBEK+RSyNgOI6Do5QkSVh2giTA + SBOPx9Pp9OHh4eHhoe/7qVTq+PjY87xcLqcoSr1e13V9fn7+5s2bp6ena2tryDymqmoikVAUxfM8 + +ANgAEcfCQgAACAASURBVJBlGbW6EolEJBJpNBqbm5v9ft80TVSixGiHcBQU/GJ1Xdh6NgNLdCi0 + DHM/3mcvZFnO5/O5XC6c4sayLKSLcV03lUo5jlOr1T799FPYYaCdsCVzfiCIMHB3Yb0RjiWLi4vv + vffe119//fz5842NDaRRZpknyPxCEGx1yTTNra2tX/7yl4PB4MaNG3fv3v2Lv/gLJB/HlsizwnEc + hMe57JGiKGqapigK0utD23Ach+TIk5OTCLV/8eJFp9Op1WqSJKEY5XA4LBQKi4uLkiQ9evRod3c3 + EomoqmpZFgYmLL1hb4qiYPhLpVKj0ajdblcqFd/32+12NBq9ceMGdAvGILSZ4zjUCcC/PM/3er1K + pXJ4eAhFBKuOJEmapiHVDbzdstlsJBIZDoeyLCcSCSTkJAiCeC1cJgEjy/Lk5CQC2TVNw5gBC0w6 + nZ6fn7csa3t7+9mzZ+12u9frGYZRLBaz2Wy1Wq3Vamtra61WC9Zz2OWRCWBycnJmZubZs2fJZJLj + ON/3JyYmJicnYdJZXl4eDof379/v9XrRaHRmZgZVaA4PD5HHKQgCwzDglxyPx8PZadE2POtRF8ww + DOaug09Zfczw4MdxHEJl0J7Jycn333//888/RzBMNpu9ceMGS33r+z4JGOIi4Xx3mKbwPI9UFo1G + 4ze/+c36+rqu60idx2rwhb9Oeob4scFyqGBOv7e3t7m5+c477/zsZz8rFAqY3+OnAf0QLszF1pKg + LmKx2OTkpK7r8G1mmwVBUCqVhsNhuVx+8uTJwcEBKpUtLS3Nz8+bpjkcDr/88kuO4zRNa7fbsVhs + cXExl8sdHh7GYrFIJOK6Lpbb2u2253kYxdLp9OnpaaVS8TzPNM3xeJxIJO7evTscDk3TRFPhXBCL + xTAAsTwxsBSZprmxsfH555/v7e0NBgPTNJeXl1dXVweDQbfb3djY8DxP0zTLspCZ8498awiCIMJE + /vmf//l1t+G74rquKIqFQqFQKMCfGE66sJ8g/yPP88PhsNVqIVxkfn4+n88jPX+n0+l2u+l0ulgs + Li4uLiwsyLLM8/zc3Nz09HS/30dCs3g8LkkSsn7pum6apiAICHycmZlZWVkplUqGYUQikVgsVigU + 4DYgiiKzjfBnMG8x13UxU8xkMtFolFW6ZF7U4agYBMMwTQJXsWQyCS9kbFYoFJhPAlbjXtM9Id5Q + EFXFnbkXMq9FWZaj0SiqScDbZHZ2FmVhwy5kED8kYIgfCeGQRSSH3Nvbe/z48ebmZq1W+/jjj//6 + r/86l8vB7Qqzf2Z1ZzuB9xc+xY8rHo9PT08jdB7SCPoHKfW73S4UESL1l5eXl5eXuTMHs2636/v+ + 1NTU4uLi9PQ06k6iyiQ8wVBHMggCWZY//PDDqakp27bhkNZut5G+8s6dOwjXge9xOp1GyD4W3VKp + FAYsli2gXq/X63Xbtk3T1HX9gw8+uHLliiiKSFeIJmUymStXriwsLKRSqdd1vwiCIF5SluSNxTRN + VISEG3EYTPpt20YNY6iafD6PtF22bff7fdd14S2m67rruoZhIClkIpFArZjBYIDVssFggCRmruua + pgm/MtRjgQkFMTBYjoJJB1Z1+LaxiSC8jTmOazabvu+j6iVMK4jmj0QisMBcnCmyMFDbtlFZ+X// + 7//92Wef9fv9bDb7j//4j7du3ZJlGSYg0jDEOVjMFQx0YRf/8Xi8ubn5y1/+cmtrq9Fo/OIXv/jw + ww+LxSKcM9nk7JxBhiDeYpiAQdqxTqdz7969X/3qV9VqNR6P/+3f/u0nn3yCdavwV1hSFvYvd2aT + Qdl7aBX4AHMcdy7v8MHBwXg8HgwGSGiez+czmcxwOGy3247jDAYDLMxJkoRhAjqE4zhRFGu1Gs/z + ExMTg8FgNBpBkJyenqJWzHA4nJiYQLGX4XDoeR6GG8RP1ut1jFaKosAV2ff90WiEAbRSqUiS5DiO + oigzMzNBECDVjWVZyAUajUaho2jQIQjiNXJpXMjG47Gu6/B14TguCAIUgYGVgwX0K4oyMTHBnQXM + IP1XNBpFgKMsy47jMO+sIAhgB/c8D5n1QS6Xwwt4HjNDClvSZsVYIP8kSUIySoRNn1vG5jgOn750 + Oviq9MdYq+POXBpisRiS3v6v//W/9vb2Dg8PFxYW4vE4MnjCP+3/3wUm3ipYv4IphqVU5s48WO7c + uWOa5pMnTzY2NuDrcm42RuqF+PHA4l7wDB8Oh8jXNz8//yd/8idXr16F/xU2xsoUU/hh0yXGCI7j + kJcfoxIGJmgYjuPYalexWHQcx7KsVCoFEw3HcbIsT01N9Xo9eKwFQRCPx5nRHutxmqaVSiX8rgVB + yOVytm1HIpF8Po/CNb1eL5lMRiIRRITiuGwgSyQSaD/exziFxTi4k2GIhNyyLAteBrZt4+wgovBg + ofT9BEG8Li6NCxkbIdiYwcqtsI/CWYxZYiX2hEXGFRY6woXsHt++ksTcby6uSbP3WQx0OBEtO3R4 + CZx98T9dvkKEDJodBIGqqplMZmNjo9frmabpuu61a9fYzrFN+KTYOvq3H4V4u4EDCV5HzkBscbvd + Rka+fr8/OTk5OTkJ2c/zPNQ+VqPP7ZD6FXF5uZhDBT15NBrBEzgSiRweHn7++ecPHjxoNpsfffTR + T3/604WFBayRwRDBVosuZh4PjynnRg32U2JaCOORrusYzjA24XmO7BosRz/bOdy98F3sBKMM/oaz + n7ERkLWNNelcphnm88xxHA6KdMz4lBW3YRl08H545CUIgvjjQw+gN5ewdx9/Vnnmo48+mp2dPT4+ + fvr0aaVSGQwGHMexzAHMM5ujrLjEyxiPx3BHQXbXq1evKopycHBwdHR0cnLCpjVYfGW5y+G4j4/I + tYx4Czi30INZOyLjT05O1tbWms3mysrKzMxMKpWCMR/b00OVIAjiTeDSWGB+bIRLXmKsFQRBluVU + KtXv9x8+fDgYDAqFgizLqMIZ/i4tkBPfAiKm4JcYBEGtVjs8PIRLyczMjCzLsMOEt0cN1rDPzOtr + PkH8wLAHpmVZjUbj/v37v/71rwVB+Ku/+qt33nkHNSjDiVUo6yNBEMRrhwTMGwqqoYX9ExDqoyiK + bdvHx8fILqAoyvz8vOu6zCc7OINWComL8GdlUmHTE0Wx3++32+3Dw0PP8xYWFqLRKFzbLctiji78 + WdZv5otPGoa4vIQXhvC0dF1XEIRGo7G+vv7gwYNKpbK0tPQ3f/M3pVIJIR8QMGwt6TWfAEEQxI8e + EjBvKGHpEo6uRpUxQRD6/f76+rqiKCsrKzzPIy8nx3FINhBOoUsQF0HycVmW4R724MED3/fz+Xws + FjMMQxTFTqfD87zruojCQpDVuVA0grh0XLRX4+kaBMHW1tavfvWrnZ2dycnJjz/++L333mM1XrkL + cZgEQRDEa4QEzGUC4kRV1Vgs1ul0Hj58iDLPhmEgv3M4WU14lZEgANIrs76BKBdJkl68eBEEgeM4 + hmFks9l+v39ycoJ8rLIsw75HAoZ4CzjXdbE8JElStVr98ssvv/zyS8dx7t69+yd/8icTExMsTD+8 + GATz+B+94QRBEMT/BwmYNxcWkc90CGp6IFHMaDRqNBqCIBweHqbTaYy1SNbM/BxolCXOAcMLXrN+ + ghyvjuNsbm6KopjNZre2ttbX1+v1OmreJRIJ7ixFLKli4lLzUguMbdu//e1v79+/PxqNSqXST37y + k+vXr0ciEUT2hyP+KTkKQRDEmwA9iN9QkC3qXPYn9lpRFJTySCQSX3311fb2NqqMhUvH0ChLXAQF + YVAfCenIVFXNZrO3b98uFApHR0dbW1sHBwcPHz78+uuvv/7661qt1uv10K+YayJBvB2wiMFyufzN + N98cHx8XCoXV1dWpqSlUAAvL9fF4TP2fIAjiDYEsMG8oyBN1roAMnBmGw6GmabIsR6PRSqWyubmJ + SgKxWCyRSCB/FM/zo9GIqowRL4U5xrA4ZsMwqtVqu93udrt7e3ubm5v7+/u9Xk8UxeXl5UwmI4qi + 53myLFO/Ii41PM+Px+N+v6+qKkpS7u/vr62tffXVV41G4/bt2//tv/031ERCPcdwGhVaFSIIgnhD + oInI5QPTR0VRMpnM3Nzc0tKSbdtfffVVoVCYmZlBkIMgCKzaGkEwUJsSmZFZ8TtBELLZ7DvvvLO/ + v//ll19+8803+/v7o9FoYmIil8s1m03btll3gpIhDUNcRuADhlKPHMfxPO84zrNnz+7fvx8EwdLS + 0tzcXDQahS/u624sQRAE8UpoFnL5kCRpPB4ripLNZldXVxuNxuPHj7/55pvV1dXFxcVoNCqKomEY + HKW7JS7AinAjEsZ13Waz2Wg0NjY2yuXyxsbG1tbW0dGRaZqSJAVBsL+/f3h4uLi4qKqq53nIvAzf + M4K4dECxoOy967qO43Q6nY2Njd///vfLy8t37txZXV2Nx+PIWvG6G0sQBEG8EjKIXz4ikQhiEiRJ + mp6evnv37sTERBAEm5ubjx496vV6iHMgj23iIiyMCliWVa1Wd3Z2fv3rX//f//t/f/Ob3xwcHKAw + eRAE9Xq90+lsb2/v7u6apsm6E5lfiEsNq/2C1Z/T09PBYFAsFm/dulUqlZh6OfdjIQiCIN4cSMBc + MlzXZa8x0bxy5cry8vK1a9f29/c/++yzSqUiiqLv++F8UwQBHMdxXRcCmOM4TdNSqVQ+n19ZWVFV + 1bZtWO0Q4u95Xq/X29jY2N7eDoIAXje2bb/WMyCI/zpBEMiy7Ps+UtLv7Oz86le/6nQ6q6urq6ur + 09PTiN3HxmRpJAiCeGOhIP5LBgpCoyIH6qlrmoY3t7a2qtVqPp8vFAqiKKLe5etuL/FmIYoi+g86 + jyiKuq7HYjFVVfv9/mAwQHwzdLKiKLZtu66by+Xu3r0bj8c5jrMsixxsiEsKlnXwYDw+Pv7qq6/u + 3btnGMaf//mfv/vuu8ViESH+WPphLwiCIIg3DRIwlwxkjoKAQSIpjuMURZFl+fT0tNFocBwny3I8 + Ho/H4zTLJF6KIAhwj0GyO0EQUqmUYRjpdFqW5X6/b5qmIAjj8RjmmnQ6/c4770SjUYTykwsZcXnB + 0k+tVvvtb3/7/PnzZrN57dq1v/7rvy4Wi0jnyAq2UskjgiCINxYSMJcMLBByZymVeZ5Hus9IJNJu + txuNRqfT4TiuVCpls1kslr/uJhNvEJZlITd3OCes53m6rsfj8cnJSU3TXNeFROl0OtgmmUwuLS0Z + hpHNZkm9EJcXqBfTNHd3d//1X/+11+slEon333//9u3bhmHA3gJ5T+qFIAjiTYYEzOXjXDkC5hGh + KIphGL///e+73e7s7KxlWaVSKTwGswoelJ3sR8tL88MiaAoaZmJiYmZmJpFImKZpmuZ4PDZNc3p6 + OhKJJBKJhYWFc9X9/kCBztg/0gawY9m2TfKJ+C6EH3EI2Q+Xc9nf379///7jx49Ho9F//+//fXV1 + dXZ2NuwtRo9HgiCINxwSMG8JUDWe552eng6HQ57ndV1fXFyEFxDMNePxGLNP8u0mzgEN7Pt+JBLR + NE3XdUVRotGo7/uw6XmeNz09vbq6iiga9kX+D4Pv+1Av4bXwc3VdCeJVsC6ER5/v+yw7xWAwePDg + wYMHD1qt1uzs7AcffJDL5ZLJ5OtuMkEQBPE9oOXMt4RIJJLP513XvXv37q9//ev79+/ruv7BBx/o + us5KECqKMh6PUc3j9baWeAOBTohGo4lEIpPJpFKphYUFRAWcnp7u7u6enp72+/1oNPpHKEnOonRQ + c5Mj9UJ8T3ieZ+ZBJK7A2k25XH706NHW1lY2m7158+bc3BypF4IgiEsHWWDeElhxdF3Xq9XqxsaG + qqqaphmGkUwmI5EIModi3knZyYiXwpSJLMvwKEsmk7quDwaDbrdbKBRmZ2dVVY3H46PRyPO88Rm+ + 749/UCBXgCAI6L0kYIjvTrjPjMdjhOa3Wq1Hjx59/vnnlmXdvn37Zz/72fT0NPKDEwRBEJcIssC8 + JcCrRxTF+fn5GzduPH36tNVq/cu//Issy5lMBjNOSZIQAkEuZMQ5mGaAxUMQBF3XJycnJycnp6am + NE374osvbNt+9uyZLMuTk5PMrPcHYjQajcdjURSZtRDubX/QgxJvDY7jwHksCAKYnTmO833/yZMn + X375Zbvdnp+ff++992ZmZiRJet2NJQiCIL43JGDeErBwLkmSLMsrKysffvjh8+fPHz58eOvWrdnZ + 2VgsJgiCaZqqqlIRD+IirusyeYA0dyglxHHctWvXFEWZn58vl8v1en1tba3X6zmOw4XyzP7g5hFN + 04IgiMfjU1NTqD9DAob47rDsi7Zt8zyvKMpoNGo2m1988cX6+noikbh9+/bi4mIsFnu97SQIgiD+ + a5CAeavAsJ3L5X7605+Ox+PNzc39/f1EIgF3oGaz6bpuJpNJJBK07kiEYdoAnjbsXyT+Wl1dLRaL + X3311ddff72+vv748WOIinOR99wPl5QMFqFSqfTuu+8uLi5qmkaqm/heBEGAtPKxWCyVStVqtSdP + nuzv749Go5/97Gfvv/9+KpVCbAxMjq+7vQRBEMT3gATMW4Jt2zCtBEGgqury8nKlUpmcnNze3vY8 + DwHZR0dHzWazVCpNT09PTk6+7iYTbxAQtPC3CasXLF0LghCLxURRNE2z1Wp1Op18Ps+9TMD8UOzs + 7CiK0u/3c7kcPNZoikl8dzqdzmAw2Nvbq9frhUJhcnLyxYsX9+7dc103mUxev359YWEBYpvnedu2 + /9AukQRBEMQPCwmYtwSECiBbKGJSi8XiX/7lX967d+/x48cLCwuj0Wh9fX04HNbrdYQ3sGhpTFix + EkleOj9meJ4Pm+YURWFFLW3bliQpEol0Oh3TNF3XvXr16ng8Ho1G6DOSJPX7/e8bD32uJBHLOdZq + tb766qsrV670er1Wq4XF8h/mJIm3CNd1mfaG8RAi3Pf9SqXy/Pnz+/fvl0qln//857u7u7/97W9v + 3bp1586d+fl5URQhXURRpGq/BEEQlw4SMG8JjuPIssxWqXVdn5ub43n+4OCg1+s9fvz44cOHOzs7 + QRDMzs6m0+krV64g5RSKJHAcB8chvCYIwPQMktrpup5Op1Op1C9+8Yvr169zZ8EzQRAoimKa5vct + NBku8xKE+Ld/+7d2u20YBuL40UvZbJUgAB5ZUCzoGzzPo0M+e/bsyy+/fPHiRafTCYJgf3+/2+1O + T09/+OGHS0tLTLR4nkfamCAI4tJBAuYtgS1jW5bleR4mhclkEuH7u7u7zWaz2+2Komjb9uLiYhAE + rCi7bduu62Lgp0rnRBie5x3HEUXRcRzkTVYUJRaLTU9Pz87OiqLIymswO9732j+zwMD7kfH06VPD + MIbDoWma+DQstgkC4IE2Ho/Z8o3neXgA7uzsPH78+PT0tFarVSoVQRDm5uY0Tcvn85Ik9Xo9xHH9 + UFFbBEEQxB8Tmq2+JTDbCwqlC4KALLSLi4v7+/tra2uPHz+2LEvX9X6/32w2y+Uyqq2zGNZIJOK6 + LrJLEQQQRXE4HKI7tVqtwWAAH0Use0O0wDaCZW9kMPu+XLTAeJ4nCMJwOOz1er1er9lsGoYxHo+p + fxJhJEkyTVOSJFVVXdeFdPF9v9PpnJycVKvVdrtdr9drtRryy09NTYmi6LouM+iRgCEIgriMkIB5 + S2ACRhAE27Ybjcb6+vqTJ0/29vYePXp0cHCAlezhcOi67sHBwcHBAcdxpmm22+3RaCSKIiapruu+ + ztMg3jAMwzBNE0Jld3d3c3MTcVaPHz+2bZtZRUajEcTw993/xRgYcHh4OBgMfN/f3Nz0PE+SJF3X + EbX1Q54ecckRRdGyLE3TJEnCi2w2K0lSp9M5ODhot9v9fp/jOERqJRIJdK0bN24sLi5i1UaWZcpC + RhAEcekgAfO24fu+aZoHBwf37t379NNPd3Z22u02JqDIRWua5tHR0b179ziOa7Va+/v7tVoN88Lx + eEzZeIgwkUjE8zyY9bCYrShKs9nsdDrPnj1jzoqmaSqK8l+YCLJMUPgX6igIgoODg52dnUgksrGx + 8fDhQ8uyDMOATPrhT5K4tAiCYFkWnlqO45RKpfn5eXjP1ut127axjSzLtVrt3r176+vrS0tLjuMg + lAvdlTJ0EwRBXDpIwLwlwGGM4zhJkjKZzMrKSqfTkSTp4cOHjx49gqXFsiwM1Z1Op1KpzM/P37p1 + 6+rVqwhyRQgsWWCIMCw4iud5uOgYhpFMJkulUi6Xg3FGkqTRaCRJ0ng8/r5zwVfFwOzv79frdd/3 + e72epmmO4yQSiW63SwKbCCMIAmQtXMKmp6fj8fjR0dGjR486nQ5Cp0RRRBpuSZJu377905/+9Cc/ + +UmxWEwmk9gJZV8kCIK4dJCAeUuAekGktaqquVzuo48+mpyc9Dyv2Ww2Go3RaARXMdd1u93ukydP + 8vn84uJiJpMxTdNxHMwjaSAnwjD1whI96bququrExARMIqIoslB+7r8UxM+FlsBZGuV33nmn3++P + RqNqtYqQm1QqVa/XKQsZESYSiTiOo2kaMnqnUql2u/3ixYvt7e1Wq4VO6ziOIAi5XG5ubu7jjz/+ + +7//+8XFRRgPOY6D49nrPg+CIAji+0EC5i3B930U8RBF0fd9z/Oi0ejq6qppmrlc7tmzZ7/5zW96 + vV6lUuE4zrKsvb09hFzDa9zzPDiYOY6DHACI0uY4ThRFLLGzGu2YzmLOisILzPPnXEpcTH+R7ozt + EPvH0fEOdsjOJVwYURAEz/NwdmypXhAEx3EkScJ01vd9nLLrupqmIZrcdV1EmUuShMPBgIAGsArc + r5pwo/2YTIevqu/7hmEgH5csy5CF0WgUG2DCdK61CBSGNwsyAkMuAmZzCB+X53kIA47jWGgyTgcu + +8gMZhiGZVmsnQBxKcy5C/9yZ9pAVVXLsnzfVxQlEomMRiPYWHRd73Q6qqoi6AXlUGHWgyrGAjb2 + xvO8pmnYCbtlrP3YIdLUsjuOT9k9CoLAcRxcjfBlR4M5jpNlOZVKjcfjbDbL9p9Op9GXEOWP68z6 + EjvTcB9DFU6WIS18qc/1N7wQRRF7xpVk3Rs1PWGGwj31PM+yLEVRcBFwf3HWuL+sm3meFwRB5AzL + smRZRlVQ9E84QTmOg1uM+47j4mTPtRZ9/qX9Fh+htglaiJuFS4SfMK6w67qu67LqtzgWrhvbP7sC + 7Grj+uAiwLEw3NRwf7v4azr3zktfsK/jp4RbiQg9HIUdCAF7+DmgA3e7XfzQ4H9omiZ+76lUamVl + 5cMPP7x9+/bVq1enpqY8z2OFs2Dfo1IwBEEQlwsSMG8JLPaATQExaUilUplM5vr1677v12q19fX1 + arXqOE673d7e3l5dXV1ZWUFVdY7jEO3A9onpAvZpGMZFcfLtAQ/BWUW5c2+ekyLfvhPWBrYlmwwx + jYG51LlpE8TJOZ3AhWarwXco3BmeMDHBI8syM0ckEgkEfrALda4Z7JKys2CXBXO1l074zl0xRLGH + WwVnLVEUX1U4MjxlDJ8yz/OqquIsOI5DJlkAGcZxnK7rQRCIojgajVRVxVmfaydOB3/Dd0SW5XCY + Cq4euw6saipTOOHuEZ7jhhvGhbQHqnawu/bSuTJyTGGzc/thvKrXsQazaTSUcCKRgHQ/d9Dw/Q3v + kwlIdlK4gK7r6rrOrjNOJJFIhNvPJEpwVpmRfXqxg11sPzs73/ej0Sh8qNgFfFV/Yx0YveucpYt9 + evG6oT+z5wa+fnEtQzgjfI4XQcc+95wJv/8tjx1BEE5PT4+PjzudTqPR4HkeT79cLre0tHT9+vUb + N25ks9lUKhX+CncmO8+dFF58+9UmCIIgXhckYN4SsNZ4buznOG5/f39vby+VSi0vL09MTDiO4/v+ + wcFBJBI5PT199uzZlStX8vk8y4cbHrlfpS7Yyjc7bvgrbMjnz7yPwuu+bA8vlUBsHsxaglX/8DaY + Y6HBLOgCS9c8z2O1O7yWzLi4n//UX+5cs8PzZtZCNnN96eSSuVeFFeZLi+0wfcVUE5vrn5tNhlt1 + 0arAhzh3CLyDJnFnU082L794mmjnS/vAqyaRwVlWbv7MTIe9ha0KeI0kZt9x5ZuJAVZ9Fe/DwoME + euETudh7z02aL1owzulbdile2kmYrGU3Cy+YmMFFDv9S2HVjXTfcz5lJkDuTOuEO810uEdt/+F92 + ecNPBnbRwkqJnea5Uw53RS70YGEHGo/H4YUPtttXNTs4y5H90m3Ch2afsgsbfgc3FC1n8rhcLn/z + zTfHx8e+78uyHI/Hr127tri4mE6nOY7b3d2FQQ8Xged5x3FUVT23QBBuKgkYgiCINxMSMG8JrypA + WalUdnd3r1+/vri4qOv69PT0wsLC559/Xi6Xm83m5ubmjRs35ufn4/G4JElhGXNu/mfbNrxNsCAN + pw7u1RNZwJ9Vxb74/rmZ4rklT7bwzOpkh/2F8GZw5tKGL0JKsdk5m5xhpsKW5LmQx8u55e1zsFVk + 7ATHYodmzeA47pwLyjnrB/IiwPeJ+d6cOwpeM3MTwEHxGoVWuLPJHJuMchdmgef+hbUH87ywpQj+ + e9gD/N/Y/sPqiJ0atmeyEFf73FSbCylJ7mwyeu4us4sJj6zwinv4pJgKCs4ch7hXa068zzyOYCIL + HzFsxOPPrCLnGsYEEnc2wWUXjZ0aO1nmJsfuO7OtcWd9FVee7Zb1Onav2bHgy4fbipvFXBbDv5Tw + 62/pt9gAZij0OlxwZpZhp8bWFy6aIrF/iBOmM8N+nqz98HVkRYG4s3wM5/b2Lb30pcKSnSZu3zmh + y0QUK0DEcZznecfHx2tra+12u1gsTk9P37hx4yc/+Uk8Hq9UKv1+v9Pp3LhxI9wZcH3OqRcm7191 + hQmCIIjXDgmYtwQ2w+MuTA4Gg4Ft2+l0emVl5erVq0tLS5lM5n/+z/9p23a9Xu92u2xyzIXmguH5 + CWWPigAAIABJREFUVtjzBAEhfMgv6D9tW1hLcBx30d3rYpvZvwj24M6WqPEpnJRgbGEzG9aS8K6Y + q9W5Y2GOFZ51XSTs/INAFFT75s/CG8LzQoDYGO7McR9vyrLMJnDhqRKLK8CbbLcvbQz7iG2Aqo6I + P754PVl4PYvECIsK7mxOyRofFi3MpBBuMDY+Z5z5T9enI2fVLXHNWWO4kFLlLtz94IKfYbipKLWO + +36u37IZNtMzF69qEASInQi38+L0OuwTFVa/ABPf8FcuWupe2uuYwmEXE19kywfs+rCeds50iRWE + V8Wd27aNpoZ/ZWHb1MU+Fv4XdUKxVIFTCPdwxH299Ljngp24s58nH4Jdh5c+Adh+LlrS8Drsq8YO + hP4pSZLjOIPBoFqtVioVSZKmpqZ+/vOf//mf//nq6uqzZ88ePHgQiURSqVS/32cxSC9tABfqga/a + gCAIgnjtkIB5S2DGhLDjOH8W7mxZFoKn4co/Go04jtvZ2en1erVabWNjo16vJxKJXq/HfGMwdZBl + WdM0RVFisRgOxHY+HA6bzeZwOGQjfRACSXUjkUgsFkulUpqmnfMtQWbnfr8PGYDFZsxd+FBou2EY + uVwO07Wwq71pmq1Wq9/vC2ehBRA2pmnCRqGqKnaVSqVYvlQwHo8tyxoMBpZlDYfDl15PrOJrmoZ0 + W5i3hec0jUajWq1iGR7eUOGVfmQ+EEUxm80qigIfJzYbcxyn2Wzatm3bdjhKBLHFuA6IwzYMA6Ep + 4amhZVmtVmswGDCno3DDmEtSNBpNJBK6rgv/MezBdV2Ut8dF830fjoUwXqHxWLxPp9OxWCwcwxP+ + OndmrMOJh61SkUhEVdV4PM5CR2zbxjUJguD09BQ9DX2SC83+/TPQZxAuwjoDzqLRaLRaLbSTpWoQ + BGE0GvE8jywOgiDEYrF0On1uMuo4Tq/XGw6HvV7vpf0Ne9N1PZVKsdAU27aR4sJ13Xa73ev1YIDy + z/I6oBtANaFhuVwubJ9h96XT6dRqtSAIdF1HdgTorvF4jDsFbZZOpw3DOOcCZ9v2YDAYDodIvfDS + fqvrOs/zhmGk02nmtsfOot/vm6YJ4wy7cUjShTqkaEYymYxGo0xXs/ve6XS63S47cUgUKDFmONJ1 + PZFIsHgq7uwpZJpmr9cbDAZoOVsZOfc3Go3GYrF4PM588FjPx2oLegsSHiCjAJLUmabZ7XZrtZog + CPPz83fv3v3oo4/gN/v8+fNOp6MoCnQLS/4RvrbfYiAiCIIg3kBIwLw9sDkBc2HieR5FElRVjUQi + kiSpqjo5Oamq6urq6u9+97sHDx6Mx+PDw8Ner5fJZDqdzmAwwGIwJqyapmEmhzroHMfBpcTzvNPT + 0729vXq9zp/FG4SVDKb1HMdls9m5ubmpqalwyinbtqvVKr7OcZyiKJhMM6ca/yyjF1JRzc3NQSHA + WOT7/sHBQbVa7Xa7mB7Zth0Egaqqw+EQR0fBEEmSZmdnMQ9mc31MxY6OjqrV6rfUvRmPx6lUKgiC + UqnEZjxo2HA4RFl6juPi8Th86MMrzewu3LhxAxIICk2WZdM0G43G8fHxaDQyTZMZDVzXRWIufFEU + xVgsVigUisUiwo4x2eV5vtvtvnjxot1uy7IMAXZukZ7jOGinUqmUzWaZesTMr91uHx4elstlZHLD + 7WDeU4qiiKI4HA6j0ejS0pIoivg6puau69br9ePj493d3ZdeNOQu831f1/WZmRlZlhFHziL7W63W + 8+fPMdH0/2Pmg/B9n52dTafT+Xw+kUjg3rmuGwSBZVlHR0cHBwdwK8L7TIRgaX80GsmyfPXq1Uwm + w2LD0PhWq1WpVFqt1unpKWbebL0//MMxDKNUKs3NzcXjcch4qJR2u/3s2bNqtYovQriiCzEHS57n + JUl655134vF4KpWC/Y2lvyuXyw8ePBBFcWpqCnmi0Wkh+ZALOBaLLSwsTE9PI5sCd+bLhwl6vV7v + 9/uv6rfRaJTjuImJCQhIJCHAxe92uwcHByjviDOF6kDIPrKWeZ6XyWSKxSLWDrAMgY7R6XSq1eqD + Bw+wsXCWqJBdMWS3SyaTMzMzxWIxnJmA5/nhcHh8fHx6empZFndmQgk3A/uJxWLFYnFubg7pMSBQ + ZVluNptHR0cnJydBEEQiEcdxkOoN7YzFYqZpIpnbjRs3bt269Q//8A/5fN51XejwZDLpeV632z1n + MoUK4s/sjeEVFoIgCOJNhgTMW8L+/n5wlpiYzQY4jjs5ORkOh57nOY6D+Zau67lcLpFIzMzMtFqt + VCqVz+exWI4aMpgvDodDTK+x/I8FZu7Mncx1XVhgUCEucoYQyoJq27ZlWVjwHo1GTBRhsXwwGPR6 + PdM0YeHBxIs7m8XCZWs8Hp+enhaLRewzHJFcLpfb7bbnebFYTFVVSZIwo43FYpjp+r6P5epEIjEY + DNLp9Dlfr1ardXJysrS09NLrWa/XXdfFUjcWqpkJBdd5NBoNh0NFUbDkD13HEh9bloXqOoPBIJvN + spV+7Hw4HLZaLbYAr+s6pr84hG3bpmnCnjAcDtlUlYUyj8fjXq/Xbrej0Wg0Gn2pcDo8PIxEIplM + hk3rsRPXdU3T7HQ6nU4HnUFVVU3TcNPhgASB5zgOLHWY87EoCNM0m80m7jUsRQCbBUEwGAz6/f5g + MMBiP+szOIV+v99qtUzTTKfTyMUcbjxuuu/79XodGgwSC1EWnufBjNDpdERRVBQFBge4XeEidzqd + ZrPJ8/zMzAx3wY/LcZx+v99sNmHfQN9mshkr8ej5EPNIYsZqdOJ3YVlWMpmMxWKO4+i6bts27Da4 + OFB6w+EQRhvuzI0NR+n1ekdHR7FYbHJyUhAELBDgx8XzfL/fHw6HOAqzSbI+jx9du91ut9uzs7Mv + 7bfVatX3fVbuEz86NAPnjlzDmqbBRIm1A9ya4XA4HA6RWy+bzfJnznv8WRgYqyWlqirsRSzCSpZl + mOZw623bhnjAX3Zz8WsSRTEejzP1wgRMEASVSiUajfpnmQOYbQRPkn6/L0lSLBYzDAO/O9/3YW7C + j/3KlSvFYvFP//RPobrxGMTTBkfBogOLlPM8L5FICIJQKpXYdX7phSUIgiDeKEjAXDJYAl8M7Yix + 3tjYME1zb28PC+fBWZ4fWCqwvsv9x5SviqJMT0+PRiPDMBKJBCY0zCspHo83m01M/Z89e7a0tGSa + ZiwWg54Zj8fdbnc0GmEl+NatW+l02vd9eCUlk0nLsjC1ffLkia7rzWZzYmICbiHMgNPtdm3bbjab + yG0anIVejMfjeDwOD5+HDx/WarXr169jGTg4y5WEeer6+vqHH344NzdnmiaMBiimkclkoGEikcjD + hw9N07x79y6bYrLl2729PTjIpdPpXq+HT/Ea5pSnT5/CJ63b7eZyOVxtTHkHg0G5XO50OqVSaWJi + Qtf1drsdBAEWevv9fqlU+s1vftPpdK5du4Y5sWEYHMfBd6hWqz1//nx1dRVuLXBXQ7GdZDI5Go26 + 3W6/369UKuPxeGVlBXNipt9Go9HR0dFwOLx69aqu66ZpYsIqCIJlWblc7sWLF7Is93q9avX/Ye/N + muQ4s/P+N6u69n1fe0cvIACCIAkMORzOWAo5RmGHqbAj5At/CPsr+NvYoQteaCxLM6I1JIcUhwMu + IEgQa+9rVVfXvm/5v/hFnnjRCySNHX9xyXPBaDYqM98tq89zznOeU4rFYnjhHBiAZa1WOzo6Wl1d + nZ+fr9VqROi9Xq/X663X66lUql6vHxwcFIvFYrHI07kckNNsNnu9Xj6fd7vd6XS60Wjgv7I7TGd7 + e9vn862tremnDp94Z2en2WwuLy+Hw2EwEviNg91qtXZ2dnw+3+HhYTgcRuObAwABrNvt7u7uXr9+ + /cqVK61Wiz3CE+33+36///DwcG9v7/XXX1dWtQluNGtyeHhIDxaSPLVaLZVKnZ6eOhwOeuC4XK4v + vvhiOBy+/PLL8t5BvBwOhzQYuXLlCqkqikYikUi9Xo/H4+Vy+ejoiIxcMBh0avoT/NBsNre2tt56 + 661kMlmr1aLRqGEpTBiGkc1mK5XKzs5OsVjs9XrxeHw0GoE/vV4vyatgMFgoFHw+XzAYpH1TtVoN + BoOj0cjr9brd7t/97nfj8ZjBMxK2wOfzMfjFxUVakYI2JUXmsMTcdnZ24vE4yl3Kwh6k/h4/fnzr + 1q21tbVyuRwKhYR/6PV6g8Hg/fv3S6VSMBhMpVKJRALlD25SrVbL5TIjSaVSsViMk1apVFAQabfb + fFkdHBy8/PLLcmwExjx9+nQwGNy4cWMymeTzedhoolLo9/tDoRCCioVCQb4oaJQUjUbJM29ubhJu + 4JvT6/Xu7+/fvHnTNM1EIsGMhLf2z6zxs80222yz7f9/s7+dv2fmPCd6q5TqdDq//e1vnzx5Qi9C + /gYT1j09PSX66HK5fD6fNN8Yj8elUqlcLqMxyt9y/mC3Wq1UKhUKhTqdjsPhAN6cHwnOaCqVyufz + NIMjmhsKhaB2eL1evBmnJdulk8QcDkcwGEyn07Ozs6lUCkjDJ8kCkU6pVCoSBjY1XSApsIEo4nQ6 + m80mNJhIJEKSBP6SaZqCHzAZyczMzNzcXCgUIpoLBHI4HMlkcjKZhEIhXXzJsKrtB4MBtRbQXYrF + YrfbnZubo6W3YRjRaDSRSDx8+PAF/DSn00kL0Wg0OjMz02636Sw5HA7h7B0dHZHowMHVEzhOpxPI + Aces2WyCCalAyOfzOPoOrfWkzHo0GpF4icfj+Xx+YWFB+Gl4ycFgMJFIhMPhcrn8gmg0c+TDUONM + 0wyFQoTeTdOsVCoXVrErpTweTzqdLhaLhMkpBCJzCJDudrs009STZhhpH7/fn81mSSES5icJwJQ3 + NjaOjo705MOZlZ+ZmclkMplMxu/3wzcjDRiJROBNkdghDTi1JLCmljpfNBqdnZ2dmZmhu2Wr1eKo + kLUAHl+2bqTsEomEHDzTNCk4gfS1vb0tJTdCGlSaAnUgEAiHw/Pz83JsDMOA6xgIBCgrknypmBQL + xePxxcXFUCgUiUS63W4ikeB4Q5bjeED+vNBSqVQmk8lms0Bxvmok7nB6erq/v8+QCFWIfgMkOr/f + 7/P5MplMLBYD9lDlJe2Djo+P9YyibN/U6s6ZTqcdDkehUGi1WqyP2+32+/3tdrvRaFSrVVh5hUKB + 1ea/5GOr1epHH30ETJImnjDT/vIv/5LBODRlwuk5rW3bbLPNNtu+I2YDmO+fCQbgz/xkMqnX67u7 + u4R+KX1WSuEQ53K5mZkZQIg4lHh7vV6v1Wo5nc5AIDAcDqvVKlUl5XL51VdfTafTeBjhcJjWh+p5 + sWMoQ7FYjBj83t4edfn45aurq/g0JAemVr8LnTpP9iORSMRisQcPHjx79gwnMpFIxOPxbDb75MkT + qFCCYWQRcFwCgUAgEKjX6ycnJxsbG5QjLy8vZzIZ6FXwZKTvhMzCMAzKealOwe9BvygWi2Wz2WQy + GYlEuHyqda0xTRNyF9FufP3t7e1ms1mv1wOBAIguGAzG4/FKpXLZJlKYFA6HA4HAycnJgwcPqJ/O + 5XLFYpFUgK4hpl9LvYTb7Y5EIux+o9EgDRIMBnl6KBQyTVP61svSAdt4usfjmUwm5XK5Xq9TS5PJ + ZIhDB4NBPnPZ+MPhcDAYDAQCrVbr4cOH5Kbm5+dnZ2fpvyFLJ5cYlryvUioWiyWTydFotL+/f3Jy + AtxKpVILCwuoPoABBMDI+D0eD1A8HA673e7j4+NarUak3+l0Li0tLS8v82iw6JlhQ0zyeDypVCoY + DPZ6vYODg0ql0m63s9lsKBQKhUIipTDVWv3o559dJn+4vb1dqVRIYoTDYUrYIQFeuG6Qr1i9vb29 + jY2NTqcDWr5y5Uo6nWbYHE5ZAWFqAWAAWvV6fXt7G0WKhYWFaDTq8Xg4UZKXEBggx4ClczgcJycn + Ozs73W53MBgEg8EbN27AI4Vretm+x2Ix9BU6nc7h4aEQ0orF4tLSUiKRODo6ImU0Go0IH5hWaRNA + NxwOJxIJh8NRqVSo58nn87FYjKN7hokqxqElr8WUt7e3y+Uy3zZXrlwhr9JqtWq1WiQSmZ2dlWuZ + Mg9F3ELWpFwu7+7uZjIZVExSqZR6XmDANttss82276bZAOb7Z6bVs0IpBSmr2+16PJ5sNpvL5V5/ + /fVsNivu/uPHjzc2NhYWFvx+vxAn9LgmvkWtVnvy5Mlnn322sbFxeno6mUx+8YtfiMISVb9KazwC + esFpME1zf3///fff39vb29vbC4fDN2/ehFQm9z/jyOrlv6PRqNVqvfvuux988AEKQteuXXvjjTfC + 4XC1WtW9Gd2nkdKL6XRaKpU+//zz3/72t+Vy2ev1/tmf/dnPfvazhYUFCZlLCf55ADMej/f29j7+ + +OPd3d3Hjx97vd5XXnklm81GIhE0i874UiBG3KzhcDgajSqVyqeffvrZZ5+dnp4mEolXXnnl7bff + pgz6BY6gw2oncnp6evfu3XfffbdSqQQCgb/4i79QShUKBfH5hAyjrJCwaUk/eTyera2tr7766ptv + vtna2goGg+vr6+l0GmYaW6NTYpTVGBS3kizcxx9/fO/evWq16na7X3311TfeeGNpaUkKny4bP1S0 + yWTy8OHD//k//2elUgmFQj/96U//3b/7d+g9mBcppCmlqGMxTXM0GpXL5X/8x3988ODB1taWz+e7 + ffu22+0mHSeKXufXTba+2Wz+4Q9/+MMf/lCtVsEe77zzzuLiIlumD17eFzCA2+0ul8vVanVra+t/ + /a//1Wg0hsPh66+//sYbb7z++uvUaSil0L2QkwP+Z1Unk0mpVPrqq69+//vfb25ugjxdLlcymaTS + 47J1k+qOVqt19+7dDz74oFQqud3uTCbz7//9v8/lcobWQObC6bP129vbX3/99a9//Wt4WT/5yU9u + 3rx548aN86pxSmsuaVrdXSqVyqNHjz799NPHjx+Px+OVlRWv17uwsABB9AW8KQq3Go3GN9988/nn + n29ubiK59md/9meLi4swDFlwvbXumbmYpvn555+/++67+/v73W731q1b165dW1lZicVilwEY0a0m + x1Uul//u7/7us88+C4VCL7300jvvvEO5l2npeRiazrvH4ykWi6gCZDKZYrFI8dJoNPrkk09OTk5i + sVi/359YXXGnL2w6ZJttttlm23fBbADz/TP8UeHNG1YXcI/Hk8/nb9y4sby8rKyiZGLJsGV02MMf + bKXUcDikZPnhw4fvvfceLHlESIn9j8fjdrt9noqDL9Xr9YbDITmEr7/+enNzE9WsWq1G6bPSAsD6 + teIrUCh/dHR0//79Xq9Xr9dDodBrr71Gaf6ZxIvS8JtEx1ut1tbW1hdffLGzs4N21p07d1gWPJiZ + 5xtH8oNh6URTTvPll1/eu3cvFotRB+Lz+aTxyxkWlrKaaRAJLpfLjx49+vjjj6vVajab9fv96+vr + wA98uAsNJ3gwGHQ6nY2NjT/84Q/7+/ter3dlZQUFJ72Nhn6VaUk1sAinp6fPnj37x3/8x6+++ioa + jQ6Hw1/+8pfFYpGPCQlKmEgMjJISQM7Ozs4nn3xCSUMwGKRqhY+9wBFHm1sp9fTp0w8//LBcLicS + iVwud3p6qjTSneN5eTQWk5Xp9/swju7du/f555+Tz3n55ZcDgUC/3z+jFqUXcwt+A3x++umnR0dH + 0Wg0k8m8+eabzEuKT/REhMBv+HvT6bRer3/77bebm5uUP12/fr3Vaglc1/1XAe3cttfrHR8fP336 + 9N69e/fu3ZuZmVlfX79z5w5uMQJZF64b43c6nd1ud2Nj45NPPjk6OoKLePv2bTIMRCXYBWW9PqKR + gLZEIBDodDpPnjx58uTJdDol5xkIBNh3LtefK1lENAb7/T7j//u//3sG8/Of/zyXy/2T57bT6XBo + d3Z2Pv3006+//jocDi8tLa2srFDVRrbTsDreyOrxyigLwVJO88UXX/R6vUAgMDs763K5SA3pZ17e + VroeKYtD2Ol0Hj169P7775MDvHPnDhEHkoqy4wzG5XIlEolEIuF2u2dnZxcWFuCXmqZ5fHzMF6Pb + 7Za01dTS8bMBjG222Wbbd9Ze1Ebdtu+giW8hEVYClvj6EqEH0lAaIVkI/khzFYFJotQoU0EewwvB + CVNaM/UzbrT8jLPInU2tByLOBD4BPgc+DbUWov5ETwan09loNNrtdr/fbzab/X6fHim6D3TG5G56 + ksdhKfMqpfC/z1SPKC0kzGiFZCVusdPqWyKReD1hpbT288wODh4jEbTjsFroXDZ+iTQLpORCmH6y + aOoclUXHMKIgrPu4AhIwqQMRACOUHoGCFEjIqvK/LOxl46d8RfaXUwQ1kX13WsZemJaUMLiUM4M8 + sXALyXhI+xTBqPrGcX5M06R2XI46ripPkTyD3FzOgGmJ9iKS1u/36QUk/5Wt0ZM2ZBUkcee0FNgo + rkA5TXx03qwXnFun1ptS3sqp1lKGibD4ejZAJjgajRDxo7NKu92GRthutwW96EdXX0ZBsMpqHmVq + 6g6s3gvYU4QD9CQJ6w8MACDJYWbp5DXUuaDorXU6HQIog8EArTD9kOuLxm7KkRajHxQ9nTgb8lLI + ayVCyYQznM83P2Wp9aoh0+5iaZttttn2nTc7A/P9swuJJZTRi+OFl+Z0OgeDAU3fzjgluhyZ3++n + +PvWrVvz8/Pj8XhhYQGmmWH1j9cj2brxhz+ZTF6/ft3lchUKhXQ6vbi4SDAVT1rccXEonVqDdhy4 + mzdvUgywsLDw2muvIQwQi8W2trbOjFxyIKbVdjAcDs/Nzd26dYvU0/LyciAQYMr4ygKExJU3tZYj + 0Wh0dXUVFhApCJRV5WPix7MIDocDcOVyuTweTywWW19f/+lPf9psNtPp9OrqKvJNhtbN/ULDiQ+H + w4uLi2+88caVK1foXpLJZJAxEEfWoZWCCERhWWKx2NLSUq/Xy2azwWDw6tWrkUiEUmxZdj2QzHaQ + vhgMBpFIZHFx8fbt2/V6PRwOr62tRaNRh9UD/gVuHBgjGAwuLy///Oc/r9frMJGoAxEIJGdAQvLC + BaJgY25u7pVXXqGoY319PRaLgatNy9TzSTNADlQlj8fDaWm32263O5vNZrPZ6XQqyteSqJTTK/se + iUQ8Hs/q6uovfvGLGzdu9Pv9O3fuLC4u+nw+hL/wd2W+HDZmxBgSicTS0tKtW7eoOltcXCwUCsyO + xvCXrRvOtNfrXVxc/OlPf3pyckJtfTqdJjHFmyvI8Az8ZkNDodDCwsJbb7310ksvDQaDV155ZXZ2 + NhqN6phWTux0OpXjBNyiH9Ta2hp9n9bW1tDvMq1Opi/Yd4fD4fV6Z2dnb9++nUgkXC5XOp2GpKrT + DmesvqhnEpic4cXFxbfeemt+fr7dbt+5c4e3Rm9aeh63Aw7ZwUAgsLa2hlYhkgDKYveZllahTF/Q + i9yTdZAgDucEdCSRBWUjGdtss82277DZAOZ7Zno+QcKohmFIxxIJc06tTpQiCoRTBcvC6XTW6/Vm + s0mJfyaTgb0zmUw6nc7t27dTqVS32+XCM1F83dBcikQiN27cKBQK9Xo9Go0isHvGaVAac0wpNRqN + gAF4OW+++SYMeC73er29Xg++je52nEkEGYbh9/sLhcIrr7yC5zQYDO7cucMA0AVmcaQph5j4uKlU + 6ubNm+vr60hXZTKZdDrdbredlgKY0G9kEaTOweFwBAKBubm5//Sf/hONWXK5XDqdVkoR3b9sH/v9 + /tRqXIMPOhgMqEPQe6hLMYYMwNDYRIZhZLPZwWAAgPR6vfF4PJVKMXgxmTiJC0AjpSDZbPb27dvx + eJyeNouLi9lsFoHg8zhZN/Yuk8msra39+Z//+XQ6rdVqb731FtIRhPPJyRhWLYHso34UX3/99Vwu + RzvUXC4XDoen0+lwOLzQi1VWDQy7kEgkbt68KdzIaDS6vr7OAJRVbTLV6n+UlpykGn52dvYnP/mJ + UqrT6czPz1PHYlrUREliSJceyfgZhhEKhfL5/J//+Z+vr69TWD83N9dqtXq93ou9XhzlYDB47dq1 + cDjcaDTG43EqlXrppZfAAKQlSSfqwhtMX2DzlStXSA31er1isZjP5xOJBB1CJX0nR50f2AukwPhm + WF1dVUolk8nl5WUSoeQxLhv8aDRCvHh+fj4YDN68edNhyQnK0vFS6xhMVn5idanK5/OvvfbajRs3 + ENTO5XI+n0/+9fzW85WF8oRSyu/3v/XWWwsLC6SUEStHDF1pIZ6J1YWTgjcE65SVelIWyqKYTYTa + 5HJEqF+wlbbZZptttv1rmQ1gvmfmtIqb8VGEAYL+2FTTTcKPJBiP90CrB7kJqrutVotukslkMplM + VqtVxMFM0+x2u4SHqQqYWi01lFIoJuPJUbWyvLxcKBTI9ni93kwms7m5aRgGXf+E0iYkpUAg0Gg0 + XC5Xs9lEduz69euBQAAJtUgkQjtC/C0Y8DCyCJD3+32oMsjgFgoF6Unn9/tJRlGjgkdrWJ3aR6MR + Dc6JqTcaDcMwlpeXu91uLpfzeDzRaJS5g+WEp+R0OnHdZqzG8DBYDMOgIQmrFIvFUqkU8gO0qhBe + vgze6/Xyr7jj4XD42rVrpmn6/X5Uiak76vV6lBfjoyvLo3I6nRBm2IilpSVAC1gUAWLKSEzTpIso + emLimLZaLX7odDq06KEFEIm4ZrNZqVQEDE8sJVxDE2/odDq0YoxGo6+++ip6vuFwOJVKTSaTbrer + o2gAJDtbrVbxQU9OTubm5rrd7sLCAsghHo8jrgVUYHEmVuMjRuv1einfmkwm9Xo9nU7n83k6xpD9 + QP5LHs2FksViUhSZOJ3OdDr9k5/8pNlsoghHGcbTp0+HwyFq4NPplPVnqTk2ECxjsdj8/DyIfWZm + JpvNUr3jdDppJaS0DIBh0d4YOWStVCqFCjOiZD6f7+joiIhAtVpdWVkR4O1wODqdjmmatLKaPye8 + AAAgAElEQVThhMdisVdeeYWNRltZWqByVmXiYHuOQa1Wq1QqKD3cvHnz9PR0PB77/X7WxDRN+sNy + Ya/XA0oNh0MkxdiaXq+3urp6cnIyGAw4kJxABM0F5sm3FiJ17E40GoV998orryC1FwgE0HBHAA0Z + N5oXyRRAGuhrx2KxXq+3traWzWaRjON9VEqBYc5nbyQMwdkTrMWm8BUBwlEW9DUs2XTbbLPNNtu+ + g2YDmB+pwQNxOp2tVqtSqeD8OZ3OxcVF4Z7RDhytYXFh8SmlQFzil9BagAperxeRU3wpIWmIJ8cY + AAAnJycul6tYLEoHyV6vR34GphC+pu5MGIaBSvJ4PK7X6+RhcrlcLBY7OTlRStGWu9Fo4B5JL3DJ + ouAWw6/DbQ0Gg5RVwBA7PT0dDoe4VqSwuIr4NwLNwAPSLPTTYKiE4fmlYXVxkcGDB/BB6RZK2woI + Lc1mU6j8Ho+HAXATCasTiqajPO1BEc9llcgOVSqVaDSaTCZpgDO1irOJcwNEKaJgzPPz8+RM8BEB + WkorfDe0EhqOynA4bLfbtI5hkT0eD803gYVwvdTziTt0hE3TPD09JRI/HA57vd5kMqEvJJuCMrLD + qp7iWoArzmin00FbIhwOG1bdCBvXbDZNSyMBh1UoTOAxDgYoGtQkVMPDw0OcYL/fD+DnWphdtIMc + jUYUf3e73WQyCbxBl5yeSwBO5/NCaoZVH0IbzVar5Xa78/k8DShrtdrIMtIFwv0zLMYdDV4ogOGN + i0QikUiE6RuGwTsLCJfepnLykZ8eDof9fp9GKNDYpKyIJqG8yIYmVsH4QeyghX6/z1KwSqRuTNNs + NBpETIAxHHXGRrVPv9+v1+sOh2N+ft7pdALPBDaAQ/g+kUXjBBId6Pf75XKZJYJ+yVANw2i1WgQR + 2OL/+29I22yzzTbbvstmA5gfqU2nU7wHlEypOsD5xnvAh1ZKJRIJPikEKrwK2CwPHjyoVCoejwfX + QZpn9/v9brc7nU6TySQxb3FDhVjS7/er1Wq1Wt3f35+Zmen1egyj2+2SddnZ2RHwwJ3FNQkEAm63 + e29v7/DwkDQLzjROnlR4K6VCoZDS6GdCvuIR7733Hlfh00MjYe71eh01ZPFBCUVzW7TX4LkxF+Y4 + tkxYYep5D54wsMfjOT09PTk5cVhFxlxFTx5WQ3rtKQ1IIEI1nU4bjcaDBw9wWEUtDSev0+nU63Vc + Rr/fL9kAvE+eVavVHj9+XCqVlFIul4tcE0H6mZmZ4+Njr9eLM2o8zx4kcUf7P6dVi09ibWLpZdMq + x+12s1+6ojE4rVqtPnr0yDAMqYOfWlJpnU6n2WwuLi6ySvqjPR4P+aVer/f06VPaFtE0hl3u9Xpe + r/fw8BB3H0zi1HpBwp5qNpsfffQRrX6UJZHM9F0uFxsnJ1YYa6QyQqEQinnAMyEiOp3ObrdLQ5tg + MEhFk+y44AHc+o2NjX6/D0JGxw/86XQ6K5UKL47UY8hNlFJA00qlsrGxAV6VYjbuzOH3eDwk6PTU + E3Pp9XobGxu7u7tICUsacDqdNpvN4XCYTCYTiYS8yLL75MFQvavX65SRSI6OT5ZKJSYrSgxnikla + rVa5XN7a2trY2NB5YpxqSYaYWtUTP5PcK5VKGxsbgDev16u/YqTRvF4v4/9nfxHaZpttttn2vTQb + wPxIzeFwJJPJQqFQrValyp+qDKGq0x08Ho/HYjG9IgIHJRKJFAoFnGzdB+VynAmPxyMdIfXKWoLE + yWTStCSwEFDCzeIHWpunUil8U/W8mFI8Hi8Wi0opSv8hpRBFFjJ9MBiMRqOUViuNVgR6mZ2dHY1G + BwcHJIJMSybLYXUaodkiTdZl5CLMSsNNGTnrgCNIioOGmFKaLHeAdpVKpYgZU3Ut8Izf4P9FIpFk + MgndRQAMWSAUb3FwYcrJ1rBx6XQ6kUjQj1IvhyAMn0ql8EF7vZ4QtMQjV0olk0myUmQhhEDodDrD + 4XAulyNLQwoOoEvsnOxKIBBA11j3YrFAIEC/QhIm1WrVYZmg4kgkkk6n6dGuLE0q8AMnB9Vdh6WY + 7PP5arUaSRXTNMPhcDgcjsViZAv1cxuPx3O5HJQnl8sFSgRHMQWXy0WjyWQyKdBFWT3dKbiS9I6y + oBoJE9M0yS9xtv1+v4AHwf+BQGB+ft7r9ZJnYF7T6ZSyJQQtkskktLQzL53f74/H4yQnWXnEu5Qm + tex0OnO5XD6fJxcnHDZeyVQqxZTb7TYgf2ppnXFoyacB89TzqNU0TUplyD2SkGEpoLp5PJ5QKOTz + +aLRKDU2OvJn8P1+v1ar9fv9Vqsl6TUBgT6fL51O081TZi3vPnMnLWw839iHl8Ln8yUSCSiRL/ru + s80222yz7ftvNoD58drs7GwwGKRmABd2PB7T6FokaA3DwI8UDhh+g9frhfS/vr6uNHVa3EqRgYJO + hlujLCmnmZmZYDA4Ozsbi8UoNcGDFF8EYr3whSjIkYA0P1+5ciUej0ObUUoFg0HyJ2Rv4KIQhpcU + hFJqYjXpi0QiNDy5efOmqSmS4UDPzMxQExKJRHgKl1OEgN9848YN2g4iOaUr/+I0QwSCniTYDE8d + XARRimIk4WtBAAPSuN3ueDwuBBvGgH4U3Wb0KhGphiLf1e/3oboJfY4IvcfjodyIXjGGVRqkJ0MM + SwgbqS5TE+ACmLlcrmg0KgfJ0LTRZPyBQCAUCnFPyQM4HI5EInHjxo2lpSVleZ8WOdEwLRFkZsH4 + lVUUDj6MxWJutzsajbIRpIzIKQFggKOMkF/K3hmGgVBEKBS6c+cOBVTD4RBEzU3IQzJ+nX0HCHS7 + 3aurq8VikSSAUKoEh3CYcaa5/MzBe+mll+LxOKsqlTbk65RSwFHDMJLJpGhw8x65XK58Ph8KhZaW + loQKKPrXbDrFUVQTCQZQlgoZIgf5fJ4LTdMEY8gxIAbh9XqFdSlGNq9YLFIhxp7yS+F9+Xw+yXlK + 5nBqCShD1SsWi8iN6FLLAlxnZmaazWYwGJSYhbLQbzgcXllZmZ2dpUbI6/XCpuNxvV6PajTSgy9W + /7PNNttss+0HYPYX/Y/XcIXP/BINJaCCzh2aWh1mlMXmj0QiFL2Ie6f7ixeaxKF5uu5j6aZXy+hP + x/AUPR5PNpvF1eZjeFFE9y8bgNwH91qa7p2heJmmCQvF8bwSl66aQJhf/1dBWRJUFrKcw1I9kjD8 + Ge9WCuUvXEBZYVmBWCyGdKz4r/wTSSS5iQgBs2UsndPpjEajOgJRFgNHNI51O0Mhg7EGptUzDDrZ + SefdycQlDZVIJCKRiPMimWawCoksffElBYRQAXUvSikYffAh9fvwYdMSxTKsIhnSX36/H7Li9PmG + 97LOcvC4xNTErOPxOJlDHdbq8xUxK8EAhiXf7HA4QqGQeOeAFg7JhYdWP/+sNkUvZxZHX+fp86pr + mLC8uFz/J2l9o9P8lPam6EsUj8cBMALRzw9DWedZMJuyJMUpSDv/Yb2+CwlyMVlkwPz5a7vdLvoH + 6XQaKEh71vOjss0222yz7YdkNoD5kdpEk2BWluNoGMYZRxCuyHg8Pl/LIT6r+Ey4brDwxRXW2VPK + crV1bx7fTsRbpZBG9xFxgCTU7XA4er0e/pPckJIJadc9tQSIlYaszOeFmKkadzxfKT6xmj8aVusS + 8dTJMAjTzKl1s9FVCpRW5yMPlftPrQaj8pkzpdI6MJBZGJYYAKOSqLngNy6ZWLLUZyAT5rTEqWTR + GLxSSgdj4qEaVqc/fTr8Xu/VqM9U0nRQkhiwLO/UajYq6SCyAcpqMCIT0e/p1Dp+6uumtOoaFLfJ + eslN5GwLQ4+RcERlE2VfIGUZVt25nEaZjmwN1Rrkms4sDmJWpkZ3dGj9VSVZpyxZMx4k5Uayj+LW + Az+kwYtgY/3RchJ4BK/kmSyKjFb2gkyOet4Qe5ByqTP/qr/F6nlwqLTDJgM7c/L1+8iY+STpr8uE + v3q9HvfUq+lIpintDdJlD2yzzTbbbPsBmw1gfqRG5kSwhx5BV89rT4m6qG7iKCurckApBYnljHdr + aK1UdLyktPJo8T/00DK+kWlV5sgv+cAZxwvGlMNSjFUW758nwrPSJ8g/6d6k2JkciBQSTK0yaPl5 + ajWAF+dJ3FYkDaTDuv4U+DMAHrCQYdl5qCAunbDvlOY+ymd0pCeZFh0KCodNH4mO8ZSGafWMyvl0 + Co6m1ErpGEAPuoP0hP8myStloQLDqmt3WN1OlXVanFbfGz2/xCmSgiJqPwQDnHfERYJMRgXZiU+e + 2XduIikFQQV6mkVZlTD6Wp3JvXBC9LShIA1BREopZL7Bk6zGmVfsDE5wWs2dLtx9de79BQbrQxUM + LKNlkSnEN6xW9w6t8ymFJXJUOMYoTMgbIa8V2Q9lfQnISARrqefzmTrjUR+20mCwfF4ybAKB4AoK + Ua3f78M27Pf7gUBAR4+22Wabbbb9IO1Fveps+y6bHtfUQ5v/ojuIa2hYbUb4LxR5/f4gFn5DjFa8 + bTgbxNSFvCEuvrJ8Yj3LMbX6XusfVhbqmEwmUIOclnwZtSKGxrASIr6yotc8RQRYdS+ZFhOmaQpp + TbwuvcibOLeMHIaPPBFMIjeXuZPG0e+AxywoQvcCldYcnRHivktWRxwyZXUtlGslmI3zN51OpYBb + 6EN6pkKGxCwoENePCsurNCSjO7XqXHxdPY82GZ7ugOrYT3fKxVOXD+srj+vMugkekI2jMEOyTLK/ + Ho+H6heH1h1VckcyGBQRBJaAW85QjKaWBIU8UV86ZcFC/fPCQOMpKFDrrRIvSwIwEoZEM1B90eTR + qAYry1OX5zJBWQR5CyT9wj9RdsVv9DXXpbHZXFHAMzQpAj6AIBvXsozKAn6Sv1JW3lU9T3iTYXPD + M19QkgvSV54t493XDxUyCUK34xFodssi+3w+3nEkrXXZDDE9F2SbbbbZZtv33ewMzI/XJEsg3dlx + XqWQWtImzud7w+mUJ6l7lo+JL6WUQuRXr44Q/wM/Scr9ocjrgX+J7+q+o+5J4+g4rFJgPBhcN0EF + Mio9Qi9xaHE3deYJM5Kmn/JhoclJHgCxXWrHcfpltOIFyuUycrhw8htJ1MhSuFwuUVagXhmtWPHj + DasoBTEr4WiBNFgKPcMgmRAWXBg7PEvWCsjBHQi0Ty+qtfjjjAEwR/GS8ZtxnXXf17QaDuqpPGXl + owA84nODeFlDuQlrdWY7JLsF5mTddOKWnutTFjLUs5H6SFgcWjHKQgks1wGnbpxbybrweZCMspiQ + ACEZqgBUOYdOp1OWTjDt1FLRkGedSY9MLf6ejNYwDFZbsKsISEy1uhSlvRrgLpkXwQuApQzpTBbR + 4XAQ3ZD7CGTSuYV88+jSbWwrK8C+SNJSaTBe9ACUFcuAAvcvOJ222WabbbZ938wGMD9eo/cCTCrh + fUmyRXydQCBAszxTK2VWStHxUH6Dx4aHYZpmMBg0DKPVaoVCoUAgwGcgsktEvNfroTPmcDgYid7s + RdzcaDQq7sjU4ryZplmr1ZRS0FrE65K6FGGhBAIB4rIyOx5HN0CHxcVXWrE102+1WrFYzO/3+/1+ + 3dPC2+t2u5VKBamuyWRSq9WobldWSkEpxdPpoiPgDRd2arXRFPcRX5ZYMo0dca99Pp9w7bjJeDyu + Vqv0HNTzWriPLFqv1wuFQsFgEPfOtGpmWMlWq1Wv16fTqcBLKVtiGFQ9RaNRlkunkP3RYWwRKqAp + JAhQ7m9axqkzDINq+zMn1u12I75sWgpmDq3ChCPEbfVmIAL8aL4pGbPp8xVE4sEbhkG1PYsGI8u0 + OirK5S6Xq9FowKbjzFATj9YZUOTMIqDc7XA4gsGgZMz6/T7dbPiZYneApdSesVy8MpwN09IRVhq+ + 4t3x+XwIbMi/coSazabkdjgYnU7HsLhzqDMHg8FYLIaInx56GI1GjUaD42FaeoOyWZQzAePD4TAv + ghR0cbxRjubzdAsVNtrEUtLrdDoOhyORSPC9JMjWMAz6hEru19BkISBk8oPL5dJFzGyzzTbbbPtB + mg1gfqQ2Ho83Nzf39/dHoxGtIUTQVhIXOFipVCqXy7300ktKo6d3Op2Dg4PDw8ODgwPyAIPBQHj2 + TkvOdTqdLi8vX7lyhaYcDkuvdjAYHBwcbGxsHB0dEeyX1nswZOghMxwOo9HoSy+9RN8PcXAdDsez + Z8++/vprLul0OvCjcF4ldktTy3w+v7q66vf7STLgDzUaja+//rrdbvd6PShzyoptG1YtSrfbXV5e + XlpaglqDcw8A6Pf7Dx8+/Oyzz6bTaSwWw+mEkT8cDnHj8PDefPPNdDrt0HQCgF6bm5vNZpOeGMLF + IvVBXogu44VCgZYg6vlugN9+++3e3p74x0xBECBOXj6fX15eRnOZjwEeut3us2fPNjY2zpQAiW41 + OSVUdxcXF9U5NbY/Li0DTjg9PX3w4MHp6el4PG40GohiCYlOQFQ+n8/lcnNzc+gFCzKsVCrb29s0 + wYTjJJLEymqWQk+V119/fWVlRVm8I5auVqttbGw0Gg3Qr4g+iztOss7pdK6srCBUjbEm9Xr90aNH + R0dH4/G42+2CxoVCyTDcbncmk7l69SpqaToBzzTNBw8e/P73vzcMIxwOowTAmQGYgcaj0eiNGzcy + mQwvhZzJRqOxs7NzeHgI6hAepmHx+jh10+l0fn7++vXruqbcZDJpNpu0nZ1MJpxYAY3MHQXCQqGw + sLDA02Xv4CtWKpW7d+8yI5IqDq0NK0vk9/tXV1eXl5cDgYBQuTjzu7u7u7u71Wp1MBj4/X49QyXr + HAgEYrHYq6++ilKcskIGlUrl2bNnR0dH0moWgMcrzxp6vV66M62trZ0RW7PNNttss+0HZjaA+fFa + tVrd3d01rWppp1WJLi4dDS4BAxJMFarG6enp9vb2/v5+JpNxOp3ijcHfoMkGXVlisRj9WKTolvTF + wcFBqVQiYoojgiMF373b7ZZKpUAgkMvlCoWCsgowwFeHh4ebm5soqHa7XXIaHo+HayFNSY/LK1eu + mFYRPL5gt9vd2dlpt9sMSZhmMG1wDSuVCv2/acQpWYJer1ev13d2dp4+fcqkcAdJBBFjJn7c7XZX + VlZAIFLqMBqNTk5Otre36Uio11jjlZIZ63Q6x8fHk8lkfn4e8VnJwHS7XaBjKpVSFh9vavUAHQwG + JJecTmcsFtPzGOxOt9s9Ojra3t52uVzhcFj4bF6vl6yOz+erVCrNZjOTyczNzenpl/+bDIyk3Z4+ + fVqv11OplPCRBIAx/larxU7R78XUyrF6vV65XN7c3ATgtdttirZNi3M4GAz29vYmk8nq6qrSlO74 + odlslkol+sEHAgFuLmkfSIntdrtWq9FxkjMPUJxMJp1OZ2dnp1QqxeNxkgbdblcKq5gOTVHj8TjZ + M3ZH1vD4+PjJkyeJRMLj8dAMVO5MO8ijoyO3253NZhOJRCAQmFgycSCQUql0cnLC5hpa/Q9NkMjm + VavVmZmZlZWVM8IGw+Fwd3e3XC4Dk3hJfT6fZDU7nQ7b4XK5gsEgwnSSluz3+9Vq9ZtvvkmlUogp + 6+J+jLBer1er1Wg0msvl6F1rWkRKXvlyudxqtUCJwjUVMDYej8vlcr/f7/f7IBxGTk7y8PBwf3+f + HeGFAu3D4ut2u263u9frdTqdQqFgAxjbbLPNth+22QDmR2rSuzCfz8/OzurhUqncrdVqx8fHSik4 + 7jqVSIhJ6+vrBJvBLROrLbfD4ahUKk+ePMFPkhpc3D7C/LSVyOfzhUJhOp12Oh2v10scd2Zmpl6v + f/PNNxsbG+RneK5OkTIMY21tbWVlBUeKPAxxaMgqJycnz549I8Mj4V6cVPBMIBB488036e9Oxkmo + LEdHR48ePUJMVkrqleUpGoYRDAbn5ubW1tZeffXVfr9P803DEjvudrubm5vPnj1rt9vcVlbeNM1m + szkej0ltAW+EckY+oV6vHx8f7+zs8DjyDOLnMcJYLHbz5s1wOMzggWqsQ61We/ToESQlmrWLuDBR + c7fbnU6nl5eXFxcXue1gMKAhILmsP/zhD4eHh06tyY8M/o8GMELWmk6n2Wz2Zz/7WSQSabfbhiWB + Rd3RycnJwcEBLSYp5tHFHrxer8/nC4fD169fX1paarfb8NyoEYKc9vHHH+/s7AhTUVm1E5JdjEaj + 2Ww2k8nQpVSYhzRJrNVqd+/ehazldDqlnSWvwHQ6TafTb7/9NvmBZrPJ4SSHUyqVDg8Px+NxvV6P + xWI6y4ubuFwur9f78ssv3759G5/btPTNUNL76KOP9vb2lJUd0pNsvER0ms/lcmy3QAiw99HREViI + lBR5Ud5WbpVMJsE2kUiEnA9of2Zm5vT0dHd3l2tFNkNYdoAQuqBevXqVlBHfCdzB4XA8efJkb28P + yiWxDz1Z5/P55ubmSJIEg0EGzAs7Go1arVa73b5//758HsYgE+SQx2Kxubm5RCJBr8xer+d0OgeD + QSAQqNVq7Xa7UqlUq9V2u/3HHVHbbLPNNtu+L2YDmB+pjcdjigFCoRAxfqGY04o7EAgEg8Fer3d6 + elqr1fQ6Ae7gdrt9Pl8qlSoWi36/Xzg58EAcDgdMHlIxAooEPEjbh0AgEA6HCZ3iifp8vmg0GgwG + SRQQ4dZrSODQ93q9aDRaLBbJFOEdErYHSpmm+e2331arVbo0SiTbsCwcDs/NzTHsbreLQ0bxg8fj + KZfLuNR63bMUWDudTqpEhAtEONzr9YZCoX6/f3Jyoheayx2AK51OB5ZUMpns9/tAGqACrcSHw+Hh + 4aHD4YBNx+oJDJtOp8FgEPgBXsL9pe4lFApVKhW9NklQR6PRoIrD4/Hkcrn5+flOp0NQHL+T1QsG + g3ixE0197v/+yJHWA6lC8AsEAtR/K6U4CS6Xazwen56e0plUWZLfLA7bZBhGJpNZWFgolUputxuH + leINn8/36NGjra0tKkYkCyEH2Ol0+v3+dDqdTqf9fj8Hye12U+zEqRNZcEkgKAu0t1otn88Xi8U4 + hLSVxNUOhUKTyaTVarVaLZFUdmiiapJnSCQSuVwONhdwneKTRCKRyWSOj4+FeKZXnfHKhEKhYrGY + yWSGw2Gv1yPv5/V6w+EwecKHDx9KlY6pyWmQEY1EIoVCIRgM8s6KZEIkEnG73a1W6/j4uNPpTC35 + Y947KI4ASAY/mUxOT0+FwEa6ScS1p5qEtLxfrVZrOBzSxjQUCoFYlFI+n4/xBIPBp0+fOp8XgGYM + 7Xa70+nMzMxks9l8Pk8THuQQfD5fLpcLBAL1er3VajUajWaz+f/kxNpmm2222fadNRvA/EiN2C3Z + DBzo3d3dg4MDBK/m5uaWlpYoRMZxkeoRnFoKjnGz4EptbGxAQZmZmSkUCsvLy7in5HkMraeHYbWz + oPUkgOHBgwcHBwf4Z9FodGlpCdigrMIbnFHJAvn9fpyq4XB4fHxcrVZ3dnaorV9YWJidnY1EIuI7 + 6k0kxK1nIuPxuNPplEqlg4ODZrMJKigWi8yRkeuwAW4YGR5CyIPBoFarPX36lFVNpVIUAPj9fhhE + hIolGi3eHkCl1Wrt7Oxsb2/jn924cSMajYLEiMGzbpRJyOBJQBEUb7fb+/v7pVLJ5/MtLS1lMhmh + ZqHpJPjHNM1AIEBIno2DzHZwcDAcDlOplGma8/Pzs7OzYMtQKMQJkWPzR4MZatBZDZ/PFwgESM3t + 7e01m83T09PpdDo7Ozs3Nyd6Wf1+n5p4WXlyR+w7GG9/f7/Vah0eHk4mk0KhMDc3x8GjAsrj8XBs + hL8HT4zl7fV61Wp1a2urXC4Hg8F8Ph+JRPL5/GAwAMqSD1SWnJcozpGywynf3t6G8pRIJBYWFjjt + FKNLekRPBIVCIdBRu91+/PhxtVrtdDpQtqCNKavJkqRDOb3EApDBGA6HVKTs7++fnp4ahpFOp2dn + Z1Op1GQy4WwAAxiMUioYDHICydVUq9Wjo6Pd3V2iGLFYbGFhwev1ElDgwMuw+V+R/Kaa/+TkZHNz + k68Lp9N548YNERI0La3nGavVrMNqL0OpmNfrPTg4OD4+JsGbTqcpuQEKypmXAbDmQh4bDAanp6fH + x8ekZ30+H0hmOp1yuuLx+B93Sm2zzTbbbPu+mA1gvn+GfyNUKyF4wLfB9ZGCFkgagUDA5XIRaZ6Z + maHC3u12i7vQbrc3Njb+5m/+xuVyVSqV//pf/+v8/Hy73fZ4PO12O5PJQEPCjZ5Op+12m7Bxt9sN + hUKPHz/+/PPPDw8PDw8P4/H4rVu3CAZTZg2JSwKr/NBut8nSKKVKpdL9+/c/+eST4XCYzWZfeukl + l8t148YNMBJl7oFAQBCIgC68pVar9ejRo7/92781DKPf77/zzjvpdFoqfZXVFgNnzuPxEGCmVhvH + +osvvtja2trf33e73SsrK//lv/wXBk8IWYqhKRRmDaPRKNSX4XD48ccfP336dG9vb35+fnFx0eVy + vfbaaxQcMyTpzi71BnS3QItpZ2fnb//2b5vNZigUajQav/jFL7LZrHC9cIIltg3bR7y9arX65Zdf + Pn78+PDwMBgMHhwc/Mf/+B+lkwbjJy2GGwr7DtoPU3v27NmHH37Ybrf9fv/Vq1d9Pt/y8vJ0Om00 + GlLeDZfJ0PrwKEuy1mm1EoLI57ikvh/CHhPp9/v1ej0YDD558mR3d/f3v//93t5eIBBYX1/3+Xz5 + fB5PVCqmlFUmoSyHXiDc48ePP/zwQ7hYkUjkL/7iL1ZXV8kdGYYh3r/kMWBGEb+fTCZbW1u/+tWv + OP/r6+tvv/02XnIwGKzX6/QVER4Xa0KleLfbrdVqX3zxxcOHDzc2NpRSa2trDocjkUjUajXZLEkb + mlbTxsFg0Gw2TdN0u93b29t3797lNCaTybfffvvmzZuGYeDi93q9ZDIp8tPKAv8kx3hh//qv/9rl + cpXL5bfffrvZbL7xxhty4GesNjhCQmu1WtyBr4WHDx9++eWX+/v77XZ7cXHxL//yL7rGF1cAACAA + SURBVIPBIBw8EQlwaOJsjKHf77M1z549++ijj3h/M5lMr9d755132u32YDAIBoNU8ws3ku8BxDmI + dzSbzb/6q78ajUaVSuVnP/vZ7Ozs7du3wVqAEF5YDhUnQaiY/X5/NBq9//77n376qdvtjsViiUQi + lUqJyjMKeyTuuJCyH8qT+BIDZYGp+GZjlTjtYH4p8rHNNttss+27Zva38/fP9KC4YXX/gMKk62VN + rP6A7Xa70WjgrPOHGa8ODMMf78PDw2+//fbLL7/c3NwcjUavv/56Op2GCea02h2qi5rBUX98fHz8 + 1VdfcXkul2s0Gi+//DJaZHDop1aXQKfVmAL8o6zWGX/913/9wQcf9Hq9lZWV4XCYyWSKxeILqCDR + aDQUCjkcjk6ns7u7+/nnn7/33nvNZjMcDufz+Ww2C/LBR5E2fOeXcTgcPnny5L333rt37x6l4X/6 + p3/6p3/6p7lcTmntNbjE5XKhv9xqtaAeUU//+PHj//E//ke5XL569epPfvKTQqHw2muvhUKhRCJh + WnZm7+DImaZZKpW+/PLLv/u7v9vf3zcMI5FILC8vU5pMoL3VauHU6okgZbWhPD4+fvDgwXvvvXf/ + /v1oNIoTnE6n8R3lcUJJEqW10WhUq9UGg8Fnn332u9/9rlQqJRKJ0WiUz+fv3LmjlCLmrSxtYqU1 + KCSrI54xYECvOTlvjGdq9SrBo22327/5zW8+/PDDjY2NWCzWaDTQfGu1WtxcBzCG1c2dTRkMBpy6 + 3/72t5VKJRAI5PP5lZWVW7duCX1L+GNn3hfDMI6Pj09OTu7evfs3f/M3tVptPB7/m3/zbzKZTCKR + kAyPfnT19pQUetXr9aOjo1/96ldPnjyhgGR+fh4KnN58xtDUfuE3osh3cnLyxRdf/O///b/RNLtx + 40YymfyTP/kT+JZkOJWGfAytRZJpmpVK5dGjR3//939fqVQ6nU632/3FL36B2p6k6WTddByFltrp + 6ek333xz9+7dX//610qpV199lagBAOn8y6Lv42AwODo6unfv3m9+85v9/f3BYJDJZP7bf/tvjUaD + tR1aJmlb3nfJz0yn0ydPnty9e3d3d/f4+LjVat25cyeZTBJiuDDLx46QkyTl+Mknn/zDP/yD0+lc + XV39kz/5E8mzBYNBSpuUUtLsknAAURVuyEFiqQmRiJCGnnq6bB1ss80222z717X/N13qbPv/zSTf + Ir+B1wEhvt1uEwQlWknGgOwHcEX+NhOPpCwBOlMsFisUCrFYDJaRy+WikgRhJX0M4gyZpgnxXShP + hmHguCulpHsdUW2uFWIYvxR/JZvNxuNx6j1isVgymaS85LJ1oGAGbpvP54OVhOtJdUooFBIlVmEi + 6a4kgwEIJRKJQqGQSqWgNon3TJhWisi5MwVC0H7okENFPtJSJDcIA5dKJR1F6DDm6OgI/EComC2I + RqPK6vvOzYPBIDkfWTRldS9xWM0Qg8FgNBqlfIJ1i8fjoigwtdo7yr5TLESpDDwrYC0JH0iDHBvp + 0yLgwePxcMnEarzYbrebzaYEsF98dHFecfGpXJqdnc1ms6FQiC0Lh8PhcDgWi020vof6lolgNysj + C8JqUMqCIoX+juhggKPIcxOJBPkcwzBQnONMOiwZcWmbyDYB6gxL+IuSEvQDkByAwXV6eqoDV90j + Pzo6ohkL6QJqV9LpNOwyRIQBISQQqFFxaErQzIK6kVQqFY/Hw+FwJBKJRqMkFXUAo/+slPJ4PIFA + gAfx1rDsENvIawHMLttEiqwokAuHw9FoFKk6qGi8O6ynaSmMcSEMQDlICHjwtvp8vmw2i2r2GcAv + xjqwv2gP8m1GBiYWi0EZ7fV6fA0KfpPL2SAqo/j2oPpLEmU6WhbY/0+eattss8022/5VzM7AfM+M + ALbQxJXlHsFOKZVKT548Abcoq9nL0dERrq1hySWTkRCKDqXb6+vrnU4nFotVKpVUKiUdOWZmZkQo + Vv8zj59BGYnH46Go2u12F4tF3ClEnAi+4mieSQtwE9ziYDCYyWS8Xm86nQ6Hw3jGL1ATIiIOiykU + CmWz2atXr1K5HovFKNnHr5Vm3rJWEpaeTCYQpWKxWDqdrtVqPp8vmUyaz1d96BpichPEmoBPxWKx + WCxCf5qbm8tms8AwqSERR1buTKlPLBZzuVzZbJYKBPRz6Z/IwkqlDeQxfQByw0AgkEwmcccRd4Je + JY/Wt8zQmnUqpTweTzKZXFxcRJAgn88Hg0GlFA40XuOFcWhxdiEmseYvoJDxdFCBjD8SiTidzkQi + USwW8d0Nw+h2u5QMnS/DUBZc59i43e5EInHlypVkMok3D56cWm3d9UcL6uMDJycnQPeFhQW/3z8Y + DCKRyHA4DIfDnU6HcnmllWwxNcZDCVMymSwUCul0Gh2IhYWFXC6XTqc5MIJhzpw6EbYmZbS8vBwO + h8nMoPwLaASiQBOV9RcOFSCZ08JZAkdJ0xiJcQhQJ4Mhi+N0OsPhcCaTYevn5uYATuFwmDDEZZt4 + cnJSrVap7+JNMU0zl8uxg7x3pmlK+ZDSgKtppbOQOI/FYvPz85y6QCDQaDSgeF2YgZFN5KWmRdLq + 6ur8/PzVq1cRN+OAIQLB4wjQjMdjivup0KvX6+PxGNkSmorqNXLK4is6tRaxttlmm222fdfMBjDf + MxMXSmmEFq/XS2OWUqlUKpXC4bBU3O7t7dXrdb/fH4lEILjDTZcQI3/sw+Hw6urqzMzM8vJyqVRC + GZm/6LoKFiZgYDqdEhBdXl7+5S9/efv27Wq1Gg6H8cJFjGiq6Qg7rHaBOFK4yC6X6z/8h/9w9epV + 6onX1tZov/iCjtrIWHFtKpW6du2aUoqinevXr+dyuZmZmX6/j6ia7vErzasDnq2urjocjkqlsrOz + E4lEisXi4uIinRaFgqLXA/BQElxKqXg8fvv27Xw+32g0/H7//Px8Pp9XSvV6PdCR7pAJo6bT6cCv + o20f7Cy/37+4uIhCLuQWXYhJbsLPFCBduXIlGAzOz88fHx8Til5YWFBK6dfqT5cybvzUeDz+yiuv + RKNRmmksLi7iE7Mv4EOuldp6fj+ZTLa3t58+fdrr9QqFAs1Ypppk1hkTKCVPp/DjzTffXF5erlar + Lpdrbm5udXWVYi2Ic7qCs7LgrjiygUDg5ZdfRsKbhOHy8jJVEKJgZmrsKXlxyJiR7eFd6HQ68/Pz + S0tLqGOJFLgOWkizcGfIfmtra8lk8ujoaDKZJBKJ2dnZ8XiMtJeOvnTrdrvoLni93tdeey2dTtN7 + Xil17do1cDuolfdXUCvvy9SyQqFgGIbX6+10OqPRaGlpaW5uLpfLHRwcTC0BsTOPlnzOZDKJRqPX + r1+PxWJUmiWTyStXrnDqaEN04SYqpciPLS4u/uxnP4tGo81mczQaCRCiKy6vlbw1U6vhpkBxpdT6 + +vo777zDszjzfr9fcM4ZDGNagmzj8ZjozOzs7M9//vNCoZDL5RKJRCwW42MEFMjVcNKgkpZKpY2N + DYhzsViMiq/xeExj0EKhQOJITrgNXWyzzTbbvuNmA5jvqxHM5ud4PJ5Kper1eq1WazQajUaDvMd0 + OkVci+7XyuIgwVMn5g3vHJQyHA6XlpZqtVo+n3e5XLVardlsttvt09NTHnTm77ppmru7u3SZhMKE + PADsI2pFyBcR0hbPBkMBrNVqeb3eK1euJBIJHK9AIEBlc7VavWz6+ENEbd1u9/z8fDKZhFEWiUQC + gQBV/tQSSFDZfJ5CNplMUB0oFAo4Q4TD+/0+d4Y0L9BF4rLU91MLoZRaWFiIRqNIIaEhRvnNmQpg + QS+4ntPptNvtulyudDp98+ZNSvM9Ho9o+zIdvYmN0rIog8GgWq0iaxsOh4nlUzlDKwwWUwLJpkag + ghmIusDCwgIlQ+QfTNNsNpvNZlNwJg6lVMIAnHZ3dz/77LMHDx7A+5I1uWy/5KxyDChD9/l8mUwm + nU5DvvJ6vZPJpF6vn5ycnM/A8APbgQj4aDSan5+n3STeZzAY7HQ67XabyZ5p+GhYXWiYu9frDQaD + KysrrJscG8TE9QA8K4A6GdOvVquBQACWYyaTIccYCoUGg4F4z3JydOOfSPJks9loNIriGd1RgDeC + lHq9HgkxPZM2Go2Yo9/vv3Hjhp7xaDQarVaL0nOdXIcTz2vI1geDwaWlpUKhUKlUIPUhQ0xL1jMd + MHWrVCrHx8ezs7PBYPDatWvcmY43qMlJ/kq6xEjuSyklXwuhUGh9fZ1SKMhsnU5HaRTTM/vOInBs + lFKxWOzatWuLi4uoXKBGqJTy+/2091GacDbNT2niGQgEBoNBo9GQMv10Op1IJGiZKoRJmzxmm222 + 2fYdNxvAfC8NTxofmt4I//bf/tu1tTUkWXH+iCm+995729vbQh6TgK7b7c5kMo1GYzQalUolqimq + 1SrAQFmdvxuNxmWdQHCqcKdMq7Mk/x2Px9Fo9PT0tNlsSh963RcRij890aXvB54TZTyhUOhM7Y1u + XF4ul7e2toRxBFZhOoZhcGev1ysUOD0Dg3dOM4pWq+V2u2u1GogCcSRUlQ1LQFZWHueeLMHe3h4h + fHj/uOb9fj8UCh0cHJBGEPihe+H9fv/4+LhSqUQiEZ/PB7ycTqf1ep1OlOVymeA6FcZSVy2OLCrA + JAqQdep0OlxCKxL+SWLhXE6VgmmatVptc3NTKVWv13EBcS57vV6tVqtUKkopuqHrU5hOp3TY/Id/ + +IevvvqqVqu9/vrrlGG82OcTvI0vS891j8dzeHjo8/kg7UCia7VatVpN+u0oDXZyboHHu7u7nFiE + rUyrcMXv95fLZYclgoxLqldfAGB2dnYajUYkEiFL1ul0AH4OhwPYzFEUjxZzuVx+v384HB4dHfl8 + Pkk4kHxoNptsq9frTSaTUo6in/xGo1GtVvf397e2tlqtFq+w2+0mFWAYxvHxsWRgdP1r0TQHRBFu + oPKN95rKn0ajAfpCtEMqYchQOZ1OBNPL5TJfBQ6r7B4JwePjYwqxLrPpdFqpVDY3N6F7eTweDl4g + EGi1Wiwdb58AeDKWbEer1UJ9gTABWtiEMOB/mpbpDwUTimY6KLderxuGgZz61NJ8m5mZSSQSiBDC + muMq6KyxWOz27dtwZXltEV5fWVnJZDLBYNBhCZ3LZG0kY5ttttn23TQbwHzPDP9VuCUgmVgsRk0t + vhRxVtzER48elUolxKyk0lqUiGhcLVXggnMIUtIlPRKJpFIpLjzjiCulTk9PT05OTNPEz4bYA9Gc + dn4U6eIOikMjRdIEs8fjMR8gcDuZTIAuUnx/3vx+v/TiaLfbeIH8EzLBhLopOhfYdmYlhbEzmUzi + 8TiUtnq9DqRB5EAnMhGahaMSDocDgcBkMqlWqxIF5/M4pnix+hNl3QzDoIsiD8KlljwV6MjhcEDX + QdhKZ8Hpkfh6vQ4PiuJsYNXJyQnZFeNc+1HQKY6d9PPRC6/JPlHlbBiGx+PB1aPbSaVS+eqrr+7f + v//BBx/s7u5CWqMxorL6pfyTZ5hHV6tV0zSDwSBkP6DXzMwMsBkdCIGaOpPNsMSgGaTSBJ3JiYGF + QPI6ZFUWGQ8mEmVUyirHZwVAMmyfUmowGOD3K6XoaxQOh6vVKslJWTpxkakUvyz9opSi1gVVX5IJ + 0+nU5/PRkhJHXyklSmj6jjMSHiFpExih7BrvoGA2pSF2hBmQYCaVgfter9fRQuDVMwyDLq6X7R2V + 96SAqKPjFHW7Xch7Ho9HqqHApRxCfkmB1unpqc/n43Ujzajv7Jkn8hvhQ/KykOnyeDzQYgHeAHjm + zpnkO4GZorFx5cqVW7duoQMBxOp2u9lslgKeM6fXBjC22Wabbd9Zc/73//7f/7XHYNu/wAyrpJj/ + dVrt6nw+XyQSwasWFa9YLPbkyZOHDx8uLS3RXwV3odvtnpyc/PrXv37//fdnZmZSqRTyWc1mE58P + T5Tq8NnZ2XQ6HY/HTUurR+LxACeQgNNqVze12o07HI5UKlUoFLLZbCwWc1gt7QkY45QjhSyOi3DQ + iZ0nk0nkZRF0NqxumHhphUKBToUEmJXVIUf0zfx+/9LS0sLCAvXQAkUcDsdoNAqFQjJmeuMwBvz+ + fr+/sLCwsLBAsQSEIlYA79M0TQSslFI4ncK2gpUH8FtdXUVhTLaP/AzkNMMSCtNlBsCZlCnn83lp + cC64hRqGSCTS6/UoPmHi3W6XG6IuVSwWZ2dnEe2Vm1Nm7XK5UG3C7+T30PnoMBOJRDgzCFuxrSRe + /uqv/upXv/rV5ubmzMzMW2+9devWrcXFxUgkQp/Ky84tZSqmVYBBBYJU1LDjrDAdXWZnZ4vFYiKR + EOk8Ps/HIK3xxDPV+b1eL5FIXL16NZFIBAKBM4rAID2Px0NEH5edcICkmIRehVQXc+fMDIdDKuaZ + iKA+wUIulyuZTEYikfX19WAwKKLVkqNrtVoodEu+UVlpEJBAKBSimgWBB3np8O9JCQIsiVBIZQuz + M01zZWVlaWkJsTgJc3AH9MeES8bSSZrC6XQmk8lUKpXL5WBCiiQAi8OL4Pf7BakC9pgFZDBqwKLR + KCdTgCU7C0lSeokqC1LSmwVBi5WVlXg8Lsp4yspYck9iIiw4sJ8T0uv1xuPx3bt3/8//+T/UU4XD + YdrgHBwcfPnll+Tu7ty5Q4ldNBqFATg7O4u42XnsbaMX22yzzbbvrNkZmB+ITa3uHGfqd4l9gnAQ + R2q32/fv33/69Om9e/c6nU4wGMzlchQAkLUQ9vx0OvV4PDg90oOC23o8nkgkAjfswvHg5cDx4JNK + 05LCgZ5Op9FolCdelmwBlclTZHaRSARhaJ0wI6rN/C9+Hhkh0yoxJx6M4BVSaRc+d2FhgWuR65Wn + c/9QKJTP5/1+PzQYt9tNNF0C3kJbOh/P5m7UC3W7XXxBBow4kmmVm3u9XlGCFu/K7XbjR4bDYTSF + Lxw/H4tGozxd54B5PJ5EIgHzSpar1+tRBsA5wRlFmWo0Gu3u7n799deffPLJ559/vr297XK5UH5b + W1tbW1sjW/Liln9CpsL7j0QiJAou23c0tYFb/GbG6mpPhQMlFvySGnGn1QEJCEduRz3PGwRvU2V0 + 4XMJw7P1cuwZvNvtBvQiGwB2hT+pJzpAxaFQCI9cn75SKp/Pu91uyqvoJEsuRcp4OLTBYPBMJ5yZ + mRmezr5TMXLegsEgZVRgfv3pKA673W5UmzmizWYTqh7KCpxtEQrXtw+4RcHbhc9Fodvn8wFQ9W8h + hu1wOHhlyL1ceB++LgTaTS0tNU5sMBjkwBtWqyukL+gdVK1Wp9Pp1tbWb37zm6OjozfffBMsZ1rF + /SQzRRGRKMmFw7DNNttss+07bjaA+YGYAI8zBrsGAZ9ms3lycoIz+u677/Z6vcXFxVQqNTs7S3uH + TCbz4iC6UKqEfX6ZQ4M3Y1rF3zh5wsgnCUAvCNz9y1grvV5PenrI5eR2Lvy83nrctMruleXjshpQ + X1KpFEHuyyarNL0yAQAEgGdmZmggI8yWy8aPIBVPV1Z6x+VyEYY/b/1+XyLT8oMkK5S10ShoccML + 70N2QnIXU6tTCisgl4sh7iSJLEl3ULFw7969999//8MPP3z06BEpr2Aw6Pf7c7lcJpPhDjjButuq + m16MAS9OEOZl68BGi6Ix/0uRAx1CJa3E8SbYz+WyesBCwBKpBlz82dnZC5+rCyg7LMEDUmTkEPSs + iHFOJ02McyJzF0ecM48IsrJyhnDAzuy7spJOSpNKp2eL0gDtGSNqoCy2lbKokryJtHCRDxuGsbi4 + +IL90vNjIGrk/i5cN1Hx0jN+etQAmpakMS+8D0w80xKaMy3JChLCqAIy99FoNBqNAoGAvJiVSqVY + LLpcrm+//fbg4ADSo8vlQtRB6oUEKMqtLju0ttlmm222fWfNBjA/ENMdGh0qhMPhXC6HvNLjx48f + PHjw1Vdf3bt3b3t7O5vN0h9GwqW0FwSf4BQKCUSXw5JEBAmQC8dz3kExntf2OZO9uew+uiCSfrlw + YAyryB6/RH+u7l9KlkP/V3pEXPjcy/IJekxdqGvK6ksjdxYn8kwM3rAa7+gcJIFYUgv0z7fLxq/X + fytr+vrvz5g0BTKtzjyIvN2/f/+bb7755JNPvv7668PDQ+F6pVKpxcXFhYWFdDqtrDqNFyRh9POp + b/1l45es1xmjTkb38iXvcf7DU6sRkA7z+N9//r7LzY2LxKlAIHoSBjuTvtAv1N13+f1l873M/jnj + /+f45Yx/qjWN4X8Zj0zEsCQQ/kXvyxmAp2/TP7nv5yMyDk3KQiklDViVpRMQDoeXlpbW1tY+//zz + Bw8eoOchzZ1IeXEJMF726J9TuGWbbbbZZtt3ymwA80MzCfcS+KTBn9PpLJVKDx48uHv37hdffHFw + cEDLkWvXruVyOYo6qAcg8Iyv5rSUo0gC6FQcQyveuHAYMHwkmCpASHfcpW5hMplc5ljr05F8AipM + AglkSEopenQYVtWBXCiRVwmf43ReNn4i4mLKQgKUhuN+6eUNTkt194zXyLoZVgMWGYDTavEpLiwe + 23kghE2f7ysvdlkkmzyJTFPC2DqEk7SYFEIwNag1h4eHW1tbd+/e/eyzzz755JODgwPJpzmdzvn5 + +fX19Vwu5/F4kErz+XwEvC8cjxwYHbC9eN/1a2W+cPZ0aTW5odxfMifj8ZjuIrKYHD9d8uGMCSCR + m3N+pLWL7uWbpsn4BcDoA9bPm8OSMut0Ouw7i8x2y6JdiJEu3K8XZ64MTbxBzok+JFkQ0ptnpjax + ehzJ7ydWu/rLfH36CMm+6CmOqSURprQjfdl9zgB7ZsEd9P2V2RmGAQuRjU6lUmtra48fPx4MBk+e + PKlWq51O58qVK+FwOJFIkP6iyovHTS/pmWObbbbZZtt33GwA88Mx0yoUntF6YM/Ozh4dHVUqlb29 + vcePH29tbZVKJWgwxWKRzn26R0X/EN2bMa3yet3LwZk43+pEjE8KseQMbDAtmSb5zWWceOkHIqX5 + kntRz7cpZPpMTbx28XXq9bqMSqZgavJWZ0yKBPT5kuHBMxZIBhTRKUCy+EqjsTEwUcg1njdxTKnX + P+/7nnHp5POiLHfeznixIjwl1yoL3TkcDmngQ1atVCp98cUX9+7d++yzz7a3t+krzzrQQSibzcLC + Qi8OxmC32xW5gvPraWoFQv/kvusfFtw7mUzQXJYbygLqTRLllw6Ho1arSQpR1lkc9PMmjrWw/rgc + YHzm0Mr4zXPaWQ6HQ06pBAVMS2xAEKm+HZftu+yRnMMXrxsDNqxOR2ICA2RSeu2Zvi+SfSXLKvv7 + AnzFVfDNZK+VUgAkKU7TH3HZ+su1hhXdmE6nCGboQEhwmmnpdqAleP369Xv37j148GAwGHCMy+Xy + 4uIiFFk59rLLL0CMttlmm222fWfNBjA/HMOjEg+s3W6jg9zr9Z4+ffrNN988fvyYmvVoNOpwOGgc + +fTp0+3tbdM0fT7fcDiUanulUUrOP0h+vix4ieNiPh/vn2qCUfodcD4uvA+Fxbojrp6vE1Cas2sY + BvqqZ4Ynnq4OYF5sZz4j95RnCUZieETodfQi20FlCFH2wWAAyrpshR1arYvMV2k7Ik8RD/XC8Z9x + y8TxdWitNmTwDoej0WhEo9F0Ok2FxsnJCU0JS6VSu92mBhr3EXW1QqEQj8d3dnZ2d3cDgQBFHVKz + cd4mVkMVmS/PvWz8hlWOL+44/qvD4goqTdHBtKC7Opey06HyZef5/NI5rLIxuRwgbZxLsk018pVu + pLO4SsYvb4R5Link9/slKCDDOPO488+9cN2Udq5MLcOmnn+DJMSgQ+gz0zcsthsA5gUFcjpQ0Z/O + Okyt2hh9+y40+X4QRMfv9T5I+g5SCeN0Or1eb6PRCAaDsVgsk8mwZZPJ5ODgoN1ukyFEr4wSMukQ + euH22WabbbbZ9h03G8D8MK3X652cnJycnLz77rsE0avVKgwf/t6n0+lIJFKv17/99lsaxSCOhASw + 7jfzv5LZmGql+U6rAfaFJo4L1eQSQMVpJl6re6gX3sR4nhGkrAqKM5FvPTuhnncNhXKmR+7lzpf5 + UjJZZYWrcb8QFSBOjKKxjFDQhakZxCrxIFkuSCy68y3jEeqdnmnBd9Qj6IaWXLrMdKByIR7T/8nv + 9xcKhZdeeunKlStgFZiHgUDg22+/pQCGaD2HwTCMWq326NGj7e1tenrQpeQyVTE9TC6iAi/YdxS6 + iOhL7QRXsSDiEHNDp2XsiySsdFdY3GvH5ayhwWCgU6H0p+vnX5+Xji3l91AN9ePBOaR3kLwIMKxA + vxeCVYABWUfzeTbaheOfWlreppaBPDNC00pDTadTITFOLDlmpu92uwVsK4uZSWXUhc8FqAtWd2qi + hTplVFkv8mUUPmIoTq0LJ+OhwYueRmOmtBBVStET5urVq0tLS/1+f2VlpVwu09GoUqn0+/1ms7m9 + vf3LX/7S7XYXi0WUPC4cg2222Wabbd99swHMD8pwvGhbubm5+dFHHz18+PB3v/udaUkM88c+HA7f + vn2bXpA7Ozv3799Hc3ZmZsbj8dCNTlRu6/V6JpOhrQT+Ir7ReDymH1ypVIpGo7lcjlYMdCh3OBz0 + dmi32+VyORqNZrNZvHMUYCm8aTab4/EY/Suv1zudTnGS8Jx2d3d7vV6xWAwEAqgLMAW3291oNDwe + z+npab/fz+fzdATH9aFEG4esVqsppdLpNKUdyipKYXgsVCQSoR2NadWK4BDv7++LhjILgoZSq9VK + JpPVavXg4CCRSKDMi/6Bz+ejGYvH4zk5OcEbjsfjTqez0+ngMIkC79HR0XQ6jcViNIs0rPSRy+Vi + iWiImU6nO50OmAd/dDAY0MMRPy+RSOAB49X5fL5Wq8UdREVa1K5wqUej0f7+fjqd5gPdbrfT6cTj + 8aOjo/F4HIlEyuVypVIJh8PErSmYZnkTicT6+noymSyXy7VazeVydbvdbrcbwVr4kAAAIABJREFU + iUSI008mk8FgMBwOkQPGtXU6neBnGt4rpdCPwoFmduzsYDA4PDz0+XyJRGJmZgaPGeFdp9OJiu7x + 8XEoFEqlUlKxw6L1ej2v10vlw+zsLEeRZQE+jcdjPjYcDrPZLDJrdAjh1UDb2jRNUSLmiVw7GAzK + 5XI4HE6n0zxLVOn6/b7H42k0Gvv7+7lcDmEMHdMqpUzTPDo6Ojo6ymazdM6hKYppEeSUUgwvEomw + nrxBqJ8xL9rCsh0MXgTudnZ2TNNkW1kZMLbb7aZ26OjoyOPx0NOJWAbnYTAY+P3+brd7dHREochw + OAR48C5PJpN+v8+6xeNxcm4su2ma8A8bjQavnt/vD4fDnU6Hmi7Sd6VSiXlNp1PUsVm6fr9P+cr+ + /r7D4QiHw7S8FIzHg3q9Hi13Y7EYy+L1evn2ICiws7NzeHgYDAZv3LixsrJimuYHH3wg4ZXpdLq/ + v39ychKJRPb29v7zf/7PwWDQ5/Mh6SZfDrbZZptttn1fzP7W/oGYnkmo1+uPHj366KOP3n///f39 + fWKinU4H/aXRaFQsFtfX1+fn5/EDCLj6/X4cI/GKHA4HJRnhcHhtbY0Quw5g6P+Nsu3c3BwFHsTj + HQ4HjqBAiPn5eQK0Ug/dbrdPT0/hz8TjcTrcC4BxOBw+n293d7dYLCaTSdOiP4FtiPR7PJ5ut1ss + FvH2pLIZn7XdbhM/ZqZ6s0vTNOn+fnJykkqlEomEdKPH3ex0OtPplIYYePmSxul0OjSUGAwGyLj9 + f+x9WZMb13n26caO7sa+Y4CZ4cxwNq4SKXmLrJQqlVwkce5ym1+Xi1wkNymX4yo5rs+2Fsvctxly + 9g0DYLA2lkYD3f1dPNVvHWJIWZIVk9Sc54I1BBqnz9an3+ddSQJGmQs04vP5ut1uPp9PpVIQ7BBI + jZKOqBlqWVaxWESJDMaVG+90OmjN7/eXy2VIbGQwGQwGnU4HSRfg0AUxFKOGGH18fCzLsqIo0WiU + opaRC3g8Hrfb7eFwmM/nNU1DMRxJkjRNMwzj7Ozs7Oxsa2ur0+mUy+XhcHhychIOh//mb/5md3d3 + b28vm83Ozc0hOiKXy2FRhsMhanQ4jkPdUxQF5Vywl8C4BoMB4hk0TfP5fCgEhMmHRKvrum3bqqpC + EMcqo9terxeMGtXTFxcXMa7xeIx9izy5oVCo2+0Wi0VN02DBIHsXNPG9Xi8YDCIDAeYWojYIBixm + yDRNSZPBMTqdjuM4mqbNzs5CEMegZFkGQ6jX65ZlZbPZ2dlZLJbMJWlgjB0cHIA7FQoFUEqMy3Ec + MOpWqzUcDtPpdCaTwZ4fDAbIEXd6etrpdMCsMHvoPLY0bjQajZB1EN5f6DZoIai71+vNZrN43nmS + EAqFjo+PB4NBJpPB+tK8geH0ej0w1Ww2G4/H0TJscchQDL7nOE4sFksmk0gjgY4hvKrb7aI+ZiQS + QbfRsWAwOBqN6HHAKUTmLzwyOGdQf4kx5vP5AoFAv9/3er29Xg/MyufzhcPhTCYzPz/v8/kePXpU + r9fRQwx/NBr96U9/arValy5dCgQCc3NzWBrBXgQEBATeOYiD+wcC3hvK4/HE4/Fr166FQqH79+/n + 8/m9vb1+vw9lqsfjKZfLH3zwQSqV6vf7qF8ZDAZjsRjqooDAgGwgaVI8HgcHIM0oeMhoNDo7O6P6 + 2ZBpyHrT7XbhmcYYK5VKly9fJicuiLP1ep1kWVTSZIyBwFhuafler1culyFRMTcfEfgArBC6rs/O + zuZyOfiTwN8Gt6jX67DbLC4uojCf7IZW44fdbleW5ZmZmZmZGQijjDHYGY6Pj8GsUPMekSHQtff7 + fZhlIEbPzs6i1CZEdqoUbts2dO3ZbBamG0jDkLQwtPF4PD8/T7HFEBYRQ9/r9cLhsKqqmDfIuJCk + e73e2dkZBH3YvvAVOZudnZ3hj1gslkqlMLHkxNXv9w8PD9vtdqFQyGQyMODIbow4DDLD4fD4+Jh4 + 5uzs7D/90z/9v//3/xqNxuLi4qVLl3q9nsfjQUVzr9eLMjIQ/ZvNJpwSY7FYoVBQFMV2K9bLstxq + tSC1JxKJcDg8MzODu5ORsFarGYYRj8cLhQIMg5htUti/ePGi1WqVSqX19XXyEsSO7ff7WCZFUWZn + Z5PJJO4OyjqZTHRdbzQaMNYVi0WK3oGlBS5VSOQVj8fBvsgBjDF2enra6/U0TVtYWKA69/BVo1xY + pmmm02kIx1O+auhDr9fL5XIrKyvkDgfbZr/fb7fboVAIVsfZ2VlMCww14BhnZ2eKokQikXQ6jQ/x + LGBLY1eXy2U8yEjJDZ4wHo87nc5gMJBluVQqwcJju2FFjDGMtFarZbPZ9fV1/jyxbXswGLRaLV3X + 2+327OxsoVCQZRnUCxTx9PQUCghJkkDPQLD5GYAlVtO0TCYDcgUTFrgNdt2lS5dQYojc3mBKPTs7 + syxLVdXFxUUYcGDC9fl87Xb77Oys2+36/X5FUWB5y2Qyn3766dOnT2FYw5Ny9erVubm51dXVmZmZ + RCKBkqCUWuD/7nAWEBAQEPjeIQjMDwekiI1EIolE4vr168Ph8Ne//vWnn35K8qjH41FVNZlMLi8v + h0KhWq0GRzJoZFHeHgQGL34IdoqiwE2LQvOhdoVXSb/fV1UVjlKoIwm1tN/v7/f73W5X13V0CWpd + dAOJm3Vdh7Y7n89HIhHIJRDITNNsNBpHR0cwMkBAhySKayDaWpYVi8VQ15IC5fE3FPOoWYmym2TD + geAC2TESiaAYDpVFB8GIRCL4NplMplIphAF4vV7YZKrVajAYVBQlFovFYjE4j6E/EOg1TWu1Wul0 + OpvNImIYdgZIXShPIUkSnOvo1owx0zRxL1A7CKOSW4gDw4e5YDweZzKZQqEA5sP7SjWbzWAwGI1G + E4mEpmmYN+jCw+Fwo9Hw+/0YeCKRgO8W2J2maePxeH9/v1argWJB2n7vvfdGo1Gj0bhy5UoikWi3 + 26PRCMYrzCQMAiRGw3cunU6DJEBKxr+NRmM8HoMZptNpSLqSGzsEXyxVVcEbIYhj3jCxJycnGFoy + maRkWcxlX4j+Mk0zGo3ChkOxJZCV0UlN07LZLIR4cGywLxgqZVnG1JEnGH47Ho/hwQVqRFIv9rNl + We12OxgMBoPBYrH4SgtMvV73er0wFMCP0XEcMA2w3G63i12BHBuWWx0Ikw9LF8YeDofxFOB5gRsY + hgbqRZm7yM0sFApBu5FKpaLRKMgeHMlUVcU2VhQlnU5TFA0ohK7rOF6GwyHoE9z5ZLeaimmag8EA + JBPPIx5D5to3arUaOg9SDQIDCxhiZpAOMRqNIsKe4qPG4zFm6ejoKBgMJhIJ9BxnEYbJGAuHwx6P + JxAIpFIpWN5wpFSrVcZYMpm8fPnyrVu3/v7v//727dsw5kiSRBoWAQEBAYF3C4LA/HDguAl8IO+O + x2Nd14fDYa1Wy+VyH330UaVSsSwrn88XCoVoNOr1eiGsw+aAGFnYWChnEWWpQsAxn1uMD5vGfyli + AfD5fDDayFy6YXYuAF1yCxEiDoQuhqkEMS3oFZEfOL0QlcIocAGkT9AnyLXwKaIxYlBkE2BueXiw + CxJ20XPqGD9whOtA6qJoewqGpnhlmhyKVqf+gHrJbj1QiICQJuHkgwhj6oDHLchDNIBXGNONpJeD + tpmbfoBoG3OrKKInCG6h9YVwr+u6z+eLRqPhcBixNO+9997CwoLP51tfX5ckaXZ2FpQDEVCYGZoE + WiN+FDZXjp2GQ1UFISVT3Dx/JdZFdjOz0eyhZcjWWF8SpqkQkN/vhwTvxqFIxO7IHAS7Fq0LbXia + PdlNpYCLadH5DWO7uctxF/yQ/kvcjLn5D3A9xk57mM9XJnE5lM8vKzWOGfO44fLgIdRVbEh+3tAO + di82sGVZcPhEl7AieNwQPUJbl7YidYB/2PFbNEirj2/hL0pL5nNBJJyYEh0RMpf2mrYEtgH+AOWQ + 3WQGWA6PW2wUfdM0bXFxcXV1FYMql8ter3dzc/PWrVtwrYSF+TsetQICAgICbxqCwPxwwJf3tm27 + 0Whsbm7euXPn6Ojo9u3b8Xj8q6++Mk1zfX39ypUrRFGkl2ssAoxLVAUvHeRfpgASxthoNDIMg5Tf + JKihHbimQLAg8ct2i7fwkhm1RoVKILJAp0tCJFT7FMdic0UM+caJJvG0Sn65+gSJlcylBDQojAUW + A5Ko+A7zLUCild3EBuzlRFXo22g0gguQw6WUxcAhFNKSUU+oZX4s5D+G60nMNQzDNE1yjUM7VM2Q + cbnI8FsKOudvKkkSzGiymz/XsiyQmVAotLCwkMlkOp1OJpNJpVK2bW9vbyNnHTqJNrHixAlpzvkd + NSWOk4wuc+nUqFf8nBB7hJcX5bXDkKllfmawghCjqR3m8mfDMAzDILcxx3HAfGjFqT/YzPiQ5GPG + RZ2hG3QXXENmpSkCA7kcd7Hc1NKWm2FvalvyoU381PGPp82VmrG5DHXSy9m6aG6JdU/NKvEE3srK + Pxc0pTKneqA+TH3LLzr/ONDDSOQED7Xsgj/QplbBwxXzYW6VGMMwYL2c+kkkEllcXEQkWC6Xu3Ll + ys7Ozh/+8IcnT57Mzc1dvXoVBYvgnSggICAg8M5BEJgfIPA6R5bbdrudTqdv3bqVSCQg6a6srCDI + derFT6LMlMSJv0OhELS29CFvVSAZhWQsy62bYXPl5xhXH8ZxK2xAeFIURVVVOLo4jgPNPR/AgN9C + ywu1LgQavnae7AYNS5IEhTQ6Cf8fmhmSlkipzPMK+eV4euZyJ8cNd3a4nFFeN+UrBFAKiiDBEWkA + UOUDg3UcB8576ADPl9i5XLc0LpLOaTZwJeZNkiSq1OHxeMjxibYEDQ1RE9RD2y0SAmEaZgFknu33 + ++FweHFxMR6PI0BfkqR0Ol2tViH3YzuRqYFILPFJ0zThxUef0HAwFVTunQR6Esf5/UykyOfzwdEL + E459whhD33hZGWtNsT38ZubnDUmNcQ3E6POTRjYlohnMTSVHdJq5WgOipq/LQkYLh20MOjQcDmmB + eK7C3Hoy9Lx43JIyNCiLq/RKm4QfMo2C39tkwMQiUn48niWe5zC0NFhKWmvLTcFMfSAqhc2GJHKW + W+KGPyvIWIRf8S3wa0EOnPSsYTPzZxRdD/ve/Py8ruuKoszMzNy+fTuVSlWrVV3XHzx4kM1mL126 + NEWipo9RAQEBAYG3GILA/EBgGAa0iYig6Pf7Dx48+NWvfpVOp0ul0traWiKRsG07EAjEYrFwOAwi + wV5mKVPSG4ExNhwOIS3R9Uj6xFwJgBfOIFsw15rByy4Q9/mLIZHAnsOLUPQJOcZA3IG0RGIx0Qki + MJDwUJUCAxyNRvAmIrmKRCjqJImhkut5j/Bu2w2PnpKMEfOAQZFQRXYtGjhCfci7xnHtQkjYBdmO + 4sh5pT6kTJpYchKzXbclWgUEGxiGAbkNUUz4dooC4b40QIwRBAbZwHRdR/3y/f39yWSyuLi4tLQE + 5x/LskajEfwSEe1A+YVpHWlWHccZj8cwcYTDYd4aQz3HojOu4qTtJmCQOOsB/RAyOtYCs8oYQwg7 + yhTy48Ua4e607jZnY8S8YYORWE+b0+bsbCT948lCBzB1yErH2w2IaxEn4ekEc22YtIW8bm1H3tZn + cSVf6HN+bsH0+P3MMyV+hzCuUCwaQefpkRwOh1QRhR8g5QGjWbU5IyT5XxGBwQ+J8/D+n7gj2Czl + G6CJIjsnGpFeLsFJjwzOH8Yl1GauSx753dETje2dz+eDwWAymYxEIpcuXRoOh8vLywcHB61Wi/Lv + wZONshcKCAgICLwrEATmBwLy3PD5fPV6/cWLF8fHx9vb26urqz/5yU+QMfbatWuyLGuahkqFZCoh + OQC5pOhzsjNQHAhJeI5b8A5yBuKJJTc1EPmoDIdDSEJkgoA/FXzPJElC7RS0w16ung7BC6EOzI1f + h+4fAfeA7dY0xDxIrmcOUg4g2Bdq4CldOC8CIswdFWlQroRXdWP45KOFzyORCEgLUmbJrvMVhkbh + JeThRoE0oCWUOhYTjpYRBkN8ifeVwpyTlUbmgPEiAxsmgdTJvE4af3g8HqTqQvdwi+Fw2Ov1ELO+ + vb29tbWFKPxCobCwsMAYQybf0Wh0eno6HA5DoZBpmrCPoe4NHMmYSxcRZuPz+XANZg93J5uJ4zgo + ACK5ZjrGGH4IMTcYDGJCMEafmwocw0dSb1xJkfryy86EJIWTNYMYBf7mo19I4qeuYkUgRmMjkZcm + XK2Ib9OVspvLeEqqpl0HHgu6BbaJnM58Ux6uCiTILciVbduIoUebtht7Q9wSe4znyWiBmDa/c9AI + lgl2LZg9KRbL5up4kqHS4YrY8lwCkfToLVlRwPqw6BR55XCumGjTNE2cHojph6mNbJ64DFsF4XnI + c4ieTNncaA5DoRCifZBGPBgMXrp06Re/+MV//dd/ffrpp7dv356dnY3FYnQCsHM2OonT5ggICAgI + vG0QBOYHAohWeMH3er379+9vb28Xi8XFxcVCoRCPx8n7HOYXkmkELhTIkCW5Pl3MFZpRK6PRaOzu + 7hqGgdRtXq5EBq4n64eAwFsLIl0I+oeaYDKZFIvFubm5ra0tj8eTzWYLhQKRUsFYBAQEBN4hCALz + wwFC7b1e7/7+/pdffmkYxocffri2tjYzMwMfCSTznQowELhQIHMQtNdkbQgGg41Go9VqHR4eHh8f + R6PReDyOdLSMswbAtY8KnAsIvM2AGRYnnqZpsiyvrKwYhvHVV1/V6/Xr16+j5gxdTxxGkBkBAQGB + txyCwPxwIEnSYDA4PDy8f/9+tVotl8sfffTRzMyMpmm4QH45yY/ABQT52xAkN8ttvV5/9uxZpVKJ + RCKaptlugRSKwSCvQvK6ERB4O2GaJmVbpj0fCoVWVlYCgcDTp0/r9fr9+/dDodDly5dRLQo/FNRF + QEBA4J2AIDA/EMAjvNPpfPbZZ48ePUokEteuXVtdXdU0DRERFAnNXs5PKnChQEHkDpfqyrbtfr9/ + cnLy9OlTx3Fu3LhhGEa324WJhuKqJTf5gTDiCbzl4GNjkKcBtseZmRmfz7eysmJZ1r179/x+fz6f + FynIBAQEBN45CJX8DwS2bbfb7cPDw8ePH3c6natXr968eRPlCPnIb1wstIwXGchvRpkSJpPJYDDY + 29urVCr9fj+bzV6/fj2TyfAp4JyXswALAiPwlgPJHtjL2xVZRlKp1JUrVy5fvlypVDY2No6PjxH9 + BdMir9wRih4BAQGBtxaCwPxAMBwOHz9+/OjRIxR+ee+995aWlvgajm+6gwJvBSDYUbImZIprt9uP + Hj06OzvLZrPLy8vJZDIYDCLXE6USZlzZREGABd5y8BybzC/4bygUWl5evnbtWiwWa7Vad+7cefHi + BflGiqNSQEBA4J2AIDA/EPR6vSdPnmxtbTHGCoXC/Px8Op2GawTlC6YCdm+6swJvDOC0ZEtB1mNd + 13d3d/v9frFYLJfLjDHHcRRFYVzRT4qEIYOMgMBbC4crD8VvWpSuyufzCwsL5XLZcZwHDx48evSI + Ct3w21sQdQEBAYG3FoLAvGNAtQ0UhmOM2bbdarVM09zd3d3a2jo4OFhYWPjZz36Wy+WoOhtVI0E9 + k+83lJ+vmfDK+glUk44vuXAeU+UpvtWtefyFw/nOeGVnvqY/r7vydZ+8rp1vO28ej8cwjPF4LEmS + YRiqqqJe0NHRUaFQWFlZSSaTqqpalqXrOlpmbuQM9o/EFfH4huOS3NIrVOUGTX1NP183nyiPgzo2 + CNSmApT0Q9rhfyHR4n/Oj+X86L7tutND4XC1Jsfjsc/nw2Pi8/nG4/HUo4rf8sv9yvmZmkDmPoN8 + O1Pb5nWrdn6A5/0JJbdeJxqkYjtTNVVeOT9Tw/keibEkSVQiU+IqiqJeTSwWi0ajH3/8cTqd3tvb + Ozg4ePjwISo44YBljFFlHgEBAQGBtxAiiP8dAxU3wH9R9O3hw4f37t2r1Wqapi0tLS0uLsZiMcbY + ZDIR8akCPPr9fiwWUxQF5rizs7OdnZ1arVYsFtPptKqqFDwgIObhhwcU1vR4POl02jCMhYWFw8PD + w8PDL774QtO0WCwWDAZxJcj219NsAQEBAYE3BWGBeccA/x+owBFmzRi7f//+3bt3DcOYm5tbW1sr + l8t8+Y43Aulcrl4hDr4NGI1GENFQRv309HRvb+/k5GR5eblcLkejUa/XS1r2i7lkF3PUFwSyLMN2 + rarqzMzM1atXFxcXdV3//PPPnz9/3u/3GWNkYBQ7QUBAQOCthSAw7x4kScKL1uPxtNvtg4ODg4OD + arVaLBbff//9mZkZPuvOm+3neY+RN9gfAcaY1+vt9/umaQaDwW63e3BwgOKn8/Pz2WwWRf2mkixf + KLzOe+pN90vg+wF8IPG3z+crl8s3b94sFArVavXp06fPnz/Xdd2yrPF4bNu2yLYnICAg8NZC2Mff + MUwmE+jIGWM+n293d/ePf/xjvV6XJGltbe3999+Px+Pj8RgBMG9QAJ3yaBdS4FuCQCAwHA6DwWAy + mdzf3282m5FIJJfLJZNJRVFkWaZQJbFkF3z4P1Tg/MTixmKxK1eudDqdjY2Np0+fxmKxSCQyPz8v + MlUICAgIvOW4cBrWdx2IMUWGqMlksrGx8Zvf/GY4HJZKpcuXL5fL5XA4TH7bb4kFhv/kDfZHgLnB + zaFQyO/3V6vVWq2Wy+Vu3LgRDAaxbS44e3llIPvFnIofJPjUCH6/PxQK5fP5paWlpaWlVqt17969 + nZ0dwzAofYWAgICAwNsJcUa/Y4CU6fF4Tk9PHz9+vLW1dXx8nEqlPvroo7m5OVwDzwdUKnyDXSUI + +e/tAfI6NJvNk5MTSZKQcRvlyfmCp9g5Fzzjtti3PzzYti3LsmVZzM3gpyhKoVD45JNPstnsycnJ + gwcPXrx40ev1vt+saAICAgIC3y/eCgFX4JsDiVYZY4eHhw8ePDg7O/N6vXNzcz/72c8ymQyfXnk0 + Gr3Bfoog/rcTEN329/e3t7fhPzMzM+P3+2UOyITLLjyBEfjhAb61pmniQWCMeTyeSCTy0UcfXbp0 + aTQabW5uPnv2rNlsfn3adwEBAQGBN4t3OwaGXJm/7a+mrBN8YAnfIEpMfNv2UdFZkiRy5SKF37ft + 7WQygUacfLJRqUDXdV3X//d//9fj8fzkJz9ZX1/P5XKM4wmyLFM+0FdCerkYBZVmh4YSn/j9fsaY + bduRSCQUCmmahvIUqMUBgqSq6mQykWU5kUh4PB7btoPBINqxLCsWi6GcSCgUisfjIFeBQCAUCnm9 + 3l6v5/F4fD6fpmlo3zTNUCg0Go08Ho+maVjfYDCIuziO4/P58OFgMEgmkx6PJxAIIHcW8v/ato2L + x+NxOBxWFMXr9SqKomkavsXSTyYT0zQNw1AUBZfh21Ao1O/3ZVkOh8N+vz8QCITD4WAwCJk+HA5D + poEjCrUcCoUCgQAmX1GU4XCIn4fD4UAgoGmapmnj8RiNYPZs2w4EArIs4y74ChsmGAwOh8PRaOTz + +UKhUDgcxmripmih0+n4/X6fz+f3+1VVRcpXlGqRJKnVakmSlEqlVFVFtyVJmkwmqqp2u92vvvrK + tu1er7e8vPz+++9HIhGMi5YbPcTdVVWlrYL1nUwmo9EIz4uqqvF4fDgc+ny+YDCIbxVFQefD4TDS + eVuWhcTNsixrmgYHyGAwGI1G8TmeMkxCo9GQJAkNKooSDAZ9Ph8axBKHw+HRaKSqaiqVsizL5/Oh + MyifgiXTdT0YDMbjcWSLRoNYca/XiwVVVTUWi41GI8ktw4INMBwOHcfBrgsGg5hY7Jl4PO71er1e + bywW83q9oVAIjwPWZTAYxOPxfr+PHUWEkLlnjuM40WgUT1Y6nUaWXq/X6/f76YlTVXU8Hmualkwm + aZ4xRYqiYLDhcDgcDmuahinF0ui6TrsUT4Tf75ckiTaeaZperzcQCCSTSTzLoVAI3QuHw8PhEIul + qiq2DbY62rcsCwuB0wDPJj3pGHs4HB6Px/DLUhQFG3symcDoF41G2+22oijRaBRrBEtIJBJBx7CZ + g8EgZg/7EBtblmV0SZIkTdPi8fhgMADTtm3b6/W2Wq1wOIx20J+vP1eRrIK5UYJIqXzr1i2fz3f3 + 7t3f/OY32Ww2Ho9HIhEEjI1Go0AgQCV6UIDolS3TKwnPAmMMm9Y0TT5BOc3t1/cTV9KRi1OXr6E0 + Go3oq1f+kKqBfUMMh0OUymGutQqDojfRW2LVFxAQEHhnCAwEYmICjDH8V1XVqSu/PgMsBB20Q3mW + +PcKuQ3QG/TbdhV1BqZuyr9geObwNXVa+F/xw+n1etvb20+fPq1Wq8vLyzdv3szn8+Sy/217OwXp + 5YLrCJnwer3xeFzTNL/fj7epx+PBq3Q0GsVisVgsFo/HUU8QAgcER8i4qqpqmhaNRieTCQT3yWQC + xSekZ03TEolEIpEYjUahUAi1GmRZjsfjjUYjFoslEglID8QzFUUxDEPTtMlkEovFUqkUlcNDoUPi + MOh8JBIhYgZ5wrIs0zQDgYCiKLFYDMJQKBQKhUI+n6/f72uaBnaUTqcTiQQkttFoBKGt1WolEomo + C4h0WLLhcIhm/X5/JBJBZDCGjN+CZkDgSyQS2WwWW5F2pmmapmmOx+NYLJZMJiHeofokhtnr9XC7 + RCIBqRq0GbfQdT2dTns8nmAwWCwWi8WibdvdbhflKRG7n0wmFxcXi8WiqqrJZHI4HFICZdu2o9Fo + JBKJRqOJREJyK1eih/gvBHGsezAYhAgOoW04HOLZjEaj8Xgcv0IPQQM0TQOTgZiOz/HgSJIUcxGP + x4nAQP7DquGH6B5GjcfEsizDMAaDgaqq/X4fF0CCJwIzHo+HwyG4Im4BAgO9gOM4tVoNf2BzhkIh + Yobj8RjESVXVSCQChkYniSRJgUBgMBhg6jBvVEiRnndFUfA4RCIRSMM1+zjVAAAgAElEQVSGYUA4 + xroTgYnFYhg1PVMov4h/sfegOwCD8ng8sViMJhB8AMQVDyY6HwgE0P9AIIAVh1QaCAQ6nU44HAav + AxNgnFJjNBphcTFvUyoPPIwgMHgiID07joPHWXWBJwIKBcuyAoHAaDQyTRNPaDwej8fj2F20bQaD + gWmamFhcAO7k8XjQJSgRkGHsu/l9ybJcKpU6nc7m5maz2URA//LycigUgg6FBvv1xyxWCuBJDv83 + abjY6zkMsXosDfEinpA4jsP/l7Qz9C74Di8vNAjyg/MEexjvKdu2TdN03Jq237ZxAQEBge8R78wZ + hFfC15dldF4uEf3KayS3QvP5XLH4ynGrrLxOx/b1wMuJxAK8UKkz1Ljj1qh+XTsQO175Vbvdfvjw + 4cbGhiRJ8/PzP/rRj6LR6PelGMNLmuQt8AEojCORyBSBgfCRSCSSyWQqlSJFOORUCI6dTgeCLPTl + 0PRD8NJ1PR6Ph0KhWCyWTqfT6TRvgZFlOZVK1ev1RCKRyWSYG9VDJqNutxuJRMbjcTKZzOVyFINO + cw5B0O/35/P5cDhMoUGMsdFohJc0ZOhsNuv1esFnIFD2+/1arebz+fBtKpWCIpmMda1WC5JWMplM + JBKRSARSu9/vh4IW8nc8HgfHgDQGgRJq8lgsZhhGNpstFotQ1pKkCwIzmUySyWQmk4GtxjRN7Civ + 1zsYDHBxKpXKZDLYz0SNYJ6aTCbRaLRQKCSTSVJU12q1vb09y7Ly+fzKysrMzAz4G8R6bE6YGiCn + ptNp3gIDiwfsJLh7KpXCjKHnkPLxdzweTyaTUDyTFO44Tjwe9/l8aD+bzRKBweMAZphMJpPJpKqq + sG6NRiNMLARoIJFI4Inzer3gzP1+H5zTMIxEIoE9SQTGMAxkyIUdA50nuxbYdaVSwQ5BH0KhEObE + 4/FgRWB/SCQSsBUwrtpSv9+n7mUyGYkrM08PFGgVOg+TC54jor7RaJSmbjKZgBlaliVJUr1ex65D + ++Bv4JOSJPn9/mQyCVtoMpmENYMIDLhlNBr1+/24ezgcBqkDPe71ep1OR1VVMHa/30/aIuxqPPim + aaZSqXQ6TdoNPO/D4bDT6YC0YObReUmSQBFjsVir1QL1SqVS4Fq4xjAMwzDAwzOZDIZGBGYymQwG + AzzmkUgEG2M4HOIYH4/Hg8Gg2WxCX0B79duqcmRZnp+ft217Y2PjwYMHDx8+1DStUCjAxkuXEW17 + XTs4ZOiQhx4HRwdpWPAIf30Pp0ZBf2D3MsYMw3AcB9YS9IoORtp134HAYGhgTfgE/Se6QqcomcS/ + 7S0EBAQEvhe8MwSGXJalry1PwXOYrwcZx/FfvObxdvlL0v/Ty4M+wd9k02DfTDeGzjDX0ERGJ8Mw + arXakydP2u32tWvX1tbWZmZmaHIINK5v+yKf6i101fBsgRcHXmx4B8OxJBgMwtOJqmeikdFoBAcM + iHqqquKNTsp4uG/B6KGqKtyuIE5hvBBK4JEyNTrIUtBPw9xBUwSJyuPxQEQLBoOxWAxhHtQC/H/Q + c2jEGWMUyB4MBuHpJMsyhgZrCf6LFtAg2olEIvA1gqgHdzJFUTA0Gjv1ENpZ+FwpigJdOC+Iw+yA + azAJuCP2J2ge/ZzGTi4fcJyD3w6EVDDzRqOxv78/Go1An+LxuKqqiqJAQU6TM5lMYFSBtn5q5mHE + gE4aM4Mdgm9N04TTHa07uTJCzoZ/nWVZ8BRSFGVK3KQtgQvAPDHb+BcXYFYhvpNQhf9iWeHdh9VE + +3D5g4kP9hOwI5o9x3Hgn4Y5xJ4kQ8RkMun1eoFAAIsC/8CpycG64Hkhcxm/aWla4LUIny48OKZp + QtlPo+N9eDAz+Bb+llM+opPJBP5v+DluRLsChB/7Co3jcYYjImOMf14ikQi/IpBWsda0LuxlOR4T + gvpCMLOQ1BsMBjGx8B1VFCUSiaAF9A2zBJqKLYFdRwH3sizz5wxcLnFCYvVhqcMm/24WGExvqVR6 + 7733ut3u7u7uxsbGtWvXxuPxzMwMr3hirhD/SpAJiIyK300RRoQBign+3eG4jrX8TXEvCtr5swqy + r8GUBhALxNt2BAQEBN4GvDMExnH9+/lPeNmFfTPeAmGOfkU+Y9AnkWKM3ljflsyc7yH+nur8N28H + unm8m03T3NnZ2dzc3N3djUajP/7xj+fn5yGq8r/9JpaoPwv6OV5g5ztG/Ir3u+NBoonEuepRFjXm + BgXxL1pyfsB/oTY+3zicT+yXQbegn0P9icQG58UInh/SJ9TD8Xg8Ho/BK/ipwJWIA4FTDQ0Ha0Tt + 856K5+87Ho+h2uS9GUmSngLfPVod/quppcEFyIyM/kuSVK1Wv/jii52dnVQqBW03UnJDyc2TT8iF + NPApQJYiS9f5Ab6ue6Tcpd5S3rPzjU8xcF6cok9IRqQrwQCnZmOqe9S3qdHxw+GXgxr0er10brzO + hYYf+JQEyfcHBJvkTnxOCpRXzhW1bL8msvz81E1NIN8Bmig6OvDJKxd0ioO98hre2kyPA42L56iy + G+t1vuUpB63zG4PnJzRRvJkL+A6HHmYjEAhcu3at2+0eHR3t7+/fuXPn+vXrmUyG7Cevm/yp6XJc + oxmtIHkqUjs4eb4+UpGWm9eLwcKJH8K6iPmkmf/OFI5uSn5itM/REzoTiED+JTcSEBAQ+EvwzgTk + 8eofnLCj0Qh+uryCiv05E43f7ydBU3IDPKDh44MsJded7Nv2kxf7JNdd7TwLclzvgj/bIIwekD8M + w3jw4MGDBw9s287lcktLS/F4/PxPpmS+bwVeQOHFCxK1Sc6wXgZpKOmlyy8NvfjpK14go1cjbkFt + 8iI+/QpXkicb3q8TFxCPJEnCD0F1+v0+3xNeLLBtm1K3YeBo0DAM7C76nN+BcDci3SStIxYaP8Q1 + JHoS08O/g8GAusc4ajcejylqXHbjp8E0qIWp+cHd6RaWG7aOSWCMQTW+ubn5+eef67q+srKysLCA + b3nFKjWFEeFbnigylxNibmnR+aWf2hJ0Db/B0AitCMZLf1P7dBfmGmDRPbrGOae2oE94QZ8eSZoc + apm/Ep+/kjoyToCmVeDvRVuLRk3gf8hPJrUwtb3554WeCGoc24OODn5c/IzxaoWp4VPLU4cbv7Wm + 5vD888ivPo2RruGfGmqZ7wB/hjBOOJ6aNOoS7XN+P9CWmDpMvtu5B1OP4zjFYnFlZaVUKo3H488/ + //z4+Ljf7xMp+oYMAQSVlo8xFggEiAUxl8V9kzASXMmzBVhi0TIdgHyX/hJeAQUfLF2wh/MjglUW + NkO8hb/zjQQEBAT+QrwzFhjiJHh1yW6IJAUPnFc0vg6WZVGiIYpNlGUZ7vtwE5Jcn5Nv288prmK5 + eji0TBYe9PObECQaeLPZ3Nvbe/bs2c7Ozvr6+q1btzKZDHX1lfgObzLbDX2hmSSx4JW8aEpdTdfY + rzLL0Ie4Bf09RZn4yZniUfSJJElwcBqPx/AemdJ2O46DQAu8dyXONQ7EEj5a8P4CVaDxYg8gCRUy + NfHSGMgG8ikhmNvmjCT4Ay0glpocw+ga7N5YLIag56lZRfA9EkNNDY2f7akV4ZeMuaQC4t1wONzc + 3Hz8+HGv15uZmbl165Zpmo1Gg54gPAW0ELgjRJbzK07LR5qCKQMd/ZbXi/OLSBL8K/fS60bEzsnE + fAt40AjnBXT2MrGXXgbf/hQvIhpAC8FvFb5xGjVvWpz6ydSHfCPndxH/Cf/zKd3K+RHxCvvXTTL2 + uSRJ+IOecX7gvFXzleD3A78u7GUySRPivAz8XObwZ0c0ZWjlm3rlmfPNgTa9Xm+hULh+/bosy48e + PXr06NH8/DxjTFVVcm2Vzj0RBMMwyKgiufE/4Cr2y/nEJC5N5XnQ9qZ7IQUFDpZAIADygCe00+ng + QJsK1/mafr4OCDbDH4ZhwKuQwrT4E/iVijkBAQGBvxreGQLzH//xH6SGlN1UoYqi3Lx5k67hj/vX + YTKZtFqtVqtlWRbFD0D60XW91+shaRXijJ1vXwsSwtloNNJ1vdVq6bqOePHl5WX4l1PqUv6F/Wdh + mmalUnnx4kWtVtN1/b333vvggw80TcMrpNfrTcUqfIdXF/V/ijk4bvj1lHgBWc3DAd/ySsopWWqq + eySaSC+7nVALU3pKXmxCMlwiMOQJA28xXBYKhRBEHo1GSRZ3uMwEgUAglUoh5yxzBXFICRQJjXgS + LKvMOcIhnSuyOTmOQ4kHyEMGmQkQYyBxCanQk2g0mkqlEDNA02JZFiQbnsBQ9A7vdEQT7nFrtkic + RxbYOP4ej8eVSuXu3bv7+/uJRKJUKi0vL9dqNWxFzBhuSnNLIhc5TRFojLT6zOX5kushA60w/fyV + qz8lktIFGBdpnWmY9MjwUh3frO0mMKAe0lfUvuccGKdx4Bs/f5LwD8UUPeA7j1EjmIofF4nvU+ST + vSyq0mzwbVL3qNvkACa9zGr4mZ+Kup6aeXq0JddCSLegNnlzwfmpox7S0kytC92CvexNev6ppw5j + 3vghs9ecM7Tt2Tc79r8JZDdJjKqqq6ur4/H4yZMnz549u379Oh7SV5q7p0AUQtf1nZ2dvb29ZrOJ + IwXUBXk1isUiknO8rh0i5Iwxx3H6/X6n0+n1epubm0tLS5qmgbTE4/Gzs7MnT558/PHHUMT8hVH1 + 6JKu60+fPu12u6VSqVQqBQKB3d3dRqNRqVTa7bbf7y8Wi/Pz87lcTnAYAQGBN4V3hsD88pe/RCIa + x3HG4zHqiqyuriYSiUuXLkGLLEkSvPnxL+m8KV+Kx+Oxbbvb7e7t7fV6vUQikU6nJ5NJs9mcmZlh + jD1+/Ni27Z/+9KfQQqHaAFqm1zmpKi030yWsK3hz4CtFUY6Ojp48eYKCLY7jNJvN9fX12dlZEoUh + zUDw5d865DuBIVCyr93d3d/+9reDweCjjz5aXFwsl8uYCsbY+VzS3xkkXjAuIJ5CtBlXRYfUw0Qs + yVua5BXn5XgD/lfsnPaUuQHuNMNoxHKjOJhr28FXgUBgbW0NAdNUvoB3HFJVdW1tDbfANDI3fkaW + 5WQyGQgEkH8MuX3QOETnyWSytrZmGAbKuUByQpIrTE4sFrt9+zYikhkXS4CyG4yxhYUF5ChTFAUO + MBCDsBsdx7l69SrKm+AnkOdAwJCjKZVKIUsVZDt+5m03QIsWi75lrjTp8XgQcF+pVL788suTk5Of + //znf/d3fxeJRKrVqsfjGQ6Htm2jZcbY1CNjGAYvFzpuiDytgsO5/PF+LLSsUxpxh/PDIcc/ooX8 + ottu7BN1gCi0YRjsVZnKMQp4A6IpuoA2DOaH3670COMPaLVfKQ2jffKP4sHbH3hvK5krCco4ogKN + Bv4AQZ16IvjGbS6OnwDplnGpRxj3GNqcXx8NjbnOSPz+ob7JbobxqdHZXE0V2/WKpBOMp2e0MXh2 + hG9xd/wWEwIXR9o5xKOw6PzBQgwKR+WUhxiOfbh4eTweUnnY36AUzCsXEZ3RNG11dbXRaKytrTUa + jX//93//t3/7t1Qq1e/3FUXhA+qI1vKN4O2jadru7u5//ud/wkcAuR+63a6maZcvX759+3Y2m2WM + 9ft9evA9Hk84HKYlhv4CGwl5O377298+f/5ckqSZmZmvvvoqk8l88MEH9+/f/9WvfpVKpd577z1Y + g9vttiRJSCYei8Xg64V0dnij4QzUNA3J3Gg4OIgQ2vfo0aO7d+/+wz/8QzabHQ6HtVrt8ePHW1tb + OBJPTk4YY9Fo9Ht89QgICAh8K7wzBKbb7c7Nzf34xz9GhQGIZaenp3/4wx8oIy1SaqJ0QzabxYtz + MBj0+30EZCODTSKRmEwmjUZjMpnUarVarXZycmJZFspiQPBF8Ldt241GA6Z5SK740Ov1drtdHPdQ + 0lOaLEmSTNPUdf3u3bsPHz7MZrOoWFKpVAzDGA6H6+vrXi7h71QQp/Nylhu80nRd39zcfPjw4c7O + zrVr1+bm5qALlP6yhGnvNCCsIJXTcDjs9/t42cNeIbn5nUBa4AWBH2LVIGWqqmoYBk8g+ZQJqJzI + y8qhUIjEMviYQdqgah6SJCHVMsSOeDyODni5rKlg0ZIkoW7gVHYB7A2fz5dKpXjPPbRJJJm59JJ+ + OKWH9rgJwV+8eLG5uTkYDHK53MLCQiqVgtWRN7XJnI+cgMAFBB4ucDOkFpyZmbl+/frvf//7zc3N + u3fvplKpQqGAZ8d5jXcW2AvSneMapIBPp9OlUsnj8bTb7Z2dnaOjI2iyKpUKPLXg0oxbyLKMd9bO + zo7X600kEqgIFIvFZmdnkQtub2/v7t27hUJhYWGhWCx+8sknyEc/Go3a7Xaz2ex2u0i4B/XTaDSq + Vqu9Xg/UcTwep9NppDckekmhg9vb2wcHB0+ePGk0Gr1er9FoDAaDWq0GNV8+n6/X68PhsNFobGxs + 3Lp166++VgICAgKMvUME5vT0FGYHZA0+OztDTthGo4HyDkdHR7quI0Gq1+tttVqFQsE0zdPTU9RB + k2U5FotBEwYxt91u1+v1ra2tnZ2dUChUKBRIh1ev109OTjwej2EYyAyby+XAneAYBmVnr9cLBoPz + 8/MgMIPBAG+L4XDY7XYnkwlcBQ4ODs7Ozp49exYIBNbX1xljpIMEpqRJxhjqwYPenJ6e3rt3b3t7 + W5KktbW1a9euoS4Kc6Xhb6tu/AEAPARlWyqVSqPR0DQNWkwsMfwAwTlBZvBD3r4kyzLV5GaMmabZ + bDbJpcrn82FZDReO46BAJK5BO6BGvDcISAhvtmKMgTWBVwwGg1ar5bhJpTVNAxcaDofD4bDX60Hh + GolEVFVFO+gSKePJUuFwufgIaFnXdcuy7t+///Dhw3A4vLa2tr6+nsvlQLQsLrva/9kqCQi8G4DR + 1XIT1suyXCwWoaja3d3d3d19/vx5OBzO5/OMswfyFkI8UEjxDCYAfUoul1tcXFxcXPR6vZ1Op16v + f/7554uLi4ZhvHjxwjCM0WjUarVgV7FtOxgMtlqtdru9t7fn9XprtRrySqPmr+M4uq5Xq9VKpeLx + eFqtFiy0uOn+/v7R0ZFhGKenp8FgMJ1Oj8fjbDYLWlKpVEDA+v1+u90ejUb5fB4mFBySjuOYpnly + cvL8+fNqtcoYg/Ef7MUwjCtXrty+ffvhw4dPnjyp1+vNZlMQGAEBgTeFd4bAeN30u9CCoyCDpmmo + YtZoNO7cuQPXMiTqWVtbgzF9e3u73+/DPoPaZKg5iEO52+02Go3T01MiM4yxmZmZVqt17949xlgo + FDo+PpYk6fLly7Ozs47jPH/+/OnTp7IsR6PRer0eCoU+/PBDWZapGDwCN+GbdPXqVVVVoVE7Ozsj + cZY8moDzDIRMOqPRaG9v7+nTp5PJ5Pr168vLy0tLS/BK4l22/u9X4O0CqF2/39/a2vrd7353eHiY + yWTAajweTzKZXF1dXVtbg58YfuK4pfHYOd8Y/G0YRrPZbLfbEEQmkwnqqDDGRqPR6enpZDL5yU9+ + wtyqIJIbUQNmgszIVFrOcRN8kZ8PfJw6nc7x8fEXX3wBVWggEFhaWsI+mUwmGxsbe3t77XY7Go2W + SiU4miPfgOyG6JCXFGPMsiz4h8huyjLmOtBjvz179uzs7Ozjjz9+//33C4UC5s1yaxRKr4l3FxC4 + UKBngZwhYYQplUpLS0vVavXu3bu5XO7SpUsI5bdeLr3F22nJ2RV8AGyhWq0GAoFer2cYBtwRz87O + 9vb2dF2PxWLwOdzd3a3X67FYbDAY1Ot1y7Iikcj+/v5kMpmbmysWi4eHhw8ePCiVSpKbCHs8Hu/t + 7f3pT3/KZDL5fB4pXtLpNJRrhmFsbm5evXo1GAx++eWXR0dHCwsLgUCg3W63223oUJaWlmy33k6/ + 39/f39d1HbWz4E1nWVa9Xh+Px9FoNJPJoLQuzihd1//6KyUgICAAvDMExrKs4+Pjhw8fdjod0I+T + kxOv11sqlbrdLgwm2Ww2m83WarXT09P9/f1arXZ2dnZ6egrnMaSGRHh9t9utVCqWZSGWJhqNBoPB + 8Xh8cnIyGAwWFhY6nc7JyUkoFJqbm4NQe3x8DEmxWq0eHByUSqV4PN5oNJrNZrVardVqKFQPUdXv + 95dKJZS77na7eJegxDXvqw0S0u/3G41Go9HQdZ1irwOBwHA4ZIx1Op3PP//8yZMnS0tLH330UaFQ + QHk+Cjy4sBp0+HY3Go3t7e1ut1sulzVNG41GjUYDHmVwqwgGg7CJ6bqOqvOgMZIkNZtNqE5RGRA+ + Y5A8Go3G8fExShbmcjnMOXnYw/O+0WgoihKPxweDAbzRwC4QueTxeOBbSFE3kiR1u11U8qlUKvF4 + 3LZtiBHBYDAajcLDBL1qtVpItayqajabhQnRw+UHQ7O05QDbLcoeiUT29vaOj49rtVo0Gl1dXV1Z + WUFdednNWkGNXGRfRAEBgJ4I5qoAgsHg3Nzc3/7t3/7yl7+8c+eOoijdbpcxls/nu90u4nnAeSKR + SCaTicfjsMBDaQJTbbVahWdXOBxGxMv777+fy+UqlUqtVjNNc3FxMRqN9vv9x48fP336tFgshsPh + nZ0dZDHG44xmDw8Pj4+PcdCBMimKMh6PX7x4sbu7Wy6X9/f3T05O5ubmZmdn4YR29+7deDxeLBab + zWav14tGo/itYRiHh4dwZwAXgp/Yl19+GQ6Hi8UifBxs24bBJxAIIOmlYRiapiUSiVqt1ul03vCa + CQgIXGC8MwRmNBodHR398Y9/fPbsGWTEYDC4tLS0uLjY7/cHg0E2m7158+b6+vrW1taTJ0+Oj49R + KAZuQrlcbmZmJh6P1+t1cAYY6zOZjNfrbbfbsVgMNcUHg8HJyUm/3/f5fIVC4ac//Wkqldrf369W + q8fHx2A7s7OzP/3pT2/duvXFF188e/YMtb3J/QCZeQOBwNnZWavV2tjY2N/fZ4yBX+EFCT091HhI + I/PkyZODg4N+v88YQ0wFZFbLsiqViuM4xWJxbW2NMuHgq/PRzBcHEN9VVY3H47Ozs//8z/8cjUa7 + 3e7du3f39vYMwzg7O9M0ze/3dzodsItwODwej5E6bDAYnJ2dtdttwzBUVZ2ZmQHL1TTNcZxqtbq7 + u8sYi8Vi4DCJRAKq0+FwCO1ju90GO4IqFHFTg8EARBRNZTIZFJvH9uj1eicnJ81mU9O0hYUFn8+3 + sbFRrVafPHni8/lOT08dx8lkMqVSqdlsPnnyZDKZ5PN5ZFGj6FskVB2NRmA4hmHIbt0JSl8xmUxg + fgwGg1euXJmdnc1kMughfP1lN4Xu6xz6BQQuDqYeBI+by25mZiaZTG5vbz979uxPf/oT7PnpdJrP + OqBp2uLi4o0bNxYXF/P5PCXkYIyZptlut03ThNxvmmY6nZ6dnYWfGHzMSqXS3NycrusvXrw4ODgI + h8Mw5tfr9Ugkksvl4D8Gqy/8mePxuKZpsVgsl8tBI9NsNvv9PhyP8/n88vJyp9M5PDwEx0gmkzi+ + bty4EYlEGo3G8+fPcdqQFgwE5vDwsFQqzczMRCKRer2OSFGkT8hkMnC08/l8SN8/ZYYSEBAQ+Gvi + nSEwqqqWSqXV1VVVVS3LQuD+0tKSrut4qcRisagLTdMURbFtG+c78iZPJpP9/f1AIBCJRCDAIcgB + r4RwOIw3FhzPbNuORqP5fD4UCqVSqbOzM13XT05OZFnOZDLoA4gKNN8UTkCJcdvt9uHhIfzTNE0r + lUrFYhGZZwhIx6QoCkRnpB+Amj8ejw+HQygCUTr9xo0bqVQKIjhsBZSp6WJ6kVluxb3hcKgoClw7 + kJwURKLT6cCacXR0NBwOU6nUwcEBY+zq1auKomxvb9dqNZ/P12w2ZVnudDqpVMowjF6v5zgOBUGN + x+Nms9lqtY6Pj30+XzgcbjabW1tblmUlk8lOp2Oa5sLCgqIouq6fnZ11u10oTRljpmneuHFjZWUF + pILyI5VKJcMwCoUCfN4gInQ6na2trevXry8uLq6vr29ubj548ABb9+zsrFgs9vv9er1+cHBwcHBQ + q9UQKtNqtTKZDLIwIS7L7/e3Wq39/f39/X04j3388ccQPihVFGXN4pMWvMm1FBB4o6A6Pw6X0hoP + haIo165da7fbnU7Htu1wOBwMBvEVdAFIFhIKheD3SxlBQA8Qx0+KjGKxmE6n4cSFwx/nv9/vhzYk + GAwilP/4+DgSiXQ6nWq1appmKpWCqQTHi6ZpeAFRpjvQjGg0GovFNE0DiUIgPnOrS8Xj8XA4bBiG + oiiUno4xNplMBoMBrqzVaoFA4PT09OjoSFXVQqGAUwsxdX6/fzAYDAYDVDJ4E2slICAgwNg7RGD6 + /f7c3Nw//uM/zs/PUzCAz+c7OTl58OCBz+fTNA0GfWjloZzO5XKlUunRo0f7+/vb29utVqtcLheL + RSTl9Pv98CDCAY1IFZg+EPbtcQuS2LYNYw5qF4J4IF4cKW5hD6HMs51O57e//e3m5qbf70+n0++9 + 997KykokEkFEBO9vDZ+feDw+Pz8fiUTQAsgVCAzqIebzeVyAqaCXFgK4LyCBgZuW4xYZRMgskvkM + BgN438E7CwlAo9HoysrK5uZmo9FAZp7PPvus1+v96Ec/qtVq+AkIDNJewzlQ0zQExuzs7Dx48ADC + yunp6e9+9zvEPr148WJ/fx9FaXZ3dzc2NgaDQaFQyGQyjUZjZ2cnEomUSiVN00AefD5fPB5PpVLZ + bDYWiyHmCvuh0Wg8fvz4X/7lX2ZnZ2HoQxU5mONGoxHKuXzxxRcbGxvtdpu5kf2pVAphNrDzBIPB + TqcDXmea5tra2vvvv88X+mBuzBU2vzC/CAhQnkDEtlFuLli8b9++nclktra2ut2u3+83TROvDzx0 + Ho8nlUqpqiq79ZfAYZCHcGVlZWVl5erVq2T5NE1zMBjgGuRwHw6HsgtFUdLpdDabnZ2dHQwGn376 + 6cOHD2/evIlqAaqq2rY9GAxGoxHcoZEKGe04joNuDIdD2ITxNho27N0AACAASURBVAkGg6gSA0UP + LjYMA8eCYRiw7eBltLe3B1PM9vY2LsOphbQ0Pp8P7AuWoje4ZAICAhcc7wyBYYyh/DCljsULwLKs + YrF4cHAAthCLxba3t588eYIwRDj+JpPJn//858fHxy9evKjX6zChwFqCiH/TNDudTrlcRpBAoVDo + 9/uPHj0KhULtdvvFixcbGxt+v39lZUWW5Wazmc1mIexCEYUXEvRwkiR1Op39/f3BYNBoNObm5i5f + vtztdvf392OxGEwo6D/el0iblsvlIpEIEvDjWxomNGeovozbUXw/1arzvr6i8w8VSOcA4mGa5osX + L371q18hSw+cxFZXVyORyBdffGGa5ieffAJ7GrJgIyy10Wh4PJ7d3V2v1zs7OzszMxMIBJBsB9Ro + ZWUlHA5HIhHYzTweT6/X29vbY4xls9mlpaVr167hgl6vd3p6qut6KpWCA9ji4uJnn33W7XZBFZAe + jTHm9/vn5+fD4XC9Xr9z5w6ieFEuBhntOp1OqVTSdR3WHsT5IEhGVdV8Pr++vh4IBCqVCiKyVFV9 + JYE5OztDJoPV1dXJZIJgXGw5ZA7ARCFE500upMAPGmTuoyI27FzOEsetFnW+xs5fDfQU8Gcp2VLC + 4XC5XE4mkzhtLMui6knQhuCIRnRcr9cDV8H7pdvtQtuCxnFNOp0+OjpyHOfw8PDLL7/MZDIw+X7w + wQeKorx48QLkJJ1O45WRzWYty8LbCh5cwWCw3W6fnJzAU1pRlEKhEAqFtre3Hzx48Pjx4263axjG + 8vJyIpHgPZMRewmNG143wWDQMIxIJHLlypV4PI7D5H/+539kWf7www9XV1e9Xu/x8fHOzs6dO3eG + w+Hdu3cPDw8RVvdXXiYBAQEBwjsj+BYKBUQCTNVQKxaLp6enlUplPB4jjRhyFpfLZcMwkA4/lUrl + 83m4e+3t7WmahgxmKKYOtb3jOP1+3+v1JpNJxGKGQqFWq7W9vV2v1+GsHAqF8N6S3ZJwiHNQVRVR + m3CkhhkHMaCoHiNJUrVatSwrEAggNJPGBd0YTCt83Q/mvtcZV0pcgIfklpw/OTmpVCogpUgems/n + l5aWhsMh6gItLCzMzs6iuujx8XGj0RiPx/D0g/CRSCRyuZzf78cu0nUd+YsVRYFLBtZOkqR6vY5a + 2mtra4uLi47jdLtdsBdZlrPZ7PLycrlcLpVKOzs7SCABH0U4laVSKdM0j4+PkVC1Wq3atl0sFi9f + vtzr9cbjMUxz2GmoEQFNKmOM8qIiaBj+7gisgiEOBAYuZK1WKxqNzs3N5fP5WCx20Qx0AgLfC6jQ + E5yTmUvJoI+gmp788yW5xTcTicTs7Gw+n0cKEDrbkaMlmUyWSqWnT5/C2xNRc+l0OhAI6LoOD1jU + k4ULsc/ni0QiaArZDpEQ2bbtWCyWTCahs6vVapVKZTAYIODt8uXLyMSIlCHoMxLNwycNfQ4Gg2Br + +XweQX3D4TCdTq+vr8/NzcFxYH9/f2dnB8cdahJMeUQLCAgI/DXxzhCYGzdulEolqiRIWj3EWYI5 + NJvNBw8eWJZVKBRu3ryJmOlKpbK/v394eIj4litXrsDwjeDvTCZjWVYqlULt80wmk0qlyuXycDis + Vqvj8fj3v/89NPpIaIs0/PBU9ng8sVgMZn0Y7sFA8M6D+Gvb9tnZGcp64C2oaRq9NqY0jlNSJl6T + DgfJrQQiwBhDuFEmk0F1oF/84hehUGgymYB1LC4uPn78+OzsDO9+OASCSEiShKo++LxWq21vb5+c + nMAcEQqF5ufn6/U65AykAEK8DZSvIDNoEIYR1B1CJjFFUcCQGWNQl6K+OyBJkmEYyNmQSCRWVlZQ + ny4ej29tbcViMWhwsUmw4qqqwnse2YFgx8tmswjrmp+fLxQKSKsK45LH46nX69vb24gTA4VmrrB1 + AY11AgJ/CfjsZFOgTBgUzk7OvYyxQqHg9XqRnYz/nDEWDAZRUiaZTOq63ul0fD7f/Pz83NwcY6zZ + bFYqFQTJ5HI51P7K5XIej+fSpUuzs7PIAWPb9qVLl6LRaCAQuHLlSqlUgqsbwjgRIQMFRygUWl1d + pbhNv9/vOE4ymcxms/DEprFQBoK5uTmv11soFJBP+fr167IsIzwGOpHLly+XSqX/05kXEBAQ+Bq8 + M9LMj3/8Y6RG4YuagzBAw+T1ere3tweDQTQanZmZyWaz6XSaMbaxsXH//v1utwuxb2ZmBgU3BoNB + JBLBq6XX6126dAmh/H6/v1gswujfaDQODg7i8TiqAcBXB6Z5RVHg+kyVMSFxQkpGTEsgEPD5fMFg + 0LIsiLbI0suPi48ZZS87Wkgc8ImItOaBGHQoFFOp1K1bt5LJJGMMJBNxKdls1ufz1ev1Xq+XzWZh + KsnlcslkcjAYBINBeJPXajXGmKqqwWAQTnpIiIyZ73Q68FyHlhT2NDCE3d3dSqWCuHyY6RBnQh7t + jDFK1zMejyuVyvPnz+/cufP06dO/+Zu/QTJuJCeVZblYLHY6nadPn6bTafDeQCCANGhgU0hBAVsQ + inhmMhnISURgcMe9vT10Hp4n+PaNLpeAwLsH/l1DdWMZY3zucgqVxPlMkfHZbDYej+Ph5aMWoYdK + JBKwqZqmiZg9RMd5vV5E1CBoHplmYJlBfjDkQUaqEkVREokEGXlmZ2cTiUS329V1HWkDYrEYtGCL + i4u2bSuKgvDOcDiMejL0TkHkJ+XzQI1L1NBE1pwbN240Gg3GGMLzKJOBgICAwBvBO0Ngbty4oaoq + coXRhyAMCKNfWFgoFAoIjgwEAmALjuPgTKdcMUgXY9s2EiUrijIzM+PxeOLxONRdiHSUJGl1dbXb + 7V6/fh3R9lRDA68ESIqUfJn3IggEArlcLpVKra2tIa/AYDBAJCUVVgfOm1OIxvCshnHuBwIEWDMG + gwEEd3iLhcNhslxFo9Hl5eVqtXrv3r1oNDocDpEgG6L/1tYW3usgCXARBClCCAqElV6vhwIy8NBI + JBKSJB0dHR0eHsbj8Y2NjYODg7m5uVwu12w2IRCQNz+knEAggCrdkiTt7++jlhGqxzx+/DgQCBQK + BTDh2dnZarWq63oymTQMw7IsTdNCodBUpmxsNohBSEmECyinNvzy/X6/zwW7kIFSAgLfF6b8xCg+ + nj6hI5o4AJgGEsbQ04fzASZTlFSORqNwhbUsazgcQgkCuw2yosOcOx6PVVVFg7ZtJ5PJyWRiWZai + KMlkEu8j+AIoihKJRJBsxufzQYWB7PAIAkRXkdCS+k8Ff9F/qPPoE4/HUywWVVVFykfoUC5sBn8B + AYG3Ae+MTJPL5aY+gUQICzjiH2Kx2HA4DAaDCAlgjFmWFY/HE4kEY8y2bbxIcBwjQhrxBlTbGD/B + z+FwLLl1zSCV4tSmVxRcjHhqAR8eymA21dvz4xqNRnid8IEuvMllCvSaueBASLrf70f9H1RF8Pv9 + hmGg+iRjLBAIlMtlj8ezv7+PopOMsVKpVC6X/X4/MhHD2Q+ufXjlo1kkoxuPx/1+PxwOJxKJubm5 + bDZ7/fp1hOwbhnF6ejoYDJLJ5OLiIkis4zgoiOnxeFRVzeVyyJHKGMMGw8abn58fjUaqqvb7fTiM + xWKxdDp9+/btra0tFFq1LAvuYTDR0MCRc3k0Gpmmyee04MEHU5Hz4V9pYQQELgBIVcFcwzhFy0hu + PVmyt/P+Y5KbwRwvCLguM7cyGIHyB7wSyMmODOx4baF9/BuLxWKxGK6kNJVkJvL5fKAxr7uRLMs4 + RRljo9HI5/PBWO31epGEUxwmAgICbwPeGQLDg89mA0MKyWpUVpySS0putT7E3E85K0O2w5mOz3mr + uiRJKKmOtwV1AKaYqXQC1CxebBTliZfN6w59QUi+GyaTCYhBJpO5efMm6AH/YoYScWlpqVwuFwqF + w8NDj8ezsLCQSqVmZ2fBSarV6v7+PgJp4M2FlHTgk/i70WjE4/F0Oo3yQUtLS61Wq9fr9Xo927bL + 5TKITSAQQBUF/O3xeJaWlvL5PCr80K4rFAoI0u10OhAybNuOx+MwzS0sLGiaVq1WETlz6dIl0KrX + bR6KCaYh09+ogjpxgeEIAiwg8K1A7wK8dKDJgtrrdT8hAkNvJb4yDJ+a33HLN7GXrfGoBoZsYJTx + HA0i9yBSGzPG4B2K9JV4SSHOjV5t6AN1AH/Qf+m4GA6HoVBoNBrhfCA3AVQIQAJM5DvhlWuwxnwf + 0ywgICDwrfHOEBhSd9F/QR6Qv2swGMCRTFVVxhhiFRhX6eK8fZ8ICVqGtzE+oXfMZDKh9GLns83A + +AMmw1xdF+gTUvJLkoT8URJXtFh6TSA+3mfMdUXAf+mTqVsLwPBF/0KkoCAQ2MEQm2QYRqvVev/9 + 9+v1ei6XKxQKw+HQ6/UWi0XDMFZWVuCGAZfx8XgMmQCsGNkXNE1LpVIopABedP36dcMwDg8PUV6m + Xq8nEgl0wOv1oqxqOBxOJpNYTcRHIWQln8/v7e2Vy2VkNWWMIUsYqkbMzc0hv1Cv1yuXyzwnx8Dh + FgLwTwTJQ4yzusiyTC5kolqlgMC3Bcg/Hb/0GJ4/n3k9AnO5AY4jUmZN2djxCaV+YW5aF9ktFEYP + LFogggHzCP2B4BZ8glcPeo4TBqlNyIMgEAjQfXHW0X3Jgg2CRIVr4I9Ac4IaOCA2/yeTLiAgIPAN + 8M4QmK/3uCVvXWCKipxv6nzLdCVv66d2pvgD/qbjmzff44ekwaI//qy78BQ/Ee7FXw/SLKJeCj48 + L2fIshwOh69cucIYW1xcxIe0cKurq8y1kmEb8BFKuAv8zRhjMJLYtk23K5fLYK2FQgHZzyB5ILwV + ye54ax5MMZIkLS8vv25cyWQS3u38LgKglwUjohoOJPoQkaZ5kN2ylaBzwpFMQODb4nWuXF+jTuJf + FvwxPvUTuoz/nL9+6vFn3ME1ZULhzUFTxhZ8NRX/Rock/RD8B2cX496nvH8ageiTCKsTEBB4gxAH + kMBFB7EC/BeKT3rH4w+S/qFSpQ+pEf5dzodLnWfL7OW4lPMuiOzlnA3wWvl6n3gBAQEBAQEBgYsD + QWAEBF4KIyE7BgXIMi7qiS6A6eOVNg3nXMUePmqLj/2lRqbamcpuJDIgCwgICAgICAgQBIERuNAg + EsJzjCk7CdzKEWnD52PgjTNw02JcnC7jjDnk6c5eLu9zvj+TyYSifqk/551JBAQEBAQEBAQuLASB + EbjQcBwHWYkRf4+82PgKFU4HgwFjTNM0JAw4zzooGJf+i78Nw2i326qqvrKQyyt7gq8o30Oj0ZBl + GWUivs8xCwgICAgICAi8yxCCkcBFR7/fPz09PTo6QmUYBMczxmRZrtVqjx49Ojo6Go1GxCsQ9I+s + xPiEYmaohOVkMjk9PX327Fmj0UCZbcdx+LJxzjnQTcF/ut3u3t7e7u5us9lECwICAgICAgICAkxY + YAQuOFBK6OTkpN/vR6NRfIJyB4FAoFKp3Lt3b2FhIZfLoQwCbDXMTWdMQTJwMwNFkSRpNBqdnp5u + bm6qqhoIBJB/bDQaoRILSigwNxMRUgUQBTJN07Kser2+vb3t8Xhg/HljEyQgICAgICAg8JZBEBiB + i47RaNRut9vttmVZmqZROZdAINBsNnd3d6PRqGEYo9HI6/UOBgMqfgruAb+yfr9v2zbi/v1+v2VZ + g8Gg0Wi02+1UKjWZTCzLAr2BjWUwGKA+DCgQeaANBgPUYG232/V63e/3oyTlm5wgAQEBAQEBAYG3 + CYLACFxojMdj27YDgUC73d7Y2Dg+Pp6bmxsMBslk8sMPPzRNczQaybJsGEa1WmWMbW9vG4bh8Xgy + mYxhGDdu3AgGg0dHR8fHx8PhsNlsKoqyvLzs9Xqr1Wqn02GMGYbx8OFDxpiu67lcbm1t7Ysvvmi1 + WqgZxxgrFAqXLl0ajUamaR4eHu7t7SFzwLNnz1BAczgcapr2ZidKQEBAQEBAQOAtgSAwAhcaPp9P + kiRd14+Pj3d3dxuNxtbWVqVS+eSTTyKRiK7rKBk5Ho8rlUqz2Tw8PDQMw7KsWCzm9XqLxWIwGNzc + 3Nze3u52u7u7u5Zl3bx5c2FhwTCMcDhsWVan09nf3+/3+6FQyOfz7e7u6rr+61//2ufzBYNB0zTX + 1tYikchwODw6Ovrd735Xq9WQiKxWq2UymakiqgICAgICAgICFxyCwAhcdEwmE1mWk8lkOBy+dOlS + JBLZ29trtVpPnjxpNpuTycQ0TcMw9vb2Tk5OlpeXk8kkY2x/f//p06cbGxuZTKZSqTQajStXrnzw + wQeDwcDv93s8nvF4bJrmvXv3UqmUruuqqs7Pz0uS9Nlnn9Xr9Xw+Pz8/Hw6HHz58WKvVdnd3u93u + xsZGLpe7du0awm8ePHgwmUx0XY/H4296kgQEBAQEBAQE3hYIAiNw0YFCK6lUSlGUmzdvRqPReDy+ + s7NTrVZbrRYIzGAwaLVatm2vra2tr68zxv77v//74OBgY2PDMIzDw8NwOLy+vr6yslKr1Wq1Wr1e + 7/f7Z2dn3W631WqNx+OVlZVyuXxycvLixYtgMFgul+fm5gKBAMjS0dHR3t7enTt3/vVf//Wjjz7K + ZDKPHj1qt9uj0Qgea296kgQEBAQEBAQE3hYIAiNw0UFlKyVJAlUwTVNV1aOjo06nY9u24zitVqvb + 7SKfmNfrhV+ZaZqSJMmyPBwOYZYxTdPr9eZyOVVVK5WKZVn9fh9x/36/X1EUXddPTk7S6fTe3l6z + 2USoTCKRiEajtm23Wi3Lsqjepaqqfr/f6/Ui75mAgICAgICAgAATBEbggsM0zclk4jgOOAlz8yPr + uh4IBBhjyCpmGAboimmaw+HQ5/OhrqVlWR6PJxgMIs8YspONx2PkHLNtOxaLybKMapinp6eVSsW2 + 7W63i/RiPp9PURQQlWAwmEwmI5EIkiaD/KBL6ImAgICAgICAgAATBEbgggO5jJEHWdd1JE3e3d0d + DAaLi4uIY9E0TdO0ZDI5GAxgV1FV1TAMmEo8Hk8gEMBXpmlWKpVOp4M6MKFQ6Kc//anH43n06FGt + Vvvyyy91Xb9x44ZhGIyxYrEYj8f39vYmk4lhGHBjq1Qqz549SyaTtVqt0+n4fD6fzydcyAQEBAQE + BAQECILACFxoIBUYzCbdbrdarQ4Gg2q1GovFlpeXu91uvV5XFCWZTBaLxWq1qut6vV73er26ri8s + LPzoRz8ql8uNxv9n792j5Djru89fVXVV9X2me7pnRhrd7zdLthVfuIi1wRjHOG8gC68Dr8lJWBLg + hDewATa76+whELJJDiEQTsJCDoHEycEJBG+ClwQDBtsyGGNZSLI0uo1GGk3Ppbun7911r3r2jy/z + vG3JFmB8Ucu/z/Hx6amuy1NPPdX6fZ/f5amhRlm73W61WqlUanx8PJVKDQ0NjYyMjI+Pe56HgmbD + w8MrVqw4fvw4NEwYhvDSbN26NZ1OQy+dPHkykUj4vp9Op0dGRuLxeLlcXr169UvdVQzDMAzDMJcF + LGCYASYIApm4EkWRXMwei0v27xaLxYQQ+EpVVSEEkl4URUkmk4VCYdOmTeVyeW5uLooiTdPGx8c3 + bNjQ7XaFEOvWrVuxYkUmkzl37tzp06fb7Xa73d69e/ddd92FoK/rrrvu9OnTp06dsm17aGho7dq1 + a9eurVar2Wy2UCgkk8m9e/eeP39+ZmZm9erVGzdu7Ha7x48fP3v2rBBiZGRk9erVuVwul8utWbPm + Bz/4wdmzZ5PJpKZphUJhx44duq5PTEy8ZF3MMAzDMAxzmcEChhlIkHwCWUJESGKR66VI9YJvsdo9 + tmMfqXDCMDRNc3R0NBaLFYtFLHuPRPxUKrV69WrTNCcmJhKJRCKRgFPFsizLstauXTs2NoaNmUxm + 8+bNWPXFMIxVq1YVi0XDMIrF4vj4eDwed103Ho/ncrlsNjsyMrJz507DMNC2bDY7MTGRzWZVVU0k + Ejt27CgUClhkRtO0YrGI+LR0Ov2i9zHDMAzDMMzlCAsYZiCBgAnD0PO8eDweRVEYhrquX7BPr9dT + VVVa//1uGSLyfV/TtGw2m81m161b5/u+EELTNKziQkTDw8MjIyMI6Mpms8ViccOGDcjXR+K+pmmK + oqxZs0bTtM2bN+u6HgRBPB7HsbQsmQzDGBoaGh8ft207Ho9v3Lhx48aNQRAEQWAYhtRd8Xj8hhtu + 6PV6qVSKiJDlL4TghSwZhmEYhmEkLGCYgURRlCAIyuWy4zgrVqyA90N+hfAwx3EqlYqqqqZpapoG + GYCviMhxnF6vV6vVRkZG8vk8Coj1XwLhZPl8HvXH5MmRWG/bdqlUSiQSExMTiDqLx+MoCYA9K5WK + 4ziZTKZQKECoxGIx1ERWVdX3/VKpFEURXD3y5ERkGIbrurZtdzqdRCKBOmYvSqcyDMMwDMMMACxg + mIFE0zQUDbMsK5PJJJNJqQ1Q3ZiIut3u3NycqqojIyOZTAYHothxFEXdbrder09PT0dRlEwmE4lE + FEVYcSWKok6nc+7cOTh5kMRPRL1eDy4R0zRRLqxYLKZSKZQ5hlsGbfA8b35+vlQqrVu3LpvNolKz + aZqqqiLUrdVqnTx50vf9WCwGfYUoOF3XHcdpNBrNZrPdbg8PD6fTaSmKGIZhGIZhGJ7ZZQYSVVUd + xymXy/Pz87Zt07LjBd8iXx8emEql4rpu/1dY8sW27Var1Wq1fN+H7wXZL1j4xXXdVqvV7XZ7vZ7M + rkEwGOSK4zj1et11XVpeOgYyA3timZdqtWrbdhRFuq7L7bquoxyZ67qdTkfWcY6iyPM8Wl71BX+6 + rsvuF4ZhGIZhmH54ZpcZSLDCo+/7juPg88XL1QdB0Ol0YrGYqqqI8qLl9H3oGd/36/V6t9t1HAdV + jFHTDDXKPM+DtJAOkEQiQUSO48Tj8U6n02w2HcexLCuKolgshkgz3/fj8bjneZZltdttRVFc102l + UjgJAthUVa1UKqVSyXVdrHFJRGin67phGNq23ev12u22EMKyLHhvXqSeZRiGYRiGubxhAcMMJEEQ + qKoKzwbUCFLq5Q7IJ0GdZVmsjJYFDFSEoiiGYcTjcSgTaAx8G0WR7/tS9kCloBgAXCK6rpummU6n + Eb2maVoikZDSCIFh2GJZlvTPOI6TTCaFEMPDw6lUStd1nBPqC+k6QgiIGaipZDJpGMaL2bcMwzAM + wzCXMxydwgwkUBG2bWOhet/3TdOUrhJVVVEfDFFhRIQMGSSoIBEFfhtFUTqdjqZpkDr9aTC4hO/7 + QRAkk8l0Oi3FCaLC4PxxHEf6dqA9IK4cx8GpoJFwuWQyiTO7rhtFURAECBuTYCMRIW0G15Lqi2EY + hmEYhmEBwzAMwzAMwzDMwMAChmEYhmEYhmGYgYEFDMMwDMMwDMMwAwMLGIZhGIZhGIZhBgYWMAzD + MAzDMAzDDAwsYBiGYRiGYRiGGRhYwDAMwzAMwzAMMzCwgGEYhmEYhmEYZmBgAcMwDMMwDMMwzMDA + AoZhGIZhGIZhmIGBBQzDMAzDMAzDMAMDCxiGYRiGYRiGYQYGFjAMwzAMwzAMwwwMLGAYhmEYhmEY + hhkYWMAwDMMwDMMwDDMwsIBhGIZhGIZhGGZgYAHDMAzDMAzDMMzAwAKGYRiGYRiGYZiBgQUMwzAM + wzAMwzADAwsYhmEYhmEYhmEGBhYwDMMwDMMwDMMMDCxgGIZhGIZhGIYZGFjAMAzDMAzDMAwzMLCA + YRiGYRiGYRhmYGABwzAMwzAMwzDMwMAChmEYhmEYhmGYgYEFDMMwDMMwDMMwAwMLGIZhGIZhGIZh + BgYWMAzDMAzDMAzDDAwsYBiGYRiGYRiGGRhYwDAMwzAMwzAMMzCwgGEYhmEYhmEYZmBgAcMwDMMw + DMMwzMDAAoZhGIZhGIZhmIGBBQzDMAzDMAzDMAMDCxiGYRiGYRiGYQYGFjAMwzAMwzAMwwwMLGAY + hmEYhmEYhhkYWMAwDMMwDMMwDDMwsIBhGIZhGIZhGGZgYAHDMAzDMAzDMMzAwAKGYRiGYRiGYZiB + gQUMwzAMwzAMwzADAwsYhmEYhmEYhmEGBhYwDMMwDMMwDMMMDCxgGIZhGIZhGIYZGFjAMAzDMAzD + MAwzMLCAYRiGYRiGYRhmYGABwzAMwzAMwzDMwMAChmEYhmEYhmGYgYEFDMMwDMMwDMMwAwMLGIZh + GIZhGIZhBgYWMAzDMAzDMAzDDAwsYBiGYRiGYRiGGRhYwDAMwzAMwzAMMzCwgGGYywjf96Mo0jRN + 0zRsUVVVURQiUhQFH4QQURRFUSSECIJACEFE+BOHhGHo+z72D4IgDEMcLj90Oh1FUZLJpBAiFosJ + IbAnLhoEgWEYuVwuDEN5TtmGKIqCIAiCAFuIKBaLhWFoWZaiKNhfXMSL14MMwzAMw1zpxF7qBjAM + 8z+IxWKQIr7vu65r27ZlWUIITdMgMFRVjaKIiFRVJSLDMGKxGP4MwxDCJggCfRnDMAzDwMmhT2Kx + GEQLxBI0iaZpOBBqxLbtTqfjeV4YhlBQkCuqqsZiMcMwcHUoImzHFoZhGIZhmBcaFjAMcxmhqir8 + Faqq6roej8cTiYRpmvhW0zRFUbAxHo9HUeS6ruu62AH6RFXVRCLh+77Xh2EYURSFYajrutzT87wo + ilRVhRvHMAxc2jRNyKR4PK4sAy8NtBMR6bqOpuIMiqJIlxHDMAzDMMwLCgsYhrmMkGqBiFRV7Y8l + g6eFiDzP63a7tVrNdd3R0dEoinzfJyK4X4jItu0wDMMw9DzPcRzLsuBskSFkvu8LIaBtsN2yLNM0 + oyjSdV2Klv6rIxLM932oHfiCpNyiZVHEMAzDMAzzQsMChmEuO4QQYRgGQeC6rmVZhmHE43HP8yBp + EMel63oURbOzs8h48Tyv1+tBuszNzR07diyVSuXz+Vwul06nNU2DtySZTGqadu7cudOnT+u67nne + ypUrEXIWj8dp2fNTq9U6nU65XB4fH4cygTQSQjiOA12Udl4JPQAAIABJREFUSCQ4coxhGIZhmBcf + FjAMcxkh/SRQFMlk0jRNXdeFELZt93o9z/Oq1erU1NTCwoLrurFYbGFhwfM83/cdx3FdV1XVarVa + qVRM02w2mzgDXCVCiHQ6TUTNZrPZbC4uLvq+v7i4GEVRKpVCbgzyYRYXFzudztTUlO/7MloM2glK + aXFxsVAoIIVGNp6T9RmGYRiGeRFgAcMwlxGyvBgcJp7nobpXt9udn5+fnZ2tVCqzs7NnzpypVCpB + EGQymWKxKPP7VVVNp9OdTieXy8FRg7gvnFwIMTMzEwSB7/u9Xq9SqczPzycSCeTSRFFkmqbrukKI + TqdTq9VmZ2chn5D9gvP7vm/b9pYtW+CNkVn+L22/MQzDMAzz8oEFDMNcRsAH4vt+p9NZXFys1+tE + BD/J/Px8uVy2LMtxHEVRVqxYkUgkdF0fGRlB9TDEleVyOcuyCoVCf0VjeX7HcXB4q9UaGhpaWlqS + Vchc100kEr1ez/f9lStXttttwzAURZFNklWV0Z6hoSEIGFmLmcPJGIZhGIZ5EWABw/xCwKKVZXap + bw2Q/pVJriRQsBiaAQnxlzDcXdelvirDyK3Xdd33fU3T4vE4kumlt0RRFNu2bduu1+uLi4vVavUH + P/gBEU1PT4dhaBjG+Pj45s2b161bl8vlYrHYyMiIruumacbjcXhLUKns2doj14fBA8KKLkj3R/Sa + v0wQBNiiaRruV9d113VPnz599OjRubm58+fPHz58OJPJTExM4L44j19ysVfqJV8P5wVdmUfer4yB + lL8MGNW6rmOEy9Lbz63xF1z0+Wg7wzAMM3iwgGFeEGQlq5e6IS8xkBmQBKZpyrpeuVxOUZR2u21Z + FhRRpVIpl8vnz59vtVqLi4tzc3P1et33fcMwTNO89tprU6lUoVAYGxsrFovZbDYej2ualsvlNE3D + ei+xWOynSghpVtKyrQmhgrrJAGpELpQJD0wYhlgiJpfLFQqFBx54wHXdycnJQqGQz+czmcyL0JnM + 5Y986+W7D2UrAyP7v2IYhmGY5wYLGOYFQelDbrwiHTKXBmXBYrEYCogRERLfG40GgrUwRb20tDQ5 + OTk9Pf3EE0/UarVSqVSv103TXL9+/a5du9auXbt79+5CoTA+Pp7NZvsnnoMg+LlKgfU/DhyIAmUX + Kx88LDhe8AG+HWTdVCqV06dPT05OZrPZXbt2ZTIZrCfzC3YXM6D0u0f6BUy/M5bVC8MwDPN8wQKG + eWF5GYqWfizLgmKR6gV1veDTmJmZ+bd/+zdd1xcWFjqdjqIo+Xx+bGxs7969qVQqm83m8/l8Pp9O + pycmJtLpdCqVUhQF7hHoCkgX2cnSUryEmdgvLGVkDrRHv+mJ//dLI6TKoCjza17zGlVVz549Ozs7 + Wy6Xi8UiHDUvWEcylzX96qV/7GHkQyr3D7mXppUMwzDMlQILGOYF4YKZV5lQ/lK368UmlUpBukBU + hGEIx8v58+cbjcbi4mKpVOp2u41GI5VKjY2NDQ8PF4vFHTt2bN26dXx83DRNIkKgFxF5nif1CZLm + 5eqWoP9aP0vzfupu0jODKCB8SKfTmzZtKpfLP/rRjyzLmpqaymQy69evj8Vinuf93H3EXClckAuH + D9DA/RqGYRiGYX5BWMAwLwgyj+ICDfNyA4FVvu9DaXQ6naNHj87MzHz3u9+dm5sLgmD16tW7du0a + Hh4uFAqFQmHnzp1YttI0TWQ8a8tcfHK4cS5IObg0P7u2ufhAXBH2qK7r4+Pjq1evXlpaOn78eDqd + Xr9+PSdVM/JN7y9PB739sv0RYBiGYZ53WMAwLwiobSVzwbERdsxL27AXGdd1Udqr0WgsLS2dOnXq + xz/+calUmpmZMQxjYmJi8+bNV1999fbt29esWYMyXzKnBfKPiDRNC4IAXYfSZ0SE014gbKQ4eTaV + ghNevNslVI30+eDxyUSXYrG4ceNGx3FmZmbGx8flyZmXORgwEDD4HYBrToYpstBlGIZhfkFYwDAv + CLKk1ct85hU1xGzbPnbs2IEDB06cOFGv13Vdv+WWWzZs2LBx48bx8fHh4WHDMBAnZpqmNO/6xYkM + FUOvIvn+OZQtfrZDpLC5WMkgh+diozOfz09MTMzOzpZKpXa7rSgKx4+9zOkv04wR5TgO8rXkOkIs + YBiGYZhfHBYwL1NkRSAEpsu6uqhJdYEVi+QN+Rnf6rqO+Chd11H517btxcVFiJZOp+P7frvdPn/+ + vOM4U1NTQ0NDQ0ND2WwW6y3ibCgxjLXeadlMl0umwMMgfQ4ymJ6WQ+plYL00iWA2ybrAMKRk4JNE + FuC6RP9cgFzRgp5eYw3IZWHQcpQdQz9MT0+3Wq0DBw4cOnTIcZyVK1eOj4/ffvvtmUwGBYhx1z9j + jaYXyPi7xGmf8Su0c9u2bVNTU4cOHdqyZYvjOKlUyvM8matNl810u0zBkh9UVcXokmoQ9dz6qwD/ + LLF5FxTZk8Bkx707jmMYhqqqrVar2WxalmXbNnwUruvGYjHbtpPJZBRFnU4HAYe9Xo+ILMvC2j6K + onS7Xdd1oyhqNBrZbNbzvHa7jQGZSCSIqFKpRFFk2zb2VFXVdV3DMHRdx63h1caCP/3qV9O0breb + TqexHBCW/ZGdJutr41poJ2682+2iPrjjOKdOnapUKtVqVdO0SqVy/PhxpHslEokwDLvdbrlcbrVa + 58+fX1xcXLVqVS6XMwzD8zxd14eHh2u1Gq7S6XSy2ayszodyF3DjYCUZWd0btQE8z0PfIj1M5oa9 + EKOIYRiGuXxgAcM8DRnxhXl36UJJJBKweDDLDvssCALP8xzHqdfrpVKpWq3++Mc/npqaKpVKyWTS + sizP8yzLUhRlfn7e87yNGzeuWbMmm80ODw8jugyGI/LaoVji8TiMYJiGvu97nheGIRZLgTmlKIp/ + ETBf0EhYMFgXBfS7gHBfcsW9nwtcpV8aAcMwaFk4yZZblgXxduTIkTNnzui6fs0119x88807duxQ + FMU0TdmrNIDlZbEQZzKZTCQSQRDU63UiktL0ZU6v10MBBlpWcWEY1ut1RVHS6bTv+7VaDSMTfgnb + tiEtIO+FEK7rOo6DRU4hfrrdruM4RNRsNlOpFLpaCBGPx4mo0+l4noe1erCbVI94ZYQQhmHgA14r + vBdyQkEKmwuEPaQ4XvZms4nFi2KxWLPZXFpaqlarjUbjzJkzpVJpenq60Wjouo7m4SjI+16vZ9u2 + aZqjo6MjIyOpVCqfzw8NDY2Ojq5YsaLX683NzTWbzWuvvVZeVwpINADNxgll3+IqEDP4v5xueOEf + MsMwDPOSwQKGeRrSppcWPwwC27ZjsZhpmrDUici27Var1Wg0Tp8+Xa1Wn3jiCVhp+Xw+m80ibgop + vLVazXGcAwcOnD59et26dZs3b8bKIdK7gvXjpekPWwRmSr9FBU8FtE1sGVhg4IJ7ucCFIrdDgUBB + /bz9I2d5+6PjpMEkp/OJyPO8Tqdz/Pjxc+fOLS4urlixYteuXXv27JmYmBBCFAqFi0/78zbmJQSP + IJlMZrPZoaEhz/Pm5uZ0Xc/n89TnvkBHvQxnxPsLxCmK4jjOmTNnfvjDH05OTs7MzLRarbNnz6ZS + qXQ6jcraiqL0er1er4eC2nNzc8eOHWu329lsFiPNsqyFhYVKpRKLxfL5PMIO8cbhJWo0GuVyudls + nj17dmxszDAMTdOgkOW8wOTkZCKRME2zUCjIM8Tj8SiKhoeHl5aWHMfpdDq1Wg1KAA/RcZxKpYJ6 + 2bOzs2EYlkolx3GWlpY6nY7jOI7jtNtt3/eLxeLo6KhpmvF4HA2gZR+O53m2bcNzggZ3Op1mszk7 + O5tIJFzXbTabvu//x3/8R7FYzOfzpmkmk8l8Pp9MJvH7g3fW9330ahRFjuMkEgmxnG+D7fjz4l8D + hmEY5kqCf+WZpyFjMPqjsxRFwbrvRIQQF8uyqtVqtVo9ePDgoUOHpqen4/F4sVjcunXr7t27x8bG + RkdHoyiC2TQ9PX3q1Klz584dOHBg48aNCwsLRLRt2zbM6RJRPB6HyYtLQL3Qs0RVXRzEdXF5Vkw5 + h31cLA+em2DonxXubwO+RYP7Bcz3v/9913WLxeLOnTtvueWWbdu29ZtWUlkNlnqh5fU9DMNIpVK5 + XM73/VKpNDY2Ji5Kdnp5zogbhiFz1qMoOn/+/P79+7/3ve8dOXJE07Tz588fPHgwHo/Dk4nOhHsB + MWYnTpzI5/PdbhfngZcPCkdV1VQqhUvAgYkR6DhOr9dTFCWTySQSCby/hmEgbExVVV3Xq9XqyMhI + q9UqFotjY2PxeHzTpk2Iy4IOSaVSYRhWq1Wk3cML1Ol0yuUyXKwnTpw4ceIEZiXgc0skEoZhbNiw + oVAorFq1amxsLJPJ6LqOWQmoIwgPx3Esy4JjtlQqdTqdarW6uLjYbrdt20Y/TE5OZjKZTCaTTCYL + hcKaNWvGx8dTqVS73V5YWAjDcGlpKZ1OJxIJ6GdMQyB/THqPX26DjWEY5mUICxjmacgMk/7l5xRF + cV0XYRuxWKxWqx0/fvzQoUNnzpxpt9sTExO7d+9et27d+Pj46OhooVBAIWDs7LpuLpfbvn37uXPn + jhw5srCwcPLkySAIEHbf6XQQ9NK/Vrc0goMggF6SIWTKch2zoA9YftQXMyOFTb8Su+A2ZbGvnwvp + I5Kgo+giLwosv1gsNj4+fvXVV7/iFa9YvXq1EMJxHCnVLlBfA2ToS6ELQzOKorm5uZ07d0rf1Evd + wJcYDFqMjUqlggyodrv9qle9at26dYZhuK6L3YhIZkwhcszzvHQ6HY/HHcdBmhktV5+TEV+O4yAJ + DdpGWS4QJ3Nd8E4hfAsVwFRVnZ+fVxSl3W7XarVz584FQfDd734XEZjJZLJWq83Ozv7oRz/67ne/ + K7UBhigUjuu6qVRqeHh4aGho8+bNuq6n0+nh4eFkMglf3PDwMF58uE9RCly2kIiQ9uO67s6dOyGQ + LMtqt9u9Xs9dhohc10Umz8zMzOzsLBFVq9VWq5XP5yuVysqVKwuFwtq1a1euXDk8PIwQMrkCEr1g + eWIMwzDM5QMLGOZCLnApSPvDdV3btpGhe/DgwWPHji0sLBQKhS1bttx444179uwZHx9PJBIIF0Fi + MS07QxRFueaaazZt2rR///7Dhw8fPHiw0+nAkhsZGYHpBuNGURSk9gLDMGQsygVhIdhBfui3WvpD + 0YA08pSn84t3V7+xLssPeJ7XaDRqtZqiKGvXrr3++ut3796NYBiEVD1jwBsqIvziTXpxgIDB+puI + emq32/2Fs5/HTh44YrEYKstBvTzyyCOVSmXDhg27d+/esWOHrusQFcjvl3nzynJ2FpRPGIaGYSDQ + Udd1JPTLryB9+514MtQKmkSWMofOD8OwWCx2u91Wq1Uul/EB3g+k+8PJ02g0SqVSs9nERaFYoFJi + sdiWLVtyudz4+HihUBgZGRkZGSkUCplMBm3GvcMlImtayAIA/ZU2IMzwFkDJuK7b6XQWFxdRnwA+ + n7m5ObhoGo2G67oLCwtLS0sjIyMIyOz1emNjY6Zpypz+l6e7j2EY5mUICxjmacACwJwrLQeMITl4 + bm7u6NGjBw8enJ6ejqJo5cqV11133YoVK9auXbt69eqhoSGYLIi8p2V/AiwVmFyZTOaGG24wDOPQ + oUPIDCkWi5s2bUomk6qq9nq9druNoknIrOj1erDAZNukB0aaZbDMpOkvQ+SV5UR/dXkpyX5Vc8EC + NT87sL1wxf4QtX6zCZPKlUqlXC7v27dvz54969at03Udu8n840EHGefZbHZ8fNy27fn5+Wq1eoEz + jZ6lNPMVD6pKzM3NHTx48PHHH5+bm5uYmHj1q1+9ZcuW9evXw6kCd1wqlYJup2VN2C9jUFwL21FS + DN/Kelyye8XTi4bJIUpEmH1QVRVukzAMLcsyDKPT6cDhg1Ph0vBzIpYMox3zCHATIakGf2qahiw1 + IkIEF34BpG8Th0tdIZarg8ggVVmQQ9O0ZDIZBMGGDRtkyJlt251Op9froYXImWk0GpVKpVKpfOc7 + 3/nhD3949dVXr1y5EsqtPxOGYRiGubJhAcM8DZgv0iiXOuHxxx8vl8unTp06c+ZMq9VasWLFjh07 + rr766m3btmWzWXr6Ioawq5DEL5P+s9nszp07G43GyMhIJpP5zne+c/z48ZmZGYSmNBqNZDK5tLQE + c2d0dHR8fPz8+fMItUdWsaxCRkSu6yISxvf9paUlxMRDPkHkaJpm2zbq1WKeW8aV0fIk8XOoQia7 + 5QINI/qWekROc7PZbDabq1evXrVqVbFY7HetyBlo9DasUkVRBijzWJrLmKHXNA3322+qvpw9MOVy + 2bKsgwcPfv/73y+VSul0evfu3a985SuReYLoMiJCDXHbtqH5ZcEDeOr6q4E9o2Ph0t6Gi0/iOA5C + vHBdy7LwZ3+gmvRV9ntOpPMEtcsurj8OWQ7ZBqmD96K/8AYtp07hkF6vh1WSksmknFyQzaPlAEtc + 2rZtx3GazWalUpmamnryySfx61Gr1bZv314oFFBF4DmU5WAYhmEGkYExmJjnF7FcdBi2S6fTgV2O + dR4gPLCmRKvVevDBB0+cONFqtYIgmJiYuOWWW3bv3j0+Pq7rOtQLPT3uHOZIMpnEn/12eSKRWLly + 5Q033JBKpVavXn369OmpqalTp06l02k5WYvZXJzH8zzUNTJN03EcWD+9Xs9xnMXFxfPnzwdB8MQT + T8zNzWFiGOtOpFIpVVVPnDhRLpeLxeL09HShUICJFo/HUfa33W6jyrMQotlsDg8P03L+PaLg8vk8 + 6iaZpum6Liake71eKpWClvN9v1wuj4+Pw8WEZTqQolAqlWDMZbPZdevWJZNJ27ZhnHmeJ7OJLlAs + A2TrS5eXoih79+6dmpqanZ2dm5uD08y2bZiwzWZzbGyMiJBHDpEpV/YQT1+yw/d92L6ojYuN/U6G + /mWCPM/zfR+BT67rwgh2XRf5Ia1WCwF7/V2KhnW73W63i2wTWq4RDPXoOI708vm+j7OhDXBcmKap + KIrMYupf6QXGd7fb1XV9cnLScZxDhw4dPHjQ9/3du3fv27dv165d2WwWY0Aa8Ti/jLeUvdFv6Mst + Fz+FSw+Yi0+Cq8vryjeU+l5SmUX2jJkkcj7iGelX6T91odVMJiPb+YzNu+C68EEVi8UNGzbs2rXr + 5MmT58+ff+qpp370ox91Oh3LshCThroIAxSKyTAMwzwHWMC8TBHLS2XLdSds24bXAnWNIGxgFui6 + vrS0lM1m16xZs2PHjp07d65duxYxYz/vdePxOBYPQUx/Pp9fWFjo9Xqo0YwAFdd16/V6tVptt9vx + eLxUKj322GPwC8FgtSwL/19aWoLVW6lUFEWJx+OpVMpxHNxUuVxeWFhot9tRFOVyOfhbEIeDRTY7 + nc7CwoLjONVqVa7Qh0Ca+fn5crls23axWDx9+jTyGYjIsixMmZdKpXK5XKvVbNvetGnTxMREJpMx + TbPZbB4/fvzJJ5+s1+uw3aWlPkD65KciBYZpmsViMZPJYJGQp556CsuVdrtdFKEiIlkBAkBnwieG + 9VJkNW3IG4QM0XKxBFruQykjVVWVFb0RfSRreSFtIx6PYzlI6A2kWJTL5ampKVQxzufzSESBboEK + arfbk5OTEPOlUml+fj6fzycSCcRQ+b4PQ5mIUPMXYjsWi2H633GcY8eOTU5O+r5/8uRJz/OKxeLE + xMSuXbs2bdqEXnrxn9SVAeQQRlEqlTJNM5VKrVu3LpFITE1NnT17tlQqwUuDJS9f6vYyDMMwLyws + YF6mINUEViMRtVqtTqcDK0GGzsNlkUqlstns9ddfv379+quuumrTpk25XA5T0c/hup1OB3n5GzZs + GB0d3bNnT7PZxAS2tGtd111cXJyZmSmXywcOHFhcXCyVSrAUIWAQEoZKr2EYnj9/noiiKDJNE06V + KIpwg71eTwhx4sSJ/lUFMb8Ou7bX6w0NDaEuLQK64ASwLAt5w9lsNpPJyLC0eDyOPGnci+u6x48f + 37Fjx/r168fHxzOZzMLCwhNPPPHUU0+1223Y1uryqpqyE66APGMZiQdrcsWKFUNDQ0tLS08++eT2 + 7duHh4exaiHm4OXKjHh28LDJdUvllD/ODK8gEtalEwCfxfKShdiIp09EqEcMlQj7VUYlLS0t1ev1 + +fn5c+fOnT59+tSpUwsLC5ZlrV27ttFo2La9cuVK27ZnZ2dnZmaWlpaOHj2K+lfJZBK1hsfGxoaH + h9etWwefD8r4nj59Oh6PYzFHIUSlUqnVao1G48iRIydPntQ0bdWqVatXr77qqqt27ty5bt26QqGQ + Tqdf9Kd0RdEfl5jNZpPJ5KpVq1Kp1KpVq0ZGRtLp9OLiIqYhLu35YRiGYa4AWMC8TAmCQAiRy+X2 + 7NmD2eVutxuLxeCBkXnAWDVvZGTk6quvHh8fn5iYkMHuzy1nA1KBiGKxGOqx9pdLouVFYPL5/Ojo + aLPZ3Lp1a71ebzab0CSoJxZFEaSOrJ2KfH1FUWROP5qnLBdP6y/kip0R4o/8aRnU1J9Fg6PgsZHZ + zMPDw5ZlaZqWyWQwqY/qSadPny6VSpqmWZblOM6GDRtQVXbFihWIZ5PR+VdGjddYLAZJmUgkFEXZ + tGnT3r17u93uqVOnNE3DgjC6rrfbbdM0O51OPp+HNwYLCslqUbKgAhKcaDk+EGsNwQ2IMlM4sD/H + CW4xPDWsk1ipVBqNxokTJ6ampur1OpQnvHmdTicIgjVr1mDlk1arVa/XH3roIayEiKXrTdPctm2b + bBv8P5Zl+b6/sLDgui7y8rFsEbw9cAw2Gg2EpaVSqb179xaLxTVr1qxevXrr1q0TExMYAC/xAxtw + pMdP5pthQczNmzfncjnElc3NzW3ZsmWAEskYhmGY5wz/1r9MgUE/PDy8d+/ezZs3Y8XJeDwOqYB9 + YBoODQ3l83lMisM4iPrWm/95kTnKcnFJ2CIIx8dGVVXT6fTY2JjneYZhYEYcV4TqQKEzmLxSwwRB + 4LpufzkymajQ6/VkajJqHMO5pKqqLDiLM8vcG6gaIpLWNvw/MKARqwbnz9GjR8+dO/foo48i83h4 + eHjjxo3XXHPNVVddNTExYRjG0NCQ7HOZB/ILPr7LAVkqt9frbdy48Q1veMMjjzzy8MMPVyqVVatW + we2Avk0kEsgbgZ8EZSFoOdUBD1GG/aBvkaqUSCTgS8HAE0JYloVIIXhyZMZUo9FASOHCwsLc3Nz0 + 9PTMzIyiKJVKBSOhWCxu2bJly5YtKLx74MCBEydOHDlyBGub5HK51atXj46Obt68OZvNIrOr0WjM + z8+XSiUUlIPDp9FoYEEVzPTXajW0M5/Pr127dvPmzRs3btywYQPcetlsVmbRyKC4l+p5DTT9Djrq + KxliGMbKlStTqdSaNWsqlUo6nb4yXi6GYRjm0rCAeZki15dAYrGu6ygKhO2yyGkYhjAlpXShZ0/w + /VmwbVvOnsrSQ7SsQKBJMLGNiCBMvctALLmqDC2bMrIlyIlHnSXUn5Xr+uGctGxHYsqflq0iJDNA + qMAalond8qK0nCxEy2nfRKTrOlYNHx8fv/baayGBDMPIZrMjIyO5XC6RSIyMjEilB3l2xRhY8kaC + ICgWi9dffz0WD1lcXJydnS2Xy9PT048++mg2m00kEul02jTN4eFhz/POnz8vDVAsLZ9Op1OpFNQm + ViZpNBrQ0pBJrVYLy4NEUVSpVBYWFg4fPtxsNjudTqfTQXBXp9Op1+twrQRBMDQ0lMvldu3ahfUW + R0dH165du2LFCqS+FAqF7du3VyoV0zSHhoZM04RwSqVSWAYelS02bNhQq9UQXYn1VbrdLlxP8ATG + 43Ecm0gkUqlUOp0eGhrKZDLFYrH/NbmSnvvlgLK8AA46Vq6k+RzqCjIMwzCDiMKF81+2wL8hK7fi + TyKSoVz4/GzZ55hHl4WDfkbk5fq3yJyQC+w8xPbIqqyQGVK9oOpALBZDtSjEv8m4MlpWO/C6SAEj + zy+LzEq3T/+9yxuHnXpBQSfYsv1JGouLixBaz1amCc2QnoQryZxFV/i+PzU1deTIkePHjx87dqxW + q8FtBS8KIg/T6TTyRjRNW7FiRS6X27ZtGxHB5YKHtbCwMDk5mUqltm/fLpdu7Ha7YRh2u93FxcVa + rbZ///6tW7cmEol6vY4UfMge1DobGhpauXLl2rVr16xZs3PnTqzDmEgkcAnZ4Far5TgO5AcGAEqN + QcqiIplM0YFoQYYVhLGqqp7nEZGu65Ax/QMbLqaL64Axzw1426ivrgPeX/QwEq5QCfCKWWeJYRiG + uQQsYF6+WJZlmiasTOoTMDDZZSg5rO1+14fkFzTE+4Nq+oXNM14LxiJaiOvKxTQ8z0N4Erb0r8on + T3ixBCIi7N8v0rCkNyxp5enriGMjekkatTIXKBaLIfdGxtfhPFIR9S/KIdcgH1zQdXJVEGQTaZq2 + uLgIDwnkAcK9EN0H/0kQBPV6XQ42KRWQzWIYhmVZpVIpFoutX78eqUrIQULxa6iFqampq666ClF8 + mUwGnhMsSpNOp/P5fDabhWvF9/3R0VGEhCEQEVL2gsEgRawcVP2yFklWSLmBXkJdMugf0bf6JPZ3 + Xbdf2PeHJnII2S/Cxb8MeF6WZSEG9QqbGmAYhmGeDRYwDMM8n6D+QavVqtVq1Wq1Xq9bliWrHTQa + jXq93mg0UMOt0WgEQeB5HpaEl0sAyTg9WZ4uHo8bhoFQNATpjY6Ojo6ODg8PJ5PJzZs3Q7rk8/l0 + Oi0zJVgwMAzDMMyVBwsYhmGeN+SClXILHHqyVvKzTZDD0yX3UZ6+GPxPDcSyLAtJSv0hgjJmj2EY + hmGYKwkWMAzDvIBcuvoWQrnwGRFWFygcaBi5T39kozzqhWg2wzAMwzCXLSxgGIZ5/pGqQ5Zn6P9K + /l8Km/79kTv0jBkjsnyZRCax9Eua/kwnhmEYhmHCdzUAAAAgAElEQVSuMFjAMAzzPNNf4lZuoZ/m + LYE4wZ5ykZ/nrEPQBs6BYRiGYZgrDxYwDMM8nyB3BUi/SiKRwLdRH0T0c5XhRskyFNLF8j79X10c + eybLZzMMwzAMcyXBAoZhmOeNS4R+PWMGv+u6z7gEEKqQyQLEkouX2YFMQj1rdRl8xR4YhmEYhrki + YQHDMMzzxjN6QvqXFaLl4C788vy86zxeLJAgYPrP0/+bxpkwDMMwDHPlwQKGYRiGYRiGYZiBgeMr + GIZhGIZhGIYZGFjAMAzDMAzDMAwzMLCAYRiGYRiGYRhmYGABwzAMwzAMwzDMwMAChmEYhmEYhmGY + gYEFDMMwDMMwDMMwAwMLGIZhGIZhGIZhBgYWMAzDMAzDMAzDDAwsYBiGYRiGYRiGGRhYwDAMwzAM + wzAMMzCwgGEYhmEYhmEYZmBgAcMwDMMwDMMwzMDAAoZhGIZhGIZhmIGBBQzDMAzDMAzDMAMDCxiG + YRiGYRiGYQaGy07ABNQOySdBFBAFRIKIeh41e2QvhtES0RLRnEctonm316NeK6IeUc0ni8gianrU + i8gj6roiJHK9iIgCzxdhRCIiEbWIQiLyauTX/OZSQNRwiEIiv0v2AvnNbsephdQj8nyLghqFPeF7 + DYfaRDWfBBEFbbJmyJsjZ8lzXIeo5lKz41Hkktfww0AQOa7vuKEgEkRCkG1TSBQu35NH1HZFT5BL + RESu64ehIKLQj6KIiH5y93ZEDlGPqC5oMaQ60RJRg6hOVPXJIvKIBJHrWCQCinwSQRiGIZFH5BBZ + RAs9WuiIHlFDUJuoTVTqkkMU4Bo4KoqIyCOyiDqXfDSVSoWIgiDwPI+IwjD0fT8MQyFEGIbYp91u + 40Ov18M+rut6nieEcBwHX9XrdZzBtm0iajab8hKdzv9oQhRFP+kOItdFV5FlWXKjPEOr1SIiIcRP + HV2yGZZlEZHv+0SELWjw0tKSvJzjOLgv7IbPURT5vo/247rYiA9hGOJsQRAEQSAvZ9s2DrcsC+0U + QuAoIQQuFwTBJVqOPkRLLMtqNBrY3u120QCcFpfDCT3PC4IAz6i/D/EV7hcdjtt5RtBRYRiiAf2N + 9H1f/on7lV/hWr1ez/d9tLBWq8kL4S76wbPGDeJC/a3Cn2hJ/4OQt9/tdnF1eQg+4Ft5BgxOefUw + DOW4dV1Xjh/Xdfu7UZ4EfWXbtuyHIAgwAoloYWFh//79Dz300NTUVBAEaJ7nebiEfHb9p6K+96X/ + uv1txo3LQdXf81EUyb7yfR8tkVeRLex0OrKd+H+325VHyUtgPGCjEELeF55s/8tIyy+LfBau6+KO + 8C7jUcq+RTtln8hnJ/+0LAtdjS1yoAZB0D9oqe/Hh57+vshnJJuEG8S4xXb5q9Xfw2EY4qL9Z8PL + FUXRBVfHIWiDfDry/GEYygajf9rttu/78ldC3pE8Vnaj7O1n7GQ5KlzXlXv2DxV5YK/XE0LIN8Xz + PM/z8BUeTblcpmd6ARmGYQaOy07AEBFRdPEmQSKhqbU2EVHCICLSNN0lnxQiIt+nnk1EFDcoppLr + kaYpRKRpKhEJRVVUlUi1u1bLoSAiYbukkJ7NRERKDN2gkOsTqalMXNeIiAw9TiIiIiUWS8T7mqWo + FDMoFBQzdNP0BZkmpTMGkRq2mp5jKxTFTT1uaiIkq+v5HukxClwKXHId4dgRCUqaiqmQH0REpKoq + /knTNFVVKYpoaalJRJb1k39TXZdMjYjI8smLSCMydFKJPJ+6XcuMxymKSMH9ap4fuR75IfkRZVNE + qjJ5cn7mfGtqpm0HNJQm16eo39RXIiJSl/+7BKOjo/jX1zAMXEvXdSJSFAX/OtZqtWw2S0RRFCWT + SSIKgsA0TcMw5FGO4+TzecMwHMdJJBJLS0vDw8O0bIJkMhla/rdZVVVVVWEYSVszmUyqqup5Xr9o + GRoaoqf/2/+MwJqJx+OVSiWZTOKE3/jGN/7iL/6i2WymUqlutzsyMkJEpmk2m814PK5pWqvVwm3C + NFFV1XEcwzB6vd7Q0BAUgjR0wjCMx+OWZcVisVgsZtt2PB5HJ+BUuO4dd9zx1FNPSXPNNE16usC4 + GMMwFEXRdX1hYSGZTOZyORySTqfRKlhgmqbVajW03zCMU6dOff/73/d9f2lpyTRNy7KCIAjDEGfD + aXHUs103mUx2u12cTVGUWCxGROVy2fd9XdfxJ8x0RVGkZYa+TaVSuq6n0+l2u42OhWkVi8WiKLIs + q91uowNxC7hBwzD6DSwoRiKKx+O4lqZplmXBkkulUkSUTqfREmnzoSXonFqthr7FmERfBUGgaZq8 + cdM0FUWp1+tRFJmmiWvhucAopOXBmUgkFEWB2aooSiKRmJub+/CHP7x169bXvOY1N99887XXXnvH + HXfA4jcMQ9M0jGdd19vtNlqSyWSEEEIIvC9yB9yFvHHXdbvdbjKZRHv65ZaiKKqq4qFgYMOA1nU9 + iqJ2u43RFYvFMplMLBZLJBLyDLFYDE9fURQo9mQyic5BM3Bf+BMjGZdDnzuOg24nolarFYahaZqZ + TAZjAD3s+z5uvF6vo50aft2WDX10LPRbMpmUN+44jtQSmqbJ7RAVsVgMI7Z/0DqOgwfd6XR830fP + Y8zgNwrqDtv7h7qiKPjT8zzsX6/XiQgvF+6r2+2iezF1glcA3S6E8DwPPw4YUbFYDGMmlUr5vn/T + TTdlMpm7774bo112ppxTSKVS0IqJRAI7oJNbrVa73U6lUniIePpCCPlcbNuWvYQpJPx+plIpRVGS + yWS1WvV93zAM+ab/67/+ayaTmZiYOHHiBNrMMAwz0MR++i4vLYJIISJVIa3thO//vbvtXiymUq9T + Gh+PJRLuYknkcjnTNG/+n17zX9/yX+IGffvBH3zhbz+/Yqz43nf/zoYNG1KJWK/XGx7OkqBEOp1W + SCGimE6RQooaEdkOZdKkkUqRgA3vRWTCllcUwkyeIKFQFFFEpAUhKSqRIEULBDkhmTqFRDFStfRQ + KpHwPScWM6KQoohSKYMEEZGmkaKQSUoolCgkUgRplIipRKRpGuY3VYWIqNfrzczM/O77/9d6s53N + jdgeeREls0OttiVURbjtFeNjt7z25l//tdsTOilKnEjtdjrpTMbx/HgiZuiqRvTjIyc/+7dfmC3N + K4pWrTXiiaRuxq+99to73/qWdRNjqeHYT/p2uZMVhRT09CX5nd/5nW9961thGFar1UQikUgkRkZG + 7rjjjjvvvHNkZCSXywVBUKvVxsbGfN+vVqvpdPqee+75yEc+omnaxz/+8Te/+c2w2Gj5X+Ubb7yx + 1+u1Wq1isQjLG6eFYaRpGqRCsVj84Ac/+M53vlPXdWghwzA6nc61115bq9XS6fSxY8cymQxMgWds + ueM48XgcAqxYLMKU+exnP/t7v/d7d9999/DwsBDiXe9617e//e1rrrnm/vvvh6x68MEHf+M3fgPz + x57nDQ0Nua67devWcrl811133XDDDa997Wvj8XgsFiuXy2NjY4ZhlMvlG264YW5uLh6Pr1q1yrbt + TqcjhBgZGalWq3/4h3/4oQ99KB6Pv/nNb/7iF7/4ile8Av1g2zaMnkvYFktLS8lkcsWKFc1m8zOf + +cwnPvGJTCZz4sQJwzBM04Tlqut6Npu1LOuhhx5629ve5jiOaZqu677+9a///Oc/v3btWmlcwqyB + vXUJAYMuhYHY6/VguY6NjclehcUvz/Dud7/7e9/73ubNm//xH/8xn8/jjtLpNI7FeaBdpcUMoihC + JxAR2gbjVVGUf/qnf7r77rvz+fzXvva1rVu30rIUwbfdbhdGrWEYMD3hQ8AHXddTqRQ6OYqiTqcj + rXla9vwoioIr5nK5MAylnQ2lVygUoFgMw1haWioUCrIBOOob3/jGvffe2+l09u7dWygUjh49ats2 + 5HcYht1uFwKbiLLZLGxliARs9H0fe0oTv9PpKIqSTqc1TcMD8jwPti8OQTfCq4PXQSqKXq+XTCbl + W2bbtu/76XTasqxkMtnfvd1uN51OFwoFGOJQKbIrwjBstVqmaZqmiXcnFovF43H0CUZjLpfLZDLy + 0YdhKDsWNxKPx+XwjsVi0tY3TdP3/WQyiWPlsFdVFfu7rhtFkTyk2+3iyUZR5DiOlBDtdjubzfq+ + H4/HoyhKJBLoIjQSigK3E4/H8cG2bagpWnae4McEzZbtx8DWNA1djR8ifAUhgXkHTM1IMR8EAVo1 + PDys67rjOK7rVioVOahwj/LqsVhMbpcjFj+AshmJRKLb7ULx4nL98hJq0DTNbDYLly964w1veEO5 + XP6t3/qtj3/845C1hmFA5Kxbt44YhmEGn8vQA3PxPPpP3APZuNZsdmu1BolYTDNLs4sHn3zKdd3F + +YX50qxlWfgnJgiCxcXKU8eOjxRHE4lYRJRKZyNB7a5NRDaRT6ToJmkGqXpEZCbJ8YmUGMVTpCgR + EQnqOWTbNqkqgsAch9yQDJO8gIQfkhKjmCncIFRI08kl8olcPyIzRSJwbUtRSIupuhEjQVFEYUgK + EQnyvUhTSI9RGDjC9zQKiEhVKRZThRAiIiLClOrs7KyqqtVqFTNz09PT8P4bhnH69GnPsUydiCgW + U4koPZwj+Q9hKFQiIUSzVgsDb92GTbf98u0rJlYJRfvGfz7w/3z+b2dmS31RFERCEEUqkUL0rGbs + cpBDtVpdXFysVqtjY2OaptXr9U6n86lPfep1r3vdr/3ar8FMgXWr6/rKlSuz2exHP/rRhYWFUqn0 + uc99DnYV5hdd1+31ektLS0tLS7Zt12o1THXPzc1NTU1Vq9Vut1utVufm5prN5tTUVKPRkDFXROT7 + /te//vWpqSmoqccff5yWRdEzgqPgmsBc+4MPPvjhD39406ZNv/mbvxkEQbvdNk2zXq+fOHEikUjg + fnO53Pz8/NLSkud5pmliLv+xxx5rNBof//jH3/jGN77pTW+q1+uKouCuwzAcGxuT8UsnTpyYmZlp + tVqdTmdmZqbdbs/MzDSbzQ984APT09N33XUX5pUbjQacUZdQLwh3gd188uTJT37yk0EQLCwsVCqV + eDzeHw+m6/pf/dVfvfnNb47FYvl8/vbbbyeihx56aMOGDRhCUh7IYLZnf+yk6zrsrU6ngw9y+rzX + 68XjcZihtm3DjaAoyunTp5eWlvL5PC3biIqipFIp27bRzkQiISeh5QnhGMEOMEN93280GkEQjI+P + Ly4uTk5ObtiwATPf8E7gdtLpNGzQRqOBLbqux+NxIYSu651OxzAMz/MWFxdh6BuGAbEURZGqqrIf + 4AKKxWK4EU3Ter2e4ziapslIJKiXSqUCga0oiuM4X/7yl+fm5m677bZvfOMb3/zmN5966qmHH34Y + +2uaBvXSbrcxu28YRv+cPVorp8nhlslkMrCbPc+DEWwYBprX75uCuQzfEba4riulURRFQRAkEols + Nquqajqdxjw9xrl8WRzHwdjGbTqOs+wN1oaHh+GElA4uuCyg+gqFgqZpQRDg7YBb0jAMGckWBEG3 + 24XRLP0GQgjTNMMwxLjCMJDDvt1ut1ot3/cxkYHewCO2bdt1XVVV4YNF12UyGc/zkskkdoPPs1ar + YaDGYjGMMfRJGIaWZSUSCXyAFwuyRDruiMiyrGazaZomLoTZhyAIZMgWfoVkmxVFkQGctm1rmpbL + 5RDT6LpuJpPB5IL0ssqIMqlX5finp0eylcvlZDIp5YoMH70g1lRRFGzB7aPZ1Wp1fn4+mUzW63Vd + 113XRa/iifdH3DEMwwwq4jLDF41AOCISwhfCFyIUQjiu6HaE1xTihv/y37e/5nf/j088MLkgznfC + pgjnWuG5Jeeps9WKJWwhOqE4crr0+Xu++oV//GrX/8k5gr7/5oVoCyEiX4SO7YQtIWpCdEMhHFd4 + HeHZrhBNIVpCuL4ngobwu75tdULRFKIphCOEcC3hN4TfEmHYE6IqxDlP1CLhCyFCTwhHCE9EvohC + q9uzunaIuwhF5Itex3FtT0RCiFAI3/d6vh/ixsNQuLaHD2fPle79168/9NiP//nr3/n/Hj583/cO + /+3/+8jX9p/6v7/0jX2/+o6rb/qVr3xzf8MV5ablhsJ1XZzddSwhRLPVsXyx2HK+9eiTlW7YEqIp + xKmG+I8DM/v+5/dsfcWvfOoL/+rikpEvQkeEjoh8EYlACP+Sj8bzvDvuuIOINm7ceODAgampqaee + euoDH/gAooNyudwDDzwAy0AIgX/4H3zwQSIaGhoyTTOVSj3++ONCCETD45zT09PVanVmZmZqaqpe + rx87dgwi573vfe/i4uLi4mKlUnEcp1KptNvt/pZEUXTDDTdg50QisW/fPiEEoikuDS5dqVQwl/+f + //mf8qvbbruNiFavXt3r9RDm/uSTTxJRMpn82Mc+NjU1NT09ffLkyQcffPAv//IvYfpkMpmdO3fu + 378fZ8BdE1EqlXrnO985Pz8/PT0NCXT+/Pn5+XmcVgjxx3/8x0T0pje9qf/AS7RffnX06NGJiQm8 + vLqunzlzRggB0xAJNo888giM5j/5kz+Rnbxt27Z0Or179250PiJt8C2OugSIOkPXWZbVv7/Mg4KJ + b9v2/fff/4EPfOBf/uVfhBCNRgNH4YryKITm4zNUimyMTKmCmhVCdLvdQ4cOffjDH/7Qhz4khHBd + FwOs/2zycFwOwkNu/PGPf3zjjTfu2LFjcnJSJnjI/nQcB52PxmCOv/9beWYY5Rc/FNiXH/3oR7ER + 0kgI0el0Wq2WzAED7XZbpjOhMZ7nybEdBAHSUaBb+q/e7XZlH/Z6Pdu28dDlt/JP5Jz0jyWE6vU3 + 3vf9Xq/Xv6X/EMuy5LXkPuhnbK9UKmLZ/yPvGn1+wdNB25B1g4QT0feU5RWbzeYF4xAPuv8u4G24 + 4ORoA6RvpVLZt2/f7t2777vvPsuywjDs76X+IScuIoqiZrMp+l60ix837k4eDtkmP2Nn3Cluc9Om + Tclk8q1vfWv/1eXJ2+12f4fj6jjw8ccfv+WWW7Zv397/i3FBx/bfRRAErVZLNqPdbn/2s5/9gz/4 + g29+85toVRRFn/vc5/plJMMwzKBzeQoY6yIBY9UiqybEvrf8b1tf9d//z7/4blOIRUc0hajaoh2J + TihsIWwhupGwhahZwhHCEaLa9lwhat2g6wtXiJYjSkLM4Qc88n0hpttiQYgajHfPirqduiVqQjQF + VEZD+F3he7YQNSHKkViyhIhC4bXD5qIQoi7E2VDMCVETwhGiUlmyrbYQvm33PC8QkQhCEYai0wln + ZqpRJCIhRIR/e0Ih/MC3PC+Q1pfd+8k/h7YTOKFwhWh6oivETFvUhagJ8cG/uGfnq277vT/807YQ + jhB2IAIhOp2O7zmea9tWVwjhB5EvhCvE+WrbFqIpxNmuqAlRFuK/vv9Ptr76zb/5gY84uDsImMAR + oSeiUAhxoXXwdFqt1p133gkBgy2wOe69917Y0+9973tFnyUUBMHb3vY2ZA4QkWEYH/zgB4UQ8L3g + hDhPGIYwImVM+e///u8LIWzbxiUQgw4TGYecOHECp8Vs68aNGxcWFqQl+oz0m4Mf+9jHiOjOO+8U + y1Z4EASve93risXi+vXrYSuEYXj48GFkSnz5y1/Ggfiq0Wg0Go1f//VfRxt+9Vd/Fe1E84jINE3E + noll20XaZ4jyD4Ign8+n0+lvf/vb0o65dPthi7zjHe8govXr1+PGp6enZTI39vnlX/5lIrrjjjvK + 5TK2R1H0+OOPY4r3O9/5Tn9XQJNc4qLyzP0ipNFoyAfRbwcjT6DT6eAo2OUy6RnUajV5ZigctKdf + w6BDpJzAdsxqX2AIlstlfOjXCTDjcF/w1EFSnjhxAju0223HcZaWlmSHO44Dp4rsDViiF1xL3inM + zVarhcl7wzA++9nP4iiY6dVqVfYPOmFpaUmeSl631WrhnAgtu7j/kX8vG2NZVn/DZP9EUSRHEWon + iGUtJ8Vnf9fV63XZ25Bw6H+k44u+EdLtduVnlASQlnSr1eqXPZ7n4bS4utyt3/L2fd9xHKQ/yW/7 + VQpO2L8FahOqEk8ZYwyPQN6IEKJUKsFz9aUvfam/i6QClyfEzcqhi4i1/v6xLEs+o0ajIXug1+vh + 2GccJ7hxqb2vueYaVVXf/va34/But9s/5C5+EOioer2OEUtECwsL/X0iZRLodDrybGg8zgA1K1N3 + giBoNptf+MIXEDsXhqF87xiGYQaXyzAH5hlDyCJTSQREYSAymayhm0SUNEklMuNERLZHoUpEJATV + 2hYCim666aZESo+IkilNIao1vIWFhX/47pOZqL1rZWbLunWrt17zyA8ne474pe3rr9uQVqKYF0Wn + Z84/dnR6bCT7ltddG0OInaZHRA9//9S5c+e2rRv/5VftpkgRsYTl0z1ffUAbXvHKV+12GrZdPjd7 + 6rAfWP/tv71D1ZOKRh7RkwdPzs7OnTx5MpVKbdy4/urdV01MDCuxmOP6MV2NxUyN1DAUyyUHNCFI + UcgwtEghbzm7Jp0mInr8ydJjjz22cnz0Dbfeosvgr58ExEdEpCMCQdEUIpVotJAJIiKVZGJwGInh + XC6eSD49ZggdrpBQtUsmwcgocARmBEGAKJRf+qVfQuI1EoJ1XccOtVrtn//5n4UQf/RHf3Tvvfee + PHnynnvu+dM//VMZRaNpmhDCdd14PJ5Op33fRxAU4teJCOm/Qoher4ekFCKybVvX9b/5m78hotHR + 0Y985CO/+7u/WyqV/uzP/uzTn/70szUeKbmIxun1ep/4xCdM03zPe96DQHkY9wi9EEIgyiiKIsRa + ID8BZhDCMGKxWDqd/uIXv3jkyJHp6el///d/P3z48I033ogkAVoOocFtIthd13XkIeAMzWbz7rvv + /uAHP/ilL31p7969iUQCcfzP1n7konzyk5+87777EonE3//93996662wlfvrO505c+bhhx9WVfWm + m25CPyNY//rrrx8ZGbEs64tf/OJNN92ENgDTNIUQMgzpApB09Od//udE9I53vMMwjMOHD3/zm988 + d+7c3r17X//61+/ZswfPEUkIDzzwwOTkZCKReM973oOMC1VV77333kajccMNN1x//fXnzp37yle+ + 8vWvf310dPRd73rXzp07kcPWbDYRqoSYIqhKZEUfOnTo/vvvz2Qy73vf+9ClvV7va1/7Wrlczmaz + 7373uw8fPjw1NXXfffd5nnf77be/5S1vkbkZX/nKV/bv3497+eu//uu1a9dGUfT2t7991apVMnDr + wIED991335kzZzKZzGtf+9prr71227ZtMuHEtu2/+7u/s2371ltvNU3z0Ucf3b9/f6lU+sxnPvPw + ww/PzMzgEd9zzz0IjLztttuuu+66QqHg+/7+/fsfffTRs2fPlkqlnTt3/vZv//b27duRHoOTIyjL + tu0f/vCHjz322JNPPul53po1a/bs2fPKV75y165dtm3DKJ+dnX3ggQcefPDBKIquvvrqX/mVX9m1 + a5dMxlAUxbIsRKMhzrA/p8h13fvvv//AgQNHjhxJp9MrV64cHx9///vfjyC6RCJhmubk5OQTTzzx + rW99q9VqXXfddddff/26deu2b9+O4hYYMMeOHTt8+PD09PRHPvKRVqt15MiRr371q41GY9OmTXfe + eee2bdvg+vM8r9vtfvrTn06n03fccceWLVsOHz78pS99aWZmZuvWrW984xv37duH20fafT6fdxzn + 29/+9iOPPDI5Oblz585Xv/rV+/btQ96LLOZRKBQMw9i/f/+BAweOHj3abDYnJiY2bdq0YcOGO+64 + 45FHHtm/fz9ehPvvvx/q/RWveMW+ffvkaD906NAjjzxy5MgRy7JWr1591VVXve51r1uxYgV+2XRd + 7/V6Bw8efPTRRw3DeN/73jc9PX3PPffMzc299rWvfetb3yofGREhGk2+Ju12++GHH56amnrsscfi + 8fitt956880353I5BI/JmD08junp6R/84AcHDx48cuTIzTffvGfPnte85jXZbBa/Tl/96lenpqZw + 2k996lPj4+P1ev2uu+7aunWrqqpRFD3yyCOPP/74yZMnZ2dnV65c+Za3vOXWW29FrQL8+Gia9g// + 8A9BEFx99dWvetWriGhoaGhoaAhhjZ7nyd9ShmGYAeYlFE/PiC9qF3lgPFc4HSEaQmx59f/yS7f9 + X//7n39vritaQvz/7L13nFT1vf//PmV63YWFpQsiRYoKCoINEEEUY0QQQVQwBDUaRBSDJUq45iYR + E8USNXaxI4LmamwoFgSjYqOKIEtZtu/s1DNzyvv3x2vnw3Epmnvv94b9PT7Phw+cnTlzzqedM+/3 + 591SzI1Gs7EFFpjaDL/4X++ePObnJ4w8pybLGebqTPO/N/3nfcPOOH/Q5Ln9Rk045vih48f/7Hd/ + fqjv6BkDz5373Dub8iZzwcymc0+/8v5Rp11w1ozr9tTUs5PifBM7XGPwL265p//oabfc+bDFbOXS + 7Ngbv6866tSJwy+59YF3v7/45vuHjbtw2Kmjp11+FVpSmXSWvPLu8LEXDh0z5fjTLzh53LSBJ42f + fvUtn23caTDnmZuyeey4FgpW8/6gzXiRLzgmc22ykGOuyXETc0WWJ/7qd31GTr7jgScyzE0mZyw2 + mVO55gzFjm0y247jmJaTzObTeRt2mIo0NzBXMv9ztzns/F+dNPFXjyxflYaxxbHZNtjKspVtNsI4 + B5kVZuyAnnPOOR6Pp0ePHsLvJZ1Of/rpp5CxfvnLXwoPCsdx7rjjDrHGZsyYgV/Nxx57zO1Gws0j + sG/vE3LnFVdcgT/FBie+hd1TOPeXlJTMmzdv+/bt+EqvXr323zXft65Mk4v7yitWrIBIge1My7Lg + EnPOOecQ0THHHCM2pFetWgUl7bXXXsOeK/xYhEMOrE+BQGDOnDlVVVVoJ0J+p06dKropNtfFjims + Ioi/r6ysZOadO3ce6sZgXrduHcbz4YcfFiuunIQAACAASURBVPHHu3btEudvbGx8/vnnPR6Pqqrw + 1nMP4JVXXklEyEMgxsQpJi8+2EWz2ezXX3+NLz733HPnnnsuufJllZWV3XfffRgZjO306dP9fn// + /v25uAy4mGtr/vz5jz/+OKRSEV19zz33uLft3S8QRZBMJpcsWUJEwWCwvr6+UCig/VBmBg0atHXr + Viw/oYNNmjQJ5zQMo0ePHnjTHR+1bNkyWJCSyeSCBQvKy8vxPjo1YsSIjRs3cnErPZ1Od+vWjYiu + v/76OXPm4FrhcHjv3r1nn302vghZFhEyv/3tb7E8jjrqKCLq0KEDjsEgTJgwAaYYaGjMvGfPHhjN + cB4s5tLS0j/84Q9iFpYuXYrYa6GflJaWLl++3D1TaK2wbIhhXL58eZ8+fUTf0YxoNLpu3Trh8XXP + PfdAiI/H40JzO+mkk+AbiSVkWdZDDz2Eidi+fftxxx2HIcXxsVgskUi4XUNx5Ouvvz579mwcg6kv + Ly9/+eWX2WWpqK6uvuiii9A7oWxcdtllO3bswAFoZCKRmD9/PsYHs4CleP7552M9iD6KvAI33HAD + Jrqpqemxxx5zJzEDZ5555jvvvCPsdbZtL126FErda6+91r17d4z2nDlzhFWWXeYpWIGYeeHChYhT + wmkjkcjIkSPRyClTpmA6cIbPP/9cTDfwer2LFi0S83jkkUeiX7BdY5BfffVVGNkuu+wyPEg9Hg/a + 5vV627dvj+cAFz39unfvTkS/+c1vuOix+fDDD2NY3DYriUQiab0chhaYAwPZRMTm+nzNWbMCPjJt + 8mikEJkOBQIUDIa9/iCpBX+AmChvU4Dojr/c+/77H6iaPnz48LNOuiJUaPzg3ZX/XPeF5XDByLOu + s0bEuj+k1zelPIFgMp2NlZYSZUjTHNtWVU3TPb5QyGKFiXRfiIiYlGhJm4Lt/OmOO6O62bdr1+ED + x5Qf1csgUog++2bzfQ8vIW/4zLFnjx07tr6+/j8W3rbxux3PLF1+429mh3zk6B7DprBGHo8mOolE + ZB6PYhfDOoN+someeWZ5IpFo27btlbMudRzSNVKJHCa/3+Mwwbbg8+mWZXo8nnDA25jM+L0hlSge + Iovoq3W7/vOOO+vq6iZNPP+c8acpRLZNGjnEzr5kZAp28Q+c1wH7oMyMZDvY8COiUCj0xBNPRKPR + dDo9evToUCgksuvcfffdqqpefPHF+Xz+uuuug6z/yCOPzJgxAyfEBrDIGQV5FxmZgsEg0kOFQiEY + QDKZTDgcxgJ46qmn4N0+ffr07t27n3XWWZ988sm33377+eefDxs2DPm4MpkMJAB2leBASqW33347 + n89DFoe+AdETSQjq6+tFkiu/34/g3UQiASGMmTVNg6ubaZo4iWVZO3bsaNu2LfI12bYdi8VEYiUq + Vn1ByDiOURQFW6qGYaxZs2bs2LFdunQhV1ZlAMctzO+UKVM8Hs+kSZOmT58u8hcjxwM8Q+LxeF1d + HQwXkGDy+TySsdq23bVrV5/Phxhi2J1E7K+u68iy5Z5x7DH7/X6R82369On5fL5nz54XXnhhU1PT + o48+WltbO2/evFNOOaV///5I04xCLuFwGLOGBE0484svvgi5dvTo0X369LnvvvuI6IYbbhg7dmxJ + SQl6hMFx5zhGoDYVcweLhdfQ0GCaZmVl5dFHH21Z1nHHHTd27Ng333zzq6++Wrp06YwZM8aNG8fM + N91009atW//0pz8ZhgHrX4cOHYYNG4aTv/zyywsWLPB6vXPmzLnkkku2bNkyd+7cVatWXXvttW+8 + 8QaWAS6nKMojjzySSCTi8fjkyZOPPvroeDx+xRVXTJw4ccaMGaZpjh8//owzzggEAkOHDkUWrJqa + mlGjRs2aNatTp04VFRVPP/30G2+88frrr3/44Yc///nPsT4rKiqmTJmyZs0aDMvkyZP79u37xRdf + bN26tX///pim77777oILLigpKTnjjDPmz59v2/aCBQs+/vjjX//613379kUol2VZiGUXufh0Xc9k + MtXV1RdeeCHsEtddd92AAQPatWv35Zdf7tq1S9d1GD+XLFkye/ZsIho+fPi8efP8fv+ePXuuv/76 + 1atXX3DBBR988EHPnj2ZGZeAzeecc87ZsGHDgAEDRo0a9fXXX7/33nuZTOb6669/+OGHkRlMLKFf + //rX27Zt69Kly6hRo1RVffzxx6uqqhYtWnT22WdjeG3b/tWvfvXyyy9Ho9G77rqrT58+n3766Zw5 + c5YtW9ajR4+bb75ZrMwrr7zyhRdecBynT58+U6ZMGTVq1JYtWzZv3tyzZ89MJjNhwoR+/fpBS582 + bdrAgQO7du3avXt3GCVeeuml2bNn27Y9ePDgW2+9tU2bNl999dW8efPeeOON9evXf/zxx126dEHL + UbAol8tNnjw5k8mceOKJJ5544rhx4zKZDEx2mBQiSqVSsDg9/fTTt956KxFdeOGFF110kaIo77// + /qJFi0QqOdxlWMYTJkyoqKg47rjjFi5cGI1Gn3/++QceeGD+/Plnn312586dg8Hgvffeu3z58qee + eiqVSi1atMjr9cZisT59+iBbgKZppaWlN998c7du3dq3b//EE088/vjjtbW1d955J+zPsF4iLzyG + V+QbYGakwyZX6g6RPcL9p0QikbQC/i1q0yE4iAUm28D5BuZh515//Jk3n3fZX//8yId/+OsLdz22 + 5O4HHr/rr499sXEH7DApm19Z+cnQ0887dfyUiiZuYm5k/uz7xOjJVwwcMfGPj67YYnOC2WJOJJIv + vbVm0Hmzjxw3+/G3NmVtRiD7XU//o++ZM0+afHXKYeYsG0nLcpLMl958b48RU69acG/GYdtmh/mr + bbX9xl7a6fRZfc+7fvHyT6oMNpmrmeuZ65mPOfOSYedf/cclq7abvJe5mnnlxnT/0dNOv/DXX+1M + VqY5w5xp0Xln3/9N5lSBc8xNDm/cmz9t4uUnnXfF0yu/yTiccTjncN7hArO570tsmiZ21xxmhznR + lLro0ssGnnpO90GjRk3+1cipcx55/fMvqpwG5h0NZt5hx7LZyrOZKYbBmIiEOSDYVD799NOJqFev + XsyMeO6bb74ZC6lv376GYezZs4eZ0+n022+/jfdXr17NzLlcbtiwYaqqRiKRdevWYQsTIRPiEtiT + FjEw8I/Clqfwy8efp512mqZpZ5xxBrwm/vGPf0BKuOiii/ZvuYiFwJ+5XA4b55dddpk7PJ2ZR48e + ret6586dRbTx2rVr0YtnnnnG7WUudjGz2WxZWRkRdezYUVg2xM01ZMiQE044YeTIkf379z/ttNOe + f/55EbeNU2Hv/+67724RiCJKf+L4pqama665BoMMN/rvv/8eAkpNTY3wjE+lUjNnzoR0hca4TVv3 + 3HMPWrVlyxYubtXDoAGHN8MwEHSEennNS9FxVq9ejUkpLS1FpEdDQ4NlWe+88w6EoUsuuYSLYRgX + XXSRqqonnniiCO1gZuiHRDRgwAAYN5j5iSeewKx9/vnnXNzbFhvhGH+c5NFHHyUiZL2DNcAwjEsu + uQSJztq3b79ixQpcaO3ataqqRqPRG2+8kYvhE6+88orf7y8pKfnmm28Q5YLTNjQ0wCZwzTXXiDlF + 2gmPx7NhwwYxephiXdfPPfdc2E8SiYTIB4AiKgsWLHCHc+Tz+UQikU6nhZ3ho48+ggZ73333GYaB + W+DOO++Evjp9+nRY8GpraxHrhZ42NTUh1GrYsGEigry6uhq63JIlS8Ttibh8MWg4cvTo0Rj5e++9 + V1j/UqmUiIKorq4ePHgwEY0YMULE7SQSic8//xyTC1sozvnAAw8I+8ytt96KsA1RPem8887jol1l + 69at4i6YPn06llwikZg2bZoQoLFEV61ahaQUn3zyiWgh9IGuXbvibJlMZvXq1Zisvn37Ijej6Isw + g+CmiMViDz30kDsZw86dO/v27UtEgwcPxqjitG+++SYuPXv2bLECFy9ejLsyFou98cYb7ugsdyIE + NB7hQ8cccwwRjR49+vvvv+diCoTXX38d1r9LL71UxFbddNNNHo+nS5cu69atwwQlEgnkDrn44ovR + tlwut3z5ciLy+XybN28WDwG0sKmpSTx88vn87t27u3btKh59aKrjOCUlJX6/f968eaLBDz/8MBLZ + iWwB7mfvAd+RSCSSw5nDMI3y/k1yiEgnVSFisrPZzLbt37236u1XXn357/+1/L9eXfHaa69t2PCN + QmQzmSbpuq57PQ6p+YLFREz00cdrd++t1f2BcWf9LKJS3iKNKBaNDD5uEMoR6LqHFWImJmLSHVVl + RWeFiHTSdU1VmMi0mRQtFI4ykaKSaVIoEo5G4m1KSseccfrZ44aEfVTIOxqRQ7Tmy11VtfWseYed + cppXJ5OoIUt9+4Z0b6CuvnHXnt3Kj5m+TIu8HiIixyH4YXfu3HnsqP6aQppCikKKQmrRMAWRGTUE + iMgsFBSiYDDo07WO7cp6dD9iV8X3tVV733jjDWzYty3RWSFSVVJVUrXiWX5kMTAzvO2//fbbX/zi + F8OGDWvXrt3999+Piz722GOmaXbs2LGhoSEUCj300ENIezV8+HDUc4SPRyqVevLJJyG0Ib7l0BeF + VIHXlmWpqrpp06YPP/zQtu2LL75Y1/VUKnXmmWd27tyZiJ599tmamhoq7pqLWB0qOrqAb775hog6 + dOjw39huRHxONBpF7c5cLtetWzdd10U1EmYW19q1a9enn376ySefrF+/fs2aNZA7qZgp2+v1tmvX + TlGUzZs3uyuxIEMRXmDvfMWKFYsXL45EIs8++2ybNm2QOFhkN4bPGBGFw2EoM4gdQrCQCI9B80Kh + 0K5du2zbRuVBaCbZbBZxLKJkBHaLkSG6vLwc6Wvnzp172WWXEVE8Hncc5/TTTx84cKDP54PQDxPT + wcYtEAiEQqGHH364b9++SB7drVs3XB2JudzJYQ9xHqhtzOzxeBoaGgKBwG9/+9tzzz3X4/EUCoVj + jz3WcRxd1ysrK4nI7/erqopAoGQyCStiIBDAtT777LPGxkZd188//3wqGgCHDRuGUoObN2+GjUK0 + 5/jjj7/33nvR5lgsJgqVQpMPBoNwIoKY6zhOLBZD1mbM5kknnQTvRygPWPzPPfccrGRz5sxBJu62 + bdsiDXQoFIL176WXXlIUZfz48V6vF21r164dAq5WrVqF5BYwuwWDQRT5IdS0LRTeeecdr9fbp0+f + WbNmIcwM6wTGyXQ6vX79+i+++IKIZs2aBT0Tps5Bgwadd955RPTggw9SsVaVyNh700033XzzzTCW + hkKh4447joj27t2LjMxUTPFMRGPGjLn++ut79eqFQTvmmGPwuKitrYWCsXLlyj179hDRkCFDgsEg + sjCPHDkyGAzu3LlTVLp87733sGxuvPHGtm3bIhM3+gKvSCIqKytDfjbUcxQTt3379k2bNnm93ttv + vz0UCiETANqGaJyHH36Yi2FgjuPU1NR4PJ7f/OY3Y8eOdWephlUQ2gje0XV9zZo10NYuv/xyuPnZ + tq3r+rhx47BWI5GIeDIvXbo0FAodffTRxx13HH6AY7FYv3794vH4Sy+9FAqFcAOKqqMej0fc3YZh + wGoNRQ4D1alTp379+oXD4erqaryDxwsX9bGD3Uei4M8h3pFIJJLDmcPThewAOoxKZBIVCjmfP3R0 + 7z5jxwyxrCqvxwhRwDCM4wYfT0SaQppGzKxr3rxt+4O6Q1Rg+mrD5lAkHi8tadNe0YlUhwrZlK5p + Qb8/m84EvFHLcRyFmMkmckhhRSVVYyIihZgsh0gj0zS9gUA4FlcVYibLcfx+f31Dre0vGTJ4UMRH + ZoHiPhX+Y1s2bT7hhME7d9csXbo0axQURfF7vOGAR2FH19XNmzaOPLEvE+WNQtDv3a+zRES63qyW + rFr1+cqVKzt16jR16lQ6eKmWnFEQQaXCdWfRHX/0l5Y2pXh3df0df1m84/vtl1122dzZV51z5qml + ASIFSgs6qhxk5Emck4jgRUZE77zzDraKbdu+9tpr//M//7OpqSkcDiO5VmVl5fLly/1+/9SpU9Pp + NCLgzzjjjNLSUtu2X3zxxbvvvrumpqZdu3ZEBNnrEEHkIo4ZAsGf//xnuFWMGTOGiODFMW3atEce + eaS6uvrFF1+8+uqr0X0oMGJYuOhBsXv3blGg478BAq+FdLJ+/XrLsoQnj1OsgD5+/PhrrrmmvLwc + ZS4VRenXrx+qyMPNTNO0aDSqaVplZaVbgfF4PNjSRq8/+uijRYsWqar6u9/97thjjyUipDRA0Qlm + hteZqEtIRCKRLpqEvAUomIjwZVxu7NixkUgkm83GYjH4niHQX1XVdDr96KOPolNCQET0P4o5YoSH + Dx/+5Zdf7tmzZ8eOHZ06dTpEQUxVVa+88sqhQ4dScfd9wIABENAheAnV7kfHH7ofYgP69+9/1VVX + uV0EEfQciURqampQ/g/WJJGSAX44+Xz+7bffLisra2hoeOCBBxYvXtyhQ4ddu3a1b98eMvqXX345 + YcIEqAHo17HHHtupUydVVRsbG1Gz1d1atAHVclBavr6+vk2bNqlU6qOPPqqrq2tsbIRkqWmaKO+4 + fv16Iurbt+8xxxyD5oXDYWQQjkajXq9306ZN0Ejffffd9957r3v37oVCIZFIVFRUpFKpb7/91l0P + 1K0EKoqybt06ZGg466yzoJSKLH9IURUOh9euXRsIBDKZzIgRIyAim6YZCoWqq6vHjRv3+uuv53K5 + bdu2Icsflituea/XW1lZWV5e7jgOTA2IgRFqPJoxe/bsfv36EREqveKmQxEYeJpt2LCBiPx+/5ln + ntm+fXsuZnKDkrBp06ZIJAI9gYhKSkqmTp26c+fOrl27Qr43DMPj8eC+w62H7MZULNLKzNiwKBQK + 3bt3R2GfeDyO++WEE0546aWXcrnc3r17O3bsaBgGnFej0ei1116bTCahfohNAejAiAHDVH711VfY + sDj55JNzuZzP5wuHw/CEdK9nZk4mkzU1Nfl8fufOnRMnTgwGg6jb8+GHH2I3hIq6Ry6Xg64It0mc + BP5+CIDZuXPnli1bGhsbd+3atXHjRrgLujUQLgbj/ejdJJFIJK2Uw1OBaQHiNFgjUlRWiLv36HrO + +IEaDVTJ8ZOKn0oL+bt0KhQsix1mVnViIsOkRFPSHw7HS9toCmlEIS95FZUUivtUj6bm0hlFUYjJ + UYiIWFEdUm1WbCIi1crlnGCAiZhUIsqksxmDFI18PpXzbJuW4rVL4lEVISTsOIrKDiUbaiu2f9eY + yPzz449M2yFSrYJhFnJtIsFI0Jusr9KJ2CH/QbQXIlKITJuyeXr00Uctyxo0aNDJJ3avS1E80vxp + C/BbhZ9zTVPMQsGxzdLSeH3aKo3ourftg3/9j/k33/3mOytXrFgx5bxTi99TXaEv6gHOW4SL/gma + pnXq1OmWW27p1atXLBYbMGAASi5g/9hxnLq6utdffx2/qatXr96xY0dJScmWLVuOOOKIdDoN14s3 + 33xz5MiROC22FQ/xWwthSIjpS5cutSyrurr6tttuU1UVYlkikYCS8PTTT8+aNUsUmIew6zYOQHzE + pvVBe3tw4JGfSqUg+qOUYTAYhI+KpmmQbBBzMmLECHylZ8+e+DrqZGPrmohs24YvELoPyd4wDJEm + yLbt5cuXQ8x99dVXH3jgAVSHwPG2bc+YMSObzd5///1DhgyBOaht27Z1dXWoyE5FBcbr9TY0NMAR + H+JmTU3NV199hcRxRITYklgshiAZTdMqKiq6d++u6zp2vomotraWiNq2bYsgJUiE+CgcDovolAOC + fL6IMiotLYW7o+ijKL94CNuLOBhKBWRld7Y3OJ6Fw+GqqioUUYUQycXKPHA5gwyKYKT6+nrHcbCi + otEo9vuJqLy8HGYBRArB4FNSUoKFBPMFYoeoGChlFUsriqbu3Lnz17/+9XPPPYeIILFB7rYo5vP5 + cDgMXynUmoSdEwvYtu2KigqUVV25cmUkEoHbnrCqwdNM1ElEVUcq1rYXGdK6d+/e1NQE2Rrt9Hq9 + 0GF2796NoDVFUWBNwtpr3749jHihUKiysvLII49s27YtFrYI52jTpg3mS2xSiHAL7HRgbSMmB88H + ZoaojdHI5/NIEWEYxltvvRWPx7HY0ItOnTrBPGVZ1tatW71eL54ecJrC5MIqgmMcxwkGg8jGBvc/ + n89nmuaOHTvi8XgikejSpYvI5QB7VOfOnS3LikQi27dv79ixIxGhYhXq0mDB4PaBkx5ucNFNpHqD + cS8SiQQCATymxDJm5lQq5fP5HMdBQVvHcXbu3Llp0yb3qlYUpV27dtB4qRjqScUSnDgGGzG7d+9e + vHjxvffeiyebz+fDiKmqiq61eNYd+m7CeiNpfpFIJK2Qw1CBOaALmWNSXiGP16tmUvl0JpHLU9hH + GSuh2HGfT82b1NiUbts2TER5s5DP5z3+oGWR4yWPl6Lxkm+3V7QpU5jINMki0wdx0aZQ0F+XNX0+ + n6KQQsREDrHNZDtsO8Sqqnv9tkZE5A0EdI+vKZXUdfLoRETpZFObNiVasITNvEr+sJ/yTYlArJRV + 6t65POzV/GUld//5j8l0Tlc1v9/r0xQnn7ZyidKIz0NUKKQ1v4fIt19/m9E1WrJkSVVVle7x/exn + P2Oi0sgPVAzIQTaRyuTxaExUzAzL+Am0jFw8HDIsCvnIIjpn/PhVq1alUqkvvq4YMrCbQ6QxQxti + JjjRaQcRINPpdCQSgdwTj8enTZsGVxzHcYTQhj3ptm3b3nXXXdiz//vf/+6Wt4CiKH/5y1/Gjh0r + hIxDL4hgMAixiYhee+01SJnxePyhhx4KhUKZTEbs5jLzunXrPvvss+HDh1PR74WIoGOI/e9+/fp9 + 9tln8DL6V8EJIc0Eg8G//vWvRJTNZgcNGkTFDVcoJ01NTdiIhayGoRNZgP1+v2maUAmQdwgn9/v9 + OKaxsTEWizU2NlZVVXk8nnA4/Omnn2Yyma1bt0KixVeQI3j37t1Dhw4NBAKdOnWCTlJRUQFzjVMs + HL5t2zbEYUP6jMViW7Zs2bt3b2lpKcKRkYpNUZRoNFpXVzd48GBI2IVCIRaLwXgFyTiZTIbDYYQZ + BAKBQqEAyw86fkAikQjyLFNRvEbsBMr5CeHpR6UoCJHwsoN3jdAHHMfxeDzoflVVFRWFObH8RIZr + jHBZWRkGfNmyZRh25A/I5/NIgEtFDRPBJ4iMr66uLisrg6xZW1sbiUSwPS+awcyFQmHr1q2nnnpq + Op0eP358586dzzrrrJNOOglGKgTGhEIhyJeZTCaVSsGgBwzDgOdSJpPBXCuK8h//8R+nnXYa7GMd + OnRIpVKwp4XDYYjIVJTphZnlyCOPhDxtGIawNwr/Roj+uKmhPlFR5IWJCXJwJpPp0KGDZVkiA4Sq + qjjY6/XC1gENXORdgL6HI2FLQdaKQqEg7GwlJSXwu+vSpcvevXvLy8uXLl1aXV0N4146ncZc4NbQ + db1NmzbffvstdC2RLBhnwJ4C0l3gsePz+aDcUrHgPcr1oHk4OXQ29BE2Xtu2RdIOn8+XSqVKS0vh + poipx6WFhgDFDNoLNncwsOLeFFoldn86deoEFfH888+fOXMm3MOwGlEeBw9t2N9wTnShtrbW7/dH + IpHa2trp06fDY/O2227r0qXL4MGDb7/99hUrVghdxbZtVVU1TfspOolQYEiG70skktbGYajA/JDm + h2qzCOKwHQj6wmG/10dEFNIDPl0lIq+HytqG8SSGnKqqKhPlHfKodESPnu9/tLauvn5PA/UupSB5 + yMkRq++9+wHbVigQsQoFIiImUogdhR3FZseyyVIcj8fDRJu2JRKJBCIKvDoRkeNQNpvNZTNmgbOZ + lGPHNJW0kD9jFPx+b0k0oLOZSiYjPk+PrgGvRg6TRyGd/HahbcRL5OR9Po3yGTqI+G471JDIvvLK + K9FotPMRPY7pV16boNJi+n70FD89zETMmqY4Dmnwls5l/YGAQqx7vbZDPp2SBnn81NhY379//927 + Kmpq65i6OURKs02LmRSbieigCgy0FPygVldXBwIBZjYMAxuZDQ0NpaWlEG3Xrl27ceNGj8dzzz33 + 2LZdWlqayWSOPPLI7777zuv1Ll68eNOmTe+9996mTZtEittDAJE6k8lEo1FkvtI0bejQoXPnzkUZ + BAh/NTU16XR64cKF+Xz+wQcfhAJDRBCkhNAGU8+JJ5746aefIiPWvwqEErihZzIZZP7RdX3s2LEi + hZo4GKJzLBZDuDMECyJClq36+vrdu3cTEYKAqWiBgaAfCoVUVW3btu2NN944c+bM+vr68vJywzCi + 0ejevXt9Pt+4ceO8Xu+SJUs6dOjQt29fDBQS/gaDwZUrVx5zzDGQ6YnIMIxPPvnEcZzx48fDBERE + 2GAuLS0tLy8vFAqYQbQE3n2KoiDYBpKr3++H9xq67/F41q5dm8/n27dvD382d6riFqRSqbKyMrif + YWR69+5dX1+PzXJx2I9KUfC+g3QLK5PH40FyMAjufr8f2izEQcdxQqEQlG2ovqKPGCvDMDp06ICY + hP2vns1mI5FIKBRqaGjIZDJVVVWI8G7Tpg0zl5WVpdNpRVGCwaBI+qQois/nu+WWW7LZbPfu3f/4 + xz/Ch4qKYTbutdS7d+8tW7Zs2LABYUi6rkMNQDBJPB4/+uijsTA6duw4aNCgYDAISReLDdI2ZlMo + ZugIM8MqiNo1c+fOhZkoGo0iFjwYDPr9/oEDB0KE3bt3b/fu3WGyKCkpaWpq+vbbb0tKShobG7t0 + 6SJGBqYM+InBaJPP59u1aydyc2H94zW86aD9whLYrl07dBCaRiAQ6Nu373vvvbdjx44BAwYgloZc + ufhQXRS3PLzIdu7cGYlEsAJhqBFqAJ7PKOdCREJfGjx4MPwn169fP2jQIGhfCOn55z//iddHHXWU + cFVFgrjOnTsbhlFSUiJiYzB3QlWA+uusLgAAIABJREFUAhMOhzt37gyfLoSE4dOGhgahSYpaPUcc + ccTGjRt37Nhx/PHHQ5kXzmZYbEg5WFpaiknE81ZYulasWLFy5cp4PP7yyy+PHDkSajASmQjvQbFh + AXPZIW4lYVSnogVG6jASiaQVcRgG8dMBW+Uhj0mUTCYcx/H6PJDdHbKIKJls3vGyHMrlye/3x2Jx + IvL7m9WfLl26eL3e+kTio4/W2Uy5bJoc+8vVHz7x2COJRCIWi0AOINh6FPxWEf4jUuob0h988MHm + zZubsxIR5fOOrhJ+2zSiTuUdbIuMfIHIifq9OtGAvn2MXKpT+7K7/nKHXyONyKtQfY2lEXkUInJI + IWKbnIO63GgqvfXWW0RUU1Nz3nnnWURt45Q1SSVShN4CywlD8DVF2IM/ECB2CrkcOY6qkELk2I7p + EDwxCoVChw4dRB1Mh9lmdoiZ2T54RD32BQuFQigUgtyA3d9MJuPxeOLxOH6qo9Hok08+icTEM2bM + uOKKK6ZMmTJlypSRI0defPHFl1566axZs5B/admyZT6fT9d1sdF4QNyeRdls9p133rFte+bMmePH + j58zZ84FF1zwi1/8YtKkSbNnz54/f36bNm3gRZZKpcSPt9igpaL3DkI7UMT9oB0+ZHuwzX/VVVft + 2rWLiO68884+ffogWRDEUEVREFQN6VzTNJ/PB2d9GDSIKJPJYCgQ+0vFNAmQbGCxyWQyvXv3Hjly + 5MSJE08++eQRI0YMHjz43HPPjcfjENlRqi8UCqEvxx9//KhRo0zTXLVqFYwVPp8vk8lAwCKi6dOn + Yy9c1/WmpiboMI7joHlwYIO1QbRc13U0CQYcLAYi2r1799tvv+04znnnnYc970OMJwLiob1Amqyq + qsK8CAVGSN6HGH+x+w6nNQi48XicmSHMlZSUJJNJkRwWDmO5XM4wDMi1RIRok8GDB0Phue2224S0 + h8R06XQaOhv0dix1y7LKy8vxXQQnEBF27uEbSS7p9t1331UU5cwzz4T2grNhVMPhMLQdTdMGDx4c + jUYrKyuff/55n8+naRosSz6fD/l8u3Tp0q1bt0gkcu2116L9CGtBM6DJCGORGGqsLmbu379/Mpl8 + 5ZVXNmzY4PP5RILjWCwGR8phw4Zls1mv1/vggw/CrQ6ybzgcfvbZZxsbG/v37y/CwUXuChGzAdG8 + uroa+gARoVKTCJlLJpNCmcHIw0TAzCUlJYVC4ZRTTsEYPvnkkyK8HjOLduK0xx57LMZnzpw5JSUl + 6XQaD21ojLiPII57vd7169czMyKRFEU5/vjjMSwvvPCCe2EQ0YsvvkhEvXr1CoVCiF1BAnRU1BUK + IY6HEQbPK8dxUH/z+OOP37lzp8fjWblypaZpwt/y3Xff3bRpE54JMEAZhjFq1ChN07788kuUWob2 + ks/noX4gD4SiKI2NjZiF77//nopPrUKh8Oqrr8LqOHLkSGG1w/ndNxEVawQf4rkqbC/7v5BIJJJW + wWGnwOgc1dhDTKQ1R6wzhzSKUz4QJeoW9dmN33nthoBCKhFzJJvOB3w+jUkj8qsU8ZFmFhr37uZM + ijMUUylANHZ4v2O6d9DTdc8/eM/lN93/4NtbJ9z49Fk3P91U2jdcWmqlKstCtkZUYNKJ+ncMt+MG + O1n34pvrNhvqp9X6Fbc99ua765ScHbA5qIUtopyqZog8SiCSz3qqt4eSle08pKtem8MKkUpUUlJy + 1VVXGbb58T8/ueuBFzJESYdi7fQagz78eus3O2oz7CsoYSvQHonPkD+goFCeyCDKE1lEH378z4DP + 374kdMbQAW2Z4jZ1ZHaIEqkmIlIUsolYpfMumDnirKlnT75iex0nNa2BqInUf1YkNzWpexVftUL1 + RBxS//nN3mUvr9hdse34Ywf06NaRiFghR6Ude6p1byAej5fGwv6DG+Tglw8LAGQ11J2A1Ch++erq + 6h599FGv13vTTTfl83kIZOKHloimTJlCRJZl3XvvvUQElxiR+RchByUlJQ0NDZAwcC0ccO+990L+ + mDJlChqDiyLeN5lM3nDDDXBAf/bZZzVNg4MWBDucDa8nTpxIRN99993WrVtVVW1qaoJMD096iNdw + 00fkLqpDIMFUU1PTZ5999tRTT/Xr1+/JJ580DGPo0KFXXnklfGzQJGw2p9Npy7JyuRzkzp07d0Ke + QI8KhQJ2f4cMGQL3M6iC7jGHc7+7jAMK7FiWVVZWlkgkYI4gIkhIEIMWLlxomuYHH3xwzz334J3K + yspLLrmEiI499thx48bBrkLF/AfkErt9Pp/wYROJzqAAlJaWnnPOOS+//DKGsb6+/k9/+hPEpuuv + vx7BSEhvAL8aDAX0xnw+D5MdPHBEXIRt28I5kIrZESCMwnELtoiysjKYtvx+PyQzIkIeKpgsqKhY + IgkVEUHfwKSjlKTP53vyySfJlSCrW7dut99+O6rU33LLLYjJgaL18ccfQzUVhgI4vMHkKHJtiawA + VLQGiNzfHTp0sG37rbfe+vjjj4mourp6+vTpTU1NHo/nnXfeEbfDX/7yF8zRL37xi+effx7yfWNj + 45tvvvnBBx9gWO644w64b11yySU7d+7EyvR6vZs3b16+fDlGBhHeuBcgyluWFQ6H//CHP4iEDahK + aVnWpk2bnn/++fXr13u93m7dul122WWFQuG+++575pln4EPFzHPnzt22bZvX67355puhkDiOI2KE + 2rVrBwUDC0AEbFAxGgoKgLuoEW5GjDA893DLDB8+HOnOFixY8Mgjj6C1hULB7/e/9tprVVVVuGsu + uOCCE088EUmx58+fj8dOLpdbtWrVQw89hPB6JNBTFOWtt95CA6io61599dWWZS1atAiDryjKtm3b + Fi5cWFFREY/Hf//73+MqcIpDRzRNSyQS+5smcCHx8Dn77LPLy8tN01ywYMHrr7+uadqmTZtuuOGG + SZMm4S5zW1puuummdu3aJZPJmTNnwqCEE1ZUVDz33HMYRsuyYBtUVfX11183DAPPN2QuURQlkUj8 + 7W9/i0QiyWRy0aJF//jHPwzDWL9+PWZENDUWi4k0hkjNB5tkLBarr69XFAVJz0tKSoLB4JIlS+Ag + ShKJRNJa4MMNFDGxiy8cdhy2mE3m+hSPHDdxyIjxix54JsOcZk7YzUdZNmcMzltsMr+7+ovxEy89 + 9cyJCYurMtzI3MS8ct2OabMXDhwx8fgL5vYYPb3fz64+buLcf9bxwPGz+o+a/Nw/Ps4wJ/OcZ968 + s27Gtb/rOHhcrzNmDJ06v9fIS/uNmXnjPa9cNHfxmIvmX3HL/fU2J5n3JPi7PdmfXzBr1JgJ73/4 + RSplWwVmh7MF22TOMq/bunvhPY+fNmFGv1ETh02YNeOmv1x4ze8Gj5l02rnTnnn1bYPZZM6ZTnMf + ubmPBnOOOcdcmbBGnHXB0NPOuvLX8ws2O8xmAZVq7JxZSBt5w+ZMnvPMky+ZfdrYqYNOOa86y0nm + qjzXM9/x6NLRUy+fOue3t/x12W/vX3b5gr+eOvHKvqeeN+GXN7zw5tokc445z2wy79xTEwrHidSy + Nm35x8oAICod4bzMjL1DvEb22Mcffxzr6uuvv8b7og6GKN1w8803w9/j73//O7tqh6P8Bb4+efJk + nNm27dra2nQ6jagDr9d72223iTIyLc781Vdf4esjRoxg5lwuJ2pEMDMCvvH+rFmzqFipGhu3+Xz+ + 1FNPLSsr6927dzqdRkkKRJiQy+8IIc7RaBSS2R133GEYBmKLc7kcXlBRFqeiPAGTFPxeRIPhOXb/ + /ff/lNsin883NTUh94Bpmlu2bIF0/u2334pjUqkUVKwFCxYQUadOnXr16jV9+nQoSPF4HCLsv4Rt + 29u2bUPfYXLs2LHjxIkTkZagffv2t9xyC5xYcPykSZMCgcDo0aPxJ2YKDmk33HCDqGjhOM63335L + RKqqrlixgpnT6bQoTsLFwiPMXFVVdc899/j9fr/fL0qz19bWTpgwgYjGjBljWRZCrvEt1AOFxxQz + 45zCSS8cDk+aNGnjxo2ouVFRUYHkfkTUs2fPoUOHIuGv1+udO3cugoKYGZLorbfeiqtkMhnRPATH + e71eWEjwZqFQ+P3vf4/pjsfjKCPj9/thagsEApMnT66oqGDmurq6v/3tbyJRXigU6tq1KxbbrFmz + oA5lMpnrrrsOClIsFuvcuTM08HA4PGbMGDGk7uo9XMyi6zjO/PnzcfI2bdq0a9cOjenSpcuyZcvq + 6+ubmpp27doFM1EkEundu/f5558v2jN16lRRgd4wjGXLluH9pqYmXAXDOG3aNL/ff/TRR5umicIs + yC3m8XjeeOMNtARtE8V/qqurUVGHmV977TV4kwaDwe7du59yyikdOnRAVPprr73GxUfEunXrsBWC + e2rYsGGYKXjBoZFXXnmlyCL9s5/97LHHHsN333vvvRNOOAGNR1Em4b86ffp03FaZTCabzT722GPi + l1HM8v7go8rKSma+6667sOqICPnciejiiy/u2LFjJBKZMGECF+vG7Nix49lnnxVBg0OHDh0xYgQy + YbRv3x5lZABcB4movLz8yiuvXLt2LYrz4E045hGRz+dr27YtTnj55Ze///77SMKGT+fNm3fofuEx + SESioJBEIpG0Fg47C0xzuAt2kdz/EhXMfNuyNmVlbQpGtro2pxDpKmWzhUwmr6rk85GmUWOjUVHx + fTLVhEQs4SB5iOob+fjjut1y829uu/WWEaecctZZZy383W0rlv45n+VUU2Mo6O91ZE+NyKORRtSp + vM2Y0SOHDxkc8mrpREOn8tI5V828dNrPgn6ntur7kF/xqKQQlcbILKRT6QbHMRXVDoVVTaeGhqTf + oypEGlHfnp0mTvj5xPMnHN2nt53PfvnZJ9u/26oQd+nUoU08zkwKkU9XWiT+Uord3bRpg0rcqWOH + QYOOzWZNIlJVIoVSySZyHL/Hq6vk9VJjveH1aNlMUmGroS6jEAW95CEKBf21eys//WTNKy+9+Prf + X179/rvJxtqThh5/+czLxp4x1ENUMImILJt279yJ7GMdO3bMFesb7A8zp1Kp8vLySCTSvn175GiC + e/revXuRnNRxnEWLFpWWll566aUDBgzAAdgYFtvepmkOGzYMUviqVavE+RHgnk6nS0tLfT5f//79 + EauNOJBQKPTFF18gZGLUqFEiQSp2r+FIQ0QDBw48/fTTI5HIqlWrPvzwQ7/fjx9y7P7CmwjeU9df + f300Gl20aNHevXsVRWloaPB6vSUlJVCW4E9CRd+haDQq/IUSicRRRx11/vnnz5s3b/Xq1fPmzWNm + YR/wer2NjY3Y6cQZ8K+iKEikS8UIon/84x9fffXV2LFjUecOoir2UA8IDEHI32rbdlVVFaQWyJpc + 9BvJ5XIo4/j73/9+z549u3fvfuaZZ9atW9e1a9c1a9acfPLJh7rzDgRyOsFF8He/+92YMWPq6+tf + euml7777rqSk5Lrrrlu4cCH21IkonU7HYjGkxoJzl9tSgfgHbI3Dowaif11dnWVZoVAIymGhUEBi + BpT0ad++PUwfHo+nQ4cOGKI2bdp07NgxFotls1lkO4COkUqlOnToUFpaGo1G0aR4PF5fX/+3v/1t + yJAhuO7SpUtramrgode1a9e77777rrvuQozWl19+WVFREQqFRo0adfLJJ0PW37VrV/fu3RFogY4I + YwtGG2E8nTp1wvsIlps5c+bUqVODwWAikWhoaBgxYsQTTzyxYcOG0tLSXC63evXqDRs2GIbRpk2b + X/7yl8uWLUNBkkwmU1lZifzUI0eOFLki7rzzzhUrVgwYMKCpqWn37t0I2u7YsWOXLl1EySORWhpa + VqFQqKurUxRl4cKFL7zwQrdu3err62tqapLJZDAYPProo3v06BGLxaLRaOfOnd97771LL71U07Sd + O3cuW7ZMUZSuXbs+/fTTzzzzDEL8VVX1+Xx79uzxeDyIwsLyQAWkdu3aIZ0D7hd4YSEBsWma8DcT + kR4Y1dLS0mAwiPE866yz1qxZc80112Sz2e+///6LL76oqqpq167dkCFDkHAMmRKOO+64DRs2XHjh + hZqmeb3eNWvWaJrWp08fRM4gKGju3Lljx44NhUK2bb/66qt1dXWRSCSVSo0YMeLNN9+cMWMGbC+r + V6/evn37scce+9RTT91///24rYLBYCAQgKqsKMqOHTsOkcUL3mKws1199dW33347VJfdu3f7fL5J + kyb98Y9/7NWrFwyJWHh79+7t1q3bz3/+888//3z48OHhcPiTTz75+OOPq6qq2rdvf9ppp6GzGJMl + S5YcccQR8Xi8qqrqgQce2LJlSywW692793333efz+TDOgUDgjjvuqK2tHTp0qMfjeeihh1atWgX/ + Q+R8KykpOVi/oO4ieRoegJlMBk2VSCSSVsGPeJz/G+CiAsPNWX2ZyCEyCo7Hq+7cU9exY1tVISJy + iPIWxXWybVZVxSayLdI9zUmXiahgk83k0ckwyeuhjEGaRran+ZxNOaqrtS7/xfSQ7tz9p9uHDOxB + Nuka2UQWUXWSGjOWJ6C3jxM75FUpa1DI31w7srYuSY7doV2JWbC9Hk1Vml3xFKJsNuuw4gkEFJUs + XMgg06Lt2yu6dO7UJqb7NNKJLJPtfC4Sbq7hwMVc0aLxRJRI5n0ePRzQ4JZWyJuqSrqHFNIymVww + FCpYpOtUMEnzUEMjx0sUIsqZ5PWQYVLBpqamptralEKs63o0HGzXLhb0kkpUMElXSSVyLCfgUxUm + UsjI5j0eTfMc2I0MkSSoPYeMXlSsJgEZGnUeUKIB0aUoKwHJEpqGiE7GOd1lvIkomUxGo9FsNguX + FUg8cJFCiDOcmlRVbWhoQGS8cD+Ds4Qoooc3oeEg7hzeLMwMD5xAILB48eI5c+acccYZb731Fi6N + 90VGIGyEV1dXQw6goicGWiuib0VBG5R4j0aj6D4V8+TiNTyL4NpeWVnZt29fTdOeffbZcePG4bpU + DAs+2J0ByR7pvCBWYpDhRo++u0/l8/k+/PBDVArv0qVLQ0OD3+93lw35KTDzhg0boI5+/fXXAwYM + sG179erVRxxxRMeOHTEa9fX1sVgMiY/EzKI98NSH2xsXk1NRUfVFfi23isvFqBVmhgZSX1+PnGBY + A8hIq6pqKpXCgkQRm1gshhwJdXV1tm2XlJQgCzBcCnO5XFNTE6qY9+jRA/VG3H5Btm1XVlbu3r27 + vLy8bdu2yNgrIkaQ/VlERMDqIjKqGYYRiUTgbqTrusgnZhhGZWUlEiVjhx430dq1a4844giYs7xe + L1JpYayqq6vhMgcpXzQSNUmRv3jz5s2pVOqkk04Sw5jNZhEfIm40oToiuwYRZTKZTCazd+/e9u3b + I4aktLRUKVY8hOOcYRh1dXXV1dXdunXr1KkTPNOCwWAmk0kkEihaT0SooCKuTvuyt2vuqRd3gRhD + jJj4uhh56GCwKO7atQvpmHv06CGC1IkIuxv4YjKZrK6urqioGD16tMgJJoYRw15bW9uvXz/cdDCF + JZNJ+MF+8cUX2Wz2yCOPhEeWKFWZTCah1qLYJTIU47sHA25s0WgUOzi1tbW1tbW9e/fGkhaDjyeS + yLKAubYsyzCM2trasrKyDh064Ipwo0Wy9fr6esMwvv/++549e5aXl+MB29TUFIvFPvvsM0VRevbs + GYlEVFWtqampr6/Xdf2oo46qr6+Hu6bX6/UUC8Xu3694PK4oCvx7U6mUyNsukUgkrYb/Y4vPj+OY + 7JjMJrPNbDM3u1cVmE3mjMUGc23arE4XUjbn8Kljw5EsbzspwzRsztpsMKeZc8wbd9UmmdNwOXO4 + irmSeTfzXuZRl9xw9IjJ191+X445bzH8tIxsrmBzyuY9Gd6Z4Qxzg8UZ5gxzjrk6nc0w54v+V+l8 + JplLWU7ecvIOm3kzK9oMf7CEzU3MSeY6i9PMGWY4j6UzuWy2+WDRR3QbJ69L5fPFd1I5wyn6HaVT + jcxm3sgys5GzUul8rsCWwyZzzua0xQZz0uIcc4a5oiGbKHCiwFlmw/WfyZwynOYeW5zNGslk+tDT + IvyvAH6q8Vr4eu2bQ8dBeXLxXXyKfxOJBBwqmBleOvDXEk4v4kJwj8GnAM4e4iOk38FHuVwObir1 + 9fUIJKivrxdfF40B+Xw+k8lMmzaNiB566CHRHvgdAeHR5HaRsm1buKWJg5PJpHCEA4lEQjggMfPe + vXvdHZk6daqiKIsXL25xHjizHQIcgH+bU0oUuyMOQNdwgHvo/tts3LgRz4qlS5diGG3bhv+PiNlA + G2BKQiK1g52tsbERvoJcdA3K5/ONjY1iguCLaBiG6CCqvohz4n0sANFxZq6vr3f7NArERAgPKzEs + sHqJSxuGIT4S58dgolXufiGVMxenz+0dh8An/JlMJrEOcV2Y2kSzC4UCGgynLDEyuVwOo4oDkCCY + mbPZLF5gEFCCyb0g0RgxdGiV6CNihPBRU1MTxpmL65OL92A2mxXJf90jiQTQ4gbEp+LMvN9KhnKC + YcQJ8Q5sWeJUAgyd+47GDY6E3e7z4F8xX2KsxLBjKBBwn0gkxLSKA9ygSe4RSKfTh74Z3e6OmUwG + rnSpVMowDLxGLxobG3FmrAH0Ak9O98H4E4nXxWnFM8ftB4t1mEwmWwwdM2ezWbcP4Y/2SyxgZnav + WIlEImkVHH4WGBJxhM214ZlUh8hh4uKGKRMVLFJV0lXyklMwC6Zp+nwBVdOpaMcgomTWCAf9ROQQ + 3XDjbfX1jZMmTfLFu7Cq5QvmHXfcURKPNdXXXHfVFWNHDvU4Bb9PJWbHNBVv0FbIIrKJdGK4eaWy + qaDP79E0m+1EItG2pM2+5M62xeR4NA8R2UbBUVRSNNa8pJBFRAqxgjqRZDvkUQj1NIltIiYFhThV + p9g1NDhrFMJ+b9402XYCfp9KlM4kI6EwsUWkFnJ5bzBArDKTopBpEaukac1fz1tERJpOpkV+vXkc + C3mybdPn82javtQNtk26SqRQMpmORvdti/4o2EsmImSwxZuwRWCjVOzOQigRRhuAfUQqOn5gXxB1 + IbFN29DQoGkajhGfiuxnwozAzDg5/hS2FzfCew1lH9x7qzU1NQsWLPD5fHfddZfol2EYiqLAAcYd + QI/cx6JInPtTNy3ed6dJdRwnkUhEIpFTTz31iiuuuOiii1D1En4mKFp36EymiN4W9SsQp47XXNyt + R1M9Hg/6iBHesWPHEUccISblp2Pb9tatW/v27RuLxZ5//vkzzzwTwfpicxf1H6PRKB4oyAMLw5fw + 34M9wW0lE+YU0WyRXmz/QUulUuFwWNQYwfH72wEKhYIoReIGlhy4+WGzGVpEOp1GaXkq+u3ghGJt + 5/N5jDZkaLUITsuu+qqYQWFadIolX91tEMVD4MmD97FiWxgr6Icree/evWVlZcKoiDBuGBVFaZ0W + FxWZM5COwj3vLdrGxZAtKprLMCxi9oXO7J6RFktOnApjhQCwg90g7oOJKJFIIG8HzLOwFQhznLtI + lNsOhjI4YtDQQZSXFdcV89gCJPdDjjJyPU/c1ku4zB2s8QCXI6LKykoUwXTjOE5DQwPS7qXTaZjI + kPnanW0cEw3NH63FYkBjRNvQKXePxCy4rcfC6C3sOT6f7xD9ErNgmibsh4fuskQikRw+HM4KDDXX + WCSViGzCz7BORb3BIVKIvGQZecM0bZ/Pp3u8yKsmHLGYKJs3DcP47a0LPvvss9LSUsfbxmZF1Tx1 + dXWlJSVXzJxx3lknag75FCI2iS1yOJ83FW9I9eoWkY8s0zJVVdVUrWAW8Gvh9Xgt29I0zR3C4rCj + KFBHFFJUItUqalM2k2E4Cjt+r+7VkVzNIXaImBVF5IJzii+aK1TazWKTV1NNy9Q0TVVIQelJ2yYN + wgEEWcrlcuFogIhMmzSNmMm0yaMTm7bHoxGRaaLAmUJEluXoOqrU5UKhfT5LhlHw+w/wk0/FCjD4 + nSMieCnAHwzBACjCgNRSbtFNeIDgT/wk4xj3v0KGg5ca/B/Ed90CsVuBISLsLIqfXrjQ5HI5UbgG + 2YEhiUIUgwu4SMb1zTffDBgwAPleW3hYIamAu7NwRorH46hQmc/nhf4mhE4hZ9TW1sbjcUjtQtwh + om3btnXv3h1+UPCwOuCYH3AWUG1dCI6iR/RDqReyC1oC55OfeIn92bp168CBAw3DeOaZZ0TIu23b + KGfp9gpDXmbDMJBwFuqWpmlQR+Huggh4TGU6nQ4EAmK1CNETIhf8fxBbJcR3KkrborNYD+6+i5S+ + 0OUQmARfoBbFUqiY9hdDKsQ+JI9usdLcs0DFJe1e7VB9hYDYok4rSuUInzGhe++vVYq2NTY2ihGG + 0pJKpYLBII53S71CSSOX3sXMqFCJ5N3MjFsM9TGFMo+tdyhvzIxbg5mF/ydUU7FKoV0IeykSl7ld + H9Ej9AIrMJ1OC51Q6BhuF1P3WLXQiwqFglAd3bctEVVWVpaWlkJnFm+6TwUvU7QWYyU2XGD6wC2J + RmKHwq3vtfB2c4NjRF0j9Ag7OJZlpVKpkpKSysrK8vJyrEB8C7cMGgybNsZNzAhMbZgypGR0P9kQ + v4feiYcMKhGJe6pQKOARAb9NnGT/fuEAcjn3KooilCKJRCI5/DnMFRgqVj1pFu6ZlEwuGwqEHAQk + qKpOlqsDasGxbNv26D7TtjTNY7HjUTUiSqazDYnGTz/9dOv2elYVRdWGDhteUlJy9FFt2aSwhzR2 + 7FRCC0Ha8BIprOk2kU6WODs7jtK8jdqcFpYVsm3WNZ2I8oW8z+uzcynd5ydFIUW1HRWBPM0VNtFE + IrZNxbEVTSPH5n2bXs2qlyBv5n0eH35PmpKNsWiMiZstNYpClmVaTqFQCEVd7ssKQcFhplyu4PN5 + ddUhmGmA47BDDpFpmn448Vt2NpuNxSDuN2s7B6PFL9z+P3hiZx0/lu7delFfXMQ/oCwGamZrmga7 + gRA9xdagcH/HRqaoWyf2vFF6tArrAAAgAElEQVS7A+cRsgLcY5A8lIoCPbQIYf8RohtMB24ZiIgg + FrglM7F7ysXIASpWNUFnkWYau+xUFLXhAeX3+yFGC00GblFCNIc8d7B931QqhXLgXCyWIqTGbDYb + CAQwsCJbNMJIxK62e0f2EPO7PxDWH3vsMUVRpk6dqus6xtktl4uEy1SU+YSo5BZG3bUO3SJ7MpkM + BALIrYy6k2JORZZk93Y+ts/FaRFpgAuJIo+4NBaVEAEx73DCOVjNTRzvtpPAf0lU/6SitoA1gNFA + qD00LlGXXYjmIlJLIFQdrFKkZoYMDfMFajphft1GToxDQ0NDNBoV+huSawvTCnb0Mdf7a7ZiRsS1 + RMdF5Azua9yV+BR9R6QHJkLcRJhNzDv2d8Sn4vkgGiBWoNAN4DIqxsoq1hpKp9O4FlJEoKQMTp5O + pzEdbmsY7lakk0ZcEM4D8R33GloOkb3FjODk4l6m/fSoQywV7Nqg2O7+igdmFofhnBgccRdkMhm0 + uYXtyB3YhnFwXxfTQa7sCCLiS1wX+awP2C/xBBNKEb71r1poJRKJ5N9Fq1FgiEj4WeE1/qf9QIGh + H6oB6v59s1zh8jhab9ZXLHJMIptIIdJJ1UjRGe8X0wm4ryveaXEJhc3mjxVVNMBxfbM5AQE3W4lY + ET8YDh0KtXj+/Q9zNcmdVg4tdER7Why/35ESiUQikUgkEslhz2Ho89pSmlZcSoJL6HYOdID6w8Mc + p8WXiHQip+h+hs9aXo+JFIdII8ap9eY3D9ImZb8PXad0kJ9M/cG7juswdva1T1X2feS22TQ70eGb + 2g9UFOFM5/qS6PIPmsXEVLTD4JpOi5GRSCQSiUQikUgOfw5DBeYAUvUhLQSqOGB/e4tK1MKyoZDK + RDaR7TreZeVRSCFS1ENdtYVP2AEbq6j7vdXiKJUYapTqtGwnu16Ir6o/0Niaj1f3s9u41JIfDIfL + AvMDg9J+15FIJBKJRCKRSA5jWocCQ0QH97Dad/wPhfCDHM+OQqqmEBHZxe+zQgqrpKhFoV8l0uFm + ts8j2H32/apP8j6txt3+A/alqHW0VHLU5maz0qxRNec/UxW3L5zbE0z5oc7DB9JChBu30FuUA7Xt + UCqZRCKRSCQSiURyuHDYKTD7rCItP1F/YFJQDh0x4ooGaXEkm6RoCuuKcqBLNBfQVEghh8gm0n6a + m5VCB1EMDsxBjmGXDrPvnf0MJuKSzTqJ43pHnEocp+/79EeRphiJRCKRSCQSyeHNYafACFh4dh3Q + P4wPpAPs85La/0gRKNJs/VBJ5R+YaVRSHGKVVAXmF/iY8cFj65UDfcQ/HNL91YGW/XBFuhyU4kEs + joKRZt/Zi7rL/mN1MIXkcMvdIJFIJBKJRCKR/AQOXwVmHwcV+f+VI/epMTbC9BVS98XaE2nNBg0H + Fg+nqC04+4WmiAwBrtPv02Qc0l3HtGysu4EHUYxgaCrmLVNa6mnNMS77ynqqzWnNDuDW1vKK4pN9 + /5NqjEQikUgkEomkVXH4KTA/JRjjp3s6cYs0YWpzkjF22St+wA9KSTrN2buagcnFISJy1ObDnB+e + o/lgd97k/XWEAxpu9r1g+oGPmdA03NqS8oPzu9qgtrBNua+173jpKiaRSCQSiUQiaZ0chnVgJBKJ + RCKRSCQSieTAyEogEolEIpFIJBKJpNUgFRiJRCKRSCQSiUTSapAKjEQikUgkEolEImk1SAVGIpFI + JBKJRCKRtBqkAiORSCQSiUQikUhaDVKBkUgkEolEIpFIJK0GqcBIJBKJRCKRSCSSVoNUYCQSiUQi + kUgkEkmrQSowEolEIpFIJBKJpNUgFRiJRCKRSCQSiUTSapAKjEQikUgkEolEImk1SAVGIpFIJBKJ + RCKRtBqkAiORSCQSiUQikUhaDVKBkUgkEolEIpFIJK0GqcBIJBKJRCKRSCSSVoNUYCQSiUQikUgk + EkmrQSowEolEIpFIJBKJpNUgFRiJRCKRSCQSiUTSapAKjEQikUgkEolEImk1SAVGIpFIJBKJRCKR + tBqkAiORSCQSiUQikUhaDVKBkUgkEolEIpFIJK0GqcBIJBKJRCKRSCSSVoNUYCQSiUQikUgkEkmr + QSowEolEIpFIJBKJpNUgFRiJRCKRSCQSiUTSapAKjEQikUgkEolEImk1SAVGIpFIJBKJRCKRtBqk + AiORSCQSiUQikUhaDVKBkUgkEolEIpFIJK0GqcBIJBKJRCKRSCSSVoNUYCQSiUQikUgkEkmrQSow + EolEIpFIJBKJpNUgFRiJRCKRSCQSiUTSapAKjEQikUgkEolEImk1SAVGIpFIJBKJRCKRtBqkAiOR + SCQSiUQikUhaDVKBkUgkEolEIpFIJK0GqcBIJBKJRCKRSCSSVsOhFJhCoYAXpmnato0XhzjecRz3 + a8uyfvTyLU4ozmDbtvgom82KxjBzNptlZnEw/rUsS1zO3Yx/iXw+n8vlTNO0LCuXy4nuH7r9uVwO + r3+0v4VCQXTKcRz04r+B4ziFQsGyLGZucRLbtjFT7oPxPhGhqT9lXlov6XQaLyzLEjP4b+wyLs3M + +Xze/T4z46NMJoNJzGQy/5cNs21bLB4sj0KhkMvlLMvCqkaDWywwfIq1h/XMzLZtG4bxPxxkcSHH + cQzDQKsKhUI+n3c/JZhZ3OD49H9y0QMi7micHI+FFrcV2ul+UzwkyfXkxJOkxVPuf/25ahiGaPN/ + D0yie0mQaz1gIvYfAYlEIpFI/l0ohxCj8YulKIppmj6f70fPhR9XTdM0TfvRgwuFgqqqtm17PB4i + sizL6/Uys2maXq8X72iapigKMyuK0uLrkJ88Hg8z43JCn7EsS1GUn9Lg/wmFQgHtbPFOJpPRdd3j + 8aiqivZATAkGg+JI0zTz+bzP50Pf/yXwRbzGyEAU9ng8juNgEBRFwYgxs6qqiqLgW7Zta5qWzWYD + gcD+Qyr5f4FlWbquQ+wW9wUmAv+KheSe2f93NDQ0hEKhFhdyHAfL9YBATz7EAcA0Tcdx/tUu4IbF + +XGhg50BkrTP5zNNU9d1y7JUVdU0rcXY/s/JZDKhUIiIUqlUJBLZv8G47zAgDQ0NwWDQ7/fjU3TB + cRzRQjFutm3jW/8rz9VkMom7GA9JImpxuZ+Ibdt4RIh3xDjv3wzxYJFIJBKJ5N/LoRQYbPXpui7e + MQyjhdQuwAaeaZpQHiCaH1owggBHxZ9GCDG5XC4QCFBR9MfvKI7MZDKKogSDQXwklARxNsuy/uci + oGVZaLaiKIcQjLLZbCgUgvKgKArGCi3/KUDZEMLHT8etOOXzeTQPWt8Bm4rjc7mcpmmqquq6vr/q + 9f8z8vm84zh+vx9ji6F2L+P/S7BPj8En16YABNkW8vf//dSgPaqqQvxFY7BO3IfhKeFeq4ZhQCLH + wvspGs5PQWhBMMLgirgZcX5MLhQMkM1m3bsD/yttwOMrn897vV6I+BgZjAMUpwPeuUIZw66BoijQ + bXCrYlT/d5+rYs2IZv9LwHQDzQTzjn7lcjmPx4NlKXoqntgSiUQikfx/7H13mFXV9fa+5dzeps/A + wFCG4tBREAGDIIhGISSK0SgqoqgYhFh/CrFhjA0QMCgSDQZEsFIUFBBQBCmiIAo4dJiBabf3dvb3 + x/ud9RwvzIUhRJO43z94hnvP3Wfvtdvq6+dFowJMNBrlnOv1er1ef9asSSwWI91kY4jH45xzk8mk + vpWh40wmk+AVcEmf3Brudcg8YAjg05JIJGw2W5O6SppyvLRJ/C5YZNiCIIkRr6NRQJpmo9GIYcKt + 6LT0yQCGzBSpj1gWtcGKnHzAlGS8IrtU+d8OcIfQTOMTtVnvZ0E8Htfr9TC5yLKMKYtGo1qt1mg0 + cs6j0ShY8J+GQUylUlh4p3wXBHhJkiCWqykJhyKj0ZhBzGQyCV3D2XU+Ho9D5U8/z2KMyrDH/jsm + NxaLYeNgptLpdDweP1lGUls+Y7FY9uGT+eVcnauJRMJkMmHgcABjPxaKzg4nm7vh8Ib1IAQYAQEB + AYH/EGSzwBDgVAAmrLEIE/AcWq0W5hG6/rO0iesWPlfgV4i3JjsMhBa1ljGRSHDOzWYz9L6Qc87C + dyUDGTc35I1kMtmYUSWRSEBxrn4volN0Ol3G2GlcIA4Ej7N2xlDbppjC9ZIAc/LzsVgM8wKam0ym + U/rG/I8Bi9ZgMEB6/BllNtK+w/fp5DkiweaU3pLnFuD4GWPE9MPFEc6cGVx1lv6AZVfr5nEynIUR + gDEmy3I0GqVzAHucTBbUGWgKJEmqra21Wq0WiwWup+d8fkkfQZ8gCAQfku0X9IGygAaOJyORiN1u + NxgMaiEwQzD7F89Vmp1/ZfiwzWbMO0QyfAi5S3iOCQgICAj8RyGbBUbNu4P9hUPFKZ9XX/YIqTcY + DFmu1QweGiJKKpWqra1t3rw5vR3+6OTeDV4cvBcY90Ag4HA4qJMI8T877hyKzIzAmyzjBUMD3gKc + VgYnQb/VaDTBYNDhcPzrfAAClzUajdVqRWuQiCjQgikuN9QfeMKcHIPxL/bkPxOYFAifEBRBmZ/L + hYwxlkgkwAsS/+rxeHJycjQajc/nc7lceAwh4//uMBj1wsamU68EiqqijcAUUwNsoUzJjgCLBIQf + 8jUircSZ45SyNK1PvBq7LEvLZ2LpPUN4vd6cnBz6byQSMZlMtKlhnjql8QT0xHrL6BsdWeycnqtY + MFCFYKb+FTpkj3SCWRseg2fXvoCAgICAwDlEowIGbtloNIq0TnQH6xoBY8zv9/v9fsYY1PzZsxKB + awmHw7Isf/TRR5deemmPHj26d+++bt06GFjI3Rz/4oKH10QqlXr55Zf79OnTvn37AQMGvPfee9Fo + FMy6zWYzGAxnl5uI3PoZY4lEAtnPGhsvzD5gZeAMBmZLnXSIHgbzF4/HE4kE5WuSZZlSZp05DAaD + 3W632WyyLCNvFfFMqVQqEomoxw6RD3HPO3furKys3LRp04EDB/5XpRfGWCQSmTdv3sCBA10ul91u + N5lM3bt3v+mmm37GLlH8BpBOpw8fPtyhQ4cePXoMGjTo2Wef9Xq9MCz8BEH8cGGSZRliLVYCFlIk + EoG/EJyFEIUSDAbhKAUrAda2xWLB0pUkSe2KdhZSot1uj0ajwWBQ/SG0A7DqwOKhbvmLL77YtWvX + N998s3fvXnxy1okHTwakl1AohOAQbF4w9ziCSH7gnMdiMSSRS6fTsCChn4FAgDEWiUT8fr/a0Yud + u3PV4/EgJCYajSLeJhQKnYX0QvkMsUoxxThDQqFQIBCAMQqHoZBeBAQEBAT+Q5CN4Th27Ngnn3yy + a9cuXL3geBp7WKfTRaPRFi1aDB48uGfPno3FlBOgrI3FYlarNZlMbtq0CYHXW7ZsufbaayGlkKsG + BXtAxZhOp/fv3//VV18xxgwGg8lkMpvNgUDAqODsaIGYBMaY3+9fvXr1V1995ff7G+PJIpFIhw4d + hg4d2qVLF/ILUtOntrZ2+/btq1evXrNmze7duxEnzRirqKi49tprR44cWVFR0dRAHaJAXV3d0qVL + t2/fDq+bYDBos9k451artWXLlp06dWrXrl1+fj4FwCxYsOCee+4Bnzpu3LiZM2f+r8owEydO/Oij + j2praxljNpstFAp99913Pp/v5+qPOtU1hUdXV1cfPHhQkqREIjFw4ECHwwFR81yZEbIAqas0Gg1l + ovviiy9WrFjh9XqdTucFF1wwZMgQp9NpNBrBxULRkE6nv/jii7fffnvt2rUnTpzQarUjR4685JJL + Bg8eXFBQAAGe7DBNQjweX7JkyWeffQajYigUSqVSLpdLr9e3aNGic+fOFRUVBQUF9PB777134403 + guG++eab//GPfzDGzi3djh49unDhwqNHj+Jo0mq1oVDIaDTm5ua2bt26U6dO5eXlMKBJkgQfRZ1O + R06tn3/++YoVK5CHuqKiYsSIEWVlZZzzcDiM/X5OzlWkMdi+fTvUN7FYrHXr1rfffnteXl6TBkti + UjKZrKys/PLLLzdu3Lh///7vv//e5/OZzeaOHTuWl5c3b968oqKiS5cuF1544b9CWwEBAQEBgXMD + nhXFxcWMMfhvZLDykCgoHRBx8AsXLpRl2ePxkPsH3O7xBz6HzpLesnDhQvJXufnmm/Gh2+2miHx8 + AmVwMpmMxWIPPPAAU+wzb7/9dka34c6BnwcCAXQjqSAcDuMT6p7f74eiEe4xnPOxY8fSGMnpnCiA + K99isXz//fd4C5qiWgooXuFyucBaoQWn04mfw2vok08+yU78LJBluaSkhDFmt9shdOmUfEH4w+l0 + zpgxA0PjnL/77rvkqHPvvfdmtAbzEbzv8AcIiP9Cx8w593q99HaUnqDn6RlYsSKRCOgJFyOiD16k + nlb1t7FYjHNeX1+Ppnw+H+c8GAyq+wAgzACvwL/IQbd582b1Eh0zZszvf//7Tp06tWrVCq+Gppze + qx4mXgTQclW/MR6P04JBa4FAgCvlUCKRCEIa8ACtBxoIFh4+fPvtt7F+jEbjuHHj6KW0fijWi3Me + CoXQIEBdIgqk02mamoyBnAxKOIG3eL3eiooKotgjjzyCnFdcWcOc82g0Onv2bDDfGXth/PjxsVgM + 1kiuLLYmIZ1Ot2rVCi1jiRqNRovFotFo8EaXyzV37lyuTMoHH3zAGDOZTDab7a677iISgbZYQpFI + BKsIxMSHRE/8tzHE4/ETJ04wxVRiNpvV1jNsrgsvvHDLli20yImwoNXIkSPVi3DTpk2YNdhm8fCZ + n6tM8SpctmwZV00umrr66qvxLRqB+RqDpe2ZcdbRZBFisZjf7589ezZ5M9J70Tj56D799NNcWfxc + dSCgVA69miisPuT5GZ8z+Dn9Fi1nnADqIeAB/IvWyHBE64ErZzvdC0RDJGnIOMbpdVjSdG2pe8iV + vQl7Jp0weICeOfkwofWA/Y5GcJg0NDRwAQEBAYEzQLbiD+l0urS01Gg0wlEbIdHgyO12OxUfwKUL + TWQikQiFQhqNJicnBxEpTBUKkkqlwMQ3NDQ09t6WLVuGw+F0Op2bm6vT6eBWzhjzer02mw2+WMiy + ikgPvV5vt9sjkYjaHSsSieArpqiQPR6PXoHFYiGlI+cc7AK8UCj+3mQySZKUTCbJSGI0GilXcjqd + NpvNcLmBHQlcDnndGAwGvCiVSnXr1u3GG28cNWrUBRdcAOYMBoHRo0cfOHCgMTo0BqSQ0mg0JSUl + NpstGAzG4/H8/Px0Om21WvV6fWFhIaZvwoQJa9ascTgcuFxJO24wGNxuNxUGZYxR9mqu1FtUxwpj + FPF43OVyRSKR2tpaxHKYTCav1wtSICIiHA4j4Bjafc65TkkfTDESCGRnivAJwUOn0/n9fqTkys/P + d7vdn3766apVq5588sndu3ejD0xJiBSJRNAsuHwKK9LpdBs3bjSbzVarVafT3XPPPS+88MKiRYt2 + 7NixdetWvV5fV1eHmUViXHW6OY/HQxN94sQJ+ORgNt1uN/y7YBWkdzGFs4SDk9lsjsfjoCRjzO/3 + f/jhhwsWLPjrX/+6fft2xhjWA1N5DYED1uv18KGCOyL0/UQ3pAzGnsKH9fX1jLFAIADfIfQHrCe+ + stlsWWqwcs7xrdfr1ev1d9999549e5xOpzpoCn8jtruurm7WrFnjxo3D/nI6nWPHjq2oqICBdM6c + OaNHj0aUUSQSIU73zKHVai0WC/YaDIl6vR4cJ97IOb/jjjuWLFkCsRlnSywWC4VCZrMZRkUiqdFo + 9Pv9ZrM5Pz8/EAhgIPiX1vNpLbTqQLVoNApZIj8/nylH2d69ey+88EKuOJXhMWQTCQaDX375JaxJ + WCRPP/00RkHhWE06Vxlj2O+1tbWxWAyGVrirHTly5PPPP6eNLEnStGnT0B+dTkdWqYyCpCQvcSVk + LhqNDhkyZNy4cT6fDwYci8ViNptLS0vbt29vNBrhESdJks1mg5iNlUYCD/UfzWJoNTU1Wq32LM4Z + TBDCjVKpFFq2WCyc82AwCBEOVEIjeAUew24FGWGTZ0pxWySGplnwer0USoQSZNQg1iR65XA41qxZ + s379+jlz5sydOxcUw0nl9XqtVivWJGKTgsGgVqvFUSZJEq6/WCwGAjIlaTXOFsRW0btwT4H+/2JZ + UgEBAYFfAhp1IUOdk5kzZyL+22KxBAIBrVa7cePGP//5z/BZ79279yuvvILSIhAtkslk27ZtGWMN + DQ0mkwmHMj5X17jIz89vzG0dhfbcbndeXh5iRkOhEIUHEG9BdVQQ92+xWHBbMMZCoRCYUdx/YFJz + cnLAX6qzJOF+pfynCICB93/Xrl1vuukmaPpjsVhxcbHb7W7WrFlVVVVlZeXOnTuj0Whubi6ucAqi + ZUpJDdSmePLJJ7t06dKzZ89oNApf/z179px//vkGg8FisRw/ftzj8YBcTZgwvT4YDOp0uoaGBlzM + DofjpZdeKiwslGX5ueee++yzzxhjgUBAr9e/8sorgwcP1ul0f/jDH7p3715QUODz+Vq1akU+b263 + 2+FwGAwGxDag1gRXioFgxm02Gz4Ph8Mkq4CHyMnJ4Zwj0UIymQSrh4mmyHWmRLFzzoktQO4jiIuI + X4JkCzebZcuW3XrrrQ6HAx244IILQFWsGWrEYDCAC6fcCZs2bQJvl06n+/Tpg2nV6/UFBQWyLEO0 + w4KEIhYB0IFAIDc3t6qqqrS0lDFWXFwMaQE0ycvLg1o3Ho87HA5EdSeTSZ1Oh/Uci8WwQbC0QNhF + ixb96U9/4pxrtdpJkyb169cPHD8oE4vFzGYzVPKSJFFUt8/ng22BMRYMBl0uF34FOwB4OxDKYrFg + mDqdzu12g7Mk+SFL8gyK37BYLK+++urChQsZY3CVhIWHhkAS75QpU/DHRRdd9O677+bl5RmNxptv + vvmf//wnY+ytt976wx/+MGjQoLMrxgJdNTam2Wx+9dVXmzdv7vf733777YULF0JrLsvy/PnzR4wY + EY1GBw0atGPHDofDEQ6HHQ7HyfWX8Ddye8AyRpZP6DWQsb0xbzeoHhhj0WjUZDIVFhYuWrTIZDKt + WrXqs88+27x5s9frhWQ7Z86cP/3pT1j8WAmSJL355ptVVVUajQbJFRljK1eubGhoKCoqwhubeq5i + RKFQqGvXruDRIX4zxj7++GMIEkxJe7B8+fKampri4mLsCKwuruRzV6cYQYoLdOnhhx+GmkCv17vd + 7pEjRz722GP5+fkFBQVarTYcDh86dGjTpk2bN2/GEWo2m6HEQU0eg8FAB7vH48nNzcXf6Aa+OvNz + hoKysObR4dra2vz8fJ1OhwuFckUYDAYSm5F9AaYtNOtwOPx+v9PphAtiPB7HzopGo0ajEcFO6Bja + lCQJYlI4HMag4vH4999/P3z4cNhYevTocfvtt1PSFIfDUV9fX1BQgLekUim73U5JIHC5WCwWyJw0 + TYwxi8WCQ8PtdhcUFMCUipsOPRSxRgICAgKnR2OmmQxnFa5Y51esWEE6vP79+6tdgGAK5z92zeKc + 19XVbd++vb6+HvaZmpoatbMN/7EL2YMPPsgVr5tvvvkGbZJfDZ6PxWL33HMP+mA0GpcuXUrvDQaD + sP6jD9XV1ceOHVOPK5lMqn054FRG7h/0OXWP/CXwgMfj6dSpEzj1yZMnq50NMhwzQqFQhuMBqDF0 + 6FDwWHl5eRhsUwGadOjQAUQzmUwHDx6kIT/00ENw0GeMIbsRPj9+/Dj1IZVKkYcDeUPhq9raWs65 + z+dDm1zlFwSPkWQyqfYPdLvdR48ezfDcgDsHLQN82NDQgDTTVKamrq6OiEZeQH6//9VXX2WMSZJk + tVqfeuqpYDCodsOAvwplTcCHyWSyoaHh+uuvP3lV+Hy+SCSCFmRZJr+XhoaGr776Cq9We7hRLjv6 + JBgMqtd5TU0NiBkOh9U+cqAq+JJ58+YRi/zMM8+op8/n87333nv4Sq/XT5w4EYrnVCoVCoV2796N + x6LRqNobhxCLxSKRSE1NTU1NTSgUouHQJj3ZZSUDqLuybds2xlh5eTljzOFwQPqaOHEiVy3+dDr9 + pz/9iYyZr776KkgdiUSOHj3KGCsqKmKM9enTBwTJ7p11SqTT6bKyMmKs9+/fzxVXzAcffBDvNZvN + 4AIBt9vNFZcbcrojz0Py7MJywoFw4MABGhT5LDWGqqoqdEar1SIFIkhaX18/YMAAxphGo8nPzx8x + YgQ5UiJ7B+e8Xbt2+K3NZoPOxeVyvfTSS2gZCTzUY+enO1fVmwhuivhvKpW66KKL8DwEb2DatGlc + 2YDk4JQxcKw3/K32urRYLNhu9BisXvgvratUKgXiE/x+/+bNm3Foy7IMszDmoqnnDN6Od8Efld4e + DAYjkYjb7W5oaAiHw+qTGdJUOp1uaGjA6RQIBA4fPgw61NfX092kXqLUeCgUisViO3bs2Lt3Lz4h + InzzzTd2ux1TM2LECM65x+PB6/AA+c6FQiG/33/gwIETJ06QJ5jX6wXZqQPk8EYXRygUOvmeEhAQ + EBDIjmwxMGA0Y7EYbiYc94sXL2aMWa1Ws9ncu3dvXHJ4nnx5wdQ++eSTF198MVOUvmCpx4wZs379 + ev5j92i1ADNu3Li6urpJkyb17dsXLGBeXt4TTzxB9xxYvbvuuosYxKVLl1ZVVeFb3E9ffPHFsGHD + WrRowRRtVq9evXbu3EniEBz38RPigWggyOUFpoSYSNw306ZNA19y2WWXgWnDXQ5/bnRAzUH6fL5g + MIiMYfjkN7/5DVPcys8uDAb9Oe+888js8/XXX6dSKfCy69atY6qoG855KpVasWJFjx49OnXqVFFR + MWvWLBrpwYMH27ZtW1ZWVlZWduONN4bD4VWrVg0aNIjcbDJELLq2169fP2LECKg2QeE2bdo8/vjj + e/bswWMU7jJ79uySkpKcnJzu3bsvX75cTZnzzz+/WbNmbdu2bdOmDX3eoUMHTByFAZSXl/fp02fp + 0qVqP3gaQjgc9nq9zwAkwGsAACAASURBVDzzzPnnn098p16vLykpOe+887p06TJ58mQ8WVdXR2sD + 1hj4BfXr1++2227DbKo5j9WrV3fu3LmkpKRdu3avvPLKkSNHHnjggdLSUrPZfMcdd4RCIaIGEsrh + b3CW0EObTCa9Xu90Oh0OR/PmzRcsWIBn3nrrrYKCAizg+++/PxaLvfHGG3379mWM6XS65s2bT5ky + havEM7gPcc4XLlw4YMAAjUbjcrlgAbv11lu/+eYbPJYh558S6Gd1dbXRaASF582b17VrVyyY8ePH + c9XeRDQI1N52u50ru8Dv96fT6R49emCYGo1my5YtaoaySSgrK7NardA9V1dX0+dffvklrWSdTgcR + 5ZNPPunatWv79u2xkvEkiNOyZcvS0tKuXbsOGzaMc/73v//92muvhW3HYDA89NBDhw4d4opypDH4 + fL4DBw7AscdqtcKCQUfE888/T+z+0KFD8RNoMSKRyMGDB2E0djgczzzzDB7Lzc3t0KEDzgdMTVPP + VXXUFn4ej8e/++47tN+mTZtHH32UHDV79OhBQS8k8IA+MJhwRYJFaz169CBZuqSkBIw7GHSI8TgA + YYKgBQmm/Kuvvrrxxhux73AUlJaWjhgxYsuWLVzRmABNPWfS6fR7773Xs2fPli1blpeXT5kyJZ1O + T58+nS4F2iOIJCGxJJVKTZ8+vUOHDhTy16xZsylTppw4cUKWZfXCxkhXrFhx6aWXkgXPbre3aNHi + iiuu2LFjRzgcHj16NMaFoCy0Vl5e/uyzz3JFkE4kEjU1NS+++GLXrl0NBgPMfbm5uUOHDl20aBFe + h3elUqnKysrWrVu3adOmvLz8lltuiUajM2bMuPTSS3Nzc9u0adPQ0ABt2llvJQEBAYFfDrIJMBkh + mOFwOBQK7dixg/jmnj174qtoNBoIBNQavpdffpkco8GCUHoch8OxatWqxgSYCRMm3HDDDXSn5ufn + w03rhhtu4Eq4JOf81ltvhaXe6XQiiJ/4tlmzZsGRgGQnk8lktVpdLhfdKFwlctBgiWnIuD9IKfjx + xx+jWY1Gs2nTJgycjAn0PEhBsdfqpj777DOKtbDb7eo7/swBvWzz5s2JRa6urga3IcvyO++8A76c + KW4MnPM33niDGK8JEyag5/F4HNm68GTHjh1XrlxJvuzkSzN8+HDOud/vp7E8/PDD7MfQarWYpvLy + 8g8++EBN5GnTpjkcDiSTfe6554jbSKfTcDpyOp0WiwV5YLniawHzC1OJMfPmzYPmOJFIQCZUq9Lv + uececDYZ3hdms/nGG2+MxWK1tbWJROKRRx6hKh8gIFhwnU6Xm5u7ZMkStOZ2u1Op1Pvvv48nbTbb + 73//+/HjxzMlgmLs2LGnnBe/30/hRuCKaLPk5eUhGJ1zvmTJEnxotVp/+9vfPvjgg3jY4XCAJsXF + xW+++SakBVJdX3/99RqNhlx0mCJadOrUaePGjZzzmpoafrogfs55Mpns2bMnWkBGr169eqHPf/zj + H7lq0W7cuBH0t1gszZs35yoFdiQSefzxx2k7vPzyy6SKbirKysoo5ufbb78NhUJwG4OLGkT9kpIS + 9UrGw2PHjiUuf/fu3RiCw+Ho1KkTXN1o+rBTrrnmGrLbZOkPYqUIXIn/jsfj99xzD9lVrrjiCjxP + Ax8zZgy2zH333efxeJgqSmTbtm3UfpPOVa6yNal/NXHiRFB+0qRJiGJijKEmJo4mykrClSVxchoD + ihcC3RYuXEgvVcf6q/+mgp7Tpk1r27YtlgfWodPpxO4rLi6eOXNmKpXyeDxNPWe4EnO/aNEiyqFy + 991333nnnSCm1WrF7BcXF8O2SQt+7dq1gwcPZoqXKVYO/NAGDhzodruRtR83xbFjx2655RbMJp6n + O0in0y1dujQajY4aNYrOHziVIbqPuso537p1a8eOHfEMURLrzWw2/+Y3v4FYQhllmJJXvVmzZq+/ + /jp+aDKZzjvvPMzaaS2EAgICAgI8iwCDfE3IKKU2UID3QjRkv3796PkMTv25555jjLVp0+buu++e + P3/+hAkTkOwIokWnTp0aE2AA8CLEayLX1uLFiyl11bhx43DrGAyG2bNnU1MbNmwAhweO8Iknnvi/ + //s/YugR6oAnKfMM9Z/8werr66HmpG89Hk8kEunVq5fRaMzPzyfVLylHyZOENPFEtGAwePz48S1b + tjzwwAPoCbiNnTt3NmWmMgEeFEHz8HxASYfBgwcTJUePHo1Zmz9/PqLbJUkaP348rnxZlhF8nHFJ + 6/V6sA5wELJarXDsAdGmTp0KfgVayZEjR86YMWP48OHExzDGyFwWjUb/+te/0rTCl4bSdqlnnCsM + FmLE8aHT6ezYseNvfvObK6+8cu3ataTDps7QZT9v3rzRo0e7XC5kjtbr9VddddXll19+7bXXTp8+ + HYMFQ8wUIWT06NHPPPNMx44d4ZHPGGvevPk333xDUuWiRYvAABF7Df7MZDLdfffdpFUNh8OoGkSM + 7Pjx4zt06MAUPrtVq1bDhg0bNWrU8uXL4Y+ELGTIEm6xWLCS1dnkGGMdO3ZEa1D0/uUvf6EYnosu + uuixxx5DaAp+ddVVV9GSI4+4xgAFgdlsHjRoECaic+fOCD9QCzCJRGL+/Pk0QSNHjuQKc4l/582b + h+Wh0+luvfVWrmLlmwRkIcOKqqysxEAQjIHPJUkilnHFihU06jvuuAMzC4sByEjZ0tSiLNaz3W7H + 9s9uhIEA43Q6zWZzcXExV46+48eP0zZhjM2dOxcnBsjudrsRUmW32zGKIUOGUFQSCMubfq7SdMB+ + guPF7/fjJGnbtu3OnTvT6fTVV1/NlAB9CKX0OvWE4kMIMLIs79y5k6mS1LvdbihiTslDR6NR0M3v + 92/atAmzBqGif//+L774Ihw4yZpB6oCmnjNQJL3zzjs0fTabDQIP7Q780aZNGzpvq6urhw0bRkdK + v379Zs2adckll1AjL7/8MlE7kUiMHj2aqaLFunTpMmbMmNtuu61FixaFhYXQwsydO/dXv/oVtjxa + vuyyy4YPHz5r1iz4ju7bt69du3bw483JycnLy3v22WcnTJjAVHjsscfo1EL6ForDtNvtlM28sLCQ + sqWRC6uAgICAQGM4TRplrlxmlBdy2bJlFJx94YUXqlkWuCnjk6+//vr999/H55AK9u3bR9G0OqWM + PaAWYOBEsXDhQo/Hs3z58mbNmuEnWq22d+/e6ENVVdUjjzxCN8TatWvheRIIBHr37s0UTnrDhg1o + /6WXXtLr9eBsli1bRuwLpfqlqAy1VzoNDU7VZBcCLxWLxchLinSWNCL69vnnn6fgZopJvfbaa/fv + 3082hyYB3H8oFCKPKcbY999/X1dXt3z58gEDBlAWNYvFgmtYluUFCxYQP3ffffeRoQmaUfDo0Fhf + c801n3/++erVq8FVAEuXLgVNjhw5As4JsuWbb77JOQ8Gg4lEAiwUcsddfvnlxDNNnz4d0bGMsddf + f51Uuel0mtInILqXBjht2rScnBzQ6tFHH6WxU9JV9cNc5U7261//mvq8a9cuLDywmMePH0duJTAQ + ixcvjsVi0PSDUQbzR2r1dDq9aNEidJvSUYwbN27p0qWrVq0i4RPmIPoJV2xxL7/8Ml5kNpuffPJJ + +OFQMgDYdkgJnZeXN2nSpP3797/wwgugBhhfavnAgQPEgut0OoyroaHhvvvuY4o8fPz4cRhCs6+f + l156CUQoLS0lj//zzjsPvQWfDfJGIpGpU6cyxQh25513qhX5yWTylVdeIe/QIUOGqCeiSTjvvPOw + DIqLi7dv315dXf3pp58S6wlSrF69mnPu8/nefvttYjrvv/9+mgVIF+rcxyNGjNi5c+eaNWuwkvHV + qlWrTuufg8h4EDwnJ8ftdtfU1Pzzn/8sKSkBKdAln89HjH59ff3KlSuxlfr27QsCbtiwgd6LnFRq + wfLMz1UAk47Thpj7bt26YcZXrlyptkCq02qDG1ZrZPBHOBxGn2lE2A54BpHlgUAAMUVqb8lkMtm/ + f3+miJFIyw7dzYwZM+isa9Wq1YkTJ3gTzxk6N7BHkDMAa+zpp5+ura2dNGmS2WzGbkVGE4ivy5Yt + w9hLSkoGDhxIZzhUCZIktWzZEqIj5/z999/HdYB2pk+frk79vH//fgi64XB406ZNkEsZYwMHDuQq + +3w8HifnPZhQ6GbZvHmzOh32jh07MCjQAZnQIPv9+te/njt37hdffLFmzRr+4+hEAQEBAYEsOL0A + k4GPPvqIKQqwiy66iCvqPeIp1c4GKaWsCi7RK6+8kq7Yw4cPU5tQjZtMJqPRaLPZ9u/fjxBPzvkr + r7xCTAljjCtO/HfffTf6oNVqyTFs48aNyKrMGLvgggvI49ztdpOPE9kf1J7TXFW+APpC+hwfYtS4 + e/bv349bkGK7+ancQiAULVq0SK0Jhi7/4osvRlUHig8myLKcXT0MVzefz9etWzfckbA4kbMKuZWP + Hz+egkbeffddCuuHCxl5vuEnaIo8o6LR6Lhx49CORqOZP38+6DBr1iyj0YgRweeBbFAHDx7EhQ36 + HzlyBMNRW2BeeeUVriofgQ9pZmmdPPfcc1R8/bHHHsuowULUTiuFUIiPHDZsGC3O7du306SkUqmZ + M2fiRTabrUWLFuqyFd9//z3pRA0Gw9GjRzHvKDmC4Tgcjnnz5p2WQae0DbNnzyY++6mnngLTg+S/ + nPOlS5dSxLZer//73/9OM4KeYBJ/+OEHtDZr1ixoecG1U2IGmCMYY4WFhS+88AJXuTuq8yjAQMQ5 + //TTT6lXmzZtogwEbdq0gckCNo1AIBAMBmOxGHTJUD8/8sgj5H4DtvuDDz4gjXiPHj2Int9+++2a + NWs+/fTTTz75ZMmSJcuWLVu5cuWqVavWr1//8ccfb968mZwMfT5fKpWqqKjI0KyDOJAeJUlCEmfO + ud/vf/fdd4l0DzzwAK2KI0eOYFmCZaSfBIPBu+66i1byvHnzss8g57ympiYj1TJ6VVBQgC6VlZW9 + /vrr2BEppabHkCFD8PCyZctodZHRzGazLVy4kIRYdYgLiNbYucqVE1VdwKdfv34wX7zxxht0XFDY + FWPs73//O/pAMrBaKUOBMRTRZzKZ8vPzEUafYX5RR+GjA1u3bqWla7PZvvvuO3qAc04puRljb7/9 + dlPPGa5cJSAI5VD+5z//iZGeOHGC3BoZYxTNAgUT1sDKlSu5cpg8+eSTZBn+4Ycf0MkRI0YQtSmW + ST0dJKVQrJHVau3bt286nVanZsFXoOE777yjbuR3v/sdUenOO++kPBA48TAuKmQkICAgINBUNJpG + uanQaDQ+nw+xxeFwGHVUEonEzp07jxw5cuzYserq6l27duFAh2CD0FjkFEa0hizLEydOtFqthYWF + nHONRjNixAjwH0iNf/DgQaTc0Wq1yBzKGKOMzAcPHkQiMr1ef/jw4UWLFiFDq1arhWBgt9tXrlyJ + +FqtVms0GiORiF6vNxgMFK1L1euJTYxGo2PHjkVlveuuu65Nmza4oSmahTGGYib4IxKJwEDh9/vb + tWv39NNPV1VVpVKpDz74AE7hX3zxxYYNG0aMGAEWGa+QZRmJRMlO1RidkRwJmlHGGLztfT4fQvYD + gUBpaelDDz10ww03ZMlsqyYdwjbat28/adIkfEveHSAUnKwikcj3338P/kan00EcNZlM4MZat27d + smVLJKfCXLRs2RIVEuCelFIAWQu5UCFGUj/xUnAhnHPGWF5enjrzNbgoddrcM8SBAweo1Mltt92G + ajBI5dy+ffu2bdtCWkin0+CQSE5DVZZhw4Zdfvnlap+3UwJd5UqdClCSNOtUGZDqQlgslqFDh0Lu + ghNU8+bNq6qqKFUrWvv+++8557FYTJKkysrKRYsWBQIBxLWjnbq6uurqaqasSZJ2kKDW6XRKkrRv + 377bb79dluWCgoKxY8dedNFFqKiD2kEHDx40m81Ye8Tw5efnazQaZA32+/3UJYyitrYWplTGWFlZ + GVaRJElTp05FpArqgTDGkDyX0KlTp0suucTlcuF1KObjcrlCoRAS42q12tzcXI/H43K5HnnkkbFj + xyJPN3XsZCDbNWMMSve7774bNTqgFmHKxjn9QmEM3qQopYJkzUhKDmp36dJlwoQJ1113ndFoDIVC + sCLu2bNn8+bN8Ofs1KmTyWSKRCJGo3HixIkzZsxwu92hUGjOnDnXX3895RGmei9Ue6oxQHRJJpMW + iyWZTO7atQtpGxhjgwYNcjqdSDr/0EMPTZo0CZO1ZMmSW265BYmDUXpFPXa8GvOOCYrFYi6XK62U + tfH5fKRBUMv2yGtCAS0Gg6Fz587k8JlKpQwGw6hRo+bMmYN5rKysbOo5g79xOTHllBgwYMDVV19N + 4WEdOnT4+uuvqcpKcXFxLBbbtm2b1WrFNfHtt98eOXIkNzc3nU4vX748GAzC3/XYsWPt27ePxWL7 + 9u1D5n2tVnvddddh2eBUSafTtIuhokKJs3A4XFxcrNVqc3Jy0KvvvvsONZdA58svvxzGfPTzlltu + +fTTTxE6uGvXLhCTCu9IktSxY0e1H4GAgICAQJNwzgQYnU5HRgCw1wsWLHj88cfr6+tTqRRECMgM + 4Hfha8QVJZYsy7i9tFot4mQ8Hk9eXh4clqiuSFVVVZs2bShOGuVBIHtoNJrDhw/jRfDqHjVqFBo3 + Go2QmoLBYIsWLXDBBINBu92Ov8HooPYiMRacc1xdo0aNAndotVr/9re/eb3e3NxcKqoAMYyKM5Iu + ORQKOZ3Onj17UsD0I488sn379ptuugnVS1avXj158uQpU6ZoNBp0Axpx8PqN8ejEfMD+gA979Ohh + t9tzcnLKy8svuOCCPn36wEMdhSBO2Q79HJRJp9Mmk6lly5b4FkIdBoh/0dSBAwc455IkmUymdu3a + QZiBbGMwGPLy8tQCDLyAULkSgwIf4HQ6E4kEWGeU/4MwplXKSiL7M9YGEl7BAoPS9TRfZ7Yw/z/2 + 798PjicSiZSXlwcCAavVijWQTqdzc3MhlcmyXFlZ2bt3bwQuM4WXKisrKyoqoip7jQEyD1YgcWBc + iQLHA5xzCgaIxWLwuacWioqKUOGHahYlk8k9e/ZgmiRJWrZs2bJly2jiUFEUb2GMgVBI/ovyR2gh + Eols3boVVrJIJDJv3rxZs2ZhPyKBtclk4pw/99xzs2bNGjRo0JIlS8jrkjEmSRKSC6PAEbg9mBnR + jZKSEtSygCsRU/YslgdjDAQxGo2oJYJtoq5aiPCATp06SZJUVFRUVlZ24YUXXnrppci1AJ4viwCJ + CirgSi0WC7hqrCgo/rG10YJawswABAwQFlUyu3fvfvz48S5durRr1+7SSy+9+OKLzWYzWHmbzQam + f/78+dCbxOPx2bNnx+NxlOM8cuRIbW2tzWYLhUIbN27cuXNnt27dUGGGlhZKUWVZVBBgqNTMG2+8 + QTWvZs+ejYS8ZrN53759KaXQ6po1a3bs2AEv00QiYTab1XVvUBNGp9O1bduWZMuamhrw35Ik2e12 + tTiBiUaNUbvdvn//fupYSUkJHsMoGhoaWrZsCfGbcw5jdZPOGYAK1EIo6tmzJyq0oIoO6lRyznGw + oKhUZWUl/fyhhx7CHzqdDo6joBji6Q0Gww8//JBSSh716tWLKXZgVIChsmAOhwMHFz6JxWINDQ35 + +fmY31AoRNVd/X4/1HCwstpsttLSUr/fn5OT4/V6f/jhB0pkBzokk0mTyaTOfy0gICAg0CScMwEG + QBHDhoaGyZMnz5kzBx9aLJZWrVolk0kky8KHuPjV+jmojeFskE6n4SoNpi2RSJhMJpT/+//91uuZ + wh1aLBbwuKgtiEQuJ06cQK0xk8l0/Pjx0tJSRM02NDTAUmS320mdbzQawROTdwpqkDHGli5dipBr + jUYzbtw4SZJyc3OhujYajShoqK4QBy4Ndy34P3Bp0Wi0pKTkqquuuv/++5944gnGWDgcfu+99yZN + mkTKTnAPQGMUDgQCVDIS1SGNRuPUqVMHDhyIaqFUhBvXZGNN4WKG6AXzF4q7U7gqrBPgsaCVhAMJ + +okhGwwGqDwp7Y/RaCQnGXoXpBTGmCRJULpTCUjqDImy+C+WBIrxgQuBCQLGnKZKL4wxj8dD5gJq + Fhp9ci+E4IFoCkmSwL+CEUckvbqTGYDkiXmEHU8tnBPDSqTAwkY4E8QAVCKHzQpEo6gGyNLwj0f6 + Y6jMfT6fXq9HwBU2F2Q8kqjBS4H1JxkgHA4jnxu6h5J/MApB/kebNpsN6bAYY2azuaGhgSn6eFTh + hOsOZGlUt4SJZtKkSRMmTDCZTPAVtNvtGCxqDuK9oDyEBLCh6XS6sLBwxowZF154IchFTlMajQYb + H/ziKYGDBayq3+9niqylfoZmJIsgRHVF0c9WrVqtXLmSirei/AgEP7KPNTQ0LF26FFys0WicOXMm + phgGAUmS0G1ZlhcvXtytWzeSo5gSDdhYZ9A+mWjq6uoKCwsXL15sNpslSQoEAgjeoDK+JpOJnBXn + zp17/vnnx2IxtUWX3osl2rp1a8w4Y0yv12/btm3w4MGQTjnnMIgZDAaSt0FSssCoG8eOJvMF1jDs + hE06ZyAtoEvYILDVQ2ZAcUn4kuGHEMbMZjP2KToDfQQyQYPa8JE7ceIELhHo0RhjsLe73e7c3Fx1 + mgGIhfn5+RDAYPvCPsXMQmikNUNWVjISYlrTSnFkNR10Ol08Hvf7/WehiBEQEBAQAM7Ip+JMQGpX + xtj69euRoahly5YXXXTRBx98sGPHjsrKSngeM8acTidyDYOFJTEmGo2WlZVRxcNIJAIXDqYIOVBZ + QXMP9p0p/hiyLLdr146EnAEDBiSTyUOHDiG+f+fOnceOHfN6vQcOHECBc855MpnEw8SaE9sNneiJ + EyfGjx+P7jmdzj//+c9wIyG/FLqVmeJyhltZo9HAPUOtY0bLN9xwA8Q8xtjevXslScJXkMTwX6iT + TwmHw5GXlwdZC2E24XC4VatWoVAIqYfxOfqWvTg6WTNkWYZgBl0+PcCVFE+4jBsaGjp06ACWhYJe + wOiD24M3Bfh41HLB8MGa4F1erxeNQ2ONv9NKcXFQTB0RAZYFGlkokrMzfI2hdevWVOR+//79eXl5 + cL0DjwXuHOx4165dIbpgWm02G7TR5Jd1SqBXmH2SHxhjsFfQFDMl3xpjDG6WmHT8ECMlLyOysLVv + 3x4cqsViuf/++91ut8fjqa6uDofD1dXVVCLGYrEgoYJOp/P5fOTNwhjTarWo05pIJPLz8/EK5C9G + rDbEZq6EOoBQyKiByTp8+HA8HpckCXNtNpu3bNmi0WjAMXfq1AkzGAqFunTp0qdPn86dOw8ZMmTo + 0KF9+/bt16/fhRdeOHDgwM6dO/fv37979+4oRSLLMswjWIowWpI5AmQEHTweD2SqxujvcrkcDgdW + F6pe0ioi30Xa5lkQCARwKDmdTq1W6/f7cc4Eg0E4kbpcLhwd8H7U6/UbN248cuQIpCYqNg8fKlmW + cWTl5eVZrdaZM2cGg0EMENKy2mZ7SiSTSVKOWCyWjz/+GAnBA4EAKVDgs2o2m7ESsK6QCBjrisws + Ge8qLCzMz88H155KpR5++GF4xHm9XnDz6h2KDni93u7du9O6OnLkCCYO1CgqKoL8b7FYDAYDyno2 + 6ZwhspB2gymekBDkaHngrIhGo+h/eXk5pZ577bXXkDSZc+73+8PhcDQaPXjw4MSJE+12u9/vb9u2 + LdnPDx065HK56MiFyZeySwPQGni9XpfLFYlEAoFAKpWCp4DNZmtoaDCZTMlk0u/3y7IMc2J1dXVx + cXEgEDAYDLCHEx0gz7tcruyWNwEBAQGBLDhnAgzxFiiyBkP80aNHH3744csuuwyMTjwed7lckiT5 + /f6ioiI8g/Q4pL0+ceJEfn4+riKLxfLRRx/5fD6LxZJIJEpKSvLy8nArUwAMYywajWq1Wrvd3rlz + Z8YYOIldu3bt3LmT0pjCHxosCEKHIQIhEapOpwOjRnl4oCSbP3/+sWPHotFobm7uFVdcwRgrKCjw + +/1Q4cfjcfAHe/bs2bZt25YtW7Zu3Xr48GGm6IPBn6HInd1uD4VCx44dQ3kcyHsgC3r43XffrVmz + Zvv27Rs2bCBV4skAU4tkrIyxnJwc0MFms0FDjzwBqFqdZb7wXrLVMFUZBKawFOpgKb/fX1hYWFFR + Ae8mvV6PKFuIcEaj8dixYz/88AMGlUql2rdvj3YcDgeZFPbs2QOnpu++++6JJ55IpVII+2GKixFE + HVpLnPOjR49CDQyWEf3MoolvDFdeeSWqQEiStGDBAqYkP3A4HMePH9+6dSvJoj179gRLBOKg5gy6 + lGVeSN7gnCM5Mj6PxWJ1dXVkYWOqABVIFGqekvwYGWPghPCTPn36MMZKSkpCodDatWuh6Y9Go/Bi + wm8hdFmtVvBw2GgwBIVCIZPJNH78eJ/P5/F4Pv/88xMnTgQCgRUrVmzbtu3EiRMulwsK5rvuuquu + rm7BggXhcNjj8Zx//vmDBg1Cl2pqanbs2MGUyBZZlj/55BOICi1atEBaKgQDENdLzkVQscPhkzEG + oxDF6/v9fmjKwb8S50qhCAiJMZlM2NqnBBJMybKM+CUiLJlxmCqyIgtgRWSMETNK+d9oAUBCYMpS + nzdvHt41derUbdu2+f3++vr6gwcPQs5cu3YtwlSCwWA4HF6zZg1tYRpmdsseLSSbzTZjxgxJktLp + 9Pz5848cOVJdXR0MBnfv3n3s2LGqqqpDhw7BNTeZTNbV1b399tsYCzVCU0Pp4+66665wOAwL5K5d + u/7yl7/EYrGcnBxsN1g/MHDYPXJycnr06IHQQcbYunXrjh8/DiEfqxr5oCGKDx06tKnnDKU8QZp7 + WLrIKA1CYS5oTvF8jx49SCGFwr6yLEMsgXWRVEV2u728vFyWZafTKcvy7NmzdTqdw+GAXOp0OjGb + OOFNJpNOp/N4PSNu2AAAIABJREFUPOFwGHVdLRaLw+FwOp0FBQUOhwPLNRwOL1++nOxRLpfr3Xff + hTdBPB7v1asX6EDTARe+08bUCQgICAg0hnMmwDDG/H5/NBqFmztuDqPRCNdkvV7/5ZdfHjhwAIph + o9GIku2QYXBN4kZcvXp1ZWUlNKk1NTVz585FWDxj7JZbbgEvCz8ccqaHZMIYa9Wq1W9/+1t0xuPx + TJw48dChQ0zh7XBZfvPNNy6XCwZ9qBvVHCR4PnCNdXV1r7/+Oq6cZDJ5//334yJ0Op3hcBguVfF4 + vKGh4ZFHHhk4cGC/fv369eu3cOFCpvBelHvHYrH4/X6bzVZQUDBt2jQobuGDjtosgUBg8uTJQ4YM + ueCCC4YMGYIkvKcE3XlgkaHJ9nq9iHkABwznKFSHbKwd8smmEJdgMAjhhJ4BcwAi427u3r27zWYD + U/jtt99++OGHMHm53e4FCxbAppFOp/v27Qv1JMojkKHss88+q6+v37t372uvvYYUvTDR0EthcsnN + zcUnqVRqw4YNCEDSaDQejwdTk0UT3xj69OmDWB2TyfTDDz+g8DmcglBODr40vXr1osbBdeGNYOOw + kE4J4mgZYwaDwW63U9ju5s2b9+zZwxQGDiuH2CmIVQiSgRiPgAcQGQ327dtXkiS47nz55ZczZ87c + v3+/2WwGuxaLxaqrq7HYZFkmwRVGTgjt8XgcOvucnJzzzjsPY6yoqOjRo0dxcTGpriVJys/Pt9ls + VqsVAt6oUaOQ6FaW5XfeeaempgZ9nj59OrrNGBs7dmxpaSk52DDFM4cxRhwwZZsFPSFZYUNhlcL4 + QzYfxhg5gzHFbJVl3mGtxRTgV+SFeIax+wRIKRqNBpuI3O2gO8cziOszGo179+5dt25dIpGw2+3X + XHNN27ZtHQ6HXq8vKiqyWCw5OTnFxcWjR4+mIj+vvfYazkZsiiwiMUCiryzLe/fu3bBhQyqVKiws + HDBgQFFRkcvl0uv1yAcITf/NN99MxsA33ngD0f8IKiNKQkGDDjz22GPl5eU+n8/hcBQVFU2ePPnx + xx+vqamBWxSdjZWVlf/4xz+QUdBsNvfs2RMsOGPs5ZdfpiW3ZMkSrMxkMpmbm9u5c+emnjN0VtDB + izQYjLFQKESFIOm3SLTAGBsxYoTVaoVqY8qUKR9//DHcF5kiNlRVVdXU1MRiMYPBgLQZaPaDDz54 + 6aWX0EnsYq/XixPeaDSiBBlN1rp16+jVyWRy+PDhuEc0Gs0rr7wC3VYsFvP7/ZBUCwsL7Xb7lVde + SXQgI20gEMgikAsICAgIZMc5i4GJRCKkf8rPzzebzTC5PPjgg19//bXBYIBTGcSbeDz+/PPPjx8/ + /uKLL6ZgZVyx+/fv79Gjx7333muxWJYuXbplyxZo4FKpFBJlUoQlU7giCjwwmUx33HHH6tWr4U+1 + fv36zp07jxw5smPHjseOHautrf3kk0/C4XAgELDZbJR/DA3C8xs9iUajBoPB5/P98MMPpBHEnc0Y + 45xbrVYoDpFXigwpiIRmjEmS9M033/Tv3//iiy8ePnx469at27Rps2HDhg8//HDOnDlIDZROp+++ + +25wQg6HgxJwIeCnMTpTFAq4fLAORUVFULvqlJKL8DzJ4qJgsVhkWQ6FQhQfbDKZrFYr2UOY4ptH + wRh+v79v37733nvv008/DZek3//+9w888EBFRcXq1auRCxuMKRUbYUpaW7Swe/duBKzjW8Q1UQA6 + oNfrnU6nyWRCytc9e/bcdtttJSUlo0aNGjRoEDtVbMOZoLCw8LnnnpswYQLYx1GjRh06dCgvL2/H + jh2zZ89Gziu9Xj9t2jREFJBeXO3TT5VVTwnSaoO8JKh8++239957b48ePTp27Hj11VdbrVZol+kx + MNnqJQ1olKxfHTp0eOGFFx588EHGGKpPLFiw4NJLLy0qKvL5fFu2bNmzZ0+fPn3efPPN3NxcZLST + ZZmKe6TTacxCIpEIh8NWq5UIiPB9rAHkPYcpCRbOWCz2u9/97sUXX4TbzNSpUw0GwyWXXLJv376H + HnoIViCbzfbHP/6RKSdAOp1GgDJTIuKYkksKE0fuTLDHMsbg1QNNNjhOBBhQJ6GxVqcjPxklJSU0 + IrDs9BU4YAq0y75OsK9BDZh2KYSJdiXYcTz52WefIT/bFVdc0bJlS1geMC7E9JtMpjvvvHP27NkY + yIoVK4LBILI1MsbAT2fpFWUHwXkI8WPIkCHNmzeHJgi56cBth8PhsWPHIj1DOp3eunVrVVVVaWkp + 2b6YKl0K9D5wPuzdu3cgEEACw+nTp7/88svdunX71a9+ZTabjxw5sm/fPhQ2gXqoRYsWf/nLX4YN + G5ZKpfLy8p566qm6urq+ffseO3bsqaeeslgskChmzJiBldakcwYityRJEFZhkSNnTlo5TEkrR8kG + RowYMXz48LfeegsxY8OHD+/Tp095eXnbtm0bGhrWrFlTVVV13333Pf7444yxW2655b333vvwww9x + s4wfP/7999/v27evxWJZvHjx0aNHn3zyyfHjxyMuy263I0lJfX39ddddN2bMGKvVOmnSJKPR+OKL + L65bt666ulqSpNWrV//2t7+96aabwuHwCy+8UFNT43Q66+rqrrnmGtT5lWUZXm04W0CH7KtRQEBA + QKBR8CZi/fr1TOEz+vfvn/xxxXoomb799ttLL72UqWK7GWNGo7GiosLhcNCpffPNN0MFTuUsJKWw + CSUZAwsuSRLy/JC/wf33388U5njhwoVcVb5g9uzZVOaZYivVLAI8xXGXqAv/4XOqq/Doo4+Sr8jI + kSPV6aTwAFVZGT58OLG2Tz75JOoP7N69G2Hu6qoFTHUN33bbbSi8gG5cddVVTOHsJ0+e3Bj90Q2/ + 349MPqAtyq5lAZymwAzde++98E2SZRmxH/D2LisrS6uq2fzxj38kB7zXX3+dikPv2bNn7Nix+Ly4 + uBiEpTwEOTk5zz33HJ5EGbu6uroBAwawH5tNHA4HCtWB1aMc1piOYDB4++23q2fNYDDcdNNN6J56 + vfl8PmILOOdXXnklCU7btm3DnFJRjj179kyYMAGDIhMBcbotWrR49tln0Ti6sXDhQjIpoHhORtGe + k+eFK6uourp64sSJaF+jJI4bM2YMurp48WJyIKFqjCgC26tXL9oglZWV6nKH9957L1EDfyC9Af6+ + 8sorT1vFMgNURxXpkjFM9FBdCmnr1q1YnEyRrygzRO/evdevX48enl358HQ6jXAp7PR9+/ZlvD0D + b731FvpgNptvv/12+hw2W0xu69atMTSoCR599FEqfThnzpzshQLT6bTb7aaFSvFysMPEYjHaIzAm + tG7dGk9+9dVXCE1RN4WB+Hw+FEuF++hDDz3ElYB1erixcxXROxhLaWkppolK9J4Sl1xyCa3bRx99 + NHtdKSzprVu39u3bFysK2VPUghOaatas2fDhw6m1KVOmkJxGz1Cll3vuuQcpHJp6zqA/6XQa5wNW + BSgmK2WIunTpglfrdLra2lq3240Gt2/fDlGBgJ2CRsxm8+OPP05D/vrrrwcOHIjHzGYznWNYRX/7 + 299A80QiMXPmTPScEg8gfwb6/9prr5WVlalfajKZKG36jTfeuGXLljOhg4CAgIBAk9BkFzKfz4f8 + s4yxnJycYDBISlamxH506dLlwQcfRKEJxlggEOjatevGjRvXrVt38cUXwzrPFGYonU5TYGsymTx4 + 8OAf/vAHXJ8IROnUqdP7779/+eWXU7xEbW0tMnWGw2EknEHf4D9w1113HTx48IorrkAyWbjHcM6t + Vuvll18OzRlTPEzALCLLDfR8DocjmUxWVlbu3r07Foshf2hhYSHUfvBYA5NH6Zi9Xi/4J7gcYFxW + q7W4uBg6bwTaOp1Og8EQCoUqKir+8Y9/TJ06lW5uxPAwxhCTmqXOCXUD1dORDhjO2acEiGO1WhEk + w5RcWNCII/snrlVIRKSppexJNpsNqUgRddOxY8fZs2e/8cYbPXv2rKmp4ZzD8ibL8tVXX/35558/ + 8MADFOfNGCsoKHjmmWf69+8fCoXA63Tr1m3hwoXDhw83mUw+n6+oqAhcGrglBMZMmjQJHoN6peQ2 + POWYYuShtLkajQaB8lVVVS6XKxqN6vX6goICcAzIMox127Fjx6eeeur555+/4IILoNmlzEXDhg1b + tmzZgw8+aDAYAoEAApaSySRlBkfaXOILG6MzrepmzZrdfPPNU6ZMgQTCFDUzzCxcEfXhcFJdXY3c + xyaTiYKXcnJyYMDBvtDpdFOnTv3qq68uu+wy9BnmjkgkUlxcfNVVVw0dOhRLCNn2GusnZgrlKbD4 + Dx06hCRvubm5CH5gii3R7/f7fL5evXrNnTv3+uuvh62GKW5111133ezZsyGdGo1GGF2zvLexzrRp + 04YxFo/H7XY74oKyWCApubO6fFAqlTp27BhSgzDGOnTooFElQ8fcYbxwH83SHySiIAsh0pohNZbB + YCB3RyTPCIVChw4dMplMFRUVRUVFSEDMlIMIDDdjzOl09u/fH6dBLBb77rvvDhw4gOwRGAgya53y + XCUv2Z07d9bV1UmS1L17d2T+PSX8fv+4ceNSqRTMmIsWLXI6ndi5jdGTc96rV68VK1ZMmzatsLDQ + 7XbTWYEkxYyx3NzcESNG3H///Ui2Jsvy5MmTly9ffskll1CK8KKiomQy2a5duxUrVsyYMQM0bOo5 + g88xZUwpHYN9h1MLZy+Sbms0moaGBtiik8lkz549ly5d+sYbb3Tt2pWck/GKsrKyX//615dddhlS + 2DPGunXr9sknn8ycObOwsBCmNqaY8Vu0aIErA7lSxowZ88ADD8DYhSwOKLqF4dx6663r168fM2YM + dFiSJCFdwfnnn//8888///zzsG41RgcBAQEBgbODhp8uqvVkeL1eHNYoo8EYQwoa8ENwSkEepGAw + eOjQIZQ+cDgcFoslFosFAoEjR460atVKo9Hk5+cjIS88W5jCXqM+OrLpQ97ABZNIJChlE3IEI7Uo + YwyBJRSXD9mgoaGhsrKSc15eXl5UVIR0Rvn5+VSUAyOCrwviMeDuwhSuEe5hyKRJnWSKyz6cc4gO + TPEJCYVCDocjGAxCR3jgwIETJ04YjcaCgoLWrVuXlZVRfDOuTAwZATlerxdRzo3RH7wvJXpW5zM4 + GVSgrb6+vqCgQP1VIBBwOBxIKq2eTVCD/H/oc8450viq3Zx27twJVrJVq1Z4jDKoIoiCOrB3716v + 19uqVSt4+9AqojTTSN6KOx6vTiaTO3bsQMYem82GCgxMUakylZOSekSMserq6ubNm4My6iTXTBEe + IpFITU1NbW1tmzZtiouLwetAelRLKegVpZLLeF3GpBA/Cq9CjNFisVRWViaTyaKiIofDoaawusNM + KdVKWl6/3+90OsE6azQadcZVn89XU1Pj9/uTyWTnzp2JXGgErHZj64HWMKV21ev1CF0j30VEl2EF + qkvfxOPxHTt2VFdXFxQUdO3a1eFwoKs0xeoNcuagV2BJIPVzlueJaOg8/ZwrAQbwICXGl75CwUd2 + ui2D+O90Ok3+pQT1WoKRBKUM6+rqSktLafh0hiDjCGWFpuQfGCDJsRR6cfK5ypR86DabzePx5Obm + 0onXGJCcEFsYWQ2yPEyyLo302LFjR48ePXLkCDxpW7Zs2aZNm/z8fCwqhKlQyCLn3OPxeL3e/fv3 + d+vWDRUzMTu0Kpp6zhDwFTYCY8zj8SDu6+RyTDhIqRIlFvbOnTuPHz9eUFDQu3dvypxOggrGm0gk + EFu1ceNGk8nUrFmz/Px8Sg1Hr0b3QqFQTU2NwWA477zzSI5FlA5msLa2dteuXWVlZc2aNYPXovq0 + bIwOAgICAgJngSYLMNCU41BGIQjiwE4G5xyxnnS1g7/HzznnCERBGABTkoCZTCYSMNJKpXZyGYda + OoO/R5lLalbz45KUTFU3BhIO7ieu5MBB6mHKxgNAE39ymTmu1FNjjIVCIQgwDocjkUholVKMGR1D + XAF08FzJwapOrQMTBLGeWeq3IHIaj4XDYa1We4Zl6em+hEu6w+GgOuLgXDnnNE3oJ8IS0D64GcgD + FLUCJSWxa0wJSaeLGWIeXOlAUnI4AX8Dzxyr1YoniUcPBoNarRaxRjCjqZ0AMYNqNvRkKQXaWQir + qFdDhEJ6JYgKeAafE9kR608NonHU9GjMCEO/panUK2U6IaNiyMTQYFzqeH2QSC0jwWGJppjSIjXW + B8xLFtE3A8hCQbrqDLFH3RrqyoPmEDBgVEQUmXpSzvDVBDDlpLYwGAxnwthB2ANZyMDFGEMSaiwn + 7FxwjZgdCIEZSyUDmLuMXU9eowjPUP9crVJRV3NvaGgoKCjAk0QodCNjgKgTD3PcyeeqWnBFLNBp + 5xc/oV2p3nSNAVYmq9WaMYPIBI0SjdQHPKPe9YhucrvdiFyivQClSZPOGQS7g87UDSw5pKrDDsJx + irqToAklneOcI3yL9Ajw/aPc99XV1c2aNdNoNHTINDQ0IJ8ebiu8mvQRSGaApjweD+c8Ly+PLD+o + 7oKW6TBRf8gUEf2UdDiLfCQCAgICAuzsLDAZ5bfosIZKGDclxRjQXYXrh5hgn88HDT1T7idiE9WK + NDwAz4oMtiYYDCaTSbvdDkUXY4xzDj8fMFVqLgcO+jABkZIbNxbloiUuh3OOXLHwr8DDgUAAFznu + crXuPINjAGPq8/lIUQqVP/qvdopQc7dMMXGYzebsPFYG/SERZbEMMJV6lZSXai0mshqo35jRATXL + BZaaxAmMGj5dOp1Ore5Vk0gdee/z+UwmE9yl9Ho9yRXQHDOlJA5TMUnwXCLfHnghqjPIodZkTk4O + apXAqR2jINYcXoIUDkHrVj0LxLXDUV6tIc4OMpXQpNDfkE/U6bCghSUiZ2iUEbXscDjoAZA3g7+E + +KFRSpIjHJnyNTXGGCUSCcjbxCAmk8lYLEZKcYhSSAJBTD9jzOPxaJWKn+o+hMNhGCvOIrMCQb1U + MkTZDGAhEWXolMiQOZlKnGCMBYNBmne1fakxqMs3qcVF9bTS20ErjUYTCAQy6EO6CfSEWsPnWHX0 + 4SnPVRQwZYp3E96oHloWKlGHT2vUIsB1zWg0QrCknsBll5KDqXuoztRMUgTGotVqm3rOWK1WmlnY + Y2E0I8kEI8qgAFeq3KqzR2ALQ+rO2MJk7j4TmmRQRv0hjQ7ugshzSBsBlw78EbLTQUBAQECgqTgb + AQZnMcWHgB9S3764OcDXnuyDgYvw5GZRlRwe21D7qTNrkSAUCoXUGkGmcF1gH8EKqO8ntRijvmXp + CjzZIYEAcxMkGfJMwM9J50qNQ1+OSxS/ynJF4W6DxwVjzO12WywWkqyyV2hGh6E0dTgcp83KhfKF + lCpXbWtSl8RGQjDkYiYuIZFIoFoCCQ8gC6UmU8tjTCXekKeEx+PRaDTwjUkmk3AmVHcPfhoZrKGa + UGrJx263ZzDx0GJmyeOUwYNSP9mP6/pBGACJ1JIP5gJCDpmSmgS1Qh3lVqAbjkajFotF7USUQQSv + 12swGJDhAOn7KHAF7aiDQPR6vVoMOBPU19fn5OQQcTJslcSbIgUTidxUUBL2Jdp0LKvlsDFg9vHD + 7KKLGj6fD7pzxhhOCUmSsFSQytZut5PaG8p1SHrk9pmlccw4rYeTNyNXVZU52UeRpptkYPqK2Fz8 + Fz3EoUG8fsa5Sr/Ft6f1H2MqI57f70eJ+sY2FyGVSiEQkeL6INCePITa2lqk1Waq5UruqWpSqF96 + 5ucMFfBR2xLRH0QqykpRJqYcDhnelWazGVoJ9VKEpRHCNrzC0Aeoq5D3Gc8jZBEKLAwfux6dx4ew + uSGkDaaeLNFxarKcTAdhgREQEBA4O5yNAJOhk4aCOZVKgc9DMAyplsH9Q6UNvym4UKtvccYY7mxq + kyu1/JgS/cwUmYF4kbSq3jmQwWmpdd5M0eERf3ZKZxtZqX3OVAltiaEBe6H2aFf/Fh1TG1tw1cGF + IxQKFRQUaJQEppQMOkM7iGazMxwZvjoZJpEMELNFunz8naGDzFBpZ4yOpAj11KdSqUgkAh4LCcQQ + 9Mx+7PpPgGGKKcJDMpkk/gzSaTgcBnNMpRJpftXO6EypDqHRaIjnxuSC7QYB4e4Vj8fhH4jO4Bly + hVdPnJpcajGSEgqfCWNNDjAUKhCNRinJgVoIpPcSqYnIGJ2s1NnAb4PBIFGA3PmYIoerm8ou0GJN + guA0KHwIUQqyOlOkO7V4T9XoqTXqPCoRZQRZnTkylnQWnYL6K/L5of4T76v5ca3bDAfODI/Ek9sn + +wP+wGahZ9TbLRKJkI5ffRxhmWENoAPwRFJnlFYLV6yRcxUOq+rFr1YfNAbMi3qHNgY6ELI8g75B + Us04wdQ/J2MsKg7hOoCMd+bnDCUEY8qOAH3UmqMM4QRSNI50qkcMStKNoN4UJx/doVAIWpiMGwG+ + bWSbxR2HrzKWKHztMqQRshqxk2w+2dVbAgICAgKnRZPPUCjkKFdMLBZDyCOOfkmSSHqBrpGKq0Cc + wD2Box/mC8rtA3aEKTc0LmnwmvgvmTjAjuj1enQDWjTGmMViAQ8RDAbhqq5RAa9G9lK6AtEHZKyC + Az36ieuKhsyUwHHcQAg+gRac2HHwf2pzBOXAsVgshYWFGII6IxDJS7JSLByRAFmkF3BsFHoLhSV1 + 9ZTQKMmvmOKfA7KjJ/g3g4MHH0zCDzl0kdwVi8V0Oh0if2CEycnJMZvNqCViNpuJfWGMRSIRCBKo + Q2pWwBhDYjGr1ZpIJKxWa15eHnmkIPAA3VPXQff5fMSAkhBLEUTIVEv+Qph3iqrCf4ka5BsjK+Um + 0CwKWWAZY8jq4oOnBMyAWGm01A0GAwLxw+GwRqMBfWgBUIg2taCeMqwNKksPFhar12w2UxwCyJWl + wmYGsOzVSSxSSrF5iuAi/hhBO4wx7A7ksgM10G2tUr4mJycHrj5nB/jYUMey1C/KCE0h7pApKbNk + pc4JBBumRLDgJ9ChZNlfkiQlEglIL5zz3Nxc+GfSWcQU0YL6A8OFeuWAmcZKAFuM7CAkk+PnICbV + 8WzsXKWIf4SqqyXbUwJlIvEuh8OBLZYFpNpQE5YpllsiC9ENEgtkM9pKtBPj8TgSoCE0pannjHpq + aAtQ0B0mFE3RLIDIFOLClOwITJEbmWIkp1/BW5UmkRI/ykqSPfwcnq54GK/AHaGmFXYo7OdYaaAb + qERiXmN0EBAQEBA4OzRqgYGKiByBoDA7c19qAQGB/22os0idXQS/wFkjQ4UvZuEnBkh9stFM2FUE + BAQEzgTqU/QMg40z0KjnLnRjS5Yscbvd+fn5sGmcoYe6gIDA/zai0Wg8Hm/VqhX8ypCiQx2WI/Bv + Bcw1VVVVkiQVFRXBuUttaxL4twLxUXBJRV2y0tJSvV6vtqMKCAgICJyMSCSCcBI4Cdvt9sOHDxuN + xgkTJjSpnWyhh4gwdjgc1157LaXGSmetkScgIPBLwOLFi41G46BBgyjwCRDnw08DnU4XCATWrFlj + MBgGDhyIhOPI1fFzd+0XATgWIrvMunXrEonE4MGDHQ6HWP8CAgIC2aH2FIhGo7FYbO3atWdRCLtR + AQbGHQT1Uu5aCi0QEBD4JaOhoQFFPzPiVYQL008GvV6PuooUuUQltgT+3aDUMpgF1PtiYv0LCAgI + NAUIEPX5fGceyktoVBpBFDsKeyPTESpFqvN0CQgI/DJhtVoNBoO6NBPl3vgZe/XLgVarhfGd0hyD + +OJ8/mlAgiIcySjTiaC/gICAQHbgzITSB2UPziIAhmURYIxGI+fcZrNR9XF1KRUBAYFfMlDdnIoj + 6fV6nBLifPhpAMojAZc6ibaIgfnJQASnfJhi8QsICAicFmSpBvOAOhxnof08jQsZCrxQeW+j0Sh8 + rAUEBMxmM0qgMOUMyihqKfBvBThm5IxGvd1TFg4W+DcBWZKRi5kMX6RTFBAQEBBoDFSJEcwDri11 + MO0ZotHTlgpBwDMEnyBvwL/QbQEBgf8FqJX9UGqAgRbnw08DYpR1Oh3V7UGlzp+1X78U0J2oFhqx + BX7WfgkICAj8p4OKQZNF5EzqKZ+M06iL1IXtKRFZ03srICDwPwV1JXKcRMjL9LN26hcHSZLC4bAk + SajMK87nnwwgNYrAYgrwuYgBExAQEMgOJP03GAx0YBqNxrPIQiZuOwEBAQEBAQEBAQGB/xoIAUZA + QEBAQEBAQEBA4L8GQoAREBAQEBAQEBAQEPivgRBgBAQEBAQEBAQEBAT+ayAEGAEBAQEBAQEBAQGB + /xoIAUZAQEBAQEBAQEBA4L8GQoAREBAQEBAQEBAQEPivwbkUYILBYDKZZIylUql0Os0Yo7zOpyzv + FQ6Hmaqk9Dnsyb8IjCIUCmV5Jp1Oc84DgQD+yzlPp9OJRAIj9fv9TBl19nYEBP6XIMtyIpHA35FI + hD7HBsGxQEA5XsYY9hEdApxzHAuJRAIf4r9nkSdegKA+Y9UUxgGVTCZTqVQsFsOHeIymDEci/hU4 + C+CyY4xFo1GQl3OOGSFqYxfQIge1sUEwLz8jOOc0+7IsZ2zkk5FIJLDAgHA4jJ+rGQMMnJZlPB7H + ImSMBYNBpqKMgICAwMk4ZwJMKpWy2+2SJMViMb1ej+LEVOoumUyGw+F4PE4sPmMMZe9SqZQsyz97 + /a9EIhGNRsPhsCzLqEpms9myPI8C2A6HI51ORyKRVCql0+kMBgOOY7PZzJSiZkQEAYH/eWi1WioS + Tys/lUqhWry6zCKYY41Gk0wmHQ4HU8T+eDyu0WjQiMFgQLlAcDxiK/2LAIPIOVdTGAddKpXS6/WY + IIPBEAgEMGs4xGhOBc4OVqs1kUigHrTBYMDKB7VTqZTP54vFYhaLhTFmMBhCoVA6ncY1BPrHYrFE + IqHWCPzEoAWTTqfpvs6iUEAJbJJADAYDyq2CMaA2GWNarTadTgeDQaPRSPVAY7GYLMuiMK6AgEAW + nDMBRqOMYFS/AAAgAElEQVTRyLIci8VMJhNjLJVKeTwepqiODAaD1WrFCRUKhVKpVDKZ1Ov10WgU + z2s0mlgsRmqqnx4Gg8FsNlutVlwqELeyaxwxNJ1OZzabJUmKRqN0QBsMhkQiQdpNAYFfDiByyLKs + 0+lI0w+trdoIoNPpjEZjLBYD1xKJRMDAeb1exlgymYQ8w5QtlkgkTqv3FcgCrVYrSZJerwfjmE6n + cYKlUqloNAqdC1QwoVDIbrdDntFqtclkEsc78ZcCZwGNRiNJUjqd9vl80OLJspxMJi0Wi8vlMplM + uBA1Go3NZsM94na7IXC6XC6DwYAN8rMAQq8sy5xzrAqmSCCnRDqdhr4S/8WTkiTF4/F4PI6fS5KE + G9/v99vtdsZYPB6vr69njBUUFKiVHQICAgIn45ydETqdDkqjcDhcX1+v1+tzc3MTiQSp7iKRCA5B + XI3Q7akPKZPJZLVaz1V/mopIJKIWV7RarVohdMrnoR8KhUIYGmMMBigwXolEAtpNvV4vXF8EfiGA + jEGCSjqd1uv1YIV1Op1a/wrQ+WAwGLChcnNzGWOSJEG8wbdarVaW5f8oR9P/LqRSKXiIhUKhcDgM + i7HJZJJlGRPEGAsEAuFwOBwO22w24k0559FolCkzK3B2AOvPGNPpdC6XC56WWq2W/BHwB4R/OFAx + xY5By17tlPUTA5cj7Kvk25bFQqLT6fR6PdYM5xyj83g8RqNRfbHigdzc3FQqlUgkjEZjXl4evvJ4 + PD+jxUlAQOA/H+dSycE51+l0Vqs1Ly8Pdx48QMC+E6cCZy29Xp9KpeATAgHg5+VOLBaLJElVVVWQ + QKCPhDK4secxNBiXNBqN0WiMx/8fe+cdHlWZ9v/7TD/TM8mkEkoCoQgIKlVEl6IUFdRVZMEGgth4 + ERHFXtYCoqCCCmJbXXR3UYqA8CIIQhDpuMBPAampk2R6n3Pm/P74XjlXXlRcXSQE788fuSbJzJlT + n+e52/dOmEwmxJRMJhPmm1QqxakvzB8E+FzV1KMfP9Rwzcbr0el04XAYL5BJgvwlWZbVRwluWgQQ + zvgBnSNgQWkymaxWq8ViwdlWi14QKLPb7YiT4yMIgiFRlrgA5r8DCVGKong8Hvi2DAZDKpVS86hx + emFMIhxBRHih1WrT6XQsFmtEG9JgMEiSpJZLUX064s+9PxaLabVah8NBREgfJSKXyxWPxxt+SnVZ + 6nQ6vV4vSRIyzfDmRow4MQxz9nPaMpsTiQRW8LW1teFw2OPxKIrSvHnzli1bxmIxo9GI8ZqItm7d + umfPnmQyKQjCpEmTiEiv1yOYDjPgdO3SryKZTC5duvSWW26RZdlut8+dO/faa6/NyMg49Uewt/Cl + ybKM2QjGm3ogsViMc3mZPwiqR1kQBFmWEWI9evRoYWEhTBok0qihV1mWUYOhKIpOp9uyZct3332X + TqdDodDDDz/cMEIrSRI/R78ZQRBisVg4HHY4HKjBQCaP2Wyuqalxu91Ub8YYDIZ9+/Z9/vnniA+M + GTMGwyAu6I9jaMx/QjweR1wrKysLd3VVVVVlZeWJEyfy8/Pbtm1rs9lwIWKxmKIoXq/3008/RUr2 + NddcU1hYCJ9aY4EMT6vVGgwGhwwZUlpaSkQ9evTYsmXLT77fZDIh4SISiSAxu66uzuFwwCUBMwZZ + c7W1tVlZWXgbNUhLQ+iPbRiGYX6O02bAGI1Gn883f/78WbNmVVdXOxwOSZLgOnW73bNnzx45ciRc + LGvXrn3uueeSyaTJZBoxYkRmZibW+o07NRoMhuzsbKQg19bWZmdnn7putby8vFevXoFAIC8v76qr + rnrxxRfVkh6n00lEX3311dVXX20wGK644ooPPvjgTB0HwzQmeJaRTap6Xjdu3Dh58mTknyCXDAn9 + RqNx4sSJXbp0Oe+88+LxuCiKW7Zsefzxx51OpyRJt912W3Z2tl6vx6KHiNLpNGfG/zZisdi8efPe + fffdsrIyhF90Op3dbrfb7Waz+dFHH23dunVRUREu3PHjxx944AEiMhgM7du379u3r9VqbdwFdFPH + arVipa7RaD7//PMXXnhh69atiURCq9UiIpGbm9u8efMvv/wSS/Yvv/xy2rRpyKFq1apVYWEhdPwa + S03BbDZHo1E1QIQgHlx1PwlKZYLB4K233rp48eLc3Nw+ffr861//gg2MJzqVSlVUVPTq1cvn87nd + 7lWrVhUVFRGRXq9H8jlbLwzDnILTNhpGo9F77rln4cKFRqNRFEXUgZhMpng87vP5fD5fIBCw2+1Y + giBkEY/Hc3JyUqlUOp1WY8eN5WQNBoNYGzmdTp/PB3ejGlT5MQUFBSdOnDAajd9//31ZWdmNN97Y + vn17s9mM9AAE0AOBgMFgqKqqOrOHwjCNBiwW5Lqoehi7du2qra0VBKGhnLogCAaDYfTo0RaLZceO + HW3btg0Gg4jG+P1+IsrKyoJYE/LQzGbzT6qxM/8JRqOxpqZm//79qH5Bym5NTQ3qE6644goi+v77 + 74uLiyORCJSyUNBotVpVPUYolTXqcTRVUqlUVlYWES1dunTMmDFer9ftdjfMp6qqqqqrq4P9j8Ik + GAySJKkycY2bQplMJs1ms8FgqK2tjUQiyEj8uTfj2VcUBTaYx+NZtGjRnDlz7rnnHiLCYkCSJLfb + XV1dnUgk0ul0mzZtiCiVSun1eqTbIYZzpo6PYZgmxmmbjZYvX75w4UIiSiQSAwYMuOyyy/r163fw + 4MF169atWbMmkUhgLWIwGAYMGFBVVYWIRzQatVqtyB/DmAXXCzKyMLgbjUaYPX6/H+WPqOiFjwcy + rA1HdszQqrMKsWnkSyBXTXXiYj4OBoPwRIbDYUEQ/H6/TqeLRCI/WXOsgrclEgm73R4KhUaMGLFz + 504iMhgMFRUV+fn52HmdTqcW0qhSP6FQCMnNkiRB0QWpAqqGG9WP4/BX+Xy+jIyMQCCAlGL1EBDw + gYCb+kF8C1YhJ2XgoB5U/Tp1esCuqtoyDPPfkE6nLRaLepei7kKtEBsxYkRVVdXq1atVYeVYLHbj + jTfu2rUrGo327t174sSJeXl5kMZCKAZOBCSpUv0drj5EVC+RpOqYNbzJG+80nF0gH0wdG2+//fbD + hw9v2bIF1UepVMpkMo0ePXrr1q1arbZVq1Z33XUXLpzL5VLHIgwXP/zwQ3FxMU6vatKgisNgMGi1 + Wq/X63K51MvBUH1UQa/XP/DAA16v12Qy1dTUTJky5YILLiguLv7000937ty5Zs0aPDKpVKq4uPjp + p5+GJY+Qvl6vxymtqqrKzc1VHweqn1DgO6P6J+Uka1OdAfEr3tzwJ7wDgiAgk63h5cMDpdfr8aCp + +s6nMKhwe5jNZlS1IeHi3nvvHTRoUGFhocPhqKury8zMjEajDRsN4TD9fr/dboeeAUYJHFEoFDKZ + TPhS3JPxeBx7roq2WSwWk8nU8AyEQiFoWGM0wFOAk4lfzWazIAiqNpqq9o7Top5bOF75lmaYs4fT + ZsB89NFHWVlZXq/X4XDccccdf/7zn6PR6AUXXHDzzTcnk8l4PI5KUK/X26tXr169eqkfhB1isVgw + ohGRKseMKn/VL6uKt2DVjlEmGo0mEglkaVdVVeXk5GCQPanbjNFo9Hg8ZrPZZDKptpBOp8OO/Ya5 + FunjwWAQfrKqqqqHHnro9ddfD4VC+fn50Wg0FovZ7fZgMKgW0iiKAlPEZrMh7oRiAKQWqAMlKgeM + RmM4HI7FYm63OyMjIxqNOhwOHFpdXZ3b7ZZlWRRFjNHIzcNYD9cd8onj8XgikUA6n2rO4WwTkRrv + gkHIvi7m9wNKHrIsP/TQQ+3atfP7/V988cX1119PRGazeffu3USUm5vrdDp79OgRCARSqRTWbbCu + g8Eg1MnUXHlYL3V1dRkZGUh8wiJGvZP5fm5IIBAwGo1WqzUajRqNxsmTJ7dv316W5TfeeOPee+/F + WnDbtm1EpNfrmzVrNmvWLCIqKytr1qyZOiAnk8mampri4mKqP73II8J4BYeOIAi4UmazmW1IFfiq + jh8/fvDgQcjr3XjjjS+++KKiKPF4vHv37uFwWBRFJCMYjcbi4uKbbrqpZcuWWEbH43FZli0WiyRJ + ubm5sizDekH7FDwL8GQhdZPqVXNQgAohGegaY/mOkd9gMFRXV2OGwg4kk0kURMEwCIVCsCXop3K8 + TxERxXWHnQDTN5FIiKJ46623btiwQZ3rcSCQIAuHw9AedDqdsEDUw8TO2Gw2yHtotVq9Xu/xeLKz + s/F1gUBAp9Nhm+Fw2Gq1YqCAILiiKHDYYbGBXA91xkdrUYfDcZInFG/DpAxvJocfGeas4rQ9kKjG + IyKfz6fOcDA/9Hq9zWaDkeByuT788MP169dbrdZ4PP7KK6/Ismw2m2VZzszMrKqqmjVr1g8//LBx + 48Y//elP11577TXXXDNnzpxDhw5ZLJZbbrmla9euJpNJluVXX3312LFjiUSiffv2EydO3LVr17vv + vltaWmo0Gvv06TN9+nSMRPAFbtu27ejRo6tWrTp48GBFRYXD4SgoKJgwYcKQIUOQgvwb3CowftQM + 5lQqtWDBgsGDB1911VVEBKdOMBiEx0iW5dra2pycnHg8/t5775WWlu7Zsycej0ej0bZt215xxRUT + J05U+zBg+0888YTBYCgrK+vYseNdd921fPnyLVu2fPbZZ926dRs6dOjIkSPj8XhdXd3y5csXL15c + VlbWvn37CRMmXH755el0GqcoFotZrdZjx4499dRT27dvr6ys7N69e8eOHUeMGIFUY0yWal8IQRA4 + RYT5/bDZbKFQyOl0hsNho9H45z//uXXr1ocOHYIQVlVVlc1mW7t27ebNmxOJhNlsnjJlSkZGBhwZ + jz32GBqS3HbbbR06dNi0adOSJUu+/PLLVq1ajR8/vl+/fhkZGXjk1dArzHIOKgKHwxGJRKAiJUkS + 1qwajWbMmDFz58797rvvdDqd0WgsKytzu92HDh167bXXELieMmVKixYtMKQsWLDgxIkT0Wg0Pz// + wQcfXLZs2c6dO7/88ktJkoYOHXrllVd27ty5oe4cqy+qoO5o7969LVq0OHbsmNFoRARAEARRFBOJ + hNVqRWgxmUwmEonq6uoXXngBJ3Dy5MktWrTAtcOs8f7772/ZsmX79u1dunTp37//7bff/vLLL3u9 + 3lAoNHjw4MGDBxORLMsrV65cuXIlWpzdeeedBoNh4cKFK1eu9Hg8Xbt2HT9+fJ8+fXJycogIXZs2 + bty4evXqPXv2lJeXwwl4++23jxo1Sq/X2+12nU6nRmmI6BeFQ2GEKPVgl0pLS1955ZXJkycjEoLu + nLCNYV3Dx7dhw4bjx4+vXLny//2//+f3+9u3b9+6deubb765T58+VN9MMyMjY/ny5cuXL0dlzuzZ + s0tLSz///PNNmzbFYrFhw4bdfffdyFlYsGDB1q1bv/76a41Gc++9944bN04NZ2G6TCQS999//8GD + B7/77juXy9W1a9drr7124MCBGFIaql0zDHMWoZySefPmvfHGG8jsQhHhz73z5ptvRqIIEXXp0uWH + H36A6A20RBRFqampCYVCiqKgSFeVHgIQASssLFRX8PD3XHjhhVQvtrh06VK8uaqqqnPnzgjCdO/e + ffHixfn5+UTkcrlsNpvRaOzSpUssFkskEnh/SUkJttlQ5cxut48YMcLj8SQSCTQLW7lyJeRfdTrd + ihUrTn1msOpqaPnodLpu3brV1NTgez///HMiMplM/fr1Q8JbIpEYN26cw+HAsaspamazuXPnzvv3 + 70+lUrW1tWhC3LdvX+zk3XffPWXKFLvdjmPEguzxxx8PBoMDBw7Mzc1VD83hcOzatSuVSmEPQ6HQ + 888/LwjCSd11OnbsuHbtWlxN7Kr6AleZYX6RefPmzZ8/H68xLKiPG35VxwrV/FATM2RZxl162WWX + 4Z7Mysrau3dvNBp99tln1cf/xIkTiqLgQcYfTSbT/fffP2XKFJgo8PQT0fTp0+PxOL4Oow12QH0W + zlX+8/FZUZQpU6ZotVqYLsePH0dzd0VRLr74YpxGURR37typKMqGDRvUoWbJkiXYbDwexzsFQRg0 + aNDChQsbjn6CIAwZMgTJwNgTCFGeq+Cc4AS+8cYb8+bNO/X7McUcPXoUChZwV02dOhUtBEAoFFLv + 3pUrV6rndvXq1ep31dXVDR06FCL+eHCI6Pzzz1fntUcffVTdw8cffxxLcIPBsHv3brfb3apVK/XK + FhcXV1RUIPShKMr8+fPNZvNJDixUtK5atUpRFDRiSqVSvXv3RrS/Z8+epzhkbLZ37974OkRLsP09 + e/aob0MeuCiK6l8OHDig+iAQhsUs6Xa7Z8yY4ff70YNVUZRJkyZhwZCfn79mzZrs7GxYLAgi9ezZ + MxQKTZgwARuBeIAoii+88ILS4GFZsWKFxWJBhgiuS15eXk5Ozttvv60oijqwMAxzGlEXn/h1/vz5 + vziK/pjT5p584IEHwuEw8kd3795dXFz8yCOPYIaDDzUrK8tqtaIJsd/vD4fDTqezrq6OiDweTzwe + nzZt2okTJ5ARe9VVV919992dO3feu3cvEaFkEDFlIsrJybFYLFDH37p162233VZRUZGZmQkXlCzL + u3fv3rJli5pDEo/HmzVrNnLkyCeffPK2227r1q0bEQWDwX/84x+1tbUI0P/a44XzKRqNarXa2267 + jYi0Wu22bdueeeYZDKmpVCojIyMej6s9MQwGQzQaDQQCF1xwwRNPPPHkk0/27dvX4XBEo9Fvv/12 + 8+bNOp3O6XQajUZBEEKhkNVqDYfDb7311syZM4PBYEVFhc1mw2Tw9NNP9+/ff82aNcFgkIh0Oh2K + ZN566y3YP0T05ptvPvbYY4qiRCIRt9t955136nQ6rVa7d+/eadOmIZdMzQrAR1gjlfmdUBMmq6qq + 4CNYu3bt+vXrkcnpdruzs7NFUUSZHN7QrFkzIkIOPTaSSqXeeOONmTNnojAGffGIaNq0aT9u2cSx + l4bEYjEEqXCiHA4HUnc2b94MSVwiatOmTdeuXYmorq5Oq9WiCa/D4cDwhaABRrNVq1aNGzdObeZr + tVoVRfniiy82bdqEsVSj0WBFyADMXC1atBg4cCDyuIho9uzZ5513HpSIfT6f1Wo1mUzV1dUo7TAa + jVAuTqVSyMJSFGXChAkrVqyIRqPpdLpr165XXnnlxRdffOLEiUQiodoe6XRaLfBA8pjRaLzkkktq + amqOHDmiagMePXr0pZdeSiaTFoslHo+j7qVly5aPPvro3Llzhw8f7nQ6bTZbLBZ77bXX0PYAihpq + i6dTZGkiEIr4BiYXxIXgTbv77rvr6uoURYHaDV7goIgIiYitW7ceP378fffd9+ijj+bk5ESj0Zqa + mqlTpxqNRqQsRiIRu92OO7Curm7IkCEejycQCGRlZaGV9jfffDN8+PB33nkHpUSyLONwPvnkE7hF + 4vH49u3br776aiIKBoNGo/HWW2+12+2VlZXV1dVTpkypqqoyGo2IieE9v98dwjDMr+W0zfEdO3Z8 + 8MEH1TEoKyvr5Zdfzs3NnTdvXigUwtgaj8edTqcaBlEzXLVa7YIFC/bv3+9yuRRFuf/++z/66KNX + Xnll9erVY8aMoXq/CCo6IN2IvCx8dSKRePrpp9esWfPQQw8hC9ZkMm3cuBEl+5IkzZgxo7S09J13 + 3nn88cffeOONjz/+GBo7RPS3v/3N7/efFKP4T5AkCS4lrVb71FNPtW7dGpqYc+bMgfPMbDb7fD74 + 2/CReDw+fvz4ffv2bdiw4bHHHnv00UfXrVvXtm1bIsrJyZkxYwZUBHBEWq02EokIgpBMJrOzsz// + /POdO3d269ZNjdpv27Zt6NChn3zyyfr16yVJ8nq9ubm5e/fuhShCZWXlk08+iZSwgQMH7t279/XX + X//b3/6m0WgcDsfWrVv37duHnUdyNrbJaz7mdyIjIwPlWH6/f8eOHQ8//PCtt95qMBj8fr8kSaNG + jcL6KRQKwdOcSCSQM5OZmYkCX6fTKctyNBp1Op1Lly49evTooEGDkP+ZTqc9Hg++qOGiistgVFAv + B+siKytr586dO3bs+Pjjj4cMGUJEZrPZYrHceOONROT3+6H/FgwGJUmC3x0bQRIRAsiRSGTmzJmH + Dh2aPn16OBzW6XTJZPKrr77CWIr0od/gGDpXQRWHJEmzZ8/u2LEjbulkMgk5/htuuAEdYIkoJycH + WgiJRCIajYbDYVSQ63S6rVu3/utf/4KF361bt2XLlr377rtfffXVpEmT1NIXvBklkTCTEolEKBSK + RCJjxozZtWvXihUrUqmUxWJRo2REZDKZCgoKtmzZcvDgwQcffHDcuHGLFy8eP3480sJXr14dCARw + G8CMgfvzFA4vrVaLpT+maa1WO2zYsFGjRkF/bNOmTTNnzkyn04lEIpVKYXlgNpvRK7N58+affvrp + +vXrX3755UceeeShhx76+OOPqT6Gs3379pqaGiKCTCjuMWxn5syZlZWVt9xyCxFJkmSxWNauXduu + XbvVq1cfPHiwpKQEH/zuu+8kSRJF0WQyPfbYYwjVms3m48ePP/nkkxBQtVqtPp9v1apVUCJFDRIr + iTPMWcXpXLA+++yzCxcuzMvLI6La2lqz2VxbWzthwoT77rsPAwfcQhAsdrlcoVBIq9WmUqnMzMxl + y5ZZLBav15tOp2+++Waz2ezxeHJzcwcOHEj1lYiom0cScCAQUBQF3SSeffbZBx54oGvXrn/5y1/q + 6uqgtIORF9mrl156afPmzWFI+Hy+oqKirl27plIpq9V66NAhqm8f/quAaUFEqVSqsLDw/vvvR0eF + dDo9adKk7777DlWMcEPCjjKZTH379u3QoQMRQQuorq7uiiuuMJlMXq/3wIEDcGTCrjMajcg7z8rK + mjNnTp8+fTp37nzXXXfBk01EV1xxxT//+c9Bgwadf/75PXv2JKKqqiqs+ZLJ5L59+zAzSZJ04403 + ZmdnV1RUjBw5UpZlDNCbN2/GgagSZP/l1WeYU+Dz+QwGg8/n69y5c7du3RYsWICW5Chag58CjyTV + u2mxPNJoNLhjU6mURqOxWq1Lliy5+uqrjUbj9ddfj7x5IsIIQ/V3MhZbfFc3BGErm81WW1t7+eWX + 9+rVa8yYMfBYR6PRiy666N577yUim81WVVWVmZmJbBx1bESJc2ZmZjAYtNlsH3744dixY7Ozs/v3 + 748Yu1ar9Xg8WEZjgc4RXRXEK9B7Z9WqVUOHDhVFUW02v2TJkqKiotLS0kgkomroEZFGo8nIyMjI + yMBZXbNmDdTMFEW55ZZbmjVr5vf7NRrNY489BhU4qi+st9vt+DoiMhqNGRkZ/fr1e/PNN7t06XL5 + 5Ze73W54xzZt2oTZJBAIdOjQ4YILLoBkDi5c7969nU4nnFyBQKChNjr4xeur1+vhcBQEoUWLFvfc + c4/JZAoGg4IgvP/++7t27XI4HAjUwCOp0+msVqvdbr/kkksKCgpEUYQhhFxTv99vNBp37drldru9 + Xq/dboedjDbZc+bMmTRpUm5u7tixY/HtsVjM5XItWLDg8ssvx1pCr9dDGA2nff/+/WvXroW82FVX + XZWdnZ2dne3z+a666irc9gsXLgyFQkTkcDggInc67wmGYf47TlvFNsRSRo4c2bNnzylTpixevBgL + C1EU33777crKyhUrVrhcLlmW8/PzY7FYLBaDLCPiJCjrJyKn0wlZIbhbLBYLMqkikQjWMQ6HA8M6 + vrSkpOS+++4jokQi0bZtW6SpRCIRm80mSVIikbBYLLm5udXV1cuXL//2228rKirKysoOHjxIRJIk + 1dXVqVm2v+7E6XQI3UQikVgsNmHChLVr1y5atMhgMBw8ePD111+/8sor7Xa7z+dD8B0SPdCCXLFi + xa5du06cOFFTU7N169ZkMgnhf+iPwXOGU6TRaK644orrr78es5oqo+x2uydOnIhR2Ol0tmjRYseO + HThpEMH84osvEJ2XZXnx4sVLlixBtnQ6nRZFMRaL7d+/HxpB6lJPYdF95vcEawKTyZRKpbxeLzya + M2bMuOuuu1Cg73A4AoGAyWRCA1wUNxsMBtXlbzQa/+d//gcahi6Xq1mzZmi1lE6nUV+npmvieeH7 + +SSSySRUHJGkhD/6fL558+aNHDkSI63VanW73UjuJSIsIokIH8HfW7RoccMNN2A917lzZ4wkkL+P + xWK4ZKpeHENEGo0G5zYvLy8ejy9fvnz69Olo+oy1u6Ioffr02blzJ2YxnDrc2HBLITVadfl16NAB + OuPILkNUBHEV2BtOpxNC2OFwOJFILF68GMaPwWAoLi6uqakRBCEnJ8doNKqaYIqiHDp0aPny5bt2 + 7UJet9/vNxgMiHyqERj1oE5hwCD4j72FnFcikejVq9esWbMef/zxmpqaysrKCRMmLFq0yGQyoVoG + cVe47RwOx7///e8lS5b88MMPwWDwxIkTsHNUvyEWAKjjwtBx9913w3hzu93QC5Fl+cUXX+zWrRvi + LZdeeuncuXNh1EWjUVEUg8EgAoyiKH7//ffXXXddixYtampqNm/eDHPl0KFD6AuXkZEBfYXG6lPH + MMyPOW0GjPpgZ2ZmfvLJJ+vWrXviiSegB+JwOFauXPm///u/0MhS6gU9NBqNz+dDsAWjmFarbdeu + XVZWltqxRBAEjPvhcLhFixZY4kejUSgbyrLsdDoxrMBCQK0k2lASERbon3322fDhw7ERqpcncjgc + wWAwKytL1fpUVVYQLTn18UIoCZUkiCzPmDEDZgkRvfbaa+gwY7FYMPARkVar3bVrV//+/ROJBBrj + mEwmVPIg0VwdwXU6HbIIYFdgKYA6IiKSZRlWCtXPE5ghcEJQ2VxZWYnvNZlMy5cvV48dTTZFUSwv + L8cxwgpC+x0sQU7XLcH8MVFvIdUg1+l0MJu1Wu3UqVPz8vLcbne7du1atWplNpthpagieGrOCdYN + RIa6uIQAACAASURBVASdImSQQgFWFTjCt6AlBZZWWFThJ6vqqeDUYZBBwWFRUZHJZOrcuXPbtm2R + youRlhpEXSBRiCEIi0IiEgShYbU3rhckGRt23+Kl3kkgwI6Zi4juv//+O++88+mnn541axbW0JIk + TZw4ce3atWhqhE+hmggqYWVlZYjM+P3+Hj16YJbUarWIchARpleoDKPlAP6Feieqn6bxM51OO53O + WCwGubm6urphw4Zt374dF10V2YdYDmppUDqiVjepO/ljcBsg2AKLi4hQw/PZZ59BL2fHjh3PPPMM + bjbsNr5LkqRx48b97W9/U2d5u90OG4/q9dMxXKBAyOFwoEYFI0BWVhY8IFqttrq6moiw8w1HBlhW + J06cgFUWi8X+/e9///DDD5ClxtbUyhzIW7NDhGHONk7n7A4nDZI6+vTps2rVqgEDBmzZsgUa7evW + revdu7e6kiYiURTROiY3N1cdICB7QkSQHrZYLHq9Hh/x+XwYr7HowcQZDAYNBgNSftVe3fAawg4p + LS0dPnw4EYXD4d69e1999dV2u/3NN9/89ttviQiZWul0OhwOY8jGSgurJfp5JVBRFDGXCIIAk6Cw + sPD555+/+eabMX+88847OFiMiUR05MiRoUOHonGNKIpPP/20Tqf74osv1q9fHwwGFUWBCUFEiO0g + x1en06kZNeFw+CTLCl1fAoFAZmZmXV1dXV0dLKuCggLMLpIkPfjggzCKzjvvvPLyco/H43K5iouL + sZSB/Av352JOF2o/B/UvahWs0+kcNWpUSUmJ2vcQXS+ofsWDtQIRybIM6wUrGzxrLpcLaynYSBkZ + GQ3HEzgy0N0Vb+AFhwrSbDA8ZmdnT5gwoXnz5ul0OhaLwWjEuEpEqVQqmUyihxWK6wRBgGEDnwsu + kNfrxaoUEsCQxna5XAjLcOzlJFDvEY/HcVdDzstut8+cOfPSSy+9+uqrcf9v2rQJbR+hi4W2J3B4 + iaJot9vhq0J5CYpG4vE4ej1TfUdXQRBgY6RSKVEUEYHBFYS3LhAIYJo7ceKEujp/4IEHtm/fDjN1 + zJgxvXr12r9///z589HTGUICoiiKolhVVUVESIH7uePFw2i3291ut1arraurgzGD3gmHDh1CyvRH + H32USqVwg6nd2+bMmfPRRx8lk0lRFAcOHDhgwACXyzV69GhsORaL4WQaDAbcgWhVCW1l6Bag2QuE + DRB+iUQiKOVHvRbsGbRWc7lcXq+3Y8eO9957b1VVFfrCWSyW2tpa6ChQvXAzp5AxzFnFaTNgoDei + 0WgwyUHhauzYsZWVlceOHaN6lZVgMIj+JCjYhcFDRG3btj18+HAkEtm8eXNVVZUoig6Hw+VyQcST + 6sMjWHPDHYtsMYgLQ30S4pLQYMHbUqkUEsxycnIuvPDCTz/9NB6POxyOVatW7d+/326319XVYfLG + 3IBsLlg+p25ioIp9QZYAe3j99devWLHi/fffz8rKqq6uhjok3pZMJj/++OPKykoi6tSp0+rVq91u + N5YO69atU/vJwAmK6BARaTQa1VsGMwkrOWQSq8lpJSUln332GRHl5ubCw3TRRRdhIjQajS1btpww + YYKiKMFgEEloKmozUCw42GPN/PcgLxSvUVKsFoKjVTYRqba6KsXh9XpR4ab+xe/3qznxGRkZPp/P + 6/XCzicirEjgYBZFETEcDBFn/IibAJFIJBqNYvnr8XjUBhdqx1u1ATkaHWL8wfuxwJVl2e12qy1H + MzMzA4EARm/YkNBdSCaTkUgEFdINu8X/wUGgHtNBKBRyOBwIuSQSiZ49exYWFiJ0T0T79+/v0KFD + WVmZ6kFTW3Xl5eUhmlFXV7du3bprr70Wz9HChQtx8zcs5kQumRp4xyVGMDMvL2/Pnj15eXn4bzqd + /vzzzxcuXIgM502bNkEve8uWLfPnz8em1NAczGB4CjCd/ST4RoPBUFtbazKZoL1BRCaTqbi4eOrU + qbfffjvyymBjhMNhuO1isdjTTz8diUQKCwtHjBjx4osvYjvjxo1LJBJoFqfRaFB2pcpLiKKIanvs + JO5hIsrMzMSkZrFYIMoM1ZCqqqr8/PzOnTvrdDqEa7p27Tp69Gh4DNUYr3o4XM3FMGchpy1fCArI + kM9SG/d+9tlnx44dQ1C4oKCAiIxGI8ZNOEsw6FRWVrZr1w6eHkVR7rrrLofDcejQoZtvvvnFF19E + 5iuKRNW0BLXxs9fr9Xg8NpvNbrdDbVmn08FrUltbq9frjxw5glByUVERmqXE4/G9e/fCuYi0FnW2 + pvqUKpvNBjfPzx2vGgmpq6trGBWZO3dut27dqqurkf3lcDjg8YrFYnv37kXXsH379qmTx8GDB2Ox + mCRJ6PiLeQIKKkSEHtg4A6qZBD+WxWKBlprBYDhy5Aj+BS1IIsrNzYWrL5FIPPPMM/v27TvJG11R + UUH/N98mnU6ra0eG+c2oTSpCoRAkhhBThfEM7wPe2VCJFT0r8YBD5EN9HI4dO6ZqD4ZCITwLqI5T + s8gQ9oTLQG08xxEYFVTrQX6KiLBURc8Wqh/NsCJEKAaDoSAIbrdbDWcdPnwYrv3y8vJUKoUMWIfD + geQfXAWDweBwONhXfRIIuaRSqfLycjwI0WgUl8Pv98N6MZvNZrMZc0SLFi1waZAvjQt0wQUXqL0H + Xn311S1btkiS9MILL4wbNw7DPtyIyWQyGAxGo9GMjAxMZ4jei6KIZgNer1cURaQZ47/79u1DIpkg + CIWFhUQUDAaPHTsWj8ddLpdWq62oqMA0gZoWtV/Kzx0vFCOgd0xEyI7G/FJbWzt27NgxY8YkEgl1 + DxVFcTqdKDtBsStWBTU1NSaT6cSJEzCkFUXBBjEIVFVVoV6o4dpAbbNG9TFD/L2mpsbv9yMoVFBQ + kEwmHQ5Hbm4urL7Fixd/8803ZrMZmQsIaiHWBN8iESGFkmGYs4TTZsBMmTLFYDA88sgjixcvXrt2 + 7bJly0aOHImGaGiaO2DAACJKpVIYnuBEgcc0Ly/vnnvugaa7xWJZvny5IAjt2rVbunSp2kFFr9er + cyoRqXrKOTk5KPdHKjxCKAgpoGIEWQ0QOJ43b95rr702cODAw4cPYwg+fPjw2rVroWeipu0SEVQj + oevyk+Btagzd6/UGAgG/3x+JRF544QUiysrKQtcLxHwcDkdJSUl1dbXNZnM6nePHj1+3bt31118/ + b948q9WKldmcOXNwctSsOWiwUH0iDZx2OANIBcbbkHqHzDqMtr169Zo8eTL2raKi4qqrrnrqqadK + S0s/+OCDyZMnl5SUPPLII6pfHGkh6mTDML8ZFKHhtergxz0JTyfS3CFdiu6WSM/Ae7xeLxGl02mv + 16vaIZmZmaq2FTYIsSZFUdLpNCKNWJ1TfVARSk1c0NUQrOTUkhWMqA2VjqGojoQ9VecXSrWBQECj + 0eTm5gaDQZfLZTQakSUIVUOMvVS/wsM1pZ/Pv/0DgjKtPXv2NG/evF+/fs8999y33367e/fup556 + 6uabbyYiZCWMHDkyMzOzrKwMLSZtNhvCkngQxo4dqyhKZmam2WzesGFD79699Xr9tGnTYOTgi/R6 + PbTOEHPAcjwWi+ECSZLkcrlycnLwuDmdztraWkEQOnbsiHpOIho8ePDChQtffPFFRCSQPLZ58+by + 8nL4CARB+MW+KCinVBSlsrISimeqGgQE05977jnUuSG1jOqHDvSLw68zZsxYsmTJRx991L59e0mS + cnNza2trN2/evHPnToRKcKOGQiHsCVLBsQO4sRvGiFSPXk1NDfIkRVFcvHgxIsbhcPjSSy+94447 + Nm/e/OGHHz722GP9+/fv06dPdXW1Gn7BnMswzFnCacsX8vl8JpNp3rx5KEkkItWZkZGR8Ze//AVS + v1arNRAIQHclGo2qI8Ill1xy7733Pvvss5gykbqQTCbffvvthx9+GI4WZOJiUnS5XGj1VV5eTkS1 + tbWZmZnwDqIs3mw2V1dXu93uhx56CCKtRDRx4kQUBTZv3vz48eNw4QwdOnTnzp3t2rVTh2OIjaqe + 458E/8J6ixpYMkR06aWX3nPPPXPmzCEitdSYiP70pz/NmjUrEAjYbLa33nrr008/hfwLPGp6vf6F + F15o3br14MGDUeuCVYJaWJ9Op6ENrdVqMaSqOQMajQZ50ghMofr5pptu2rBhw5dffhmLxY4cOfLk + k0+q5Yk2m23fvn3oLYB9g+gKB8qZ/xLcUWh5oVovyDVS81Wga4SyclmWkVOKm9xisaBeKxwOZ2Vl + IbsdZWZEpNfrkciOMAuWy/CVIhsHwoZqMj2jUldXh2IDuMbNZrPRaFSr9hEMV3Wrsd5Vo1g6nQ72 + DMZzVMWov0IJF1pwqsUCaVqu41eJRqPoUExEe/bs+fLLL4kIoQMoF4fD4bZt206bNo2ImjVrduDA + AVwseKzwQavV+t57711zzTXYpsViQenR0qVLBw0ahOFdbV6ZSqXKysrUHUBgx+Px5OfnV1RUpFKp + 3NxcjUaDMpKOHTu2bt360KFDFotl//79kyZNqqmpwU2CzIinnnoqEok8++yzcCbCPDiFgw+JW4Ig + NG/e/MiRIw6HQ/ViWCyWdDqdk5Pz8ccfjxo1KplMOp1ONXs5Ly+vV69ea9asycrKOnDgwPjx47EY + yM7OrqqqslqtGzduvO6667755pvs7OxmzZohuVQVKlTvYUEQ4CskInSic7lcqk4P1VsjXbp0mTRp + 0ty5cyF9tmDBgnfeeQfnHNEenDc4+BB9Os13BsMwv5XT5qFs27ZtPB5HSaj6R5PJdOWVV86dO3fO + nDkajQaLD3Smg3Cw2hlGEIS//vWvH3300QUXXNCmTRur1fr8888fOHAgmUxWVVW5XC4MYVjlVFRU + WCyWZDIZCoVQ6ZiVlSUIAppMq77AnJwcjUYzbNiwqVOnUn0Gi0ajWbRo0fr163v27IlZVu0RDrUT + IjKbzegPc4oFPdq/EJFqRBFRJBLBXj3//POdOnWietli/PfCCy+cPXs2dOiJqK6u7uGHHy4vL7/h + hhuwRKipqamrq9Pr9RkZGViQhcPh6upqWB2iKMI+gb/z6NGjgiC4XK5AIOB2uxFuQjg+IyMjlUrl + 5eWtWLHi7bffzsnJQV6fGmXq3r073H5qjg3sut/QD4dhfoza5C6VSkmS1KFDB1gvubm5sPnR6L3h + CyIKBoNarRbLMr1ej3AlvMhqTVpxcXE0GsViIhwOWyyWUCgkSVJeXh4KDLCoQklMYx3+WUhmZiba + BMOw9Pv9amk+ESHTT00Vy87Ohu0BIXidTmez2QKBABJiiQiikURkNptramrUa6QoCgZhSCM22tGe + fVgsFsgK5+XloZ8JEalJfeFweNq0aV999VVxcTFmBzRKjsViqmQFEcXj8eHDh2/btu3WW2/Nz88X + RfH2229ftGgR0rMRcsRVJqJ0Oo0uyYiqIRsqJycnHo/b7XZkB6B7Ujwez8nJ+ec//9mxY0e0XKup + qRkxYsTRo0enTZtmsViwQdSioP8BEdlstlM4+ODEDAaDqt4xWs3i3rNaraFQ6M9//vPAgQPRHioU + CiH7gIgWLFgwZMgQeOtMJlOrVq127Nixdu3aNm3aRCIRiBZkZ2dLkhQKhXC/uVwuCOWZzWbISyBh + z+/3p1Ip7CoUEZLJZEFBAXYGNtWsWbOgMAR5AORAKopy3nnnjRgxIhwOoxcC1SeZMwxzlvALrsr5 + 8+en0+kJEybA9wA/xE++E47P48ePf/vtt3a7vaysLDc3t02bNm63u2GJSCgUahiHhbsIIQXVveH1 + eiEM4nK5xo4du3DhQvh70MRX7fOlOrSwzWg0ajaby8rKmjVrRvUuE7/fDx9MTU3Nvn37CgsLW7Vq + pR5CWVnZ4cOHS0pKcnNz8XH4h+CwwZCnSkb+mNraWrSsRtIFwj5qNxX1q1E9CWczVnW7du2y2+0u + l0vtZuPxeI4cOdK6dWuUaeI84BCwSzgb6nlWN65WEUDTCZX66GKGMA4sFq/XW15ejmy9hvrLOGrI + A/zCncIwDZg/f74gCOPGjaP6GxUed4QBVX8qVr14UlKpVG1tbV5envpfRABwE6rPGnzVKIcTBAFb + wC0KD2vDUCFETgVBUIXFGzr+TzFenRv85+Mz1BRQrFJeXl5QUKCW5kPtGrXUWMDV1tYioReXQJIk + dNeFjpbajUqNh6ubgjMIhYWoxzijp+MM0tAl/+abb2o0mvHjx5/6I5inIpFIXV2dKIrr16/HCTz/ + /PNdLheKvtRbF/JiWOhDGQzzJp4vPCx4fPx+f2lp6TXXXIOdWbRo0dVXX41nSq1E93g8WPGr7chg + siLp2mAw4P3JZLKsrKy6urp79+5qTXxtbe3BgwcLCwtNJhPcZA0/corjxRfhrsC9px5gQ8FupICq + G8STnkgkgsHgoUOHWrRokZ+fD8M7mUxC+LhNmzbwuKkWBeZHuFAzMjKwwZMCJmpfOPXvqtIPblpR + FI8dO3b8+PGcnJySkpKGdziil6xwwzCnCzyk6pz11ltvKYryi6PoSZw2A+bXom7K5/Pp9Xqr1Tp2 + 7NgOHToMGjQoKysrJycnFAq98cYbzz33HPJPBg4c+MEHH8BZpWp6EsuDMExj8HMGTGPv1x+L3298 + Zk7NbzBgTgtvv/327t27r7vuuq5du0Jc6x//+MeUKVNQ2tG2bdtly5aVlJSwT4phmLOZ02LANJpH + Ad4+k8mEKIQkSevXr3/vvfcefPBBRJ/hgoWVEg6Hn3jiCcSyURqIfs/sEWEYhmH+IBw9enTevHlI + yYY6DrqWEZHD4Xj44YcLCwvRsAWl/2zGMAxzrtJo7jqU4DfsApGdnQ1dLEiTodKXiAYOHLh48eLz + zz+fiNC9C8HchgV5DMMwDHNuc/ToUaQiIw3B5/PF43Gz2dyuXbsHHnjgpptuQqYlEZlMJrZeGIY5 + h2m0CAaaWEH6g4iys7OXLFni8XhWr15dWVnp8XgMBkPLli27dOly4YUX5ufnx+NxNNAlooYtq1ip + k2EYhvkj8MEHH0yYMOHw4cP79+8vLy83m80ul6uoqKhv374lJSV4j9lsblg51rg7zDAM8zvRaAYM + ZGrU/twOhyMnJycjIwPiXbIsI1tMTelWO3DH43EI5HNRHcMwDPOH4uKLL7744ot/MkOsoqIiOztb + p9PBLcjWC8Mw5zCNlkIG7SzYJ2i6QvVNJKLRKAR/keYbDoeR1IsPptNpqGxFo1Gu4GcYhmH+IEQi + EYgvqxli8XgcOvvpdDo/P199p9frVVvIMwzDnHs0WgQD+WMQMUTRC/Q6I5EIyvqDwSDaBqt9eVEA + o0o3cp8HhmEY5o8DmsMiQwEKxar0XzKZNJlMgiBAHbhhb2WGYZhzj0aLwOj1erSP1Gq1GHaJSKfT + wXohInRKISJ0KtDpdOgqkEqlfD4fehc01s4zDMMwzBkGHRWReiBJksFggII5EZlMplAohPkU7VzV + 1pAMwzDnHo0WgVHrWxrWsfykTaLmieG/aFRP3AGGYRiG+SOhxlsEQVD7hKov1CbRaNSIShiGYZhz + Eg5iMAzDMAzDMAzTZGADhmEYhmEYhmGYJgMbMAzDMAzDMAzDNBnYgGEYhmEYhmEYpsnABgzDMAzD + MAzDME0GNmAYhmEYhmEYhmkysAHDMAzDMAzDMEyTgQ0YhmEYhmEYhmGaDGzAMAzDMAzDMAzTZGAD + hmEYhmEYhmGYJgMbMAzDMAzDMAzDNBnYgGEYhmEYhmEYpsnABgzDMAzDMAzDME0GNmAYhmEYhmEY + hmkysAHDMAzDMAzDMEyTgQ0YhmEYhmEYhmGaDGzAMAzDMAzDMAzTZGADhmEYhmEYhmGYJgMbMAzD + MAzDMAzDNBnYgGEYhmEYhmEYpsnABgzDMAzDMAzDME0GNmAYhmEYhmEYhmkysAHDMAzDMAzDMEyT + gQ0YhmEYhmEYhmGaDGzAMAzDMAzDMAzTZGADhmEYhmEYhmGYJgMbMAzDMAzDMAzDNBnYgGEYhmEY + hmEYpsnABgzDMAzDMAzDME0GNmAYhmEYhmEYhmkysAHDMAzDMAzDMEyT4WcNGEmSiEir1SaTSfVX + jYYNHoZhyGQyxeNxvMbgoNPp8II5YyQSCbPZLMsyRuZ0Ot3Ye/RHAadao9HIsmw2mxOJRGPvEcMw + TNNAkiSdTkf1iwciisfjJpPp125H97P/0OmwUaPRSET4GY1G8YJhmD8ywWBQp9Ol02mNRpNKpTQa + jSAIOp1OluXG3rU/BKFQyOl0KooSjUa1Wi0RJRIJo9HI5//MoNPpcMKJKBqNKopCRH6/32azNfau + MQzDnNVgqSBJUiqVMhgM6XRap9MFg8FfvZ2f+4eiKIIgEJHL5QqHw1arlYjMZvN/s9MMw5wbCIJg + t9vj8bjZbLZYLPijLMtYTDO/N06nE94lrVYbiUQsFgusFz7/ZwZZlmG9RCIRnU4nCEI8Hnc6nY29 + XwzDMGc76lSlRkrsdrvf7/+12xHgOvoxMGBmz56t1+tFUUyn06lUSlEUfB/DMH9kRFEMBAJWqzUQ + CNhstmQyaTQaU6lUY+/XHwWkMOl0OqPRGI1G0+m02WxWozHM7w0yx6LRqEajQQoZZ1kzDMP8h+j1 + +kQiYTAYQqGQw+EIh8MOh+Omm276VRvh0ZZhGIZhGIZhmCbDL4RT9Hp9dnb24MGDkULGMAxDRHPn + zs3IyLjmmmsappVyCtOZJB6Pv//++4lEYvTo0cji4/N/xlBPdSQS+eijj2RZvvXWW39DESrDMMwf + jZOmqmg0unjx4t+QQvazBgwKYIjI6/VarVZU63IRP8MwRKQoSjAYxIotEokYjUZBELRaLReRnxlQ + xI8QPKwXLuI/k2i1Wpxwi8UiSVIymTSZTFzEzzAM84tgqaAoSiKRsFgsJpMpGAz+XD3LKfhZAwYy + ZyaTKRKJEFEikRBFkYv4GYYhIrvdHggEkPGv1+uhSKZqIzK/N6gXFwQBMspEZDQa+fyfMSRJUs1F + s9mM6i8u4mcYhvlFJEnSaDRarVbVo5ckyeFw/Nrt/GwNDCZCWZYNBoP6K/cZYBiG/q9quyrozqvn + Mwwq+BtOA429R38U1MY7Wq2WExMYhmH+c9SuceqaoWFnuf8cnvAYhmEYhmEYhmkysAHDMAzDMAzD + MEyTgQ0YhmEYhmEYhmGaDGzAMAzDMAzDMAzTZGADhmEYhmEYhmGYJgMbMAzDMAzDMAzDNBnYgGEY + hmEYhmEYpsnQ5A0YtTVNwxbUv6GjJ8Mwvx/qI8mt4huFWCyGF4qi8CU4OzkD01YymUTPTYZhmKZO + k288l06n0VNMUZR4PK7RaARBkCRJFMXG3jWGYU5GURRFUQRBIO59eQbR6/V4IUmSLMtYK6dSKR4n + Gx21CSkeimQyKUmS2Ww+LRuXJCmVSimKYjAYdDqdwWBg7x7DMOcGTX71oEZgsBLSaDQajUadrRmG + ORvA4gygf7miKOrDy/zewMtDRFqtVh0e2Xo8G9BoNA2NCr1efxptDJ1Op9PpGsbcBEFIpVI8RTIM + 09Rp8hNYwzmY52OGOcvRarU/+Zr5XVHD1Kolw5w9ICyJSyMIgtFoPL3bh79A/ZXvAYZhzgGa/EAG + 9xXC7vhLKpVSE74ZhjlLwBJKEAREYwRBYAPmDIOoVzqdliQpmUwmEonG3qM/OslkElnQgiAkk8l4 + PH56K5RCoRCKXhRFSaVS2Dg/dwzDnAOcCyGLdDotCIIaftHr9RwfZ5izDbX0hYhkWVaT/pkzQEMf + P+B49dmAXq9X7XmDwYDyJFmWT5eNYbPZ8ALJ1TBm2IBhGOYcoMnPYbBeYLGk0+l4PI5SxcbeL4Zh + TgY2jCzL8XhcEASTycTZLGcGWIx4nUqlotGoXq8/XZXizG9GEIR0Oh2LxRRFMRqNv4frLRqNSpJk + t9uJSKvVSpLEBgzDMOcATd6AUTNSJEkqKyurrq7W6XQZGRlFRUWNvWsMw/wEkiQheUkQBFbBOjOo + 8ZZYLFZdXe3xeBRFsVqt5513XuPuGFNZWVleXp5Op7Ozs/Py8k7vE1FRUXH8+HFJkoqLi/Py8hCH + OY3bZxiGaSzOxFgGrSE1tXf37t1ms9nlchUWFubk5OTm5jZv3vyaa6559tlnA4EAETXMzL722msL + Cgry8vIyMzOzsrKcTqfL5WrTpo3L5Ro8eDARxeNxIlq3bl2fPn3at2/fq1eviy66qLi42GQyXXLJ + Jdgg9gHf2KJFC4vFYrPZmjdvnpOTk5WVlZubO3369CVLljidTqfTmZ2dnZ+f73K5cnNzc3Nzs7Ky + srOzW7ZsWVhY6Ha7CwsLs7KyWD2JYX4ViUTC4/GsWrXqrrvu6tixY2Zm5nPPPcepnmeSSCQyefLk + oqKiVq1a9e/fv2fPnp06dcrJyZk1axbeEAwGMfaq9YTMaaS6upqIotEofj148OCoUaNsNluzZs16 + 9Ohx2WWXlZSUmM3moqKia6+9duXKlUSE+ev222/Pz89v2bKlKIrNmjUzGo19+/adMGHChg0b6Kca + KyWTSVmW77vvvoyMjJYtW/7pT3+67LLL8vPzS0pK7rzzTp1OpyiKJEnqLLZs2bKcnJyWLVuuX7++ + 4WSN2+D1118XRfH6668Ph8P44+7duzMzM4uLi++44w5ZliORCLaj3jbPP/98Tk7OjTfeiF9lWd61 + a5fL5XK73ZhS8/LysrOzs7Ky+vXrN3r06OXLl+NtsVhM3UgymWTFZ4ZhTkEjRGBQRRoIBHw+H8Tp + o9HoiRMnVq9ePWvWrG+++aa4uDgSiej1eoPBoNFoqqurZVnW6XTwHsXjcZ/PR0R6vb6ysjIvL2/D + hg3jxo07fPgwETVv3jw7O9vj8VRUVGzatMnj8TgcjkQiYTQafT4fPmi326PRaFVVFRKCRVGsqqoS + BEFRlFgsFggE9Hq9zWYLh8ORSMRisaRSqZqaGq1Wi2Hd4XCwE4thfhUej6d58+ZElJ+fX1FR4XY/ + mQAAIABJREFUodFojh8/zmUYZ5Jly5bNmjVLp9N17ty5oKCgtrZ227ZtHo/nueeeKysrmzFjhtls + 1ul0oVDIarU29s6ea4RCoZycHJ/PZzab4/H4Z599Nnr06GQyqdfrnU6n2+22Wq0+n+/48eNHjhw5 + cuRISUnJkCFDHA6HJEmVlZWVlZVElJGRUV5eXlBQsHHjxo0bNy5btmzChAmPP/54PB6H+DIeqNLS + 0lGjRvn9flgybdu2NRgMO3fuPHTo0A8//LB58+Z//OMf7dq1I6JAIOBwOKLRqMfjISI19RqWA35i + vi4rK1MNnng87vf7vV7ve++9169fvxEjRqRSKa1WC9MoGo0qihIIBMLhMNLVZFlOJpORSERRFL1e + DxPOarWGw+Gvv/46Ho9//vnnffv2nTVrVsuWLaEwAbFvrpFjGOYUnLkFhDomyrIM98/MmTOHDh3q + 8/n27t371ltvbdu2LZ1Ov/rqq6+88opOpxMEIZFIxGIxWZadTueePXu0Wq3D4cBQmEwmRVHMy8sj + ojvvvBPWy9atW7t16+b3+41GY2lp6bp161q2bElEMGCISK/XX3fddU899VRWVlY8Hk+n0zqdLhKJ + 5OfnazSaQYMGFRQUxONxURQ9Hs/8+fPnzp0bjUZXrVrVvXv3RCIhSZLb7f7222/P2EljmHMDWZbz + 8/N79ep16aWXPvvsszqdDh0VOR3/zJBIJFq0aDFp0qRhw4Z17dpVFEWDwbBly5YpU6aUlpa+/PLL + EyZMaNOmDTUo+2ZOIzabLZFIZGRkENGKFStuuOEGFKXMmDFj2LBhmKdisVgikdiyZctnn33Wpk0b + TJSY6YiopKRk1apVNptt//79f//73//+979XVlY+8cQTo0aNatmyZTwet1gs4XA4mUzecMMNtbW1 + giBMnz590qRJqVTKZDLJsjx9+vTp06d/++23l1566ddff11UVKT20MROnlQ7CuEH7EZ5eTl2A1Oz + KIqIkLz00ktDhgyx2WwNNQbT6XQikUgkEphhNRpNOp1OJpNE9Mwzz9xxxx3pdLqmpqasrGz79u1v + v/32wYMHlyxZUl1dPX/+/I4dO4ZCIYfD4fF4srOzz+AlYhimiXGmPaDQ8UREpUOHDsXFxXq9vlev + Xn369BkwYEBFRcV77733yiuvwN5Qc8kKCgoKCgqglazX65s1a4ZSYEmSotHokSNHiGjy5MmdOnUi + IqfTSUQDBgzo3r07clTsdjtGTwzlJSUlROTz+XQ6nclkcjqd6PbVuXNnIgoGg3a7XRRFp9MJeYDz + zjvP6XTClDIYDOeff/4ZPmkM09TJy8s7dOgQ1kAvv/zysWPHLBZLY+/UHwiNRtO7d++2bdtmZmYS + USgUMhgM3bt3f+KJJ6688kpZlrdv3966detYLMaV/b8HsVhMFEXYA7fccotWq41EIps2berZsyfV + mwrIR+jfv//AgQPR7FWr1RoMBlyRcDjcqlUrRVG6devWq1evoqKihx56iIg2bNjQqlUri8WCoqbh + w4fX1tZmZ2e/9NJLo0ePJiKv12s2mzUazbhx44qLi//yl794PJ7XX3995syZmCsVRbHb7aFQ6KT2 + A6oBk06nbTabmvAZi8XUtLHt27c/9thjs2fPFgRBkiSdTmc2m2HMICZD9c00Ycbk5+cTkdlsbtu2 + LVIZr7zyyscff/zTTz/9+uuvH3rooU8//RRxJ7ZeGIY5NWcuFQrhYLX9tiRJKLgnopqamvbt27dq + 1cpqtYZCIarPwTUajVjxRKNRWZZFUbTZbDabTa3a1+l05eXl8Bslk0mTyURE4XA4nU6Hw2Gz2ZxK + pfB1er0efiaj0QjLJyMjA4OyXq9HHAYxFswWmGwSiQQ8xMFgUBRFi8UiSRIniDPMr0Wv14uiiIfR + YDBgucbhlzMGUowyMjJQNGiz2VKplEajad68eTKZdDgcXq83EAiYzeZAIHB6W5EwRKTX6yGYOXXq + VI1GI8vyHXfcAeslkUgkk0mNRoMVP0yXdDqNDOdoNOr3+w0Gg9FohHafKIp6vb5Hjx5EZLPZysvL + MbXFYrHdu3cvXboUVaOjR4/GdczJyZFl2e/35+TkDB48uG3btoIgvPXWW3v37hUEIZVKYeJDBrUa + SMFuw4YhIqvVmk6nvV4vvhRPblZWlqIo77///tKlS4morq4OD7hqfakbISKtVovgDKwmqh8HioqK + Pvnkk/PPP1+v12/atAn1MNyhiGGYX+RMGDANS/FU0TCDwWC1WuHycbvdRFRbWxsOh4uLi0OhkDr2 + YbrVaDSwUsLhcCgUSqfTkUgknU4ritKyZUt4hubMmbNs2TIislqtGo3GarUiXKPRaBBP12q1Go0G + 5odWq43FYqooviRJFosFtg2+OpFIYPSPxWKZmZl2ux2NwHQ6nSiKwWDwDJw3hjlnUBQlGAziebdY + LKIocoL7GSYej2s0GtWPjqD0pk2bNBqN1+vt2LEj1sGqh4g5jcAyiUaj33zzjd/vJ6I5c+Z4vd5Y + LGY0Go1GYzAYjEQigiDgtdFohDFgsViSyWQymTQYDCaTSZIkzD6HDx9u3rx5KBQqKChAvpbZbF62 + bJkgCOXl5S+88AIRJRKJcDgMIwRmg9PpnDNnDh7GLVu2YMeMRiPqUk7KHlQnayLCtIuoKRITRFGc + PXu2Vqv1+/3PP/88zGONRpNIJFKpFDyVyBVPpVJ4oSiK2+0OBoNwU0qSBHusoqJi4sSJqVQqHo+X + lpYqimKxWBD5OYOXiGGYJsaZjsA0VD3OysoSRTEUCkmS9Pe//x11LCNGjFCHLVmW4YlRPYIIwmg0 + GqyBEomEKIpTpkxB5HrYsGETJkzw+XxwImKGJiIMu4jGmM1mWZYxbqpuHp1OB2NG3U+j0ahWskIa + BY3GiEhRFE4TZ5hfBZJhEMP0+/1I92/snfoDoapOhUKhaDQKeZJYLPbJJ59kZGRYrdZOnTohj4iV + dn8nNBqN2Wzetm1bKpXq2rWrLMsul0sUxVgsFg6H7Xa7xWJBMAQ5z6FQCL4/h8NBRPF4HK1IIULz + 5ptvHj9+3GazDR8+3Gg0wu9WVlaGjwwaNIiIzGaz1WpFcX84HIaMmNoQBsWcgiBgptNqtUaj8cfe + RkyIcN4htbu6ujoejycSieHDh0Nq7Jtvvpk5cybyKRB7gbnScAu4/crKyux2u9vtRko2EUmSlJ+f + P2LECCJKJBInTpwQBCEQCGi1WnYUMgxzChrNgEmn0wcOHCgvL1+/fv1f//rX0aNHK4pSUlJy7733 + YryOxWJarRZj69GjRy+66KIePXr06tWrR48eF1xwwRNPPBGJREwmUzQanTx58n333ReNRu12+7x5 + 84qKihYvXoxp4MSJE0QkimJtbS0Rmc3mt99+u3fv3p06dbrkkkv69u3bv3//V1999aRdjUQisizD + VakW26gxfWoQYWcY5j8BWSVEJMtycXEx1TsUGnu//igIggA/jtVqNZvNeD179uwvv/yyrq7ugQce + wOrTZrMhTYg5vSD8VVZWhhnwvPPOU1fwoiiqzjKj0YhaETj4BEGIRCI+n0+r1ZpMpurq6kOHDr37 + 7rsXXXTRjh07iOiZZ55BzjMu36ZNm4goOzu7pqaGiGAOaTSaaDRqtVqtVmskErnooouISJZleAyj + 0ahayg+VTpWG5gdMFyJSFCU7OzszMxOfmj17tsPh0Gq1U6dOPXz4cEVFBZyJ+Dgq/pGnDasYomeS + JImiiG0iJ8JisRgMBrfbvX79+mg06nA4ampq1GQzhmGYH3PaivgR8VCbcEGHBL9WV1ejdA+CjCaT + CYPa1KlT77jjDovFEolEzGZz796933333ZycnGQyiUytUCiUSqXwhh07dmBkRyy+TZs2SKg1m82J + ROKvf/3rxRdffPvtt+v1+mAweNttt82bN2/58uWFhYVEFA6Hs7KyiEir1YZCoX379iUSCYPBkEgk + srOz+/fvT0QwVzCkokG43++HtjJK/1OpFPLI1aUYwzD/IaqtotFo4FaASDoq2RAQwOtEIqHT6fgR + O72oQZXKysrCwkJFURYuXPjoo4+m0+nRo0c/+uijGo0Gheasbf17YDKZFEU5ceJEXl7esWPH8vPz + kdUMJWUYCVDL1Gg0UCVOp9PINYAa8vfffw/VTUxDZrN56dKll1xyiV6vTyQSqGZB0kF+fj5CHKIo + +ny+jIwMs9ns9/udTqeqnKHVavft24et4dtlWTYajXgA8fQh6mIymbAzsixDxiYej9fV1eHhzcrK + mj179m233abT6QYNGnTo0CEiUsUA1Hoe9fHHzIvtI9qD6ZWIWrRocfz4cRwadAXO5AViGKbJcdrm + qurq6jvvvDMYDJpMppqaGpfLhbQrQRDsdnswGPziiy8EQUCOrKIoyOt1u91ICI5Go4sXL0ZWAwZx + IkK1YiQScbvda9asISLIw0ejUbfbDTdPOp2G1TFs2LAOHTq8/vrrs2fPNhqNW7duLSkp+eabb1q3 + bo1RW6PRhEKhm2666X/+53/S6bRer4ff6yfzJTjGwjCnESyLkcpvsVgcDgcWKNBTxhMaCAQyMzMN + BgM/facd5PPAegkGg4sWLbrvvvuIaMqUKXfccYdGo4ENSURsOv4ewJzo2LHjsWPHcnJySktL9Xp9 + KpUyGAyxWEwQBJPJhOqXRYsWxePxHj16tG/fXlEUk8mUl5dnMBiSyaTL5YLYjCAI48aN69evHxFB + AABt04LBoF6vP3DgANXPaxkZGbj0ENLEl5pMpng8XlRURESyLPt8PvwFxaUWiwX9YYxGI6p0UBqK + IlL1iNDUJRgM3nrrratXr/74448PHz78/PPPT5s27RSRVbgg8b0ajUYQBORjezyeH374IZ1O9+vX + D8sDfLvL5fqdrwzDME2V05ZCduDAgVWrVm3cuLG0tHTHjh27d+/esGHDunXr1q5du2nTprVr1waD + QXS2IiKj0WgwGN555x2Px/POO+9gC0OHDkVpiuoQUh2xFovl/PPP79SpU8eOHYuKijp16tSsWTMU + AqK1JWr9CwsLZ82atWbNGgiteL3el156iYjQUsZoNMKZdOGFF1500UUlJSWtW7du0aJFbm4u/d/W + XQzDnF4atniH4FUgEEBOpirJCodCNBo9KZWF+e+RZVlRlLy8PEmSPvjgg7FjxwaDwUmTJk2dOrV1 + 69ZEJIpiKpVCxLuxd/YcBJOa1Wp1OBzV1dXwuyF4IooiQhBEFAwGx48ff8899yxdutRoNCL8EgqF + kslkly5djh49Wl5ePnDgQEVRPvzww3/+859EBGccPj5gwABZltFKUtXPRB4aESEh4tixYyjmhI6Z + VqtVLzpcCeFw2OFwpNPpeDzucrl8Ph86Dfx/9s47TK6y7P/P6WfOlJ3Z3Ww6mCJorjcgSSAYEVG6 + IoKIgr4SBaQrry+oWEITBFEuQN9IikpAsICAUjT0HloghNCbpG+f2emn//74/va5xpAsATcJk3w/ + f+SazJ7yzCnP/dwdv0IeGQoMzBBXXHFFMpm0bfuiiy566KGHhtA65C8NwxApLtB2Ojo6oihqa2tD + 4BxOQScMIWQIhk2B2W+//R577LEXX3zx8ccff+6555588sklS5Y8//zzL7300m233fb8889nMhks + UIIgQOFIaA7HHnvsD3/4Q8Mwnn/++bPPPlsIoSgK0g2xMSqGwT4khFBVFZMpHC+onmzbtnTFHHDA + AXfccYemaZlMZt68eYgGtiwLB0H7PEVRUGS5se/BBtpLYw0WQsh/At5NvNe2baORIrSaVCqFskXY + JplMot8fGUZg5g+C4K9//Suqnvz4xz++/PLLUQES0Uowz4tBPZMMI/L5R7exxx9/vFqtlstlxGWV + y2WUMG5tbQ3DECIJi3hZj7ivry+dTre1tX3/+98fPXp0X1/fnDlzVq9ejYgyNA8YO3YshOODDz6I + KG74N6SHU9f15cuXQ3OYMmWKEMIwjNGjR0PDwd2HrivlrBCiUCigzwz+KvUlfJPP50eNGnX55Ze7 + rlutVn/605++/fbbm7oO5XIZ1kbTNBGNhv9ec801+I3Tpk0Tgw5bFpMghAzBsE0QtVptxowZu+66 + 65QpU3bfffeddtpp5syZU6dO/ehHPzplypSpU6f29/ejEL6c/kqlEsJtTznlFGgLl19+OULFUDtF + CIF2LjD2INpE0zT8CdOfruudnZ0onoOsGCFER0fHgQceiGm6u7tbbi+EyGQyiMoVQhiGgfm9v79/ + o74XKjCEDAsDAwNisGB6HMf1el0WUkf7C3Si6OrqYnWyLQFKV913332zZ8+u1+snnHDCBRdcUK/X + BwYGkDKOJazjOOvXr2cazLADiZNOp5FyKYQ466yzUFChVquZponQA6iOlUoFCS2FQkHTtGQyqet6 + GIalUqler++///6nn346quCcffbZSKRB84BPfepTQohcLnfRRRdBQ0BujBCiVCqhudlll12GNgMf + +tCHMJJJkybV63Xbtl944QUxmGdfrVZN0/R9v6enR9f1cePG4cVEByfsGARBrVbL5XK1Wu3kk08+ + 4ogjhBBLliy57rrrNnUdMpmMdMKoqmrbNq7Az3/+c6TsH3fcca7rIotmg8aahBDSyLApMHBP5/N5 + LPpRVx5THhzBiUQCobRSOqIfVrVa3Wmnne666y7EjZx99tl9fX1iUHlAmy3HcWCFQlV7VAYTg5Ul + R40alU6n+/r64GDxfR/O93Q6bdv2+PHjMRg0A8ZCyvd9FNvBWeDylk27ZMG04bo4hOzgoLs2TAxY + V8liRGLwNVcUpbW1VdY7IsNLsVg888wzPc/L5XLz5s2DJailpQVdtqS1m6WftgSyBdmJJ56YTqfb + 29vnzZv36KOPlstltCzr7OxEaACKcLa2tpqmmc1mNU3r6elBcBckWhAE3/ve9/bff3/TNG+88cZf + //rXsjzmYYcdBsvdQw89dPPNNyuKUq/XDcMolUrpdNo0zUWLFr3++utxHB9//PFTp07FXu3t7ZlM + pl6v//GPf1y3bp0Y9NgIIVavXv3HP/4xCIKZM2dK+SgfFfRCFYMlcC699FJFUWq12hAhoAMDA3C5 + wOkqhFixYsXPf/7zV199tVAoXHXVVR0dHZZloRoBoxkJIUMwnC7agYEBufjYYOoZGBhIJBKY7JDc + IoTo6+vTdd00zSAI9thjj7PPPltRlOeff/6yyy7r6enRNC0Mw0Qi4XlerVar1WqlUslxHOgV5XJ5 + 7dq12Wz25ZdfPuKII2666SYEkpmmWa1WFy1adOONN8ZxvO+++6K+vhisF9ne3p7P54MgME0Tterh + qKEHhpAtCpLWUP0crx7mgbfeess0Tcdx0HlWDPZYJMNIvV6/5ZZbXn31Vcuypk+f/sQTTyxbtuzl + l19++eWXH3zwwSVLlshFMMo/btvRbn+gpnCpVBo9evSFF17Y29vrOM6BBx44b948WOhGjhypaVpX + Vxf8G4VCIY5juMVQhlg2dkS+/hVXXIH0/e9973uFQqGnpwdGvQsvvFDTtI6Ojq9+9avXXHMN3B2w + 9F1yySWnnHIKXJ2nn346NCUhRDqdPvHEE4UQzz777HXXXVetVvv6+hzHKRaLCxYsgN3hM5/5DF5b + GeaA0DU0wYSJcMKECfPnzxdCtLW1beo6tLS0eJ5XrVZLpdKKFSv+9Kc/fe9737vggguSyeTkyZNP + P/10RJm2tLQwH5UQ8i7EQzJ//vyrr74aVUHiOEZ07EaJoghRvIjHhdYRxzG8zHJfz/MeeughzObX + X389ti8Wi3Ecd3Z27rzzzlCB/vrXv8Zx7LruUUcdJYRAFXzx7xVyMpnMzTfffM8992AXTdM++clP + HnTQQblcTtf1lpYWRVF6enqQPNM4G8pQe/kNlCikx8ihnn/++VhgrVu3Tl4BhFsMfdEI2e6ZP3/+ + ggUL8BnvC6oLbooXX3xxl112QaNxMRjP2d7ensvlxo0bhykC/lUstsjmsPnzcxiGRx99tBACsUZQ + FGFRwr9XXXVVHMcw7my18TcvUpzFcXz11VfPnz//XXdplIM/+tGPINFgI/voRz/6ta99DR1ahBC2 + bZ9zzjly4wMPPFAIMXr06DAM4bjo7OyM43jhwoXYfs8994zjGM3K0Mw+nU4j41TX9S9+8YtHHnkk + RB5qb7744osY0sDAAD6sXr1aRpRNmTLl4IMPPvTQQ9F7IJlMHnfccdgMAQ7Lli0TQqA0s/xdXV1d + 2OYTn/gEjnPIIYfgWQrD8Mknn5SyGxEZMpIcv/fcc89Fq015QM4DhGzHYMEgZdaCBQs2ZxbdgGHz + wKAQJD5jopQqRzwYlxXHsWEYhUIBvVxkyiB8ytls9ve//z2muTPPPLOvr880TdSbxzbwyYiGXsKG + YbS2tk6fPh3Heeyxx+6+++5yuaxp2he/+MWVK1fmcjnLssIwRLFm7IVEGhwQII0SWTQwa/X39+u6 + ju5asEe6rgsXP44wXNeNkB0B13WRjYZ3B695b29vPp/P5/Mwu+LtZubulkB22yiXywhDEkJEUZRK + paIoam1tRfsRxI/FNH4PNygf7Ps+rvzFF198yy23HHTQQbjUL7/88g033LB06VJd10eOHPnhD394 + 5syZkETyCB0dHbVaDQfBbTr00EPRwP7pp59GDj0sg7NmzXrmmWeQkSKEuOWWW/7+97/j7p988smv + vPIK0vd935e5puPGjbvtttu+/vWvCyFeffXVJUuW3Hfffb29vblc7pxzzlm4cCGiwXH8SqUCIYjf + gj91dHRAoP/sZz9LpVKapsEuiTFomiYzZ1AYTdf1SZMmffnLX7766qvvvfdeOGFgVcRQGUpKCBka + ZWhZtWDBgiiKTjnlFLRxRLGa93oOhH5pmoZ4XyFEsVhMJBLvrCsPrQadZJDvi/LHckchhOxXILcp + Fouu6/b29r744ou+70+aNKmjo2P8+PHS5IMI4L6+vra2tk393jiOMRi4a7Av6lEmk0nYvVCzX7ap + IWSHZcGCBWhGIQZrWKHHyxC7dHZ2ovAgGlbiXyHE6tWr0XAWOXJBENTrdfm+kyF4T/MzYoE2aPMC + A9g7vydDg0uNyz5v3jxVVU866aTN3Bc9YcRgZNfKlSvffvttyLWRI0eOHz8erg8hBGQW3gvshfPi + lmEbjAFZ+K7rlstlRHDhfVyxYsWrr76ayWQ+8pGPpNNp1PeL4xhGQwxDileY+Z577rl//etfuVxu + 8uTJo0ePRh6OPFcYhtJSKX8OZKIUzdVqVdb2hFqFD+l0Wr71eM1RO5S6CiE7GpigpMxauHBhHMeb + P4uCrVFtBv0rhRCJRAJzpazvjqkZn6E24L9yBodRVhZaRbUW/PIRI0YUi0XHcXC0TCYzbty4ZDKJ + XpmapnV3dzuOI41MjbPnRgeJwWConudpmoZZGFFwsu8eOs9sMIkTQoZAlk2XIZ1yqY3G8GjqF8ex + ruvUXoadQqGQyWRUVY2iqFQqobAVHAJyFRtFETtvbCHCMPQ8D5caLXdkXPSkSZPGjBmj67plWVIa + IlVMSkwhBAx5mqahXhnuF+4jdBvIKagQMkV+6tSpkydPDsMQB8GLVqvVZAIMxlOv1y3LgmNn1qxZ + 06dPTyQSsuMkzIiQtrZtI3df0zTXdWWohRAimUyiHGgymczn87lcrlAo4JhBEKTTaTx42JivOSHk + P2RrKDBQIaA8pFKpMAxhfWlpacEkWK/XMRHLXdB7Cz1eNuhRALtXHMeWZWUyGdd1saNhGJZlofg9 + LDodHR04OEqINoqHjYJaoslkEmqMbAdm2zbkupQlQ3QaJoS8E5majOQ0BLBGUVQoFFpbW+V6C+se + Fs8YdmRtMVVVsXjFBceSWgx2ERVCIJ62cYFL/nNkW0mZg4TnXwzm6GMzZMkrioKFvvRj9PX1ZbNZ + 3COYzyBJcVjcRF3X5V2GOw51a+Sdhb6B8so4V6VSgaKCiC+ISLmBHDmirKVyK0fb6DmBIyidTpfL + 5Wq1msvl4jhOJBLVatW2bV3X4zhG0wIhBNJ1REOzNVbuJoS8V7Z4KBTqqCC3BBqIpmmWZUFAep6H + z3L+gmtbCGHbdjabRe0yWYEesfJws1SrVam9iMHQeXSrFIN9J2TLF0zNKOSyKaARIWlH1/V0Oi0r + mGHkshGnlCuEkM2hXC6juwXWK6VSCXMCOvcJIZAX19raSu1lSwBTjkzeQ8ESWIVgKsL3lUolCAJq + L1uCRCKRyWR838eNkIEJIAgCSDT5TV9fn+d5EHmIuMb3SOUXQvi+j/JfcRzXajV8iSrGsPohWgF7 + ofOSDJNGgk06nZbGuGQyaVkW5ClizFzXhWSs1+uyJUt/fz+eolKp1N/fL7+HQ08IgeY2YjC0zDRN + jAFD9TwP/4WahPZu1F4IIe+DLT5xoMCXGDQm1et1BIAhbFdRFGns8X0/iiLbtovFIoIZENaFrBh4 + yaU7u9FqJQYz7B3Hgb7heR5kMFZFaJYHb8+mdI/GsF1k+WNIQRDAYCbNXaVSiZ3CCXlPQPnH+gmW + YLmAk28lTMvFYtHzPBlESoYFaSyH0UcuGRGGhM8oY83V5JagWCzCg2GaJuxoiAFDTyREVOItkB4w + dG7B7YCagaYuqF4jhMBnfC89aYlEAp/hYUOLM3R8RlsYIYRt241mAsg4CDj8i5I8nufhkbBtOwzD + arWaSCRwwFqtlkqlMPJSqYTPmqb5vo+QNtM08cgh6Fo+ZhukyaGqJ/olbOlbQAjZztjisgpLf5T8 + wrTYGDIrhIB1J5VKwRxYq9VgFsLiBopHpVLBxAeTEixDmPIQZWtZluu6rutiM8yknuehjBjmUyEE + qrZtdJwy3cXzPMMwcPbG/MJarYZAXukHJ4RsJmEYIl8crk75pWhY0yDahGkYWwIoh1g6Y9ULh3Zj + HoJUXTb4nvzn4KmGfIGsaVzKw04HqadpWmOMHzQN3A4k0CNGoFqtKoqCQ8lusEEQQDmipei/AAAg + AElEQVTB0SzLQuFjqR7IGgAyFQ0FwTAeWQkA6TpyhAj/hi8FdgccEFqZbduVSgWhcZCbjuPAwyNP + FwQByoHKZw+zQWN1MkIIeU9scQUG0a7QVbBekWWIMZc1ZgHqug7bkqwVBsdIMpmEb13Wb8GhZEFJ + MagpQTwDRVFkvSPsO0RnXxnHIi1emHxheUKQG6ZahPMy0IWQzQevpLQjIIwEPlVd17FihmkAFgS+ + X8OLbPyCRoRYvOKCY4rGtAaLO7WXYQdxB6qqQnuXpfYg0fB2yCRPiB4EepmmCaeZpmlSfskkGSEE + ckoR1IDuCrZtQxqKBokGdwoUHt/3G81wkJtSEEvniRAC5XBwBIxB9qFGVowMiICPCGoJwsOksEYM + BWQ6UmWk1gS7xgbRdIQQsjlscQWmMSBBRvFu9EsxaG1q3ECGdWFKlZYkqfzIfaX5552nxmQ9tJN6 + g/x+eTSZ89p4Lq6uCHnfyHaWYvC9w4oZrxgtslsCXGfZratxqtzUbEyGETzV8rLLGlyNUkmKGFm0 + E/8dusqwLN0p/v3d2eBWNqbmN8qyDY4g/l26bXBqqXjI48sNGpuiAjl+2bJzgxO9c5CEELL50OxB + CCGEEEIIaRqowBBCCCGEEEKaBiowhBBCCCGEkKaBCgwhhBBCCCGkaaACQwghhBBCCGkaqMAQQggh + hBBCmgYqMIQQQgghhJCmgQoMIYQQQgghpGmgAkMIIYQQQghpGqjAEEIIIYQQQpoGKjCEEEIIIYSQ + poEKDCGEEEIIIaRpoAJDCCGEEEIIaRqowBBCCCGEEEKaBiowhBBCCCGEkKaBCgwhhBBCCCGkaaAC + QwghhBBCCGkaqMAQQgghhBBCmgYqMIQQQgghhJCmgQoMIYQQQgghpGmgAkMIIYQQQghpGqjAEEII + IYQQQpoGKjCEEEIIIYSQpoEKDCGEEEIIIaRpoAJDCCGEEEIIaRqowBBCCCGEEEKaBiowhBBCCCGE + kKaBCgwhhBBCCCGkaaACQwghhBBCCGkaqMAQQgghhBBCmgYqMIQQQgghhJCmQR/6z67rOo4jhDAM + I4oiIUQcx1tjXISQDzBRFNXrdXx2XTeRSBiGITg/bC2iKNI0zXXddDrteZ6q/n9TFK//1kRRFM/z + bNsulUpCiDAM5Y0ghBCyURRFwYIBiwchRKVSsW37vR5nkwpMuVxOpVJxHEdR5LquqqqKouj6uyg8 + hJAdAdM0gyCI41hOC77vh2GIyYhsaaC9BEGgqqrneclkUggRhiGkAtnS4Mprmlav11VVDYLAdV3L + srb1uAgh5INOrVbTNM00TSwe4jjWdd00zfd6nE0qJKlUSghhWZZt24ZhwLCE9cp/MGxCyPaAZVkw + ashvDMN4HxMQed9YlpXJZCzLSiQSuBGapm3rQe0o4FIripJIJOSN2NaDIoSQJiCRSDQGCyiKkkql + 3of1bZMKTBzHYRiWSqVGoRhFERUYQsj69etTqVQURaqqqqoqTRsINCVbAVVVy+UyTFlCCHgAeP23 + Dqqq4oJrmtbT0xOGoeDDTwghmwGsn3EcwzWCiPTe3t73epxNKjCIDGltbXUcx3VduHviOKaRjxCS + zWZt267X67Zta5oWxzFCyN5HGCt5f5TL5UQiYZomwn0ty5LygGxp4jiGywUX3/M8fNjW4yKEkA86 + 9Xpd0zRd1zVNg/aSSqXeR4qKsqmkz1qtZprm1VdfXSgUJk+e3N3dDQFJBYYQYhhGZ2fnmDFjisWi + DGHCpLGth7ZDoCgKPDCO44Rh6HleNpstFou8/lsHz/MymUyhUDBNU9O0arUKhySLKBBCyNB4nod0 + 2TiOa7VaJpNZt27dqFGjvvGNb7yn42xS48HRkQNjmiaybWTdIULIjkwymYTJBI7gKIosy4K3dlsP + bYcAQcPII0e4b7Va1TQNsUxkSwOlRdM0aO+6rtu2PTAwQAWGEEKGxnEc1KFRFAXzZyqVGs4kfiGE + 7/tBEIwbN+7ggw92HEdRFN/3WeWGEHLllVeOHTv2oIMOSqfTyAfA7MMcua1GuVy+/vrrgyA4+eST + DcOoVqsoeU+2Drjgvu/Pnz9f1/UvfelLDCEjhJB3BYYez/OQt1kqle6+++61a9e+1+MMFTBtGIam + aYVCIZlMBkEgWOWGECKEEMJxnEKh0NLSoqoq3C++71N72ZrAA6PrumEYvu87jsMk8q1GFEXQXgzD + 0HVdVVVqL4QQsjnAHQLtRVXVlpaWQqHwPgxwzPgkhBBCCCGENA1UYAghhBBCCCFNAxUYQgghhBBC + SNNABYYQQgghhBDSNFCBIYQQQgghhDQNVGAIIYQQQgghTQMVGEIIIYQQQkjTQAWGEEIIIYQQ0jRQ + gSGEEEIIIYQ0DVRgCCGEEEIIIU0DFRhCCCGEEEJI00AFhhBCCCGEENI0UIEhhBBCCCGENA1UYAgh + hBBCCCFNAxUYQgghhBBCSNMwnApMPp/f4Jtarea6rhCiXC7jm3q9Hsex3CAMwzAMG3cJgmCDg/i+ + H0VREARyx1qtJoSI41huHEURDhVF0buOE7vL8eAU8hvP87BBvV6XY/M8L45juWMYhp7nNR4TY6tW + q0OcV57F932MPAzDUqnUeAScYoNrQkizEMdx4wsuv5TPeRAEvu9jmyAI5KPuuu47330yXODaYgoK + ggDzZKVSEULgcxiG+GvjvEqGCyk+pJSEBInjGK+DlA5yA/ke1et1yCnRIB+jKIJklKfA3WyUQUPc + R4gwbBBFUaOww3kbZaLv+/ir67pxHPf394vBB8b3ffyQWq2G48jhNf5M+UOGvkpy8L29vfLUQoju + 7m4hRFdX1wZXhhCyIzNsCkwQBLlcTgjR398v56lEImFZ1sDAQCqVwlxp2zZWLZiDNE3TNA2TKWZJ + Xdc3mPsMw1AURdf1OI49z3NdV1VV0TCDx3GsqioOpapqHMdDqzGJRML3/Wq1GkWRpmm1Wk3TtHK5 + HIZhEASmaSYSib6+Ptu2NU3r7Oz0PM80TUVREokEjqBpmmmaGA8GDz3NcZwhzqvrOoZdq9Wga2ma + lkql8NdqtYqj4fjv9z4Q8sECKk06nY7jGOskwzCCIMBiSNM0WAcsy8ILwtXzFgJX3vd9XddVVV2/ + fn0ymRSDF1xVVUVRhBCYbLfxWLc7FEXBrA6xhfnf8zxFUcIwVBTFMAzIkVQqBWNcqVSqVCpRFNm2 + bdu2EKJYLIpBs6CqqoZhqKoaBAHuIO4m1BjII9xHCLt6vQ5Z6XleuVyGCMMGqqpKsZvP52FtNAxD + ajIQr4VCwbIsRVFaW1uFEJ7nGYaBYeMHqqpq2zZ0DymjIZGl9N/U9YGigicwCIL29nYMxjCMer3e + 0dFRq9VGjhxZKBTEvytXhJAdlmETVIqiuK5rWRZmtyAICoVCe3t7qVTKZDL4ZtWqVePHjzcMA3O3 + ZVnYF7oHPlcqFcySmNNd11UUBQsdXddN05Rn1DRNahQbjATz4EYplUq2bcdx7DhOpVJJJpOGYQgh + pCLR19eXy+Uws3d1dY0aNQrfDwwMtLS0rFu3LpPJpFIpTO4YDwYfx/EQ54XksCyrVquZpmlZVr1e + t20buxSLRVylxnl/s647IR8w5FsA1QULI6yPC4XC66+/bprmuHHj0uk0nnld1yuVCl5AvER8/ocd + qZPUajU5neIbGGjiOC6Xy1gEY0okwwiUE9M029ra+vv7W1tbNU2r1+v5fD6Xy4VhWKlUMplMEARS + 1cHbIYQIgkDTNGgIuq7rut7oLcH28F04jjNixAgx+OrVarVEIiFNe9jFNE2IrUaBpes63CmwQgoh + SqWSruuJRAIPg+d52WxWCIEB9/b2tre3h2GIX+E4Dtx6qqq2t7fDy4qTKooSBAFsFvKnvRMoQlgS + 6Lre09NTLBbffPNNVVUnTZo0YcKERCJRq9UwhiHkLCFkx2HYFBhN0775zW/efPPNcFzAFxHHcUdH + x4gRIw488MAzzjhj4sSJYnAGlNqLjP6CSiANsVKsyi2B7/uGYUD3EEK4rqvreuO0CEfKBntJ0uk0 + Pniet/POO7uum06nk8lksVhMJpPHHXfcoYce+l//9V8tLS2VSmXkyJFCiNNOO+1vf/vbXnvt9be/ + /W3MmDFyDDgObF2WZeGHNKpYjey5555r167FNJ3JZAzDWLlyZWtrKyZl27bvu+++jo4OaYTe1HEI + +SDTuLaIG9hnn33eeOONrq4u2COEEB/96EfPOuuso446KpvN4v0NggC23m02+u0X2E00TcMqWdO0 + ESNG3HnnnUcdddTEiRMvvvjiI488EqvDer1eqVTwmQwXUm1YtmzZZz/72UKhALmjaVpXV1cymfzI + Rz4yceLEr3zlKwcffDDsWXhNoAB4nuc4TiqVgpceqgIEH94Xx3FksFYYhrVazbZt6BWNuituPVw3 + 0tuPI2iaBhcNQgMgKDs7O3/0ox/dfvvt2Wy2XC5jg2OPPfbggw+ePn36yJEjPc+Dt2Tx4sVnnHGG + bdsvvPBCKpWSzh959jAMNyWUQbFYbG9v7+7uvu+++3784x+vWrVKmj+OOOKIc889d4899oiiqKen + B3KZELKDM5yhAl1dXdVqtVqtptPpnp4eIYSqqqtWrRJCPP300//7v/976623Hn744dKwBM8yXOGN + +gAsTNBeMOl3dnaOGjVKGqvk/BtFEebEOI4bp+Oh10ClUimKIqgo8KcLIRKJRE9PzwUXXHDJJZd8 + +tOf/sMf/jBixAhoVj09PevXr1+7dm2xWIQTHNYsqYlB0niet1GPEBgYGOjq6sKWPT09jTHo8Lm3 + tLRgyyiKqL2Q7Yznn3++VCpNmTJlxowZjz322OrVq19++eXvf//7URR961vfwgNfr9cb/TDbesjb + FZiaMN9iFlq1atVPfvIT13XXrFlTqVR830fwmAxYIsOL67rlchmOEdd1fd/v6elRVTWZTJbL5RUr + Vjz55JPXXHPNl7/85UWLFkFFKZVK6XTatm2YyZBF804pU6/XVVU1TbO3tzeZTCYSCThSkF2Dm64o + inT4AwRvQxLBWyL9/7CgKYqCGIre3l5IdiGEruu/+tWvfvOb32Sz2XvvvXfs2LHt7e3VarVcLvf2 + 9iI8G8EFCA0Vg0aNarUqXSjvBIpQGIaLFy8+6aST8HsPOOCA119/feXKlX//+9+feeaZBx54YNKk + SSNHjsRlGf47RAhpKoYzTgMukdGjRy9dunRgYGD16tXPPvvsHXfc8fWvf723t3fMmDFHHnnkxRdf + rKoqIllhmpW+F3xjWVahUMBcWa1WMaX+4x//+NjHPnbCCSdIFQKecVVVa7Wa53kwGsGGJLPwN4rv + ++l0GtpCvV5PJBInnHDCmjVrHnjggUsuuSSbzXqe9/TTT//lL3+JogjRaF/5ylcuuOCCb37zm+l0 + Goa0KIoOPPDAgw466PrrrxeDE/TQmYWXXXbZwoUL58+f//vf//7Xv/713LlzFy1atGjRokmTJrW1 + tY0YMQKBNAiZG6YbQsjWpvEtUAZRVfWss86K4/iFF174xS9+8cYbbyxfvty27f7+/nnz5sm3Vdod + sHwhw46u64VCwTCMarV67rnnPvfcc0KIarWKeF1kUyDRggwvCApoa2tTFGVgYCCRSPzyl79cvXr1 + 888//8gjjzz00EMnnXQSpNJNN93U0dHxwgsviIZ4AagZiURCSgfoCXjdEPXged7s2bN33333W265 + RQyGCSDRBZ4Tua98v+CNEQ2vLeyDiOIWQui6LhNsOjs733rrrd/+9rfTp0/Hc/KDH/wA7hfHcXbd + ddcf/OAHZ5xxRrlcRqZrHMc33njjJz7xiQMOOKBYLOZyuSG0DtM04R2aPXt2KpU6+eSToyi65557 + 3n777RtvvNFxnNWrVz/xxBN9fX2Nl4UQsiMzbB6YKIqQA+P7/i677CKEyGQymUzmox/96Oc+97l9 + 9tnnrLPOyuVy55577qxZsz796U9jmoPlFfVMECCr63o2m5XVcmBAWrVq1fLly/v6+mCgRXSZECKO + 4w3MUe/qfpGuHiybKpWKaZowI82cOXPWrFkHHHBAf3//XXfddcYZZwghVFX94he/eOSRRwohkHCJ + ckmPPvpovV7v6emR5dGQ5bIp58nhhx+uaRoc+jICbcmSJW+++aZlWZdffrmmaclkEvFvvu8PXQ+A + kA8scqkkFZg4js877zyEfXZ0dAwMDOy8887HHXfcggULnn32WbxWyCre1mPfbkHMkqZpyFH8zW9+ + c+211+ZyuXK57Ps+XMHSNrSNx7o9Iiu4oBxftVptbW0dN27cuHHjIDV23XXXE0888bLLLluwYEEi + kTj//PP/7//+b8yYMZCqMOT5vg/Phu/7MnsT746iKOvXr3/44YfL5TJOAX0AO8o4LryblmX19PRk + s1kcYQO3jPwMaRVFEVSakSNHrly5cvbs2Z/85Cf33Xffnp6eBx98UAiB93r33Xf/+Mc/jh2jKIKY + e/PNN5csWSJL+MDOuNHrU61WHcd5+OGHDcPo6+s7/vjjFUVBibPPf/7zlmVVKpUXX3zxa1/7mgyo + G+Y7RAhpNoZNgVFVtbu72zRNzCzd3d0dHR2IFsvn8yeddJKqqieddJIQYs6cOZjUhBBxHL/++uuP + P/74smXL1q9f77ru4Ycffuyxx0KUtrS0rF279r777rv11ltzudyaNWsWLVq0du3aXC539NFHjxw5 + ErPkM888s3jx4qVLlwohZsyYccghh0yfPn2IPFQkIEo5bds2XNKe582aNQvfyMg0RVEWL178zDPP + TJs27VOf+lQqlXr22WcXL14MpWX58uVz584tl8sHHXTQjBkzhnCeYO5GmTXsWygUrrjiikwms/PO + O59++umQQ3Eco+racN0XQrYt8mFG9Q5VVT3Pa2lpGTduHOy7WJOJwchMrNK25Yi3RxC609XVNXLk + yKeffvqcc84RQlx11VW//vWvX3rpJSxwZQWFxhw/MlwglEvX9WQyifJiuOBI7tc0bdKkSRdeeOHj + jz++YsWKW2+99fvf//7o0aMRGl2r1R577LH777//X//6V6lU2mWXXY4++uiZM2ci4iCRSNx9992v + vfZauVxWFOWf//xnV1dXJpMZP378/vvvjwi0FStWPPDAAytWrKjVao7jfPvb3/Z9H1mXeCvxnkob + HCp86rqOaLdsNpvP53feeecoiiZOnPixj33szjvvHD16tIzmevXVVx9++GHP884880xd1/v7+2+6 + 6aa//e1vSNRZtGhRvV5vb2/HGuCdOI5TKBQmTZrk+34qlVqyZMlee+2F2PJarYax7bXXXhgeq+QR + QoT490TbdzJ//vyrr74avgUZ7rUpDj30UCHE+PHjsTGcJ3Ecw9Pted6kSZPgJV+9enUcx67r/vnP + f8YwHMfRdR1qg6qqDzzwAE6HAvCO42CKx8YIgUAwcXt7+ztTAz//+c8j2hibyfGgAk9jzQBVVU89 + 9VRUUInj+MUXX8Txf/7znyOAOI7j//7v/xZC7LHHHnEc5/P5H/zgB/JE0mb8/e9/f+grCXp7e+Wo + fvvb34rBNCHUVsY1ieMYgyHkA8v8+fMXLFiAz3ib8OhuCjzS3d3dqHURRRHstbNnz5ZezTiO8dqS + zWTz52dML4VCoVKpTJkyxTTNU089tVKp7LbbbkKIm266qVQqxXGMf8m7gkuNy3711VfPnz//XXfB + E/7oo49CW7j22mvxUvT398dxXKvVIHFuuukmrNchUyAsPvzhD4vBumQwvbW1tX3yk5+UB5cRaAC2 + gHPOOQe7f/WrX5XSE7sbhvGhD30IgjiO40ql0jhUmc0fhuHnPvc5RVHGjRuHP+EnI1z8iCOOwJe+ + 78+fPz+ZTKqqunbt2jiOX3vtNfj6oAknEgld1xVFKZfLMupbngsXE+++oiiZTKatre3pp5/2fT+f + z19zzTWGYaTTabgKcRkJIU0NFgxSZi1YsGBzZtEN2OKWjHiwfLBhGAcddND8+fMVRVm7dm1HR4dp + mjC6HHrooZ/97Gc1TXv00Ufnzp1bKBTOPPPMp556Cvnx11577a9//eulS5dmMpkLL7zQcZx8Po9Z + T9f1kSNHTpgw4cwzzxw/fnxfX98vf/nLl19++fbbb3/55ZenT5/eGJQCV7g0LsKpjeBvFEt56623 + DjroINQE+853vgMzDzpXyBqU2Wz2yCOPzOVy55xzjmVZMIMJIfbee2/RYL7aKP39/W1tbRh5oVA4 + 77zzhBCXXHLJ2LFjG08hhPB9n+E0ZHsijmNFUVDj9bbbblu4cOHjjz8+duzYs846C/nH2AzLGllV + mQwXcHO1tLSceuqpL7300qRJky699FLHcbCcRfsRIUQcx8jA3tbj3YFAwr1t25Dln/nMZ+I4dhzn + vvvuE4O1YdavX3/wwQd/7nOf22233fL5/K9+9asHHnjgkUceWbZs2Uc+8pFEInHsscdOmjTp3HPP + DYLgmGOO2WuvvRRFmTFjRiKR6OzszGazH/7wh08//fQRI0a0tLTceuutCxYsePvtt//yl7/Mnj0b + 2sjQpNNpPBg9PT3f+c53DMOwbfvCCy+EPIVygtQaPDwf+tCH5syZc/vtty9ZsqSlpWXOnDm6rnue + 11gtoJFqtQrH1D/+8Y/Zs2d3d3fPmjXr4osvNgzju9/9rhDilVdewWVxXZeFCgkhQmx5D4zcxfO8 + G264QQhhGAaqrMRxPDAwAIPNwMAANjvkkEOEECgiGQ9qaXPmzBFCZLNZfFmtVqV75NVXX8WJ8vl8 + HMdLlixRFMU0zXnz5sltYO/BoYrFIrZHEzHDMHbZZZfZs2fPmDFDCNHa2jp79uzly5fHg96SOI5n + z55t2/a0adNkURe0ItY0be7cua7rwro5NDAv4exxHH/hC19QVXXy5Mm4PvgXnYzjQVsdIR9Y3qsH + xvO8U089FUbZdDqtqup3vvMd2Pul+2UDMzB5V97T/Oy67oIFC3ALXnvtNUy5+++/vxDit7/9LUz1 + gB7gd2W4PDA4jgwBwCuAMOwPfehDnZ2d2Hf16tVSRObz+ccee8w0zXQ6fdlll+HLKIo6Ozvhurnh + hhsgR+R97Orq6urqkm/omjVrkHx/9NFHY0uMQfo/3+mBEUKcdtppyAVNpVLTp09fsWJFPOjZiwcD + ChRFqdVq2L1arZ599tlCiAkTJqANDq6Y/LHyXI2LhDiO//SnP2GWQPKPpmk9PT0YmxwtIaSpGRYP + zBbvFidbbtXrdVnwBKnqQgjLstBZRdZW/tKXvuQ4jqIoK1euLBQKMllfDAbTwyKFUstRFKFggKZp + lmW5rjtz5sxkMul53qpVq2DmieMYH7A7AnahuiSTSd/3X3vttRtvvHH16tVCiP7+/okTJ+66665C + iLa2NoTmh2GINsYYIcocG4aBwvamacLmhMppmyKRSKDAixDi3nvvveOOO1RV/elPf4qUADlUDJIW + JrKdEcdxvV6v1+tisI75nXfeuWjRonw+j+hQOVGIhkmDDCP/+te/TjrppHK5fM0110yYMCGTydRq + NRSEtG07kUjIG5TP57f1YHcUUNVa0zRU89c0zXVdKJmycUq5XB43bpwUkdlsdtasWdAEYEorFouK + oiQSCQjKdDotq2jAcofiGfCQuK47duzYadOmtba2dnZ2ikHxiioymxpnOp3+zW9+c+uttyqKUq/X + Z86c2dbWhko8OEJjN2ekUSUSCdktADXuxGC95o0mebquaxjGK6+8cs455ySTSdd1XdeFevOd73yn + u7tbXpChRS0hZAdhiyswqCcmhHAcZ82aNShIMnnyZOSxYD568803X3nllTvuuOPKK6/8wx/+4Hne + wMCAbdvZbBZLGZRVQbQDRKwQIp/PY93f3d1drVZvu+22u+6668orr4QkkEFZUA+gsWA8qLOMgNpU + KvWVr3zl7rvvPv/884855ph0On3eeeftvffeb775JuLB0CNZCIEmCUIIeG8wMJnrLwYrXW4KVVWR + r1woFP7nf/7HNM299trrmGOO8TxPNiBDLA1ryJLtD9M0586di85LDz/88Jlnnvnmm29++9vf/tGP + foQ3GpWFZFeKbT3e7Y1CoXD44YenUqkDDjjg6KOPRvkELDGTyWQ8WI0X61EsoMnWAaIEpjr4HCAC + Jk6cmM1mZXMklOG6++67b7jhhvPOOw/Z7blcLp/PI2ChUqnAoFYqlVCRH7dVRmfV6/X777//n//8 + 59y5c1evXl2pVKrVqqZpUkYPQalUKhQKd91118knnyyE+N3vfrfvvvuuXLkSf0UjGkhDHE3KRMuy + IOCgdWxUe1EUBYuB1atXf+Mb3+jt7Z02bdprr7121VVXCSEMw/jTn/40Y8aMrq4uFC1gCTJCiBje + RpYbxXEcVEjUNO3FF1/EYmXs2LGy5vLvf//78847r6urSwgBuwu6aCFc3nVdx3Hg70ape1kDHh9e + eOGFiy666NZbb8UsiWI7sowYJlM5Y0ofiHTslMvljo6OffbZZ5999jn++OPvu+++o4466uWXXz7y + yCOXLVsWBAGKTqKg/v+/ZLpu27ZcacWDST5DZ63IyPJ58+a99NJLcRxffvnlcRyjsUwcxzLvRVGU + oXNpCGk6YF4VQhiG8clPfnKfffZJJpNXXnnlvHnzvvWtb02bNg2bvTM4ngwLixcvfv311+M4LhaL + Rx55JPIPFUVZvnx5FEXnnXfelVdeecIJJ3zjG9+o1WpUYLYakINCCDRAQ5g02kBPmTIliqJKpWLb + 9uLFi2+44YbbbrsNUZeo1gUtVDpb4ELp7+9HL2mUuwyCIJfLrV279pJLLrnxxhtx5JaWFt/34ZmR + Fb1QS2NTusG+++6bTqf33HPP/fbb74QTTjj00EPfeOON6dOnv/XWW2PGjEE4h2EYruuGYYjBCCHQ + OhMaGoT4O8H4Hcep1WpXXXXVk08+udNOO91yyy3t7e3HHXfcoYce+rWvfe3pp733VIQAACAASURB + VJ+uVquzZ8++5557SqVSW1vbsN8IQkjTsTWWC5giu7q6nnjiiSAIxo8f39railn7vPPOO+WUU7q6 + uk477bTrrrvuoYceuvjii2GI7ezsDILAcZwgCOADQQogItCEEJZlvfLKK1OnTl28eHF7e/vFF1/8 + yCOPoJiyrusyKAuVALALnCdIZZHDK5fL6N0WhuF+++134oknuq67atWqu+++GyUgE4kEAsagtKiq + Cr1I0zSk+Mv/DnERbNuu1+tvv/32lVdeaVnWpz71qb333lv2jEPBSogB6DNb4D4Qss2wLEvX9Xq9 + Lpu+f/nLX4al+dlnnxWDMZZweOJ9J8NIsVg0TbO9vf3RRx+955577r///scee2zZsmWY07q6up57 + 7rmVK1cmEolN9UonWwIZeSWEME3T931kgAghRo8erapqJpPp7u4+6qij/vznP48bN+6nP/3pnXfe + iX4paCyWzWbhCUkkEhAoshA/op17enqOO+64uXPn9vX1nXHGGddee+0LL7yw1157oaYz+qGhxPMQ + ng0k4eRyOdM099hjj+uuu04I4bru/fffH8cxxLSM9EbiCuLK4JzBQd6ZWyWPj+ae8+bN0zTtsMMO + g7aD2gN33HHHbrvtpqrqvffeu379+s0pOUAI2RHY4h6YWq2GOfqZZ5558cUXFUU55JBDUHdlyZIl + l1xyiWEYc+fO/eY3v4mo2aeeegq+5jFjxoRhWC6XU6kUDoLOXPDn4MjnnHOObdttbW1Lly7N5XKo + iJJOp0ulEjIFMQY4SRAivEHNZV3XW1pa4IJPJBKVSgXZ/MVisVAo4Oz1er1arVarVUzEMl4ZTfp0 + XYeLH7G/Q5iQdV2fN29eoVBwXff4448Xg5YwTPSqqtbrdc/zMpnMOwtDE9LUIDhelrfq7+/ffffd + 0fvo4YcfPuKII9rb22EA5gJlS/D5z3++ra1t1KhRQgjDMHp6ekaNGrVu3brDDz88kUicccYZ++67 + 77hx44QQlUqFnc63GrDuIXwA0Qo/+9nPIDFPOOEEz/N0XT/++OOr1eqMGTP+8pe/7LTTTpqmlUql + kSNHrlmzBqr+zjvvHASBzDNpbW0tlUpQRAuFwnXXXXf//fdnMpl77rlnr732EkJUq9WddtoJ6SX1 + eh2x2UOXvgzDEJuhoRky7F3Xfeqpp7785S8j1hpnb2zSAj0KMYpInmkscNcYS9bS0oKoNsdxdt99 + d9/3sR5AiMTRRx99ySWXCCHWrFkzevToYbz+hJDmZdgUGFmeWIZpoUFvIpFwXXfp0qXoYAVXSbVa + tW37mWeeSSQStVrt4IMP1jQNHbXCMISzAh2yUN8zm82aptnX14d+0jhjFEWPPPJIvV6fNm1aLpfr + 6+tD2j0mUOTAYFbVdb1cLicSCcyetVotkUgEQdDR0dHd3Y2sGNM0oyhyHOfee+/NZrOFQiGZTEIz + gTjBHA2TUiKRgEK1YsUK+eXQtUfhqLniiis8z9t1112PO+44lINsbBgnY9AJaVLg7cQ76Ps+bLEo + LuS6biaT8TwPQSD33nsvwksmT56MFhbValXXddM08YZu65+yXTF69Oijjjpqgy+nT5+uKEoQBBMm + TDjwwAMVRZHZ2GTYQYUuXddRP6a3t1eu4CH+ent7L7300lWrVoVh+LOf/QxSTwjx8MMPJ5PJSZMm + TZw4UQgRRRHcMugMKwYlGpQZwzAefvjhz3/+80II+GeWLFkihMjlcnvttRdeMdTUNk2zq6srl8tB + HDf6Q6CKiMHms3KEOFcymXz00UexAbpkmqaJlCqEkIlBg0W1WjVNc926ddCvYKfb1PVB0Ljnea+8 + 8oqqqvAjQdyn02mYNRt73RBCdnCGLYRMuq11XV+zZg2+efXVV//xj38sXLhwzz33XLt2raIov/vd + 75LJpOM4nudNnjwZ/utLL73Udd1qtXrDDTf86le/gtN5/fr15XK5ra2tUqm0trbWajXDMG644QZo + DphGsfpfvnz5smXL2traVq1a9ZOf/CSfzxuG8dxzz/X09CQSCUx8I0aMwKTsui7WRrquo0sm6sCs + W7fupZdeOuuss/74xz+i+tkee+whY9Vgc8JUrut6LpfDQV555ZWXXnpJDFbxH+L6aJr2yCOPINhs + //33hyRjR2GynSFrXch1TxiGa9asWb58Ocooqara1ta2ZMmSiy++GAGTM2bMwIvc3t4OMwG1l60G + 0iQymYzs5sEM6S0BHPiIAnBdt1gswjqWz+f7+/uXL19+xRVXHH744Zdffnm9Xp81a9Z3v/tdOGSq + 1eqoUaMqlcqjjz76wgsvCCHeeustNBvwfX/58uWN2v4uu+zi+/7TTz/99ttv9/T0WJaFuppCiJUr + V1533XWO49Tr9UsvvfTPf/6z53krV67s7u5GABgcOIjqNAYRg3FfUE4KhcKaNWvmzp37wx/+0HXd + tra2ffbZBzqGDGBDCTJFUdavXz9z5kw4l37/+9+LIaOsUeFmzz33NE3ziiuuWLZsmTTtvf7667/4 + xS+EELvuuusuu+zS29u7xe4SIaSpGLrK8ub3GSiXy7JgvBSB0p9gGMauu+76/PPPI5EUR4uiCDG1 + QggZez1+/Hjssvvuu8+bNw9bvvHGG6lUCiv+CRMmfOELX8BJzz33XARFCCFQjlkI0dHRkc1mdV0/ + 6qij1qxZg/x4DB5HQ1INFk8I1tqgLsrEiRPnzJmDvXzfP/bYY4UQ++23H4rQI+/lm9/8plx1ffzj + H7/lllvid+tjfdpppwkhdF1/6qmnhr7shHzAedc+MK7r4g2qVquPPfaYEAKNX3/2s58ddthhMk7s + nHPOwcso21zID+RdeU99YDYK7sK1114bx3GhUEBbD9lBi2yK99EHBprAE088AbEoW7Xquu44DtT+ + sWPHzpkz56233ioUCtgrCII5c+YgbqqtrQ1WANM0d9ttN8jZ008//bXXXsPGxx57LOSppmmf+9zn + brrppjiOb775ZilVx44da5pmKpXaY4898M0xxxyDPJbGm97Y8B7OHICzY8DZbPazn/0sHpgoihYu + XIgObI1d4N58800ZDj1jxoyjjjpqUxcHbV6WLVtmWRauzNe//vVvfetbkyZNwu5tbW3Lli2LG+Q4 + IaR5+WD1gUkmky0tLXEcCyHq9TpydjOZzLRp0y666KIrr7xy+fLlU6dOnTx5ck9PD5b+9Xr9+uuv + h+Lh+361Wj3ttNMef/zxX/3qV0KI5cuX33zzzShsMmnSpGuvvTaZTCqK8q9//evvf/87jD1z5sxB + 4xchRKlUmjx58kMPPbRq1aqBgYEgCB588MEVK1YIIfr6+hDNgtrNmqY5jtPZ2SlrFudyuUwmk0gk + pkyZ8vWvf/3nP//5hRdeqOt6qVTSdT2bzaIyGKo5oyrA5Zdffthhhwkhent7ly5d+uijjyJhZlPX + JwzDN954QwihKIrUtZisTLY/MLNomiYNrrAQZ7PZm2666Uc/+tHSpUsrlUpbW9uiRYsuuugix3Hi + OMbLiKljmw5/hyOdTsNM3tLSgrU1a8ENO5AdQggUfxNCBEGARz0Igra2tsMOO+z888+/4YYbLrjg + ggkTJrS0tNRqtXK5rGnaqaeeesQRRyCIulgsfvrTn/7b3/526623QvDNnTu3u7sb/XzmzZuHzqSm + ad5///0PPvhgtVr94he/+NWvfhVp8WvXrvU876qrrrrnnnu+8IUvCCH+/Oc/o/INThfHMaID8PJW + q9VEImFZlm3bqqpC7Nq2vffee1977bW33347HhhU5lBVNYoixF8IIer1+sSJE6+88kr0anvuuedu + vvnmTV2fZDJZr9d32WWXJUuWTJ482bbtP/zhDwsXLly5cmVLS8shhxyyePFiGCsHBgYa464JITss + SjxkwasFCxZEUXTKKacgxWWIJPVarRYEQTKZROYJQkfwQdO0YrGI8HfTNJEugr6WyEcMguCJJ544 + 4IADEH8Sx/HAwMCqVasmT54sBv05QRAMDAxUq9VKpdLS0jJ69Oj+/v7W1lYUB3v66adHjx49YcIE + MZh789BDD02ZMgVhtUDWJpbpOvCoQEeKoiidTkslRGbgIKZF1oVEI4tcLodftGrVqldffXXy5Mk4 + Nb7c6PWJ47i3t3fEiBEoQoC+18xXJk3KggULFEX51re+JYTAtCDfLxnoLwZTYvBaLV26dN26dUi0 + 6OjomDp1KiLaBwYGTNNsDBt714wyAjZ/fh6CgYEB3IggCBD8w4v/ruBS47LPmzdPVVUkeW4OEAFx + HCNw652CKZ/Py4zNfD6PmjddXV3PP//8zJkzfd9HHeF6vf7cc89NnDixtbVV9ihDz+Unn3xy1KhR + U6dODYIA/R9d13322Wd32mkn9DBQVbWnpwch3GPHjg3DcANh5Ps+urggHVSGkzU+YHEc43WO4xhy + v16vo5F0pVLBsOM47uvrGxgYWL169Z577rkpkSdfecjQdevWPfvss0EQfPjDH+7v7581axaKm0Eu + v7/nnBDywUFmnuNdXrhwYRzHmz+LgmHLwZDrD8yYmqbVajX4lOM4lrVW0Lce0xD8G6lUSlGUWbNm + +b6PaV1RlHw+v9tuu+GAiKlFADEm7nw+HwQBmhUgJWb33XfXNA3XIplMBkHwqU99CrtjMMiYxzeo + oF8ul6GiIDItCIJqtSq7hqXTaViRZUw/ZliUJRCDzvRx48aNGTNG1/UwDKvV6qa0F1wWaFOqqiKf + h+3GyXZJFEUyJhOljRAdOm3atL333rtxSwSPpdPpDZYjtLBuTdLpNJbOmAk5L2056vU64q+EEK7r + InVeVsuURS+w9O/v708mk/jc3d1t2/aBBx4IcdbZ2Tlq1Ch4QuTB0VIGifsHHnhgqVTCN+iVbJrm + xz/+cUQcwPPT1tbW6O1E2RtYH0zThF1PCOE4DnRjCETU47Ztu7Ozc+TIkbBN4H2HnHUcx3XdXC4H + C6OiKO3t7e3t7TIYbKOgrgDSsSqVypgxY0aNGtXb2yt1M1wcx3FQj2eYbwwhpAkZTjNGf38/At8t + y0IF+mQyCZ8JsvZTqRRS6h3HWbNmTTqdhpdGCNHS0uI4TrFYxFQIh4YQorOzEy1+USQAMVeY0xGR + JYSwLCuZTEqroSyC1NfXh4AxfCkFs+M4srwJlkqoXRbHcXt7exzHSLVHwRYYtBqL2fu+jzQYzPi6 + rheLRWT5yziBjVxoVcW+tm1DPKB+yzBef0I+CMjqFOhuhC9rtZos0lqr1aTDFq3HhRDoYAsnAAth + bU0w43mDiMHe8GQYqdVqUksUg74LIUSjvwvtnuUu6JYGR01LSwu8ZFASRo0aBecJbplUgfr7+2Es + gF5hWVa5XHYcxzRNWRNMHh+yGMHbQoienh6UcIDFEOJPDIpIdDIYGBjIZDK2bfu+P2rUKEVRoEvU + ajWMARoLnDCIj8DBMdQhwj0wNjx+GKTneR0dHehYUCqVwjCEnDVNk/MDIUQMrwJjWRYKdmFlj2gx + 2dgB8VqY7+I4Hjdu3Nq1a5GaD7VEVlnFNIeiYaNGjYI0RW0iTKYDAwO6riPADDEqWA/JPi0Ipm9r + a3McJ5/Pi8FGv3KomG27u7uxC4J3k8mk7/ulUgn1WJLJJMqRqaoKrQOyRNM027ZliLAQAm1kfN8f + on+CjEDDZwyG4f5k+0M+1dI7DKMpnJxCiEQikUgkUDbdMIxarSaz5mCB3paj3/FAv2BYVRDWO3Rc + MXkfQHjJtpUI64Joi6JIaiCe58FkJoQol8uI6BODzVVc14VTBbU0IZ6EEKqqBkFgmiaiEvr6+lKp + FG4iJCaaj8Fy19fXh29yuRyEHXrINoZbG4YhtSkcB4IbShTkIJQN2WMAY8Du0tgHjainpwfbbFAs + pxEoTtlsFhcHlZ17enqy2SwsGrZtYwymaTJ3lBAihreRJRSVRhsPbEuYthrDq2TFlcYdse6Ru0vb + LaZIOVGKfy8Gj+yUDXzKjYoB3DVCiMYO05hhOzo6NjiXrB2JbzZoSi3DyeTAZL01RVE2J+5FHpBO + cLLdI18WhGW+s244XsPGd4Gh7VsfOVvK9SXLu29RpByBUGt85hulZ2OZMnyQdwofIE2wS+MtQ6A1 + Dtu4ZeNf8Q0sbjLDE2yQASVtfI3j30B+YffGMUjNSgw2eHlXsHGjSwo7SqEsJSxzRwkhYng9MIQQ + QgghhBCyRaECQwghhBBCCGkaqMAQQgghhBBCmgYqMIQQQgghhJCmgQoMIYQQQgghpGmgAkMIIYQQ + QghpGqjAEEIIIYQQQpoGKjCEEEIIIYSQpoEKDCGEEEIIIaRpoAJDCCGEEEIIaRqowBBCCCGEEEKa + BiowhBBCCCGEkKaBCgwhhBBCCCGkaaACQwghhBBCCGkaqMAQQgghhBBCmgYqMIQQQgghhJCmgQoM + IYQQQgghpGmgAkMIIYQQQghpGqjAEEIIIYQQQpoGKjCEEEIIIYSQpoEKDCGEEEIIIaRpoAJDCCGE + EEIIaRqowBBCCCGEEEKaBiowhBBCCCGEkKaBCgwhhBBCCCGkaaACQwghhBBCCGkaqMAQQgghhBBC + mgYqMIQQQgghhJCmgQoMIYQQQgghpGmgAkMIIYQQQghpGqjAEEIIIYQQQpoGKjCEEEIIIYSQpoEK + DCGEEEIIIaRpoAJDCCGEEEIIaRqowBBCCCGEEEKaBiowhBBCCCGEkKaBCgwhhBBCCCGkaaACQwgh + hBBCCGkaqMAQQgghhBBCmgYljuON/sHzPMMwfvvb36qqWq/XgyBIJpNRFG3l8RFCPoBYllUsFnO5 + XD6ftywrCALHcaIoCoJgWw9thyAMQ8uyFEUxDKNer9frddu2Pc/TdX1bD22HIAgC0zRx2W3b9n0/ + jmPXdTVN29ZDI4SQDzS6rquqWq1WdV13XRcLiUwmM3v27Pd2nE39wTRNIUStVlNVNZvN+r4fhqHn + eZZl/adjJ4Q0OcVi0XVdy7KSyaSqqr7v12o13/cTicS2HtoOQTKZDIJg1apVpmmOHDkynU6rqqoo + Cm1MWwfLskzTNAwjDMPVq1d7njd+/PhkMum67rYeGiGEfKApl8uGYcRxbJqmruuWZbmuWywW3+tx + NqnAxHGsKIoQor29/bDDDkulUv/ReAkh2xHXX3+9ZVmf+cxnbNt2HCeOY1VlPOrWIwzDYrF43333 + mab56U9/Op1O+77v+77jONt6aDsE1WrVMAzDMEql0gMPPOB53v7775/JZOiBIYSQzSGKIkVRqtVq + vV6///7734f1Z5MKDLQX3/eLxaJt20KIer0eRREFJCEkn88nk0loL4qiYLogWw1N0xKJRE9Pj2ma + 8IpjPb2tx7WjIOWgZVk9PT2e5yUSCWovhBCymcDoibm0VCpVKpX3eoRNKjBBEOi6bppmHMeIq4Ya + wxAFQohlWaqqQnsJw1AIEQSBoijMwdg6KIpiWZbv+4qiYN0chqGmaZyftw6qquKCa5oG35dlWXEc + byqnlBBCCAiCQGoWmqY5jqOq6vvIT3mX1YZhGEEQRFFUq9Vg3kNuDCFkRyYIAiQuw/eCldy2HtQO + RBRFqqrC/QI7VuO/ZCsgLzjkLhKQeP0JIWRopB4B62ccx5VK5X3EcWxSgYExD7UCICmhwHie937H + TAjZTnAcBxU+hBBBEGiahpkI/5ItDdRFrJjDMJR+GM7PWwfTNOF7RPYXHF9xHPP6E0LI0EBaaZqG + xQO0jPdRAWioHBjIRfwXnnHP8+iBIYREUeT7Pj7LWSKKIs4PWwdMyChaHYYhfPEo6rKNR7ZjIH2P + Mn5SCKGqKv2QhBAyNL7vw/opFw+Iwn2vxxnK363rehiGsC3hNAxwJ4QIIYIgkPYSTAthGDKJfKuB + Cdm27VqtZlmW9ABs63HtKOBSR1FkWVatVkOCKEtZEELIu4IC9KJBp0gkEu+jiRwDdgkhhBBCCCFN + AxUYQgghhBBCSNNABYYQQgghhBDSNFCBIYQQQgghhDQNVGAIIYQQQgghTQMVGEIIIYQQQkjTQAWG + EEIIIYQQ0jQMmwJTq9XwoV6vy3+LxaIQwvf9er0uC+cP3au7t7cXH2SbPBSH9jyvVCrhG9d15ffv + FTRMiKIojmOMBL2T5enK5bL8EpvJfX3fx+5isH9Z489pbO23Ucrlcq1Wa9ysWq2Khu4N7GVOdgQa + 3+UwDOXrgPeabAka564NZqowDDFRVyoVMTj1kS2E53lxHONf8e/3ZQPiOG78UxiGQ7wg8q5JsSh7 + uL0n6vV647Mh31MxKJggs0qlkhRb1Wq1cTP5/XvtSoRLAfnb2KfV8zz8cLk2eH+inxCynTFsCkwi + kcCEi5ZemHEymYwQol6v27aNJl/oVQz1ZqO0t7fjwwbzoGma6XRaCBGG4X/SVVNRlHw+7/u+oig4 + jmma5XJZ9uBDf1A0VFZVVVGUSqWSz+ejKDIMQ1VVz/Oq1aqmaZ7neZ6nKApmXlVVh27kl0wmE4lE + 42Y4VxiG5XK5Wq3GcRwEAZdxZPsjDMMgCHzfR7d4vMuu62qaJntaWZYVBMHAwMC2Hux2COauSqXi + eR6mIBhfoijSNA0TNe6CYRhcIA479Xo9iqIoinRdVxTFNM0gCDzPw30RQkCsNErGeBD8V9O0IUSe + oijQYXRdh7lQ0zQc+T1h2zYeADwDeE/lAMSgzEqn05CesPFhs2KxODAwAK2pXq/Ltp6u6+LRGuK5 + 6u/vx3lTqVR3d7cU8dVq1TRNHLO9vR3C8X38LkLI9sewTQRYmkg/jGEYlUoFvhfMbvV6vVQq9fX1 + eZ4HJWcIGq2AmDcxswdBoGmaaZpCiDiOh/Z4bBRFUZLJJI7g+z6MjslkUggxMDDguq7jOEII13Xl + bJtMJnO5nKqqURS5rqvrOrbxfd+yLKwGfN93XbdQKGzqvHJCr1arxWIRE7Ft23Ec67qeSqUcx9F1 + /f2ZzQj5gIPl1waLY8MwYNDFOy6E0HU9k8nQCTDs9Pf3x3Espz4xaEvCWhB3AWqMoijvzzZEhsC2 + bVVVVVWVColUY+R/HceBZJQOjUYFRjS8Ju/EMAxFUfr7+4vFoqZpiqJEUTSEoXBoYN2TbhDP8/Av + fogQAppwpVJRVRXSUwiRyWRaWloURanVarZte54HtU1VVVjohniucrmc53l4ODs6OgqFAkSz4zie + 5yUSCbhfLMtav349FRhCiBhGBUZ6J3p6eqIochwHK3vMd0EQWJaVTqfb2toURRnCyeD7PiZKzGUw + 3IrBeVPOgHB9DO3x2Ch9fX2Y38MwtCxL13V4YyqVSktLi2VZUEWgTpRKpVKpFARBFEX5fF5VVWgs + jUMClmVZlpXNZjd1XmyMSTyTyViWJYPZ8C8Mz4ZhpFKp9/qjCPmAI195uC6FELVaTdoLsFDDegvv + 5jYc6nZJKpWCAaVUKuXzedyCIAhgcsJdQJASYnjI8NLX1yf9XRAf0slQLBbhihFCdHV1DQwMwOQH + hUdsXixWtVo1DKO1tTWTycClWSwW39VQ+E4QTKhpmqZpsA+GYWiapu/7kMjVatV1XWjCyWQSqq8Q + Ip/PCyHK5bKqqolEAsNWFAXLgJaWFjxjm0JRFKhnK1euFEIkk8lkMlksFvP5vGma3d3d7e3t0g/z + Xn8UIWS7ZNgsbdVqNZFI5HI5/Nd1Xd/3E4kEYqISiYSiKOVy2bIsRC9s6jiNOgliTvBNqVQyTTMM + Q9hxNU3DtC791JtJW1ubEAICA7FtQoharSbNSIZhlEqlKIoSiQQECSZibNnX1+c4TiKRqFQq2AU/ + XFEUTOWbmqZhXnIcx/f9rq6uN954o16v77TTTjvttBMEFXQzGU4gDaWEbAdYllUoFLLZrHzUscrp + 7OwcNWoUTLa2bWNtZ1nWNh7udodpmrCjY04LguD111/v7u5WVXXy5Mmtra1Yp8K/LWddMlxA7uAV + gBtf07RyuZxKpTKZjO/7YRhqmjZy5EghBD4LIeCxATLmeaM4jgMnCex6qqoOYU0bAnjhpHfFNM3G + t7VUKsmgsmq16jgOzqvrOqQhNoa+gbe4UCioqorDYsuNnhdHrtfrO++8M6I5li5dWq1Wp06d6nn/ + j73zjo+qSv//mXLv3OmZSTLptNAUsFAUkFVRjCiigtgQG+hiW9dV1BXWnwsqou66YqUtCoqAoGJh + FRVBQBARwUaTmoS0SaaXO/fOnfv74/PKec1XJStuAIPP+w9eYeaWM7ec5zxd8fl8qqomk8mmpqaO + HTv+it9FEMTxR6t5YGw229VXX+31et1ud+fOnbt3796vXz+Px1NeXn7PPffMmDHjs88+czgcgiC0 + EGeVDbJ7rVYrpjwE3dpsNkShwI4FL/NhATVDFMVgMHjCCSc4nc78/PySkpK8vLxevXpNmDDh22+/ + 1XUd8zV8L7fffnu7du1uuummdDqdm5trsVgUReHefB4VgNn8UOfFguCjjz6qqKgoLCwcNGjQ0KFD + u3btarPZzj///HA4bDQaU6kUkjtJeyGOM6ZMmdKnTx+fz+fz+XJyckpLS/Pz8wsKCs4666yqqiqb + zQb3i9FoTCaTFCLS6qTTaRhQmpqannvuuT59+nTv3n3IkCEVFRU+n6+0tPTvf/87vGS/zrNNtAwe + 75ycnG+++aZ///6IQ+7WrVteXl779u1POumkc8455/7771+zZk08HjeZTNzGx1NNQAun0DQNxjVN + 0/AGwZ1yWEA6C4Lg8XicTmcoFBozZkxeXp7BYOjcuXOnTp06dux4ww03LF++vKamBrtACr/xxhs+ + n69z58719fU+n497jXJyclwuVyqVgtp2qPNCL5IkqbGxcfLkyV6vt1+/fpdeemlpaWn37t23bNkS + j8ddLlf79u1Zc9gCQRC/c1oz1rmpqQk5fIIgNDU1McbMZnMoFJo7d66qqu3atXvqqacuvfTSli1D + 6XQaCYiapnE56vf78/PzGxsbi4uLDQZDNBr1er2CIPwKQWuz2ZAu7/V6Nron3wAAIABJREFU/X6/ + LMs8ZCIajX733XfTp0/v3bv3/Pnzu3XrFg6Hc3Nzw+FwTU1NdXV1PB6HHgUFIxgMOhwOSZLi8bim + aTAytfC7Zs6c+dhjj9XU1OTn51dUVBiNxq+++ur777/ftWuXy+WCoNI0LZlMtuxtJ4g2h8Vi2bt3 + L2MsPz8/mUzyykINDQ14Abl1OTtvmGgtsOarq6t74oknnnvuOVVVy8vLTznllEwm88knn4TD4YaG + BgT6RqNRk8lEU1DrIkmSLMuapmmaVl9fjyDJpqYmVVUhKysrK9esWfPEE09cf/31jz32GCLBIGi4 + Pt+CByYcDrvdbsZYNBrlkWM8rOCXA+mcSqUQLO1yueD3MJvNNTU1yWQyEoksWLBg3rx5Xbt2feed + d7p16wYpHAqF/H4/QrJZcwk1FIowm83wxrTg2UM0RH19/YgRIzZs2MAYGzZsmCiKb7311sGDBwcM + GPDhhx+ecsop0IV+XX0CgiCOM1ptFkD9ELPZ7Ha7t2zZUldXt2vXrq1bt7733ntnnXWW1+utrKwc + NWrUAw888KNaKz8CEWLZzuvGxsY1a9acfPLJ48aNq6+vZ835JL8uQxH5OZhG4/G4xWK56qqr9uzZ + s3fv3okTJ7rd7nQ6/c0330Cow+9/0UUX3XfffSNGjECGYiqVSiQSFRUV559//muvvcaaA3ZZs/nq + Z9m4ceNDDz1UU1Pzpz/9adu2bfPnz589e/Z3330XDAbvvPNOHBaOnRYyNQmijRIOh00m0/Dhw+fO + nfuf//zngw8++PDDD1euXLl69er27duHQiEeo8KoTOoRAPniixcv/te//qWq6tNPP719+/YlS5a8 + +eab9fX1mzdvvuiii7CqdjqdFMLX6ui6brFY7HZ7Op32+/2CIEyePPmHH37YvXt3dXX1hg0b7rzz + zg4dOjDG5s+f36dPH5Qz5q4GhBm3oMC43e6amprLL7+8T58+y5cvZ4zBk3O444T6gVJprLkOp8Fg + KCws3LRpU1NT0z//+c8ePXpYLJZdu3ZNmDCB2xl79uz58MMP33vvvaWlpaqqQna/9tprAwYMuPzy + y/ft28da7BAAmT5r1qyNGzfa7fbVq1e/9957r7zySmNjY5cuXVKp1Pjx481mM4KrqcgEQRCMZdVq + /Flmzpz54osv8rr1mqa1sPEFF1zAGCsrK8PGKAqMko6LFi0qLi5mjLlcrvfffx/fogIjKsqDeDwe + CoV+euRJkyZZLBaHw8EP6Pf7UeoRG2QX10cELR8ADovPEZbG/2WMCYJwxx134JiJRGLTpk2YuPmH + 2QdEXRdd17lr/umnn8ZXsizzXw2yf5eu68OHDxdFsVu3brqu4zeiXAEfM989lUq1cJEJ4rfAzJkz + Z82ahb8xLbT83D7xxBOMsdGjR2PjH70s8XgcswF/6Yhfwi+fnxVFaWxsxBL5/vvvx/bhcJhPoalU + qqGhQdf1ZDKZPYUSP0v2Y/ziiy/OnDnzv+6CS71u3TpoBfPmzctkMggHwLsTCATGjRsHyXL++efr + zaKnsrJSz3plYrEYtldVFceMxWJ6VgnQ1157DdIHb1MymcSOiOPCyPmO2BcH5w8DXkb4T4YNG2Yw + GHr06IFvGxoagsFghw4dDAaD2+3mx0eZOwwY51VV9YEHHmCMnXDCCTg1rhsOzk+E1B1d11HGxmaz + PfPMM9nD3rBhA9Sbf//73zpNEQRxXMAnBPx31qxZv2QW/RFH3JIBY97IkSOrqqomTpwYiUQeffTR + oUOHQn8wmUyBQGDJkiXLly9H5uK4cePat29fXl6OOGC/379w4cIvvvgCjuMnn3wyJycnGo3ee++9 + jDHoM2vXrl27du22bdsURcnPz7/66quHDBmCs6PqC2/tout6dq48a45YwyCtVmuPHj1QRfTAgQOw + fiWTyY8//njv3r1lZWUXX3yx0Whcs2bNihUrGGN2u33dunVIjT3ttNPOPfdc/CiUXsGci8n6q6++ + evfddz0ez9ixYzOZDHz9GEkmk+GZkbzmPU/iJIjjg7179zocjry8vGAw6PF4eCQJglW4yZ+yL44Q + giC88MILfr/fZrNVVFQIgqDrusvl0jQN0TtGozE/P5/93+KKxJHGarWijkU8HrfZbPfcc8+6deuq + qqpWrFixdu3aHj16mEymsrKyWCy2ffv2BQsWhMNhv9/fuXPnO+64w+v1ejweVKBZt27dkiVL0FVp + 4cKFBw4cMJlMp5122oABAyRJisViCFfeunVrXV2d2Wx+4IEHioqKSkpKGGO6ruO9a0Ho7NmzB9+i + hKbH46murobXFILyhx9+WL16dTKZvP/++1Fj8+GHH/78889NJtPevXunTp2KIPAHHngA9bthKETx + MaPRqGka6o+l0+kuXbqw5nQ4q9Xar1+/c84554MPPli6dOnFF1+MbjDkJCQI4oh7YPi/DQ0Np556 + Kk7a2NiIDWbMmIGqRJIkmUwmzEoWi2XNmjU4HbcqAYfDAd2juroaFhrYFM1ms9VqRQmX/Pz8IUOG + BINBruRx2zDUCd5Qz2QySZJ02223ybJcU1Oj6/ratWtx/GeffRb9qnVdHzt2LGPstNNOCwQCsiw/ + +OCDP72M48ePT6fTP2tdlmX5ueeew3xdVVXFP+Tj0XUdDXPI8Em0FQ7XA/Pkk08yxq677jpd1xVF + wcvr9/sVRcFjz1834pfzy+fnWCw2ZswYURSx5NV1vb6+Xs+arFAThd8OomVaywOj6zqCh/mNmDt3 + LoTF3Xffzd34CGaGzQvfut3uCy64AN9Go9HRo0fn5uYKgoBtkMFy++23Y4O//OUvXFSJogh1pXPn + zl988QUfnt/v/5GgzPbAdOvWDU1ddF2PRCJOp1OSpIsvvhgGOF3XFy1a5HA43G434gsqKyuRwIN/ + UYCHMYYA8p8+Y6qqLlu2DNtUVlYiaEJvnhbGjBmDH8V/72HcKoIgfnu0DQ8MzHuRSCQ/P3/AgAE7 + d+5MpVKoxILqwz6f76qrrho6dGggENi5c+cjjzySSqUmTpwIXcJsNk+fPn316tXLly8XRfHRRx81 + m83pdBqmI/z4s88+e9SoUfn5+cFgcMmSJStXrty4ceP27dtR7IXP+LquGwwGGIAxNqRUIsWwqKho + 586dDzzwQCaT6dOnz5gxY1AtwO12w/JkNpux49ChQx0Ox/333+9wOC677LLBgwen02mYyrJ/OOZ6 + aGX79+/XdZ0xVlhYuGTJkueff/6HH37Iy8vr37//zTff3LdvX7PZrOs6YnzNZjOqBRzpW0MQR41g + MGi327dt2/bYY4+dcMIJffr0KS4u/tmWDuR+PBLY7fZdu3YpinLRRRel0+nJkye/8cYb0Wi0b9++ + 7dq1u/32230+n8PhgDeYLNxHDVRSbmxsxLuQTCZHjx49duxYu92+ZcsW9ASLRCI2m+2MM8645JJL + unXrVltbu3Tp0sWLF69evXrLli0nnXSSxWK5+eabe/fufd9992UymSuuuGLgwIEWi6Vv3744ZmNj + 44knnjh69OiBAwcGg8Fly5a99tpr+/fv/+KLL0466aRUKuVyubKbnP4UWZYtFgui1x5//HHkqj3+ + +OO87Skvh+N0OlVV9fl8Tz755Pvvv//BBx/Y7fapU6e6XK6mpiaeVANxzI8PEyS62SDeIfsrSZIc + DkcqlUomk4Ig/IriBARBHIe0rN/87x4YxPjC5vfMM88wxiRJevnll3VdR5uq3bt3h8NhbJ9MJi+7 + 7DI+MPg0NE274447bDZbSUkJAnazbb379u3jwbLxePybb77B7jNmzOCfIxsSBjDu9OAJhWVlZVdd + dVVFRQVk9qWXXnrgwAE+/lgsNm7cOLPZ3LdvX/yWcDgcCAQQaDF9+vREIoFOZNyqxH8+/wM/qrCw + cNSoUTipx+PBvJ+Xlzd9+nQefAynU8s3hSCOOYfrgXn44Ye5RRbu1rPPPnvr1q18Ax6aTzHuv5zD + mp9hf+nfvz+WvAhkZYyhFUwwGMS8F4vFWj4OobeeBwZgAzgWNE3zer2Msfz8fL7Xrl27GhoaIGLi + 8fjatWshraZNmxaJRLB7fX09VALkigDYzgKBQCAQ4KEH3Io3cuRIvqWiKPzV+6kHhjF21VVXnXvu + uTjvueee+8EHH2RfjZdffhkFuPWsLJ1JkyYxxnJzc7Mvwk/TYPBfVOgRRfGyyy7TdV2WZRzkwIED + drsdutxnn332i24PQRC/bVrFA3PEaxFarVZ0qWOM+Xw+xpgsy5gQVVXNyckpLy93uVww3giCcNFF + F6EESjqdRp81o9HocDigPMD3jd0TiUQmk/H5fJIkQRuxWq29evXCuaqqqvgYDAYDpki+bzgcVlXV + 7XZbLJaqqqply5atXbs2lUpJkjRo0CDUG8hkMgaDwW63YzCyLCPkF6fjdf3R7xLtL3Ez+HlhSI7H + 4yiZX1dXt3z58okTJ9bV1SHKubi4uLGx8amnnvL7/Yyx2tpao9GIbMgjfV8I4mhSUVHx9NNPz5w5 + 85ZbbunQoYMgCKtXrz7zzDNXrVrFV0uMMVVVD7c1LfFLqK6uVhTF4/F8/vnn9fX1ixYtQhzvCy+8 + IIri7t27x4wZk5OTA0cZKlwTRwGDwcBLwsCkpWmaJEno2pxIJCBEunTpAhcNqmiefPLJeE2SyaTT + 6cQRNE0rLi4WRZF3Q45Go+3bt9d1HeEDaE7AGDMajWeeeabH4zl48CA+QWfnlj2fb7311rfffptK + pQRB6NWr18CBAxEMhl+BymOZTCYSifC801QqlZ+fL4qiruuoFo2veExEJgufzzd+/HhFUVauXPnu + u++KoiiK4g8//DBt2jQ0KmCMde/eHXUIjtT9IAii7XA0yhFi6R+Px2OxGPSZ4uLieDwOO1MkEhFF + cceOHQcOHAgEAu+++y6CxBhjhYWFkUgErmfGmCAIaIYVCoXy8/MRL2uz2TKZzP79+8Ph8Ndff11X + VyfLMmZMSZIwRWJehlaAOoywPqLsyciRI++9996vvvpqy5Ytc+bMmTBhwoIFC5599tkzzjgDw2tq + aoLZWJZlk8lkNpuRigO9iDGWyWSMRiNsWmg2jE+wARL6MYapU6feddddjLFAIHDOOefMmzfvqquu + OnDgwNKlS2+88UZckMLCQlrDEccZffr0Oe2001hzJOfixYtvvvnmSCTy5JNP9urVKy8vj1eMRR0L + 6vPQupSWlqZSqXg87nA4Fi1adPbZZ9fW1nq93ltvvbW6unrq1KkrV66sqqrq3Lkza15JE0cHl8uF + 1b8kSQghDoVCiqKccsop6FqWSCTy8vJUVa2trd22bVsoFKqsrGSM8fAttCCLRqMHDx5kzZIOuS6w + DiAgec+ePfv370epz/379ycSCUVRELGJXVq47263e9u2bcuXL6+qqvrnP//59NNPL1iw4MMPP+ze + vTuS8nVdhzKTSCTQPQaf+/1+URQNBgPSeDjcSMfrNTPG7r333s2bN3/55ZcXX3zxgAEDMpnMF198 + IUlSYWFhXV2dyWTyer01NTWwMBIE8TvniAsqVVWxstc07YcffkDJlNLSUoSxRiKR2bNnz5gxY/fu + 3XC8GAwGRVHsdnsgEEBYtizL7dq1Y4wlEglMxPn5+dATZFn+8ssvn3vuucWLFzPGzGYz5kH0OWaM + wWmOv+FIwfIok8nwcmTFxcX9+/fv37+/qqpDhw699tprt2zZ8vDDDy9cuNBut7tcLpRMQcY/Y0zX + 9UAgkMlkJEmCCoQxQ2vCYdGxS9d1o9FotVpLS0thNBo9ejRjLJVKQVf5wx/+gGOuXbv2pptuslgs + iURCkiRawBHHGSaTCZkVKCd42WWX7dy589FHH33//fdDoRBXYLCaSaVS8HYSrYWu61arNRqNlpSU + nH322YyxoqIifDVu3Lh//OMfsix/9NFHPXr0SCQS1MXyqMGr+SNZVNO0pqamRCJhsVh8Pp+iKDab + zWazffLJJ7NmzXr99dcZY8irRAgAfGXw1XTu3BlWNq/Xi3cN8pQxtn///mnTpi1atAhhC9yD4fF4 + eGAnROqhxllWVoZMm0QiMWLEiHPOOSeTyZx66qnJZBJymQdHwFRntVoxeMZYt27dcPBUKoXT8WgI + PkJkAZWXl2/cuPH2229fvnz5hg0bjEbj2Wef/cQTTzz++ONLly4tKyuLx+OkvRAEAVpzlaxpGmwt + giAEg0HelhENW1wu1/z58wVBiMfj3bt3Z4ylUqnJkydPmDBh9+7do0ePnj179qZNmx555BHGGJL7 + GWNGozGTycTjcbvdzpvcNTY2YqqtqqoaOXLk4sWLe/XqNWXKlLfeequqqgrmn3Q6HY1GuSbDGMNe + 6XQahiuoHIgT41Jk6NChN954oyRJK1asqK+vx1wsCIKiKE6nE3Fu+C2iKMqyjMKj4XAY8zKvmYYw + OUzNsiwXFhbiUD6fjwfCMcYURcnLy8vJyYnFYrCWoYmnoiiteF8I4piDLu8Ij8F7MWTIEEVRHA7H + smXLWHNkJiLsyQPQ6iiK0rlzZ5fLhdUtYywQCDDGVFXt1KmToihlZWV+vz8Wi1H6/pGABwIkk8mc + nBwECGC5D1VEkiTo7R9//LHT6URpfpPJFI/HDx48eP7557/55pudOnWaPHny4sWLd+3aBYmDm2Uw + GJxOZ2VlJQK0IpGIxWKBcY0xlkqlrr322pkzZ9pstrvuuuuVV17Zt2/fJZdcwhgTBAEyC6Y3uFAY + Y8FgEIflEeqapiELRRTFHj16zJs3D9u89dZbyNpXFEWSJEEQIEwhE+PxuNls5vXuuI2S9+XksQZw + IjHGjEbjiy+++O233zY0NMiy/P777/ft23fnzp2MsZKSEmhBR+meEQTx26Y1FwoWi0VRFMwvHo8n + lUqhxIrRaEylUkuWLKmtrZUk6Y477lBV1WQy7dixY8aMGYyxOXPm3HDDDbDRbt682WQyxWKxQCDg + dDqNRqPNZjMYDPF4vH379rFYzGw28+JFd9xxh9/vb9eu3fr16xGpBYVH13W73W632xGvEg6HrVYr + rLy8+wp3cSSTSZPJBJ+JzWY79dRT0+m03W7fuHFjeXk56qXouh6LxeA1MpvNyNoXBKGqqkrTtJyc + HE3T/H5/YWEhzgjpghJnkiT16tXLbDZrmrZt27auXbvy9ZnT6bTb7YiIg7sGn1MrBuI4A89/U1OT + 0+mEdyWRSOBtRetulDnCIo+39yZaC4vF0rFjxy1btlRVVWHV6/V6A4GA1+ttbGy02+1VVVV9+vRx + OBw8lo9oRSAEceVhCIO2EA6H3W53JBJJp9Ner7epqelf//pXNBq1WCz9+/c3mUx2u/2aa65BIeP1 + 69dDWxAEAfFmgUAAQlZVVegAaI7JGHO73TD5TZ06dc+ePYyx5cuXd+nSJZlM8gDs6upqURShteJl + xHgQIKCqaiwWU1XVYrGgEA6Oj2LckHQff/zxJZdcYrPZHA6H3lzVAGdnjKXT6by8PL/fj9cZMdg/ + e324Z4YXicac4HQ6P/3002+//dZoNN56660WiyUej5OOTRAEa0UPDHpOWa1W7t22WCxIvmeM7dy5 + 8+6770apxLFjx2I6++677/DtNddcAxOOxWJpbGzE3IppGvMd5ugDBw4gwgo6UiQS2bp1q91ur6io + cDgc0F5Ys3jYt28fzDypVMrtduMrTdNSqVQmk0EujcFggFUYp0ulUgaD4bvvvkNLBLPZDH+I1WpF + JWh0PtY0DSswVVVRrh6zdmFhIU9qhIqCrxhjAwcOxJiXLVsWCoUYY9FoNBgMJpPJ7du3M8YGDRoE + ixRiCVrrphDEbweTyeTz+fDuBAKB9evXY7FVWFgoCIIgCEhcZqTAHwFUVb3rrrssFkt1dfWXX36J + tG+v16soynfffYfcmI4dO6KIAiVJHwmw7C4oKEin04hEUBQFagY8Y4yxP//5z1u2bMnJyZk4ceKA + AQNwm95++23G2ODBgyEaBEFIp9OIYXa73XCMINElHo+XlZV99913mUwG+f1Op7O2trapqQm2OYfD + ge3xSV5enslk4p1heG06VKkRBMHj8aB+MQ88Q9ea2tpaxpjVavV4PHijQ6EQZJwkSaqqYuSqqtbV + 1RkMBjSZafm9xo/i/zWbzU6nE42k0WX1lFNOYYzBLnkE7g9BEG2MVlNgJEkKhUIoOIaaWo2NjVu3 + bl25cuWECRNOPvnkYDBoMpmmT5/es2dPTdNUVUW9FIvFctttt8E1/Oabbz733HPRaLSoqKi2tjYe + j2NO7NevH9SSjz/+mDEGw5LL5YJnZv78+d9//73RaNy9e3dFRUXHjh2tVuuBAweCwWA6nYYKgWx+ + 9PlCexnGGOq4mc3mpqYmuEcmTZo0ffp0xlinTp0qKipUVdU0LRwOYzYXRdFsNmNfRLitW7eurq4O + Rixd19FpCxcEoWL4b3l5+YQJEywWy6RJk6qrq+Fs8Xg8Y8eODQaDXbp0GT58OL+SJpOJjKDEcQZW + PNFotK6ujjG2fv36Z599FgV80YsWixsKnjxCCIJw+umnd+zYMScnZ8yYMY2NjYwxTETjxo1zuVwn + nnjiqaeeiimLioi0OjB1McaSyWQmk+EdmRljsVjso48+mj9/fseOHZcsWWI2mwsLCydMmMAYE0Ux + GAyeeeaZqqrOmzcvGo1CT7jpppuSyaQsy+vWrWOMQVuAFaCurm7VqlUwtDHGUAAA8dKPPfYYY8xq + tU6ZMmXlypWJRGLDhg379+8XRRF2t0AgkEgkYrEYtBooLRDBsizDhhiLxV577bXRo0frup5IJE4/ + /XTUqoFYNJlMHo9HEASXy9XQ0DBw4EDGmNlsfvPNN+HGOdT1gXPG7/fv3LkzHo8zxiDc//3vfz/y + yCN2u/3Pf/5zjx49IPpbOA5BEL8jWq6yfFh9BkaMGIFjwoqDBBjU72KMnXTSSRs2bOCl6NPpNILE + bDab2+2WJAke8C5duuAg/fv3nz9/PjwzX3/9NR9wx44dr7rqqnQ6HQwGp06dysOxysvLYUbCEQRB + GDduXF1dHcaGuDLUYoZvGqoL98MwxmADY4zl5uY+9thjvDfLmDFjBEHo3bu3qqrRaBSX4vrrr0dZ + lZycnEGDBr3yyisIM8O3sizzvhY4yL59+3r37o3L0r9//wcffLBdu3YOh6OsrOz555/XdT279zDf + iyB+mxxuH5jTTjvNaDReeeWVf//7308//XTuFF2xYoWu68lkEiYAvD68tQXRMr98fsbUt379ervd + bjKZBEG48sorr7/+eqREl5eX79mzh2+c3aaD+Fl+RR8YXdczmQyinSGhuFBDUiXE0OTJkxVF4aJE + 07THH3+cMYYAZp/PxwsrM8Y8Hs+4ceMOHjyo63p1dfX48eNxQEmSzjrrrHfeeUfXdRT2zMvLc7vd + iL6WJOnUU09ljJnN5vPPP3/16tW6rvNubPy8mqbF4/HLL78cCi06PuP48LqMGjUKXcsSicSrr76K + gLdwOIwK3bqub926NScnB7v06NFjxIgRLVwZXdenTZtmt9s7dep0ww03XHbZZZCYjLGHHnoIywbE + 2lGfIoJo67RKH5hWU2CCweCll16KCQ4uDoTVDhw4cPz48a+++moikcCIMVGm0+lUKrVhwwboANjr + zjvvDAQCkydPxmQ9fvx43tXx1VdfLSgosFgsaMyCgwSDwXHjxrHmIoyDBg1asGBBbW0tdKGSkpIP + PvggGo3yhph6lkYRDAa5DQx1XRhjvXr1uu222z766CP8WLR2GTNmDPru8Sk+lUqpqgqFzW63C4Jw + 11134Ssu2Pi14o26GhoahgwZwhgrKiqCAMjPz3/zzTfxG0OhkK7rCEI73LtIEEeZw1Vgevfunb1i + s9lsw4cPX7NmDb7l++LhR0c/4r/yy+dnROvpuv7NN98UFBRYrVZMXIyxvn377tu3j3fdxWZEyxyu + AsN18k8++YQ3kscfRUVFZWVlV1555aRJk6BG4uDBYFBvfjXuuecervOcfPLJW7du3bNnT3l5OY7z + 7bffYuNYLHb99dcjnyQ/P3/SpEkw1T333HO86qbdbp8zZ46qqsOGDYOg/Oc//5k9wuwHoL6+/qqr + rmKMiaIIg11ubm7nzp3POuusjz76iL+2mqbNmzePMWYwGPATIPLC4fBLL73Uvn17nBr+vUOhKMpj + jz2GCDduCe3QocNLL72EDSAiCYI4DmgVBcagtxhOOmvWrEwmc8sttyAjpeXyvqFQSJIkXgUY/8J5 + zRhDwmgikTCbzfiENacw7t69e9++feeddx4+TKVSgUCgtra2oKCgpKQEzekRwbV69Wqn09mzZ09J + knBAxtjBgweDwWBRUZHL5cIUH4lE9u3bV1RU5PP5eAHHTCZjtVpRBiCZTHo8nqamJkzKSOAJhUI5 + OTnoPJP9uyKRCJppMsawwML4FUXZtWtXMBgsKCho3749Cr+4XC5ecIZfLlVVubspFoutWrWqqanp + ggsusFqtOBfuIvIjUU+zhZtCEMecWbNmGQyGm2++mTU/5/xN/1ni8fjmzZsrKyslSWrfvn1hYaHd + bvd6vXj1+GYt13IlfsRhzc+cTCbT0NDwxRdfaJrWu3fv9u3bp9Npo9GIKvOMMZ5uThwKXGpc9hkz + ZhiNxj/+8Y8t7xKNRtEGgBeqxicQDZBcEIiJRALxYNgRDplMJrNjx46uXbv6fD4khTY0NFRXV3fs + 2JG3IEulUna7fdeuXUajcc+ePeeccw6CytBRbfv27QUFBT6fz2azRSIRq9X6/fffFxcX+3w+nFdR + FN6VBUXDMAbEkhmNxng8DoGFuqCsuWYA1zogvILBoMFg4L6XhoYGv98fiUTKyspKS0t/9uKgZafB + YEgmk5WVlbt37xYEoWvXrh06dEBqXDAYhPvopwKaIIg2B68DDJk1e/ZsXdf/6yz6I1ptrYBiXIyx + VCqF7Pl4PI4yYoyxhoYGn8/3oxkck6Ysy8XFxZ07d0aDKqTXFxVEz/JSAAAgAElEQVQVFRUVqaqK + La1Wq8lkCgQCgwcPxkyn6zpf/ZSUlHi9XmRGYgp2uVy9evXSNA2+F3SMgWBAOxeMCtpLMBj0eDyJ + RCInJwdKEabITCaD0pAulwtxwHzWxnnNZnPPnj3x85G14na7oRfiQ97Owmg0FhQUMMYURVFVdfjw + 4dnNFpLJJERFNBpFHerWuikE8RvBZDKdeeaZ+BsZZbxfBGMMpdItFosoii0rQsSvg5tFMM06nc6L + L74YX2G6w7+xWEySJNJejgQ8s9Fms2mahtL8mUwGggz6J14HiAZ+y0RR9Hq9mqYNGjQIFWgcDkcs + FvP5fDk5OchgMTeTyWS6du3KGENPUpbVD7pnz56QX7Isoz10z549kQKam5vLpRuGipFA0vF6x2hR + IEmS3W6PRCKQpNBe0MgFzaPRPC0UCjmdzlQq5fP5XC4XvjrUxbHZbHpzAc+OHTv26tUL40R+qcPh + wDVB8c8jc38IgmhjtJoCw8sjcuEHXSU75R2fsOYJGqky3NuAaOxs2cmtUDg4/C3ZTda47Zb/wZc+ + UKL4AHAuvm/2CgnmK3yFU8DAg7rM2T+Kz+9cLeEH4X/z7sjZo+IXRxRFnPpnfwWuD60eiOOPbKfi + Tx2MRqORTw6kvRwJfjTN8qmMNU93+Dc7gIdoXSCDgMlkyhYi/O/sh/9HrwmECMresOY7he2z1/Q/ + 64LDzeU3HUeG/Y7/kf1I8INgYD8r1H7kBuG9DXgvSxg0IelwxpZtc/xb/hBiL/wXx6HJgSAIDrV7 + JwiCIAiCIAiizUAKDEEQBEEQBEEQbQZSYAiCIAiCIAiCaDOQAkMQBEEQBEEQRJuBFBiCIAiCIAiC + INoMpMAQBEEQBEEQBNFmIAWGIAiCIAiCIIg2AykwBEEQBEEQBEG0GUiBIQiCIAiCIAiizUAKDEEQ + BEEQBEEQbQZSYAiCIAiCIAiCaDOQAkMQBEEQBEEQRJuBFBiCIAiCIAiCINoMpMAQBEEQBEEQBNFm + IAWGIAiCIAiCIIg2AykwBEEQBEEQBEG0GUiBIQiCIAiCIAiizUAKDEEQBEEQBEEQbQZSYAiCIAiC + IAiCaDOQAkMQBEEQBEEQRJuBFBiCIAiCIAiCINoMpMAQBEEQBEEQBNFmIAWGIAiCIAiCIIg2Aykw + BEEQBEEQBEG0GUiBIQiCIAiCIAiizUAKDEEQBEEQBEEQbQZSYAiCIAiCIAiCaDOQAkMQBEEQBEEQ + RJuBFBiCIAiCIAiCINoMpMAQBEEQBEEQBNFmIAWGIAiCIAiCIIg2AykwBEEQBEEQBEG0GUiBIQiC + IAiCIAiizUAKDEEQBEEQBEEQbQZSYAiCIAiCIAiCaDOQAkMQBEEQBEEQRJuBFBiCIAiCIAiCINoM + pMAQBEEQBEEQBNFmIAWGIAiCIAiCIIg2wyEVGEVRGGOyLGuaxhgTBCEejxuNpPAQBME0TbNYLJgc + DAZDJpMxmUy6rh/rcf2OkGU5k8lYrdZEIiEIAmOMrv9RA5daEIREImG1WjOZjCzLx3pQBEEQbQBd + 100mUyaTMRgM7P8uJw6LQyokoihmMhlN0yRJSqfTjDG73a6q6v8yaIIgjg8sFks6nY5EIli3GY1G + k8mEyYg4CsiyLAiCKIpGo9FqteITMjAdNYxGI558q9VqNBpFURQEgXQYgiCI/4rBYDCZTBBYsixH + IpF0Om2xWA77OC0Y7dLp9Ny5c2OxmM/nCwQCLpcrEAg4HI7/aeAEQbR9BEGorKzs0aOH3+9XVRX2 + jnQ6LUnSsR7a74JMJiOK4sGDB71eryRJyWTS6/X6/X4oM8SRJplM5ufnBwIBq9Uqy3IgECgpKVEU + hXRIgiCIlpFl2Ww2G41GRVEEQcjPz//+++/btWt34403HtZxzC18ZzQaJUlSFEXX9XQ6bTKZ7HZ7 + JpP530ZOEESbB+Z/YDAYLBYLlm7JZPJYD+13AQz/Xq/X6/UmEgl8YjabaX4+OpjNZq4rer1expgg + CCaTiZ5/giCIlnG73YwxSCu+lkAg9GHRkgLDGIvFYm63+7LLLhNF0Ww2q6r6K85BEMRxxpw5cwoK + CgYPHmyxWOAL1jQNkazHemi/C5B6MXfu3EQiMXr0aFEUE4mE0+k81uP6HRGNRm02m6Ior732miRJ + Y8aMSSaTNpvtWI+LIAjiN00qlTKZTEiD0TQtlUo1Njb+ihDcQyowuq4bjUaEhfBJWRAESoMhCEKW + ZafTmb1iNhgMsHEcw1H9fsCcDHu/KIomkwnBvXT9jw6CIDgcDoPBIIoi7oLBYLDZbHT9CYIgWsZs + NrPmTBiTyQQRhlCCwzvOob5AXDviQ5LJJKoM/TovD0EQxxmSJBmNRrhkNU1DEj9jDP8SRwFZlr1e + L6qQYTGtKIooisd6XL8LcKl1XU8kEiUlJclkUpZlSZJIPhIEQfwSdF2HZqGqKjJWDvcIh1RgkJUb + i8VycnKgHjHGZFkmAUkQhKIoBoMBCgxXWnRdp0q+Rwdd1y0Wi9/vN5vN8INhSU05MEcHURRxwZ1O + Z01NDUroaJpGhfgIgiBaxtAMFg+qqiqKgt4th8V/SeJ3OByKokBD4lU7f/2oCYI4LkC+OAKZMpkM + yonAYXush/Y7Ah1IWLPDHDfiWA/qdwGqwHEFXhAELowJgiCIluEWN6PRaLPZMpkM4soOC5J2BEEQ + BEEQBEG0GUiBIQiCIAiCIAiizUAKDEEQBEEQBEEQbQZSYAiCIAiCIAiCaDOQAkMQBEEQBEEQRJuB + FBiCIAiCIAiCINoMpMAQBEEQBEEQBNFmIAWGIAjiOEdV1Ra+zWQymqZRE1Lit0b2M6lpmqZp/L+Z + TAbf6rqeSCRkWT4G4yMI4thx2I1jCIIgiLaFIAj4Q1XVdDrNGDObzfxDtL/UdR2LQl3Xf0VPMYJo + dbIb4xoMhkwmk06nDQaD0WhEJ298jo66BEH8riApRRAEcZyTyWSw4DObzSaTiS/+NE3DWpA1LxC5 + YZsgjjlQp/G44kHVNC2dTouiiIcW3x7rYRIEcQygEDKCIIjjHEVREH7DF4Ks2eWSHYpjNBoFQRBF + 8RgPlyCa0XU9nU7zp9dsNnPthTHGv2KMpVKpYzZKgiCOOuSBIQiCOM6BTsIVFYTiZDIZHnuj67qm + aQaDwWQyHcuBEkQWeCCNRiPUbO4q5BvAnYi/eUgkQRC/B0iBIQiCOM5Blgsy9U0mk9ls/lGWC48o + Y4yRDkP8poAao2laJpOBPoPQMqPRyNO34Fo81iMlCOLoQS88QRDE7wKj0Wg2m6GrpNPpZDIZi8WQ + 088YM5vNtAQkflNEo1H+fEJvyWQyjDEkw8CjmMlkmpqaQqHQsRwoQRBHHfLAEARBHOek02lurlYU + pbGxsaamJhAIdO7c2eVyeTweeF2o+Bjxm6Kurs7r9ebm5rJmP0y2exAOmXg83tDQgMfb5XIdu8ES + BHFUaU17280335yXl+fz+crKykpKSvLz89u1a9etW7fLL7/8iSee2LNnD6/UHovF8C+sKYlEAul3 + qVQqEokwxnRdTyaTiqIwxqLRKPZC3HYikWDNoQ4/StrjxXP454qi8JMi5hv9EJDSWlhY2L59+/z8 + /OLi4pKSks6dO0+ePHnVqlWBQADb45jjx48vKSk566yz+FkSiQTGpmkaypLisNxW9FNWrVpVXl6e + k5NTUFBQVFRUUFDgcrlKS0sLCwsXL16MbVRVpfo/RJsmu1EDSCaTBw8e7Nixo8fjKS0t9fl8+fn5 + kiT179/f5XLl5OTk5eVdeumlLKvCb8tNS4hfAeJt0un03r17J06cWFJS0q9fv/PPP7+8vLysrOzp + p59OpVLhcJhRJvQRA0/1V199VVhYWFBQ0L17d4/Hk5+fX15e3q5du/79+993332LFi1ijOm6HgwG + sVcqlcKO/L6EQqF0Oo03pa6uLltkQNjFYrF4PM53h6jivgvGWDqd5r6LH72wSDXJ/vCKK65wuVzt + 2rUrKSnx+Xzt2rUbO3bsggULcAQcPJFIvPHGG/n5+SUlJbIsczGNAWQyGQwslUrpWfzo+kD0p1Kp + jRs3PvPMM2effbbb7e7atWtTUxNKTbDmSEggCIIsyw888IDL5erVq9cJJ5yQl5d3zTXXyLLMf2ky + meR/EwRxnNFq9rZAIBAMBqPRqKIoOTk58OeKoqiq6oEDB5YuXTpt2rT58+dfdNFF6XTa4XAwxhwO + h6IoRqORJ5JaLBaLxcIYS6VSVqsVU4/T6ZRlWZKkeDzudDpNJlM0GnU6nfF4XBAEVVV56p7BYEgm + k0ajEQdhWUERPGQWnyOlNRKJJJNJ/BcT8VNPPTV58uQRI0ZMnDixT58+iUTCZrPFYrGampq8vLxQ + KOR2u3nV+VQqZbFYTCYT/mAt2i8bGxtDoVA4HHY4HLFYzGKxCILQ1NRkNBoDgUA6nUZbBk3Tkskk + vm2tW0MQRw3+ivGMW5PJJIri/v37GWOhUMhmsyUSCa/Xu3HjRsaYxWJxOBzbt29njCmKYrfbNU2j + h7/VQRNASZLOO++8vXv35ubmejyeE0888cMPP0ylUhMmTHA4HNdccw1sMXz+JFoR/lTX19czxvx+ + P96RxsZGURSrqqqqqqqefvrpuXPnLlq0yOv1QvrgXjQ1NeXm5kajUYfDkZOTg+M0NTUVFhYyxiAy + eJVhiFdoLNgdpjFRFDVNUxTFarUyxiB0uCKRTCatVive32w9QVVVRVGqq6t1XZckye/3v/TSSy+/ + /PLdd9/9xhtvDBo0CNtHIpHGxkZBEILBYFFRkdlszmQy4XDY4/EwxiRJ+tnnKtvm6HK58Cg+99xz + jDGLxdKCLg1b57333jtv3jyHw9G7d29d19euXbtw4cL6+volS5ak02mv12u1WvG7/rdbRxDEb5FW + U2C8Xm8sFlMUpaCg4KOPPiosLEwmk36/f/PmzWvXrn311VdVVR0+fPikSZMeeeSRUCiUTCaLiopE + UUwmk1j3K4oCfSOVSuET7jBZuHDh9OnTXS7XypUrBUHAPCjLMpY7jDFEcufk5GDCYozpuq6qKq8H + qigKXM/ZOX+yLLvd7quvvvqvf/1rIBBYvnz5s88+G4lE3nvvvf79+5988slQVC6++OLOnTvDf4Jz + qao6bNgwTdP+3//7f+eeey7OAnlzqOuTn58fCATsdvuKFSsMBkMikVBV1Wg0Kopy+umnm81mrg5Z + rVZKoiXaKLwiULYCI0nSSy+9ZLVaa2trYREoKCjw+/0Oh+Pmm29OpVJnnnkmllOMMb7AIloRSZIY + YxMmTIjH4xaLZd26dd27d2eM1dXVDR8+/Jtvvrnjjjv++Mc/GgwGh8MBC9GxHvJxBWSZ2WyWZdlk + MuXn50+aNGnYsGGRSKSgoKChoWHLli3jxo3TNG3lypUnnXTSf/7zH9wgvEd2u50xJkkSFNFEIuHx + eKCohEKhnJwcTdMaGxvHjh27f//+++67b8yYMbquK4oSj8cdDocoihB8kC+yLFssFggdqCUYGx8t + r7INMaooisfj2b9///bt26uqqqZNm/bll182NTU9+eSTAwYMwAt+2mmnTZs2TVXVvLw8TdMgnd97 + 770XXnjBYDCsXr1aFMVwOOx2u/lZsl0xGF4ikRAE4eyzzx48eLDf73/hhRcO5T+xWCwvv/zyvHnz + JEl67733BgwYIIrili1b+vXrt3Llyscff3zatGnxeNxut1ut1mwrJ0EQxw96i8ycOfPFF1/EVAjP + cgsbDx06lDHm8/n4J3BV67o+Z86c3NxczMKLFi3ChzgmtoGJKPuPYDCIDQKBwN///neHw1FQUMCP + rChKNBrVdT2ZTPIPZVnOPgJ2x7DhyMbpFEXJDtYaN24cXN6yLH/99dcdOnRgjF111VXYN5FIYISY + 6/kvQi4sZlicSFXVFq7PBx98YDAYfD4fos7wYSwW40ONRCL4m3dmIIjfLDNnzpw1axb+xmOfHR+C + 0BT+X0RsZu+OJ3/27NmMMZvN9tVXX/Ed9ax+8ETL/PL5GXMOQvWGDx+OvGd8NWvWLMaY0+lErM5R + GXibB5cal/3FF1+cOXPmL9krk8nA8SgIwuzZs/nnTU1NqqpWV1dfeOGFkEoXXXQRvgqFQsFgUNf1 + eDyOTxKJhK7r+JCTTqej0ShMe6+//jo/XfY2XCBGIhH4f0KhEH5IOBzmR84ebTqdvuCCCxhjJ510 + UkNDA4Yhy7LT6czPz8/Ly+NvPd7xxsZGvflha2pqmjhxosFg6Nq1KxfTPxoSJgpN07j4CwQCgUBA + 1/W3334bP2fnzp3YJnt3VVXLy8sZY3/7298wBsR1T5kyJTc312QyybKsaRoWCT8KXSMI4piDt5LL + rFmzZv3CWTSbVvPAxGIxo9Fot9t1XVcUJRaLWa1WWFLr6urGjRunKMqf//xnxtjSpUsvvvhiq9WK + +XTXrl07d+788MMPQ6FQNBodOXLkhRdeWFxcDHdHMBh85513Pv7441gsFovFpk6dKsty+/btr732 + WkSgSZK0fPnyr7766uuvv47FYgMGDBgyZMgZZ5zBslz2BoOBu2JQNj6RSDgcDqT9iaIIu5SmaT17 + 9kSsC/YNBAIej+ejjz768ssvCwoKRo0a5Xa7165d+9ZbbyEE+aOPPkqn0/F4vG/fvkOGDGnh+kC5 + QqlHaFOiKNrt9mg0arfbBUHAGWVZNhgMFMJBtGn0/9seWxCEdDodi8UEQZAkCcm4+/bte/DBBwVB + uPXWW0899VRsmUgk7HZ7KpWCx4BoLeLxuNvtNhqNHo9n06ZNmUzG6/WyrETE8vJyJEA3Njbm5eUd + 4+EedyBY2mQyuVwuURT1ZvNZTU1NcXExvB8lJSXLly8/+eSTv/vuu/fee+/DDz+sqKiAy0JV1S++ + +GLdunXbt2/fs2fPkCFDKioq4LeMRCJOp3PFihX79u1DzNXixYu/+eYbm802cODA008/XZKkcDi8 + f//+zz777NNPP0Vc2d13322323FwNLZnjCFsG01XeCwZItOqqqry8/MRZRCNRnv37v35559LkoQd + M5nM119//emnn2qadvvtt8NS+fzzz2/evBki/h//+IfH4wkGg/fddx+EIKIMDAYDJgqr1QofLELO + GGPRaLSFELJFixbt2bPHaDRec801eIB1XRcE4ZZbbpk8ebKmaS+++OJdd90FayA1ZiWI45OW9ZvD + 8sBccskljLGioiL8F8YSWFZCoZCu62VlZQUFBYyxPXv2YJslS5bwkSD+ShAEg8GwZcsWFEZsbGzE + t5jmeIzWt99+i/GccMIJ+ESSJMyJkiQNGTKE2xehOXBkWeYOEEEQjEbj+PHjMdR4PL5u3TpMoHPm + zOFGozFjxjDGKioqYJF68MEHGWPQzex2O1Zat912m6Zp3D/zU9atW8cYy8/PxzXUNI27XzhIdmzh + IATxG6EFDww3l+o/cSdmv4y33HILY+zcc89VFCWRSGAzbPAjSzBxKA5rft63b9+rr76KWRQWpUgk + UldX17FjR5fL9cADD2Az2L+JlvkVHhhN04LB4GeffQaBNXfuXHwOr4Wu65lMJh6Pv/POO5CD48eP + T6fTeCN69eqFvaxWK9QDxth5550HD4Ou69dddx1EksFgsFqtXq/XbDaPHz8ewu7uu+/mctZgMMBY + 1qlTJ+751HW9oaEBGVDZ76+u6xdddJHJZOrTp4+u6/Db6LpeXFzMGEOgWiAQSKVSKD/gdrtra2t1 + Xf/hhx9wOp6xA+mMSAdVVX/q61NVNR6PYwNd15ctWwah/7MemNtuuw3XAbeATyyyLHfu3NlgMFxx + xRX4hF8igiB+O/y2PDCKosBegjhdk8nEe05xxeO666579NFHJUlqamoqLS1FGIPX67366qsrKiqs + Vuu6deumTJnCGBs/fjxc7X6/f/bs2e+88867775bWlo6ceJEm80Wj8d79uwJP0YkEhkyZMjVV1/d + qVOn2tra+fPnf/DBB1u2bPn222//8Ic/GI1GXlBFURRRFLlzA4FkrFllYoxVV1c/+OCDwWCwoKDg + kksu4TZgTdNQjcBgMEQikcGDBxcXF996662MsSuuuKJ///6KouBcLeQLQhMLBAJ//etfTz311O7d + u5eWltrtdlVVk8kkQs/he8lkMi2n0xDEbxk9y/2CBQei7XlKcTqdfv/992fMmOF0Om+77TZBEAKB + AKykoiiSxfRIkMlkOnToUFxcvHDhwvfff/+NN9749NNP58yZc9ttt9XU1FxwwQVTp05FBRRuAida + FxT5zcnJQbEWPOSpVCo3N7e+vr6goCCVSgmCMGjQIBR62b17N0+G9Pv9/fr1e+ihhyRJqq2tffPN + N996661169Z9/vnn/fr1c7vdEydObNeu3T/+8Q9N084///xBgwaZTKbhw4ebzWYko3bo0GHMmDGD + Bw9ubGxcvnz5/Pnz9+7du2rVqi5dugiCYDKZvF4vpDbarfBh67qeyWT8fv/BgwdLSkrq6+snTJhQ + W1vLGJs6dSpjDFIeTqRwOIyck7KysunTp3/88cfLly83mUxPPPFEx44dq6qqDFlkn0JRFKTlMMZi + sRiWNQaDQT9EWc6mpiZRFB0OB5QxHj6nadppp522e/fuYDAIl47D4cCC5MjcVYIgjh0t6zeHZeFD + /G6XLl2gWnFnAkwgqqrOnDkTJ0UaDKIXampq9Gabq6ZpV155JSwrWNnrup5Op++44w5JkjweTzwe + h4EwFovh26qqKpwFIcIrVqyAyWf27NnYgIcOw+OBZEp85XK5TCZTWVnZdddd179/f4ztwgsvhEmJ + /+rRo0czxrp27YrjpNPphoYGON+ff/55GMngPGnh4qxevRoakcPhwDQ9aNCgpUuXZm+jKEoymWz5 + IhPEb4EWPDDZyWCwa+Bv/kdDQwOKF40fP15vfsu4bZXSMH45v3x+5k6t2tpapPkhBdxgMIwePZp7 + j1VVjUaj3EdNHIrD9cDg+ieTyc2bN0PQzJ8/H486T/XEMSORCNqetG/fnmdx7Nu3T2/OVNE0bf36 + 9YwxURRnzJiB1yocDicSCUiWZcuW6VmO0HQ6feDAAQwDdzkWiyFKsKKiAmeH2+RHeTW6rmcyGYh1 + o9F42223IYfKZDL17t173759iUSCv/vz5s1DPDYENMTupEmTGGPdunVD0RrUA8h2vyCogSfn4MHD + t//5z39woX7WA3PyySczxkaMGIGjQfjiUlRUVJhMJqfTqet6fX29/t/WLQRBHH1axQPTmn1gYOTg + VVB5nCusqmazGfOy2WxGlXqbzWYwGBB4DXOUpmlnnXUWvuW9VlCnBcVbbDYbDp7JZGDCKSoqMplM + yWQSLos//OEP8Kv88MMPkiQlk0kcWVVV6EUIwEWRx0gkkslkqqqqXnnllc8//xwmnCuuuALB+qzZ + foy/s13hRqMRhe3hZRIEgY/nUPTq1eupp556/vnn77777mHDhhmNxs8++2zUqFFcqcMgoeRQ6Xqi + 7ZLd0F0QBG5pxh/hcHjevHlYxj3++OOsOd+Mvz4tv0fEr8NisWBiXLx48cGDBw0GA7L4JEl6//33 + 33zzTTSBYYzZbDZqZ9nq4CHnXn2bzYZFOWvuimYymXRdZ4w5nU6fz8ea8yHxIUQnSKVSp512GpJI + Q6EQegBgvY53p6GhASUuke1pMpnatWuHfVGiUxCEYcOG4VDwjqIcM5dxOCmWCPCW6Lr+wgsvLFu2 + jDFmNpt79+5dWlrK+56JomgwGCDl8aMg+uPxuMvlamhosFqt8AUJgoD6N9gR6XBwSeHIaAal63os + FsNziLJpGDnOoqrqwYMH8UOgvVgsFl7GED8WKTSomkNTCkEcl7SmoIIIhNbBGEOTFsSNIHxr7969 + jLF0Ol1UVMQYC4VCcKrY7faNGzeiOfTrr79ut9sx8bHmuC/kKWIBZDKZEokEqnzCD5Ofn19TU7Nj + x45IJFJdXQ39BxtgEkdoL2ZJqB/Z3SpGjhz54IMPbtu2bd68eZ9++ukNN9zQr1+/RYsWFRQUoCYB + 35L/Ul3XVVW12WxIhOUVKnlDmJ/i9XoRdRaJRCwWS01NzYknnqiq6p/+9KdevXoNHDiQNYs3FBho + xftCEMccvBfhcDiZTN57771ms/nBBx+kttlHDQTTzpgx46677kJV6xNOOOHOO+/87LPPksnk5Zdf + vmzZsvPOOw9mIGqd0epgbS3LMldXeMSUx+MJBAJocYZV+Pbt2wVBKCkpQQFlXde5vNu8eXNDQ0N9 + fT0W9HV1dYwxURSRzY9eKzk5OYqiGAwGs9lss9mqq6tLS0urq6utVmtlZWVdXd2ePXvWrl3LGMNm + FosFBY5jsdihRE9RUdE777wjy/KKFSsefvjhOXPmLF++fM2aNSgFxiPi0LGNNb/vgiBEIhG3243C + 3C3U5obCw3dnjEmSBAVJlmUeUA3FxuVydezYsbGxMRwOw+3DRx4MBhGBVlZWxoPKKH6MII5LWtnS + lpubi25ZsVgMwb74HLNbQ0ODJEmyLJeXl2ua5vF4VFV99dVXn3jiifr6eugtaODFGIPKAQuuKIq8 + HiIkK2YlKDNDhw5du3Yt6hdBe/F6vel0Gl4RTF4QFYjxhT5jt9tRDSY3N/fkk08+5ZRTrrzyygUL + Ftxzzz2bNm267LLLNm3alEwmf1QNiasxyFTx+/3880wm00KxecywqPCTTCY7duy4cOHCq6++2mQy + ffPNNwMHDuRTsMPh4MYkgjhuQNulsWPHomfrpEmTNE2j5/zo0NDQ4HA4br31VqfT+Ze//OWaa67x + +/2rVq165plnHnrooUQiMW7cuFWrVpWVlSFt4FiP93hDlmVRFBEIzRiLRqOIB8P6nssOs9kcDAYZ + Y6IoQkqaTKa6ujqj0Xj11VevX79elmVkyDDG2rdvD3kEhRNHRtNJu90eiURcLlc0Gi0tLW1qavrb + 3/72yiuvwLePUpzZw0NEdAvVL5HHHwgEzjjjjAsvvPDaa8i33voAACAASURBVK/dvXt3ly5dKisr + y8rKdF2HnM1kMihlFo/HIX8NBgMUqv96fSRJgrTVm8PLUbRNluVUKqWqKtJEsZbo0KHDpk2bduzY + gQkE1wF9M0OhkMvlgk+JIIjjmFYOIQuHw01NTWazGbbVcDiMKKxYLBaPx+fMmYMpsmvXrpjv7r33 + 3scee6y+vn7s2LEvvPDC999/f+edd2Keqq+vR4htPB5Pp9OCIKDwMc4Fb08gEOjQoQNqHE+ZMmXR + okWKorhcrkAggFCx7GzgVCqFeVAURXjwMavCSwNf+ZgxY0aMGMEY27Nnz+bNm3ldF34QCADeoY/P + +NCUWnBVo+gzIo9x2KFDh8qyrCjKp59+quu6w+FAd2FGUTTEcUc0GkXLuTfffNNoND700EPZkSTE + kcbn86HkYzqdvuuuuxhj+fn5giD85S9/Wbhwoa7rTU1NH374YU5OjsPh0A+ROU38aiRJ4j2UGWOC + INjtdoPB4Ha7dV2HJx9CbcGCBW63Ox6PDx48OBqNMsZEUSwqKvrkk09QxgYVMq1W64EDBzp16oS/ + M5kMfDKMMUgZuDucTmcmkxk1atS8efMKCgomTJiwbNmyHTt2jBo1ymg0oqssdozH4y14Ktq1a1dT + U4Nx9unT5+GHH4aysWbNGsYYOjLzjQ0Gg9PpdLvdaFGAaAXGGC+o81NgeeTiD60FULfNZrNZLBY8 + lslkEjkzqD2N7RFBx5oD89asWYNm0LjUiGD/9XeOIIjfKq1m/kT+Bo/ZxWTtdrsxZzkcjoULF0aj + UU3TUD4VXSOnT5/OGFu8ePGwYcPq6urKy8vbt2+PWbuwsBDGFYTVqqoqy7IgCHB2Y16+9dZboaVs + 377dYrFomhYOh+12O5+U0bQYsxu6F2O0vAqKqqqpVApqksvlisfjp59++uzZs2Ox2I4dO3r16pXt + geExwZjoc3NzkQyTSqXsdrvZbEYplUNdIuyFpH+Hw8HTXaDj/bQ2C0EcN+AdfOSRR0wmk6IomAS4 + PYI40siy/OWXXzLG0uk0Jk/Eu2Yymb59+7Zr166ysvK7777DxjQLHQmQrQHBZDKZotFoKBTKycmR + ZRkmLbfb3djY+OKLL0KTqaioQFLK5ZdfbjQafT4fahMj/dJutzudzn379jHGcCurqqoKCwvr6uoQ + U52Tk2MymTRNmz9//urVq0tLS994440uXbpACCJkIBwOc28bLxn6s2zfvr24uBiRYIIgnHLKKbIs + m83mzz///JprrjEajYibwGBsNhty3pqamjRN4z9QlmVeA/pHIMkH3dh4G1xEoSOmA/ZBHn/Rs2fP + dDqdTCa3bNnSs2dPRVGQS9PQ0ICa7CijzBgzGAyaptFUQxDHH632VptMJnhIXC4X6ikripJOp9ET + 97333ps8ebLRaCwoKEC9TkmStm3bhn1PPPFEu91eXl6OEGFk6qNQWENDA2PMbDY7nc54PB6NRt1u + N0qyMsZWrVplt9v/8Ic/WCwWZPm7XK76+no+F5tMJu6E4VHdqDcPzUcURVhr4G232+2rV69mjOm6 + 7vF4YDeCOM9WMJCm3NDQsGfPHkmS4H9nzYFqLVwiFIqBtWndunVw/qAiUywWE0WxhShhgmjTbNq0 + 6csvv9Q0bezYsYgP4UnAxJFGkqSysjLUbY9GowaDAXneZrO5qKiosrLS5XIlEgned4toXRKJBDwe + kEdmszknJycnJyeRSGDVzhjbt2/fSy+9tG3bNlEU77vvPqSXNDY2rlq1ijF27rnnMsYymQxCrRob + GxsaGoqKipAfzxgrLi6ur69njH388cfFxcWokSNJ0sqVK5EH37FjR54oApHKnTZ+v7/l1rGQnk6n + Ex1pt2/fzhiDDMXgoSSIogj9ymKxGAyG3NxcFBpqampijB1Ke2GMpVIp3gAN7W4RLsEYU1WV2/7g + 55Fl+aabbiouLk6n0zNnzkQjBJPJFIvF5s+fjzHcfvvtRqMRM0wLod0EQbRdWk2BMRqN8Xg8lUq5 + XC4UiTebzX6//7XXXps/f/7w4cN37typ6/ojjzzi8XisVmsqlULpMEmSPvjgg6qqKkVRli5dOmXK + lEQiIQjC1q1bDQYDqogUFBSguOeHH37IGNN13WKxxGKxkpKSaDT63nvv7dixw2Kx1NfXoy+vqqqV + lZW7d+9mjFksFl6/FZOvruu8BxaqOtbW1lZWVn777bdTpkx59dVXYewZPHhwZWUlt+JwNQY/DZ28 + Nm3atGfPHtZc3aUFV3VjY+PBgwfh4y4oKGhoaBg1ahSG1KNHD6PRyC1hLbvyCaItEolEVqxYgWTf + m266CTZaWlgcNXRd79u3bzwed7vdI0eORPnHpqamRCJx++23FxYWRiKRO+64A9FHiMwhWhH+qGNJ + bTAYUqkUPC2JRGL9+vXPPPPMjTfeeN999zHG+vfv//jjj+u6Ho1G8/LyfD6f3W5/4403NmzYoOt6 + XV3dTTfdBEVo9erV4XCYRwSgT/Tbb78dDofj8bgoikajMT8/P5lMNjY27tixw2g0RiKROXPmLFq0 + CHk4+/fvZ4zl5+ez5hi2n8Xr9QYCgZqamrq6uoULF44cOdLlcimKct5550HqSZKEP7hVQlVV6GCq + qi5btiwUCrVwfSwWi67ryEqFzFUUxWq1FhYWYjmRTqeRAJNOp6GHDx8+PJ1Ov/322+vXr4dc3r17 + 98SJExVFueSSS0RRhNz/X+4aQRC/aVqusvzL+wxEIpHhw4cjgCrb0ALLjc1m69mz52effYZ67fCu + NDY28lU7WhPY7XZuJTrppJPmzp2LXPmGhgZ+zBNPPPHyyy+PRCKpVOqxxx5jjCEwF5XNHA5H586d + MYx77733hx9+0LPK2/N68+l0GvMsbJAWiyXbxSyK4oIFCyKRCKqN3XjjjUajsX///npzHXpFUXjn + 49zc3LPPPnvJkiXZx/8p9913n9lsPuOMMyZNmnTttddaLBan02k2m5988km+DS6LTq0wiN88LfSB + ORTdu3fHSisajXJrK/G/8MvnZ8wtaGllt9s7dep0ww03XH/99ax5bT1u3DiU5dWz+poTh+Jw+8Cg + w0k6nd60aRNW23C2443g6o3T6XzggQdCoVC2LJg2bRq+lSTJ4XBAdTnppJMYYxaL5YYbbkCXmPr6 + +j/96U9ckI0cOfKll15KJBJffPEFPjEYDLwPQfv27XHqSy655PXXX9f/702HAEIo17Bhw3jUNBfu + cO+MGjUKod2api1evBg/B61XMpmMoih79uzhUQn9+/c/99xzW76e6XT6nnvu+f/svWm8HGWZ/v/U + 1lW999mzwAkhBEggEEBEQYEBQcSgqKDsOoiCg7LoIKgj4Do6OojbQIJENChhUFlkX4MDsggEAoYQ + SEISspy9966u7fm9uP79/NsDOUA8JOnk+r7Ip9Onurq6lvt+7h3foloUoj9bR0fHT37yE/xMlWOG + 5AXTND/zmc8cddRR2P6ggw5Cdhn2DJclIWSbYtuaA6NpWnt7u0oeU8Lu4IMP/uIXv/jrX//69ttv + P/jgg1V3xWq12tHR8T//8z8zZszI5XKvvvqqYRhf+MIXli5d+rWvfc1xnCVLlixatGjlypXxeLyr + q2vBggWILC9duvSuu+5C//vzzjvvnHPOQc1MX1/fzJkzFy1adO+998K0mD9/PrrFK58Q5LIQAsep + 6zr6x3uehwnEu+666xe+8IW77777hBNOUApGGSovvfQSdI9lWT/84Q+POeYY27aHhoYWLVq0ZMkS + MWaoGvm7jz766A9+8IMbb7yxXq/vtttuCxcu/Pd//3e1jQriMwedbGe8+uqrGNV32GGHoXT4TRsT + kXEEMvCqq6763ve+19HRsXLlyuuuu27BggVCiOnTp//sZz/73ve+Z1mWyoYl4wsmj6EoBR43Vd0R + i8V23XXXk08++Re/+MWiRYsuvfTSbDbrOI6UslaraZp29tlnX3jhhY7joL3njBkznn766QULFkya + NKler1933XVopuw4zsUXX3zyySfjG+++++4VK1ZIKQ888MD//M//dBzHtu1qtZrL5ebNm7d48eJP + fvKTQogHHngA/XLUpKByuazSDdauXdvZ2SmlRPQDWdATJkz4yEc+ctttty1YsADuP8/zoPtUBwhN + 0yzLamtr+9WvfjVr1iwhxOOPP/7www9v6vygr1qxWIQ2r1QqSHHEiUINjOu61Wq1u7vb930ECf/3 + f//3lFNOCYLguuuuw3DPj3/84zfccAPOM34OG4ITsr3y/9Xcb4p58+ZFUXTOOeegqTFW+Zva+A2b + /1YqFdXwHiDQrPYzNDTU19fn+/6ECRMQAS+Xy4ODg0EQIJFXCIGOkOVyecOGDf39/TvttNOUKVNQ + zY89rF692rbtGTNmYLeu67744otSyv333x/HkEwmlRBEK2fHcfr7+7u7u1EBqcSuOk4pJabQoPjS + cRw1nAuvi8Xi4OAguhd0dHSM3Xu0UCisXr26UCgMDAwkk8nddtstm80iYYOQlmPevHmapn3uc58T + QkAs4GEZ4yN4YAcGBrq6uiBPttTBbre8dfmM2kJd18vlcj6fHx4ehizdf//9Y7HYzjvvDO8JG7i/ + RXCqcdqvvvpqXdc///nPj7E93I2maZqmuWbNmsmTJzfnCWONrgaUyUanMrVBuVxetWpVEARtbW3t + 7e3xeNyyrLVr12KfkyZNQqEIMsQGBgYMwxgYGNhvv/0wGtKyrFKphOHO06dPx56HhoY2bNjQ1tY2 + ceJEjAnCzDTMJ/B9H5NkwjBE6nUymVQ3CcZV67qOsn50QqvValEUJZPJfD6fSqXUjfTqq69iuJlK + vR77xMpGU2bRaK8cBMHIyEgymVQDYXBKDcMwTXP9+vVPPvlkPB4/8MADNU1DlwKsGYQQ6OvDu5qQ + bQq1uobQu+aaa6SUY0vR1zNuT/XIyAjkBYQdpFUYhs3pZGgwgnh0vV5HW7COjg7EiNGeKIqiVCqF + psNKisXj8UqlkkqlpkyZgjm7arUkpezo6Mhms+ibXK1Wfd/PZrP77bcfPqskIEQzRLDjOPl8HgOP + MRG5eYoLmgQol1UikUgmk0oDJRIJz/PQtSydTmP+DLIv1CTj15PNZmfOnIlKIcdx8F2yMTuZkO0b + 5dbFEkTXddSqjdG1j4wjcK9gmEYqlZo4ceKMGTNG2ZAQv1zqvRPgPseaGyoMWiYej2O9PqqrOKIH + ouEBTKVSs2bNUnqqXC5j0iW62kAbep6HrjBTp05F1zJcShSbpdPpGTNmIIqCBE4oXyEEEq5s227u + eYM2D2hXY9t283MKMwyvYWtB1yPWEQSB+rF4scsuuyBXRHUqe0Oq1arqM6aajqrxOKjSEY0RcJgH + jcOYMGHCscceiwWAcjJi4YEzzFuakO2ScXuw4faAkYB1vJTS932I2lgsZpomrBQ0DoZMhPEA1xFE + s+puDKGsUoRN06zX6+hzgj1A1AZBUK/XoZ7VLMhKpSKlTKVS8L5gJ7quw1GEb4SAg6Rrdvk0WzJR + FGG9JaVsHvmiBDqyipEGMLb7Gei6jsw0tGoZu/ELIdsNeDCVRwMPPrM7tiRYPQshfN8vFArw4kMQ + odpbSdQgCCiaxhc4wprNACmlmjM2atQYdBDyslCwHgQBomfZbLZWq6FCXZVuIqkBlw9T1KSU0Fww + WdERFO/k83noRMx0hu2EJT6+WjUyVk04cfBCCIyHhpmE+wReS2hMRIGwNzj71I9CMEeVtbweqGZN + 05DvoBSlOnv4q2hEq9SupJTNA98KhQIcjthSrRMIIdsf42bAoJIEckoIIaWEFny9IlRZXgiMQMIK + ITBEBYIMrheVxiqlVGIIAhTBayllJpNR9kYqlUKtpAr7KO8LwujYEkORobxVOzIUw2A0+KgmYJ7n + wT2G4JKu61AAxWIRnaPRRxJRe+UoGgV+l2i0PjNNEznNbDhGdgSGhoY6Ojpwt+PZ39pHtGOB0Dde + W5alklffUD5zaMa4k0gkkJSlCuJR5aJSv9TVUedf9blG/Yyu60iZRvkoyjhRf+I4zvDwcDqdhv6F + Uw+qDXrZsiyVfJXL5WDwNEdRNE3zPA8VMs3vI6UQXj+l3AHmOEdRNDAw0N3dDdsDnYuRYKbsDShl + +DE3dX6ULwM+QbyG9xNlqBg8rXIohBClUskwDGzsui68jaqIC7YWLEYKHEK2S8bNgFFeHNd10QMe + YhdjpPACohn+IQgUFcpQIY5mQYOIjfov6gJV6UssFlMOLRXQQOEjiiNVEQv6kCCMAx9wrVZTZg/y + WGBUKAENEa9K9mFoqeASPgUXEXxj2HJT1otoCGgYSM3GGA0YsiOAZBU1LAJvwqrZqse1o4DTjhFY + KLwWQmBFiMpAjEvHYppO63cCz/OUZw2KCZXxzdsovQMFCn2kSuSRg4BHBn8qFouwRdEYAEDL4LUy + DKSUmE+AaJvaGMld0EqxWGzjxo3t7e0wP9RY6uYMBSRHQBejDAaVq0IIZIaLJmsNyrG5cGUMEGjC + 4kE0mhyonEYsJ3C6EL+F/sXxY9mAswpjpjlzjNYLIdsl4+Zpg+RqXvdLKYvFIgQxIh6Yr4KMW/GP + DePVftQGSMhGQBktR7AZrBc4V1ROLarwIfKy2SxGqZimidgOps2IhogUDbEOCwcH01yOghk18Bvl + 83kE9GHk4OOVSkWJeBUxf9OuSlEUlUolKA8O2CI7FKpZCBwZAwMDomHVkC1AtVqFXzyZTGKYBhJf + 8VeEytW4QA4YfSdoDl8UCoVR7XOgv5AfBTecshlUqhgUjRCiVCqhbRcsIvjv1FRK5RaEvar2j0uP + D6LIRCVNoEClVCpNmDAhFouhCVjz4VWrVfSMxi0EWxdBGxxPqVRCgSisDuhHKH3soVarqSN8Q2A5 + I5kcE59jsRjyFLCBUpcYcdOc9IE7Fo5LhJugzYvF4vDwMO9nQrZLxs2AgXBRVXTQgs3xCiSkCiEg + 5uD1Qa4thB1EJDwu8XhcOYocx8lkMmhvIoTATF8VQIdtAymGrDMVPRcN94yu6/gT7BAlRvEtmUwm + DEPl+EEsKJlMQm0g4A4Zikoe0ajIRP970QikxGKxMQR0rVZr9kWhWSQhOwhqxivsfKSw8ynYYkDy + 4OQ3F12o1WHzgpVh4XcC2ZjPKIRob2/HJWiefYyEMYQ1EEBQ5Si1Wg0lTNhJOp2GI8+2bXSFEU0+ + Apgo0KQIhmAKJDZIpVJBEGAmQbMHLR6Pp9NpVU6DP6lpabBM0JFMHS28hDiedDqN2Q4wJ3AMQRDA + uILlM0bNG0pZoPHxdcjdwE7UqcO3Q3rgXOHjaLYGxyW6FEAXZzKZtrY2FvETsl0ybg+2ikqrtiHN + f319qEEV1qt33jDQrDZQL+C1hSg0DEMV8+ErXp91pv7b3NPsDQ9GfWTUX5ulZPMLFZhWxzaGgMaf + lMsTPQ8I2UHAI6YeT7gAmut0yTtNs2xUL5REUuKXq713COgLnHB1/pXuaFZVitfrrFFd+1CQidfq + CiLvYJRGbkZdYqXsRh2PuitGabRR98brtS0OT7W0UVlwo+pnXs8bduNslhXidb991KdUjav4xz5p + 7PNJyPYKizUJIYQQQgghLQMNGEIIIYQQQkjLQAOGEEIIIYQQ0jLQgCGEEEIIIYS0DDRgCCGEEEII + IS0DDRhCCCGEEEJIy0ADhhBCCCGEENIy0IAhhBBCCCGEtAw0YAghhBBCCCEtAw0YQgghhBBCSMtA + A4YQQgghhBDSMtCAIYQQQgghhLQMNGAIIYQQQgghLQMNGEIIIYQQQkjLQAOGEEIIIYQQ0jLQgCGE + EEIIIYS0DDRgCCGEEEIIIS0DDRhCCCGEEEJIy0ADhhBCCCGEENIy0IAhhBBCCCGEtAw0YAghhBBC + CCEtAw0YQgghhBBCSMtAA4YQQgghhBDSMtCAIYQQQgghhLQMNGAIIYQQQgghLQMNGEIIIYQQQkjL + QAOGEEIIIYQQ0jLQgCGEEEIIIYS0DDRgCCGEEEIIIS0DDRhCCCGEEEJIy0ADhhBCCCGEENIyvIkB + EwRBOp2WUlqWJYTQdRo8hBAhpdR1vVKpRFEEsRCLxbb2Qe1YVKtV27Yty4qiCPKZbGHUybdtu1qt + bu3DIYSQ1gALBl3XoyiqVCq6rksp3+5OzE39wfd9wzDwolwum6Zp23YYhniTELIjE4ah4ziO48B6 + gejRNC2Koq19aDsEUkrTNF3XdV1X+ZWgDLbuge0gNJ/zcrnsOI5pmmEYapq2dQ+MEEK2cZS5omma + ruuQn/V6/e3uZ5MGDFx6pmlaluU4Dv5LAU0IEUJ4nhePx+HOiKIoDENd1w3DoHzYMuBsG4bh+z7e + KRQKiUTCNDcp0sk44vt+tVrNZrN4nUwm4VDcDCciIYTsaERRFEWRYRjQZVEUeZ73dneySW2H3Y2M + jGiapqIuXKAQQoQQuVzOtm3kj+FfSAnKhy1DtVpF5lIul8M7WEyTLYNlWeqE41nwfd/3/UQisXUP + jBBCtn2U9xNLCMdxNmPxsEkDBmGd9vb2RCIRBAG8fUEQ0MNHCCkWi5ZllUqldDoNmeD7vuu6yWRy + ax/aDkEikRgeHi4Wi1EUlUqlRCJhGEatVrNte2sf2g5BvV6Px+NhGFar1VqtVq/XS6VSe3s7U/gI + IWRsKpWKSuyCCsvn8yqb4K0zVgRG1/V6vb5x48Y777yzr68PwXEaMISQzs7ONWvWPPTQQxs2bKjX + 68lkMpFIxGKxQqGwtQ9th6C/v3/33XfPZDJBEDz00EPLli3r6urSdX0zdADZDFC+PzAwsOeee6ZS + KdM0H3zwweXLl3d3d2/tQyOEkG2abDbreV61Wq1UKrZtT5w4sVAo9Pb2vt39jFXEb9t2Npvt6ek5 + +uijNU2Lx+NCiCAI/qkDJ4S0PgsWLJg4ceLRRx+t6vg9z/M8z3GcrX1oOwSmaebz+T/96U9RFB11 + 1FEf/vCHEYFhO7Itg+/7iMB4nve73/1OSnncccedcMIJ1I+EEDI2ruvGYjHUDUZR5LpuoVAYzyJ+ + 27aDIBgYGMhms5ZlQS/W63WmKBBCqtVqPB7XdR3tRDRNU/KIbBni8biUEitpvGOaJiPkWwbkIxiG + EY/Hfd+PxWK4Cjz/hBAyNqlUCi8wj0HX9TAMa7Xa293PWHNdTNNMpVK+71uWhcwEuvcIIUIIy7LQ + SVk0FnOb0UKE/DOgrz0KHyGf2eN+i6FmDAghNE0Lw5CuPUIIeYtgwYDFg+M4YRhuhn3BwZSEEEII + IYSQloEGDCGEEEIIIaRloAFDCCGEEEIIaRlowBBCCCGEEEJaBhowhBBCCCGEkJaBBgwhhBBCCCGk + ZaABQwghhBBCCGkZaMAQQgghhBBCWgYaMIQQQgghhJCWgQYMIYQQQgghpGWgAUMIIYQQQghpGWjA + EEIIIYQQQloGGjCEEEIIIYSQloEGDCGEEEIIIaRloAFDCCGEEEIIaRnGzYCp1+t4EQRBFEVCiEql + gv8KIcIwxF8LhYIQIp/P+76Pd9SfhBB4s1Qq4YN4UavVpJTqr77vl8vlTR2G67rqSDa1Af7FgalD + ws5xMGEYep6Hv+JXAPW9OB71RbVarfn9NwXHIBonRwhRLBbVMbz1/RCyrYF7GPezenbCMMQzghse + 938URVLKUqmk7nY87/gseUcZGBgQTfKnWq2KhtjB1cE7SpiLxtWB0PN9X70vmiQhGYPh4WH12vM8 + nGc8I77v4+TjuoBqtRqGYRRFzSoSz5HSOHjc1HUMgkApKbUN3sc1CsMQL+r1upQyDEPs3Pd9XF+l + g0Czqh0aGlLfNTw8rB5bKFD8HHVj4F8cg1KmeF/RrOZwVErR4yP4Lill8z5xGtWdiePHsVFvErJD + MW4GjG3bw8PDruuapum6ruu6kCymaW7YsMEwDPw3kUjUarVcLmdZluu6vu8bhiEaoseyrHq9nk6n + 8cFUKiWEiMfjmqYNDw9blhWGoWVZeN/3fUh/JYh939d13bKsMY7TcRwhhGEYpmmOjIwIITKZTLFY + tCyrv7/fMIxSqWQYhqZpEOXJZBIfzOfzqVSqWq16noe/2rYNCRuPx7FNs6QehZRyzZo1QogwDHVd + F0K89tprpmmuXr1afYuUUkpZr9dHaRFCWgU8fXjKQBRFhmHE4/FqtWqa5tq1a5988snnnntO1/VK + pZJOp2u1Gh4cTdPUHsi4I6WsVCq+7w8ODnZ1dQkhRkZGFi1aJKWMxWJqM7xOJBL5fF7XdU3TBgcH + H3744b6+viAIbNsuFAq4RkrcNX+cbIpEIoEXvu/HYjHHcYIgSCaTWIhrmvbaa691dXWVSiUopkQi + 4XmerutQjjAGoGuUxYJ1v2maQohisYgHDX+Kx+Ou62JXpmnati2EMAzDsiwppW3bmqZJKaF/LctK + p9NBEFiWBWsHBglULWyGjo4O0bBw2tvbNU0LgkBKmclkROORd11X1/Xh4WHf9+v1Ot7UdR23ShiG + WhPNJwfKtFAoQD/CcvM87y9/+YumadCYOCScRtM0cUKiKPJ9v6Ojo1gsrlix4h25coSQbZJxM2DC + MLz44ov32muvSZMm7brrrrvssss+++wzderUgw8++NJLL/3KV74yMDDg+75lWY7jwGdjWRYUYaVS + UQKu+Z0oiqrVKsQ3rJpyuQyBJYTQdT0Wi8EuMgwDojkWi+m63uwdHEVfXx++ulQqTZ06NZlMTpw4 + cf/99582bdpBBx30X//1X4888ojrujClhBBDQ0Of//zne3t7P/GJTwghEolELBarVCo4znq9rjxY + Y58fTdMmT56MQ43FYmvWrJkzZ053d/fdd98NoS8aekhwQUBaHNzAWBuph/Hpp5+eNWtWb2/vYYcd + Nnv2bNu2f/zjHxcKBdu2sTSBGa9WYGQcqdVqWC7n8/lHH330q1/96sSJE7u7u++8804YlvCeqO09 + z8vlck8++eSMGTO6uroOP/zwQw45ZNasWTfccAMu4z3VDgAAIABJREFUbrlcjsVi+XxeNCxPMgYD + AwNYzT/88MOTJ092HKerq2v69Ont7e177rlnb2/vrFmzFi5cePPNN6fT6VQqNTg4KBrGfKVSiaII + OgKRMdgV6gXiJJZlaZpmGEatVkOIw3GctrY2IUQYhtVqVelNbB+GIVIhRiU1wEyFu/C1114799xz + 99lnn2Qy2dPT09PTM3PmzDPOOOPuu+9+5ZVXTNOEKVIul+fPn9/R0bHXXnsNDQ21t7fbtg1zRQhh + GAZuLXgG39CGQU5ELpczDOPvf//7b3/722OPPbazs/Pkk09+/PHH8athujiOE4ZhsVhMJpMjIyMP + PfTQf/zHf7zvfe/L5XLTp09/568kIWRbwRyvHQVBsHHjxlWrVkkpE4kElKLjOK+++upjjz2madpP + f/rTRYsWTZ8+PZfLZbPZfD6vYiyGYcA/VK1W0+l0Pp+Px+PKESiEQGAnCIJsNiuE0HU9CALTNA3D + qFarMFqUMwlmjxLxo+jp6cE2cP0GQVCtVmHVJJPJiy++WAix7777PvTQQxD9HR0druuuXbu2t7c3 + n89nMhkpZTKZlFIODAy0t7erL3Vdt9nxPIpCoRCPxw3DKBQK2Wz2ggsuWL58ea1WW7x48WmnnYYo + OXYFRUVIKwKfMZ5WGOS4q6+++upzzz1X07Tp06cffPDBd911V61W+9a3vvXyyy/PmzfPtm0pJVZC + qVRKLdfIeIHQ9IoVK3bbbTchRDqdLpVKsVhs3bp1WCUr+eN5XiwWi8Vit99++3HHHWcYRi6XmzNn + zg033NDf3/+lL33JcZzjjz8eu23ObiJjgJBXFEWWZY2MjARBEATB4OBgNpt9+eWXcbdfdNFFQoiP + f/zjV1555c477yyE8DyvVqtB69m27fs+wjK+78PaL5VKKiUhHo+PjIzE43G4AHAd4RpwHCeRSChX + QjqdhjMxl8vhIYUBgxtAWR1CiMmTJw8PD69bty6ZTPb392NXCxYsWLBgQSaT+ctf/rLvvvtC8aVS + KQRecMzxeBy6O4qiQqHQ1taG7DUVhlLAtoHqLBaL55577vXXXy+EgAyp1WrKHMIh4digiGfPnr1m + zZq2tjYEmvbYY4937AISQrY5xm2VYFkWslp33333Z555ZmRkZPny5ffdd99NN930yU9+sru7OwzD + 97///VdeeSVslVwup2yMIAigCBFmyeVy2AYxYt/3Fy5ceMQRRxxzzDHKUaScN4lEwjRNXdfVikfX + 9U1ZL6LhD8a/nudJKU877bRXX331qaeeuuiii7q6uhzHWbdu3a9//euXXnoJHzn22GPPP//88847 + Lx6P67puGEa9Xv/Qhz70wQ9+8I477hBCuK5r2zaOeVNks9lYLFatVrPZ7I9+9KObb765Vqt1d3dH + UZRMJnVdVzEclQ9NSMuBvBQhhFpwRFG0bNmyCy+8MIqiCy644IUXXrjuuuv6+vp+8IMfaJr2+9// + /uabb0ZCixDCcRxaL+8EkE6WZU2cOPGss8766le/uuuuu2qaplxFzTJH13XXdc8880whxBFHHLF2 + 7doFCxb4vv/Zz352aGjo7LPPHhkZyWQy+XweaUVjRLwJwCnCjR0EQSqVuuKKK/r7+59++umnn376 + kUceOfvss7PZbDqdfuCBB2bPnr1s2TIhhOM40ImlUqlcLiO/KxaLqZTjdDqtvGaDg4PHHXfc7Nmz + 77rrLsTHsAfLspDg0PxYIXSmyOVyKmCiNiiXy0EQIE87m80+++yzL7300o9+9KODDz7YMIxisfjd + 737X8zw4K/fff/9LLrnk3HPPbWtrU0HUq6+++gMf+MBHPvIRxPdgRL1eu0kpkZShaVpvb+/hhx9+ + ySWXnH766dls1jTNzs5OZFgIIVzX9TwPyRGe57W3tx911FFf//rXjz32WNu2WT5HyI6FHJO5c+de + ddVVUkqs9cMw3NSW5XL5hBNOsG170qRJw8PDQRC4rovAgud5v/3tb3feeWd4XxYtWoS6/FqthlJC + KeXw8PDIyIiUcuPGjVJK1ALm83n89ZJLLhFCdHR04L9Y4je/APiuMQ5SSom0XfycWCxmmuZpp52m + DuPee+/FaTnjjDPwDn6FOkjf96vV6sjICOTpb3/7W9koRB77/IB8Pv/EE08IIdra2hDhOeuss/BB + JMupIyRkW2bu3Lnz5s3Da9z26iEC6kms1Wo//elPOzs7M5lMf3+/lLJQKNTr9SiKDj30UCHE7Nmz + K5WKbDxHeE3eCm9dPkspC4WC53kQs1LKvffeWwjxhS98AR8fJXZ+9atfCSEcx0FQ3fM8LGcnTZok + hPj2t7+NKmq5o8ornGqc9quuumru3Lljbw/dJKVcvHixaZrxeHz+/PlKS0opa7Xa+vXrjzrqKFgs + RxxxBLSklHL16tWjvhT6Ak8clKDruqtXr0Z+wcKFC+ETRNoYjBB8keu6hUIBFw6Uy2W8wAYoSGs+ + 8uOOO04I0dPTIxtP6LJly3AbdHd3SymDIEA9qmwIARz5yMjIxRdfbBhGOp3GwWPn6s5RZ6b5vi2X + y/jvjTfeCF28dOnSZpnQfK/ieOr1OlYIEyZMGPsqEEK2EVQfEfx33rx5bypFX8+4pZAlk0kYJEEQ + YGluGIZK9DrhhBOiKPrMZz6jadpFF1305JNPQjtWq9Xnn3/+1VdfXbx48dKlSz3PO/fcc3feeWco + V2SaXXvttQ888IAQolwuX3HFFWEYuq77la98BbGXfD7/5JNPPvzwwy+//LLnedOmTfvwhz98xBFH + bOo4VRja931N06IoSqVSsVgMmV3vf//7kVyhGijFYrH77rvvmWee6enpOe2000zTfOqpp2666SaE + jG6++eZCoeC67n777XfkkUeisv8Nvxd1NaZpnnXWWUKIj33sY+l0+uqrr4YzKYoiVfeijpCQ1kU1 + 59A07aGHHhocHDzttNOQe4mq36GhoSuuuOLQQw999tlnn3nmmfe97334yNiRTLJ5hGGI057L5SqV + SjKZhDsJUW4UM4jG9fI876KLLkokEieccAKc/aiv0HX92GOP/cMf/nDFFVd8/vOf7+npQfB5q/6y + 1gDVKaJREF+r1ZA1ALEfBIHjOBMnTvzzn/+85557lkqlRx999JFHHjnooIMsy+rt7S2Xy0899dSD + Dz64YsWKdevWHXjggaeeeurs2bOFEL7vm6b5+OOPP/7449BKN9100yuvvOI4zkEHHbT33nvncrlE + IrFo0aIlS5b8/e9/RwuHz372s4lEYq+99komk9VqFbERHIZo3Aa+77uuC1WI97H/PfbYo7e3F+oe + 2WJCiKVLl957772lUukb3/gGNp47d+4zzzwThmGpVLriiitwj33uc5+TUgoh0B9CnZ8gCFAhk0wm + YUppmtbR0RFFUSaTSSQS9XpdRRGFEJ7nVSoVrDTwWjS19ySE7BCMbd+8LQ/fUUcdJYSYNGmSlLJU + KqnwCD7red5+++0nhNA0rb+/H4WG11xzTXd3tzoYVcv+yCOPoFHj2rVrRUNmqbK/ZDK5fv16KWUQ + BNOmTUPCmIqP9/T0HHXUUZs6SLQ3kVKWy2Xs7d/+7d+UE+vll1+GRvnJT34ipaxUKkEQnHLKKUKI + d7/73VLKYrH49a9/XTQ1SdN1PZFIQC6PAZxGJ554ommaOEVf/OIXdV1HBEaBbxwVWSJkW2NTERi4 + V13Xbe6Xus8+++i6/olPfEL+o9/lxRdfxDP7s5/9DBFIxAd2TKf+ZvDW5XOxWGx+MTIyMnXq1Fgs + duKJJ6ptkJ8jpdy4caPjOJqm/fCHP8Sf4Kd3Xff666/HOnLNmjXKea+ixzsObzcCg57IUsr77rsP + luTChQvxp76+PillvV4vl8vVavUPf/gD9N15550nG88LUvWAaZrY4MQTT8RnK5XKueeeqzZIpVLQ + hueff76UMp/Pf/nLX8afUE4DZsyY8dJLL0kpBwcHR/0udbf4vv/Rj37UcZzdd99dhWhc1911112F + EHPmzMH2hUIBhSuxWKxWqxWLxVWrVlmWha9TvjkUuSEOo4IwzREYdUdJKf/whz/gU88++6z8x4gu + 4rf4LM7POeeck8vlUBhDCNn2GZcIzHjmmquaXd/3U6mUaZphGKIzI9qLHXrooWh38+KLL0JB2rZd + rVa/8Y1vXH/99UuWLEEg2Lbtyy67rK2tzXXdZDL5u9/97sADDxRCpNPpq6666sorr/zNb34Db5Zh + GK7rzp49+5e//OWSJUuuu+66o48+uq+vD7U3ojHRpbnuRTl+4vG4lBLiFYfa19f38Y9/PAzDAw88 + 8JOf/KRodKDHB/GNYRged9xxP//5z23bLpfLp5566k9+8pMf/OAH55xzjmoqihhRc00LPn799dff + dtttpmn+/ve/D8MQ1ZaqglYIUS6XE4kEWjyP43UhZIsRBAECm/DgapoWhmFnZ6eu64ODg5VKBZ0D + scCSjWz4/v5+9FnO5XLiLfT0I28X1Xo+nU5HUZTL5dLptOd5WPMp2QgXe19fHxaIvb29QoharZZM + JtH8t7OzE8GcpUuXopmv53kMwrwpSt3E43H4zqC/Pc+DCw/BhyiKPvShD+H+X7JkCUrthRCGYcya + Nevaa6+97777brzxxkMOOUTX9Ztuuumvf/1rpVJJJBInnnji3Llz8V2f+tSnrr322p///OennHKK + 7/vZbHb16tX77bff97///blz595xxx3nnHOOEKK/v/+aa64RQqBthhACcwhE426RUqJfDpptQm/2 + 9fWdccYZqDa58sorsf7IZDJQf57nlUqldDqdSCSuvfbavfbaK5FIOI4zf/7873//+/PmzUsmkyjL + UeEX1SVZSolwH36+UoLxeBwdJtCqx3GcWCwGwaJpGlYUiUQC/Qy30OUkhGwDvOMLZcgXyOsDDjgA + keKVK1fOnj07k8l85CMfmTNnTltbGwyyb37zmytXrly4cOFf/vIXbNnW1vbJT37y+eeff/LJJydN + mnTqqaemUilEk6WUpVLpb3/728SJE4UQUsr29vZ999333nvvbW9vx2QrIQQEn2islkZGRhB31nXd + cRzTNG+77baVK1f29/c/8cQTUspjjjnmv//7v5Hj29zXFeHpbDZ74IEHTpky5Utf+pJhGIcffjhC + KBDHMNhUx30hBHLqdF1fsmTJWWedVa/Xf/CDHxx22GE4tkqlgk5oIyMjXV1dqveA0luEtBZ41vA4 + qHZGhx122IMPPvjwww9LKfv7+1XQ9d5770XTc4xISiQSyLQco5sfGXfgBkObMrif+vv7sR5VvbOE + EKgg32WXXYIgKBaLAwMDo/zrZDOIxWLovAdPHxKoOjs7h4aGqtUqHAHr169fvny5Cp4UCoUZM2bM + nDkTTrRkMjk4OHjYYYdNmTIFadUHHXTQySefjHlrGMj2i1/8Av0AoJ5mz559yy23bNy48amnnhJN + SZtjKJ0VK1ZcfPHFf//73xcvXjw4OLj//vvff//906ZNQ+JZ8wehLru7uz/1qU8tW7bsr3/9a0dH + B7LHm2drEkLIP8mW6PYD7YieIUKIWCyGxFYhRCqVamtrg5hG5OHwww+HEkVURAhhmiacVQMDA1CW + qBvRNC2TyUycOBGmhaZpnZ2ds2fPbm9vHx4eHhgYgINQlZTAgIH1IoTAtM1yubxy5cp77rln8eLF + aH5/8sknT548eWhoSDaaKcFFFIvFZKPZ8ajeO8p6UQ3vlWvZNE0omMsuu6xer19wwQXnn3++aIwb + x09Q2WhBEKhuM1vguhAy7hiGEUURvKfKS/rRj34UoZWjjjqqs7MTM7+vueaayy+/HPkweMCFECqx + k2wZ0PNNiTII6qGhIRiiiBvgauICKScLLiJkr2TXxH8aJF8JIRBhkFI+/fTTMC2QciylRDDENM0Z + M2YIIer1+vPPPy+E6OzsFELkcrlqtVosFlOplG3buVwO8Qrf9ydMmIARK4iodHZ27rfffmoQs2iU + kYwR+bQsa/78+ffddx/ahb3//e/v7Oys1+vIaEDcVW2pfpFyZ6ish3fq9BFCdjze8QgMXKpCCEwh + iMVivu+jyf369esR6KhUKs8999yaNWsMw7jhhhsQFw7DEBIWHcnQEqC5zFfFPRzHWbFixfPPP+84 + zpNPPqmqJJXqBRCmarYxwi+2bc+ZM+fCCy9ctWrVb3/727vuuuvTn/70Bz/4wauvvhr5XQihYPok + smJ83x/VgxJ5/7DB4DzGgkDVHc6bNw/VmWeffTY2QGN+0zSHh4fVKUJz0lE97wlpIfBImqaJdBSY + 9LNmzbr88ssvvPDCp59+Gk6KFStWbNy4ccqUKatXrzZNc+rUqXicM5kM1tD0628Z1CBgJU51Xcfy + F6JJSmnbdr1e1zQtFosNDQ0hFJPJZJTrPQxDZr1uHvV6HeEXpCqgJn54eFjTtEMPPdTzPFgduVyu + VCoFQbB06dINGzYsX768ra2tWq2i7TjqUpR5AKsSLc4Mw0C3hkqlUi6XV69evXjxYsuyXnnllXq9 + rj6CyT9jGDCGYTzxxBMrV67805/+dM899/zyl7+86667brzxxtmzZ5um2dz6HDEZIQRakwkhMHKt + Vqul02n65ggh48U7rnUgYT3PcxzniSeeaGtr6+vr22WXXYQQkyZNqtfr3/nOd2644YaVK1cKIRBD + R84Vhl5BrLe1tXme19bW1mzAwJxYsmTJt7/97UceeQQiMpFIwKGoKh1FIwQECdu8MMI0sVwud9BB + Bx100EEnnXTS7373uzPOOOOee+457rjj4NxCti66J4tGMxY1WQwKAKpdNNyZKl0YZsxzzz136aWX + mqZZLBY//elPIz0sFoutXLnS9/1bbrllzZo1U6ZMmTdvHhI2BBuRkVYGNz8eRtFY3X7uc5875phj + TjzxxGXLlj300EM77bTTJZdccvzxxx9wwAGe5+2555545JVvYiv/hh0GFeWGC0YI4ThOb28v3ECu + 60KcRlEEWYcBxL7vT5kyxXXdVCrFfNd/BjUZxjRNPC+Dg4MY3Dxt2jSlre68886FCxcuWLBANIr4 + Ea5JpVIonkG2M6YwRVGEiwLTyDCM55577rrrrrvyyiuFENA+aqwK9vOmsRF0Httzzz2PPPLIxx57 + 7Pjjj1+/fv1+++23bt26SZMm4XvVDCghBIo80RIaxhXDL4SQ8WVLuM2QXS2lfOKJJzDzHtOgoyj6 + zne+873vfU8IcdFFF+29997Tpk274447fvrTn1arVcMw4IhF/YxoLOshcBESefnll4888shKpbLT + Tjudc845RxxxxC677LLHHnv09PQoj6BsGnIvGmUqGAeGd6IoQtaWbdunnnrq/ffff/3112/cuPGO + O+748Ic/rH6FiraLRpUhDqxer3ueB0mttNGoSXyZTKZYLK5fv379+vXYTOVs+L7/2GOPrV27FvOM + 0YYFeyOk5VCOfNHUGBDV/FOnTl2yZMnGjRsty8JMpwcffBArHhSLqz2wGHeL0VxLLRoraVwOTdNe + e+018Y9l+uvXr4c07u3thd1Sr9dpwGw2lmWhOwJ6GQshbrnlFiFEFEW9vb3IF1i7du3JJ59cKpVm + zpx5+umnT5s27V3vetcHPvCBtWvXBkGAqyOlRN9O0bBwEMY3TXNkZOSyyy679dZbOzs7TzrppCOP + PHK33Xa75JJL7rzzTiklsgTx2I6qZmmmUCg4jpPP57PZ7OGHH37ppZd+5StficVijz/++HHHHQeb + FhMzdV2HPaw+ixtG/KNwIISQf5J33ICBV7VWq/3+979fsWKFEOLcc8+FFLv99tt//OMfCyEWLFhw + wgknoClZf3+/MhVgZiCGbtu2SssWDcfhd77znUKhsN9++910003Tpk1D/D0Mw+adjIpuY6Yv7CIh + RC6Xy2azSCcTQpRKpT322MOyrMHBQVgp6LIiGqEbGD9IIUPivm3bo9Zbo9Iqdtlll6uuumr33Xcv + l8umaZbL5XQ6nc/nEYU/+uijL7roIk3THMepVCpIMUdZ5zt6XQh5J8DDEkURYqFSSlTFKP9rV1eX + YRhDQ0MdHR3z5s0TQhx88MG77767aJSJjxoQQd5RIKM8z2tuh5jJZGbNmrV8+fI77rjjrLPOUn1Q + giC46667oiiaOnVqT08P1s30rP+TYOmPM/zCCy9cd911yEY+88wzgyBIJBIXXHBBuVyeOnXqgw8+ + mEgkksmkruvIWYDDC3YF2pFBQ6k9R1F044033nPPPUKI+++/f5999kGcZMKECRj4I5qM2DEuJQpB + c7lcFEXDw8MnnHDCRRdd5HneU0899eEPfxjGj2manudhGBpMMillKpWKx+OlUgkanKmGhJDx4h2X + JljcL1u27Fvf+pYQYsqUKZdeeimcdoODgwiMHHPMMUIIiLyVK1ci8VcZHuVyWQhRr9dfe+21V199 + tbe3V1UJ33jjjYlEYs8995w2bVqlUkF+V3d398DAQL1eRycALKFwMPAEw4bBO/l8vlgsYm9oULZ0 + 6dJUKlWr1SzLwj6xosLBoHdZZ2cnPGcbNmxAlgV0RiKRQBNJIQS+1/d9wzBOOeUUKSXsExgnruti + 9YbpmTgY1e6Z1gtpUWDAqImuuOdVvorjOOiN3tHRsXr1ajibjz322MmTJ6veyrquMytpiwHRNyqK + ouv6SSed9N3vfve2227L5/Pt7e3IIgvD8Oabb7Ys64Mf/CCuLxIFi8UimrKQtwtOYBRFjuMUi8Ub + brjh0UcfNU3z61//+uTJk7HNokWLbNs+7LDDenp6UFo2MDDQ1dUVhiE0FBSfruvxeHxoaAiOwiiK + cE1vuOGGIAi6u7v33XdfPIOVSmV4eBidsoUQvu8HQRCPx8eoPUMNqpTSsqy2tjY8uUKIdevWqR4P + Knc0mUzCOsJwG0yFQmeCd/yEEkJ2GMazCxlkn+u61WoVZYWvvPLKgw8+eMEFFxx11FGvvfbaxIkT + L7/88q6uLjhjgiBAGeK3v/1tNCz64x//+OMf/9gwjDAMH3vsMcdxYA+gVZcQAp4k1JMIISZMmFCt + Vh944IGlS5cmk8kXXnjh9NNPz+fzUspnn30W5SsQu8jdsm1b9QPAMWMOseu6GzZseO211/71X//1 + 1ltvHRgYaGtrw2hwmFJCiCiKKpWKigLhxy5atOi5556LxWIo1xFCqOwvSHMkIgshCoUCXiSTyXq9 + 7jgOmp6pjrHlchlLt+bgOyGtBZ4CFZNUpviqVatGRkZEozX5Cy+8cOqpp3qel81mMYMPNRjINhmV + gUn+eZQ4Eg0TUTUawXAY+M5jsZjneaZpfvnLX4aQPO+88zA2Xkr52c9+FhOKzzrrLCEEsp4GBgZo + vbwVkDWAOTzxeLxSqaDefd26dYsXL547d+7xxx///e9/Xwix55574qFAqH/ixIm1Wu2OO+544YUX + 0Avnsssug5PuhRdeEEKgPnOnnXZC5dKDDz5YLpfRJi6Koj333DMIglqtNn/+fMdx1qxZM3fu3Jtv + vnnSpEl/+9vf4C6Mx+OFQgE9ndUBNyekId2gv7//lVdeufbaa8866yzTNE3TPOWUU9ABwjRN+Cly + uZwqppo+fTrCqrfeeqtoCvW8Hs/z8FfYSEIItDgT/1jCigmbopFMgW0wP63ZU4lfAYGDfwkh2yFj + z7l865Oefd8/9thjsXyH6ygej0OgQDAdcsghGAQhpcQ43lKphHZktm2bpolPTZkyBQd2yCGHzJ8/ + H83Eli9fjh1aljVz5syTTjrJ8zzXdb///e9DdyaTyWw2O2HCBNu2Ozs74/G4pmknnXTS+vXr1RG6 + rlsqlaSUxWKxUqlIKdU6SXUPAzNnzrz00kvxqXq9/rGPfUwIceSRR/q+j1NRr9e/9KUvYeOpU6ce + eeSRv/nNb8Y+k6BWq/m+H4ZhFEUf/ehHhRCf+tSnoBsQcIe5hbNNyDbL3Llz582bh9cQC7iNN4US + AmecccbHPvYxJKvssccejzzyiJQSA5HUrnbAye6bx1uXzxAsL7/8MsRyIpFQIZdJkyalUqmenh61 + cbVaHR4evuWWW2CITp48+fzzz8fw9ba2NiXr0H1Rvtml3y7BqcZpv+qqq97KDOl6vV6pVJ544glY + 9WrFb5qm0j6ZTOZb3/pWsVjEI4DT+/Wvfx1qLpfLqUYvM2fOhG4988wz+/r6UABz1llnYct0Ov2J + T3xi4cKFUsrbbrtt9uzZQghd19UwGTRiFkJ89KMffeCBB6CS1KGqBzAIgjlz5mBL9dW4K1Kp1NFH + H405Zr7vYyamEKJWq/X19UFXPvvss/hULBabNWvWBz7wgTHOD/o4Y8jmTjvthB6GQoiJEyciivuT + n/xENu5kKWV/f//MmTMdx9l5551t20auRCqVSqfThmEsXrwY5zwIgjGeC0LIVgFaQz2b8+bNeytS + dBTj5ulUNSRCiHXr1kHahmH4wQ9+8Mwzz/z973//pz/96dBDD8XGGMcbj8evv/766dOno0fnunXr + vvvd765YseLyyy/v7u5+9NFHFy1aBMk+ffr0P/3pT+hLtmzZsoULF6K05sILL/zEJz6RTCYrlQqG + fN92220DAwPQK7fffjvGRaN1vZQSmgNzguG2EUIkEokwDNF68r3vfe+55577s5/97Gtf+xp+TiwW + 6+7utiyrXC739/eLRheXb37zm6eeemomk1m1atUDDzyAqD18bG+I6kNgmqau66VSCVbTvvvui09h + PaFGyozXdSFkWwAWy3PPPbdgwYKbb74ZSUqPPvroIYccIoRACo1otMpgBGbckVKiJzIGGlarVQSo + HcdZv359uVzu6+uLogjVg/F4vK2t7QMf+MCNN97Y3d0dBMFPf/rTtWvXtre3f/e73z3jjDN834fn + HgKfPa/flHq9jkB9EARq6peUEmUhO+2000knnfSLX/zi3nvvPf/889PpdDweR8KzEOK00047+eST + d9ppp3w+PzIyMnPmzMcee+yOO+6YMWNGW1tVO4f7AAAgAElEQVTb/Pnzly5d2tbWNjQ09OMf/3jO + nDmZTKZUKv3xj3+E+jvuuOOOP/54NEouFAoTJ0782c9+9thjj733ve9NpVK33nrryy+/jDTpvr4+ + 9MhRF7RarcK96DjOwMAAJhx0dHScdtppV1111T333OM4DpSaSj0olUrd3d1YD0yaNOmXv/wlxtQ8 + //zzDzzwwBinqFqtqlZsuBtxGBs2bEB6AjZD3Y4QAiVYruuuXbsWLaHRjwf5Efgh6AZEeULIdsn/ + 3/fwDZk3b14UReeccw6y0psL4kcBaatK9JAzjf8ODw9jhCWyy/C6UChks1mEgDdu3NjX1zd9+vR0 + Oo1csvXr1/f390+ZMqWrqwul+djPqlWrqtUqFj0bNmyYPHmylLJcLj/22GMzZ87caaedBgYGUFX/ + 7LPP5nK5PfbYQzRa6+DAwjBEI7JEIpHP5zFfD5NYUAoZBAHWUuiGXKvVYGkgP3hkZAS9njVNGxkZ + qVQqpVJJ1/XddtvNMAykJo9xPhHrN01TDWDesGFDT08P8oZF0zRM1jGTbZl58+Zpmva5z31ONPpk + jD28RUq5YsWKVatWDQwM7LHHHjNmzED6KKpisKRD8hIakbOT+FvhrctngNA3ZBRy9pScUV2wFHAS + FQqFF198cdWqVe9617t6e3vhfR8cHMxkMrFYDBMMd8CLhVON03711Vfruv75z39+jO2llJ7nlUol + THTBPGXsREoJ7aliYlBYqFfBO67rjoyMoOF+d3c3PvXqq6/29/cfcMABynuYTqf7+vo0TVuzZo1t + 27NmzRKN2rNarbZ06dJYLDZ9+nTsds2aNZ7nRVG06667mqbZrCV936/VajAn0NO5+SrDdYoRmdh/ + IpGAhYZnGQ7BeDwOsVCv15ctW6brem9v76b0I6J5qJNp7sDu+z6OFhWtuF3VmUHZavN+oFVRlAWJ + RGVKyDYIHk+ls6655hop5dhS9PWMWxE/BnJ5nofoQTwex8xgIUR7e3u5XA7DEP2+IH2y2ezy5ct3 + 33133/c7Ozt33nlnNCCCuMxkMmjlKaU0TRP+wnQ6fcABB1SrVV3X8/k8Us40TYvH4+9617tgFyn5 + uPfeeyeTSeWSwZIoCIJisdje3h6LxcIwxOhiz/NgxnR0dKCPJIoaMUg4kUhA/OF0Q1yikrKtra2t + rU0JUynlGNZLPp9H5Ee9gxz0iRMnNm8Ge5ICl2xnaJq222677bbbbmo2n23bWBIp6wX2j2zK7STj + i2EYXV1dlUoFnayUoSilTCQS69atmzx5chRFcNPAkZ/NZvfee+/3vOc9lUoFNYSVSgU+dSzfsf5m + 04WxkU39KrPZLHKJM5kMHHm48+v1Oko7UCDqOI5qKeY4Tk9PTyqVSiaTQ0NDXV1d1Wp16tSpXV1d + pmnC1ERgraenRwjR2dmpHiLoXCHEAQccIBp6RwjR29vb39/f3d0tGtasEGJwcBAtalC7IhoqGB8Z + GhoKw1CNnfE8L5lM4tLDJ6g6OmADODFjsdjs2bPHjoQ022CJRALmNBp+CCFQlSqlzOfzbW1t+EZY + g6IhOtatW4dkszAMkUeHX4qPjO/VJIRsC4zbQkFNNFMB3OYeYqlUCtm3UkrlpkUBDD5Sq9WwJToa + QzBVq1U4fpCxDdMImcS5XA6JashwhfWCNC3sJ5lMovgPGbEIa0gpsaXS3I7jqCCMEAJNwyzLQu0+ + ctsQsVESGd1d0GHMdV20W2meEvOGYMXQ/E5z1Y3SB9hm7LAYIS2H7/v1ej0MQzRGx1ODNA/RWOjg + dbFYpAE/7hQKBd/3EcrGsGAhBKRisVhETb9yCXV0dGDLdDo9MDAAC9OyrFKpVK1WVbIQarubQwdk + U0CwI2SBVpZwZkEtoiIUxfSxWEzNUUFqJdQBdJmu611dXSMjI1AZUHkIvoVhiAsxPDyMLGV8Narz + 4VLEfqBtkeuFOwFuBSFEcz+G5tHPlUpFCNHR0dHd3Y2v9n0/mUyi9wOsF/XT8PEgCHAkGzZsQJ9o + KNk3BBYdbi3llMUX4SwhNgtTBMeMdgiikdcwefJkpWGxVMCRqM0IIdsZ4+nphL0hhIAgxvgXNA6G + QCkUCjAqYGOgbzKahMTjcWVIQPKqAA6qe4UQSMNta2uDXIMX0DRN1fII6kFFOdC9UXVAFo06E7VD + yDXf9/P5PIQdRLzrurFYDKMtRaNwRY2tVNYFhDWaiak12aZODjLORSP1WTQUQ3PXF5U/QwOGbGfA + SQyzf3h4uFqtYjj3yMhIc90LZiVt7YPdDslmsygFhChGF0eYH0gGU++j85WySbq6ulzXRXg8nU7D + mOnv78f2b+q4IQAV6kj9wju+7yNTC4ZlOp02TRPOMuXmg8qAnsJVwGexjs/n8x0dHcViESrVMAxc + u/b2dhgD5XLZ933YSJjEIoTAo4didyEE7goA/4JojHvGIwnDBu06m4szcVRQlOhIhuwvROSQgdbZ + 2dnX1zdx4kTo9Gaf3SiQbyYauWQqahQEAdSx+McW7ei3pvqeqf0MDQ2p3qHoLgCt+s9cO0LItsm4 + PdhIihVCFAoFpL2KhnxBVBcpZEjthRb0fR9juUSjMg8Jr+l0ularoegQO4EcV6kLkOOo4cO3Qwpj + 6QPBVy6Xk8kknEOiUdACuYzkXWSX6bpuWRYkoAqzYA/YuXIS47/o4QPFoLIvNE2DA2yMGgAl+vEp + 5fdC3h2+BXIWOXLjdV0I2RZIpVJRFGG8Unt7O7wMruu2tbXB34xnE0kybGLxTqBSfTzPQyAaK1r4 + fSBjVfIPvNqlUgmXBmtrfLxer3d3dxuGoTJ8sDEZA+XnqlarCEWiqWbzXGa8gNMtiiKVmIeIGXIT + oJtgqORyuXq9nslkKpVKJpMJwxDWphDCsix4D1WULJ1OQ4UhQQvmR7lc7ujoEP/YNgNOxmZlKoRA + awcoL0x3wc2DIy+VStCwcFyq31UoFHp6elzXxcbN3rrXoxLI8dWO4+DGs23bdV3sXwjh+76qpMXI + BHxcxYhwSAjqIsVxHK4fIWTbY9ycnZqmqQRf9aZa0Dev7JUbBi/wJ/yr3H7NI4HV9iqgrHy0KtUE + X4otsTaCjaSCM5C8qgwR+x81vkDtFvYMdq6ORP1A0TTdQm32pmWsagNsj+9q/u0KlaFByPYEBu01 + v6Me7VFRlx2wKHwLACGphJgCUlddAogjSFHIIghefFCtL0XT5RtV/U9ejzppSospmhPwlC5Qk09G + fRznXMUccFGwT5UUjT+pZ01dcaUu1fVSikz5CsU/Pn1v+ISqYh618aZ0VrNeFv+oN18PeuI1v6PG + MDS/33yzNcde1IlVzalH/WpCyHYGPf2EEEIIIYSQloEGDCGEEEIIIaRloAFDCCGEEEIIaRlowBBC + CCGEEEJaBhowhBBCCCGEkJaBBgwhhBBCCCGkZaABQwghhBBCCGkZaMAQQgghhBBCWgYaMIQQQggh + hJCWgQYMIYQQQgghpGWgAUMIIYQQQghpGWjAEEIIIYQQQloGGjCEEEIIIYSQloEGDCGEEEIIIaRl + oAFDCCGEEEIIaRlowBBCCCGEEEJaBhowhBBCCCGEkJaBBgwhhBBCCCGkZaABQwghhBBCCGkZaMAQ + QgghhBBCWgYaMIQQQgghhJCWgQYMIYQQQgghpGWgAUMIIYQQQghpGWjAEEIIIYQQQloGGjCEEEII + IYSQloEGDCGEEEIIIaRloAFDCCGEEEIIaRlowBBCCCGEEEJaBhowhBBCCCGEkJaBBgwhhBBCCCGk + ZaABQwghhBBCCGkZzLH/LKV0HEdKaZqm7/u6rodhuGWOjBCyzeL7fjqdxmtd1/FvEASapm3V49pR + MAwD/1arVSGEZVlRFFE+bzEMw4iiyLIsIYTruupZ4PknhJCxkVKqZQPesW27VCq93f1s0oAJgsA0 + zVKppGkaFiUQ1oQQout6vV4vFAqO4xiGYZqmab6JN4SMI9VqNQxDy7IymYyUMgxD0zSllDBsyDsN + FHAQBIZhZDIZ6ErDMBKJxNY+NEIIaQ2CIAjD0HXder2ujJm3zibXHFiOWJal67rv+6ZpRlHk+77j + OP/U8RJCWp9UKoXlmvJrSCmDIKCbY8uQSCTK5bLneVJKTdMgrvF6ax/aDkHzaQ/DMIoiTdNovRBC + yJsCmwIi1DRNXdeTyeRmhK83acCEYWgYRiqVglCGb88wDIbICSHDw8OO47iuixWzrusQEZQPWwbD + MOBaqtfrWD0HQRAEQSwW29qHtkPgeR5Ur5SyUqnYtg33Ie9/QggZG6TgapoWRZEQwnXdUqnkuu7b + 3c9YWR9hGNZqNcMw6vV6LBaLxWL1et227c0/akLIdkE6nU4kEkj9930/iiKmkG1JyuVyKpXq7Ows + lUpq6RyPx7f2ce0oxONx13Uty9I0LZPJ4HHARdnah0YIIS1AEARSSsuy0ul0NpvdjPSNTa45DMMI + gsD3fSSKqIIbGEyEkB2ZQqEgGok0qCBHPYCUcmsf2g5BKpWKomhgYKBSqeAduJYon7cMuq4rX97Q + 0JDrulEU4aJs3QMjhJBtHE3TwjDUdR2WhZSyWq1iUfG2GMtpappmOp22bdt1Xdu2kbSwGXU2hJDt + DMdxgiAoFosog4FkQCOsrX1oOwqVSiWVSsXj8Wq1appmLBZjBGCLgVPteV4QBJ2dnYZhVCqVdDrN + +58QQsamOWXD9/1qtRoEwWYU2Gub8piiC9n8+fNHRkYmTZrU19cH9xI9rISQbDa7atWqPfbYo6+v + D8lLMGCCINjah7ZDEIZhMpmsVCqmaeZyuXXr1k2aNGloaIhdyLYMYRh2dHSsX79+8uTJ+Xw+CAJc + Dp5/QggZGxTuR1GEKpWenp6XXnpp6tSpJ5100tvaD91FhBBCCCGEkJbhTdooR1G08847z5kzBylk + aE22BQ+PELItctVVV3V0dBx55JHNnZSZQrYlKZVKN998cxiGc+bMYQrZFqY5hezGG290HOdjH/uY + GmdJCCFkUzQvFZBCNjg4ODIy8nb3M1YNTBAEpVLJtm3HcfBlaHy2eUdMCNlucF03m81mMhkIhCiK + oihCb8StfWg7BGicXy6XK5WK6nTPIvItRiqVklKiOefg4GAymUwmk4JNFAgh5M1A33+UzmIcs2ma + qiHNW2esOTDoLxSGYbVahaSOooiD6ggh2Ww2kUjAevF9Xw31o4Njy4AIQFdXlyp8rNfrytNEtgA4 + 4UKIjo4OlO8zAkYIIW8F0zSDIAjDEM3oN28K8FgRGMMw4vG4bdvIHxNC2LbNQV2EkFKp5Pt+qVSK + x+Oapum6DpcHm3xsGVKpFMLuapClYRi1Wo2DLLcMGGQppZRSFovFer1erVZTqRT1IyGEjA2WCqrx + T61WKxQK4znIEhZLuVzGigRtm33f34xOZ4SQ7Yz29nbDMBzHUc0QpZRBEDBCu8VAPBztXIQQlmWh + 0/3WPq4dAtu2cao1TUsmk2qkNGtECSFkbHzfN00Trk8hhOM4mAX8dvezSQMGbZQxYxuLEsMwKJ0J + IUKIcrlsmma1WnUcxzAMCCNaL1uMarWKGgwMMw7DEJdgax/XjgJONYa34v7HLLbNS4QghJAdB7VU + QBaZ67qVSmUzZjC8SReydDodi8UQhEHNzeYeMCFk+yGKItu2s9msegfSh2voLQMWysjiQwES+row + hWnLgH4V0JLFYjGdTqMFGc8/IYSMDWwKswEKVTzPe7v7GasGRgihaZrrupqm+b5vWRbbpBJChBCW + ZdXrdbyGWIiiiAUYW5gwDJHTq+Qzg+RbBtzzOO2O4yi7heefEELeFJgryqao1+ubkcFBa4QQQggh + hBDSMtCAIYQQQgghhLQMNGAIIYQQQgghLQMNGEIIIYQQQkjLQAOGEEIIIYQQ0jLQgCGEEEIIIYS0 + DDRgCCGEEEIIIS3DeBow+XxeCFGv1z3Pq1arQogoiiqVCl4IIcrl8lvZT6VSwZibUUPB8HF0jy6V + Sviut3uQOB4wNDSEF2EY4gixgTpOHECtVhONOX04MLWx7/teg7G/NwxD7C0Mw+ZjEEK4rqtGkEZR + pHZOyHZGvV7H7V2pVNQj43leuVzGU4ZtXNfF+77v4038ddSDQ94W6mQ2DzxWIhQTkUEQBEEQRFGE + a4TTrsQU5DMkIa4LXpMxwFnF6yiKRs2cVue2WTvgKcALz/OKxeIY+2/WGvV6vVAobPahNusy3Anq + tfqW4eFhtQ0ODPdAs+IOwxD/xcGM/fAqXS+ljKLI9328g2/ErO7m7XFUSmior8bBKw2OdQjlBiHb + JeNmwIRhiOHQuq7HYjH1GnPWqtWq7/uGYUgpC4XCKPE9ing8rmlas0SG8EqlUkIIDMuzbXvzjjOZ + TIZh6Pt+sVjs6OjAm0EQqDVTMpmMx+P4Uhww/qvrel9fnzoqKH7LsmINfN8fw0IzDAN7C8MwmUyK + hlgfGRlxHMc0TexQ1/XNsMoI2cbBwsK2bUytSiaTnufBcWBZViqVisfjlUoliiLbtiE0YrGYpmn4 + OJ5BPDhkM6jVapC6nueZpum6LuSPaZqygdHANE01nFQ0loYQU3hf0zRN02q1Gq6LMo3IpoDwL5VK + vu/rum6apu/7WH/7vo9zWy6XoyhKJpMwETHWrVwu27Ydi8Wg/jaFrutSSqzUbdvOZrPiLXsMX08U + RbVazfd90zQxmlNKaZomHt7BwcH29vZyuQwbAxvApRhFkbKdcJMIIXAwuFXGOD/1er1cLkspdV23 + LAu7xR1oGAamhebzeSlltVo1TbNYLGKf0Ji4veEBTKVS9Xrd9/14PA6dvnnngRCyLTNuBoxhGDAt + 1DoeJoFhGGEYGoZhWRYsk2w2a5rmpvYD+SWE8DwPL4QQURRBSJVKJdgAkIybYcbUajXXdXEwohE1 + sm07kUj4vq9pGhS5EAKrKHwv1HY8HseflMJ2XXd4eBhyHOuwMX5XFEUwzLCYy2azWL2p34gXHGdO + tj9isVitVhsYGBCNtU4qlYJwUFZKMpnEAxiGYaFQwJrJ9/1SqdTs/SWbQSwWUyvIWq2m6zp8TFJK + CCW1ToV0ghSCBIZYw/u6rqstlR+KIuutYFlWMpmExVipVKrVajwe933fsqxisTg4OJhKpSzLcl0X + 5r06z3hARuUjjKJeryvXmOu6xWKxUqmMbfO8IZVKRdd1KDsVSBFC4MmFKYsDSyaThmHAL6n+pGma + bdt4RwhRq9VgjLmuq7T5GxIEgW3bqVQqiiIVbCkUCrCZsaLQNC2TyWiahls3k8ngh2MZoMxsfJHv + +zh1Y3tLCSGty3imkJXLZc/zEokEBApW+b7v1+t16M4gCDzPGx4eHhUObkYJTfj58GYikYBUMgxD + 0zSYQ2EYbkawIh6PJ5NJ+Ldc183lcqIp8G1Z1vr160VjjVUul2HG4IAzmQy8RLZt4zc6jpNIJJRV + M8YaS9O0oaGhdevWPf/883fffffTTz8NlaBEdjweD4IA+oAyl2xnIJKJmGc6nX7mmWf6+/uxWhJC + FAqF5gQnwzCy2Swe/40bN65YsQLeXySEkM0AMqq/vz8Wi7muC5Nj6dKlzz33HP6KC4EFn5RyYGAA + SWXLly+//fbb77777tWrVwshpJSINmualk6nxT9mHJExaPZhJZPJbDYbBIFlWVEUZTKZzs7OarU6 + ODiIS6NUQDqdRqAShv2mdm7btvoILAHlGntbwMQSQijPApRsd3e3EKJYLJbL5ba2tnw+r2kaXIFQ + hVBktVrNcRwEYXRdt20bXomxrS/RyPUSQpimqTK6dV3/61//ipwOIQROgmgknWL7SqXy4IMPXn/9 + 9fl8fmBgYOPGjXg/lUrFYjEsSHiLErJdsslIyGbw1a9+9aabboIzL5VKua6raVpvb28ul5szZ86/ + /Mu/TJkyJZlMtre3v+mu4I8RQqgsBYjjIAiKxaJhGDAblKfnrTM8PJxOp03TrFQq7373u0dGRuAi + isVi6XT6hBNOOP300ydPngxXViqVCoLgoosuWrhw4d57733ffffZtq3CR3CeaZrmeV6zw/INOfXU + U1966aUXXnjB87z29vahoSFd1y+//PLPfOYz3d3d+LG6rqtM6DGCVIS0HJqmLV26dNWqVXPnzu3r + 63vyySfPPPPMa665Bo8MYptBEGiaZlnW4ODgiy++eO+99z711FP/93//F4/H//znP7/nPe+B55Vs + BrVaLRaLdXd3DwwM3H///c8+++ydd965bNmyr371/7H3pmFSVGf//6leq/fumZ6FgWEbZFNWBURB + QUFRI6KXikR9xLiAQQJuUR81ogIhkQiaKEJcohgggoRFZRFlVRRENnHYt2Gbpae36q6qrq6q/4vv + b86/H5RRCQEG788Lrqanuvr0qar7nHv/fdu2bSHu4CqHn9w0zd/85jfTp09njImiqCiK2+1u1qzZ + hAkTrr/+egREQduBwnPSMb2/ELBG2Gy2lStX3nHHHZWVlYWFhZlMBg4Ej8cTCoUuueSSu+++OxwO + a5rm8XhyfQtYoer/Cr76SJJkt9tFUeTem58O4qttNhuCx2RZHjZs2IcffpjNZvPz803TjMVid999 + 9xVXXNG3b1+/3y9JEvw88+fPf+CBB/CY5+Xl4avh0EMsqKIosAb+IDAOQnXZt2/fF198sXDhwqVL + l3o8nuXLl3fo0IExBm8MYwwx22PHjp0xY0Z5ebnP50smk4IgWCyWZ599dtCgQe3bt8feAD8/m82S + k5Agzj1O2S756NGje/fuRbBHMBjct28fY8zv93/55Zd5eXmLFy9u1qzZ9OnTO3ToANPdifb6eJ9n + heJFVVVVYWFhLBaDwwTvY7n9ueOE+gRv+/bt2xljhmG4XK5kMmm32ydOnPj666+3bNnyiy++8Hg8 + VVVVoVBIlmVd1ysrKxF963A48L3xeDwcDjudThiP63eRl5eXb9y4sXPnzp07d163bh2yNv/whz/s + 27fv1VdfFQQByxV2BqS9EOcYkUjk/PPPR1qF2+32+XzY/jocDsMw4GBJJBLBYDCTyTz33HPTpk1j + jAWDwVQqlUqlEEZ/EhsyAoiiKAjCnj17WrVqxZNbGGPl5eVccGUyGR44NGHChBkzZjDGLr300lAo + tH79+lgsVl5e/vjjjyuKcuutt2JjiqtJaXs/Ct9Aw3qFwEiel19dXX306NGtW7e++uqr11577Tvv + vIOVJZvN2mw2bM1ZXSTzD56/trY2Ly8PyxM8Y4wxeHh+1jhhFkTEFwLJ0ul0PB53Op1wylmt1lde + eeWVV14pKipasmRJp06dGGPpdFpRlFgs5nK5LBZLPB5HuJfVakUpDtwk3NL3fWpqauCDevbZZ196 + 6SXGGIK6Y7FYXl4eFlxoy8lkMj8//8iRI8899xwiIbt3726z2ZYvX57NZl988cWtW7e+/PLLjRo1 + SqfTbrdblmUyfBDEOckpCyFr1KgRMtHD4fCKFSuOHDmyc+fO5cuXL1y4sFu3boyxAwcO9OnT56mn + ngoEAsg8+eEBWSz8X13X4X5ZsWJFt27dbrnlFkVRoC0IgqBp2kmHlPAEQcMwhg4d+s0332zYsOGB + Bx5AbuK333777rvv1tbWFhYWaprWs2fPYcOG3XHHHYIgeDwehCz37dt34MCBCxYsyC2fUo+j/Mkn + n4xEIhs2bJg0adK2bdvKy8uvvvpqm8323nvvHT16lOXkIGKROLnfRRBnJ5IkhcNheF2cTieS2bCb + gXWW1QW1p9PpTCbTo0eP4cOH33nnnYhpQTLuGf4NDRkofpIklZSU3HPPPa+99lqbNm1QZIXnxkCr + gTbi9XrHjRtXXl6+ePHihQsXHjt2bO7cuVardfv27X/+859TqVRuAje5X34U1Ks0DKO6uhphBc8/ + /3w0Gj106ND27ds3bNjwzDPPwDy3cuXKVq1arV69mjGGcgt8OagntDgvLy8ej99www1dunRZsGAB + IqxOojoc6grwTBLGGOLEGjduvHbt2g0bNjz//PMXXnghStqMHTuW1RUhKCsrGz58+D333OP1egOB + gN/vNwzjzTffvPbaa3/1q18lk0n4AE/0veFwmDEWiURkWe7Zs+fvf//7e+65B9UO7HZ7MplESJso + ivn5+RaLpUmTJt26dZsxY0ZlZeWyZcsWL168ZcuWwYMHJ5PJuXPnrly5ElHo7McMiwRBNGDMepk6 + deqUKVPgCMYG/URHJpPJm266yWKxFBQUIKgA/6bTadM0//a3v0FCORyO+fPn1/+l/LOKouD1o48+ + arPZCgsL+ZuyLCMi9iRAqZN0Og2z7oMPPoj3VVX96quvIGTvuOMOfjy+CEmBeH3s2DEsKpgcTAt0 + j3q+F3OYTqdxkldeeQWX4NNPP+Un4SVKCeJsZurUqdOmTcNr3LqqqtZzPJ4OPLydO3dmjN1///1m + 3Q0vyzIO4/c/5Ma8efPwjBw9ehQ6D5HLT5fPHFmWMbdNmza12Wz33HOPWTf/uDoQdKZpwudsmiZm + XtO0p59+GlFAlZWVXJqZOZfvlwOmGpMwZcqUqVOn/pRPaZq2YcMGURSDweCf/vQnvClJEpakvXv3 + Dh06FO73wYMHR6NRs27yzZzr8oOgzjJcDbNnzz7pK4LfBXULJ7n22mvtdntZWRkfQCKRKCoqQmCY + aZqot8EHyW9CXdf/8Ic/wOpn1t0qPwqm1DTNWbNmoWjhrl27MBU4A85fU1ODw/AnjEFRFMSz3X// + /bzej1m3nSAI4uwBGwYuLqZNm/YTpWgupyxUyev1QqkQRRFpMCgxBPPeiBEjgsHgHXfckclkJkyY + MGDAAH7AwYMHFy5cWF5eXlNTo2naDTfccN1114mi6Pf7TdPMZDJTp079/PPPs9lsPB4fO3ZsSUlJ + VVXVE088oaoqTrJ8+fJVq1YdPnx427Ztl19++U033QSfD2MMcbfwaZh19c08Hg8KLMbjcZfLZRhG + MplEYkzbtm0zmYzT6cytcz9//vz9+8mGLIQAACAASURBVPeHQqG77rpLEIR58+bt2rUL6ZgLFixA + 5cqOHTv279+/Hs8JD2hGnEY2m+3SpQv+hDRN5AC43W6ev3iqLg1BnHFQU9XpdBqGAeMoesJgy8WD + 43mwB2KZeCxlLBYrLi42KYTsZMHUwVgOCRMMBg8ePAijPq6L0+k0TROZBrquFxYWptNpQRC8Xm8q + lfJ4PAUFBaZpMsZ27tx54YUX8gTuenIbCA7uZ1mWkfrC72dkmauq2qJFiylTpnz55Zd79uz517/+ + NWrUqK5du/JKYitWrFi5cuXOnTsTiUTv3r0vu+yyXr168ZOvXLnyww8/hJfyjTfeOHjwoCAI7dq1 + GzBgAL56w4YN33zzzcaNG/ft2xcOh+++++7LL7/c4XAgSg27AYSNsbpiObgTEOqJ4tqRSCQ/P9/n + 83Xs2HHZsmVwGcFxun379pUrVx49enTMmDEoFT1x4sTPPvsMN8wLL7wQCARM0xw2bBgK2fG1kn81 + ZsNutyO5VBRFXgIU/hyXy8UX8fz8/GQy6XK5gsFgdXV1QUEBhi1JksfjOXLkCNK6LBYLgutO21Um + COK0cTpyLSDOrrjiip49e65du3bnzp3xeLygoEDX9Xfeeee+++5r1qxZRUUFltKFCxcGAoG5c+f2 + 6tXLYrEkk8nf/e53sMSoqjp58uTa2lrTNIcOHVpUVCQIQosWLfbv3+/1eiVJ8vl8a9eu/dOf/tSv + X7/p06fjAMYYCprxDnpOp5NHBsuyrKqqz+eDZDx06FB+fn4kErnpppsQQcsYmz179owZMzp16nT7 + 7bczxpYtW/bqq6/i46tWrVq8eDFj7Mknn+zduzdyH39wEpxOZzweDwQC8PCkUqn58+fb7fYmTZo0 + adIExcfwWVJdCII4G4AAjEajoVCIMfbNN9+g0ML555/vcrlUVUWMk8PhIKl1cmCzbrfbUWTP4XA8 + +eSTd911F2Ps/fff79mzJw4rKSlBpHEoFIpGo4sXL7bZbNdee+38+fNhfZszZ868efOgaSxZsmTl + ypXZbHbYsGH9+vWz2WwjR46cMmUKLIaMsby8vJkzZzZv3nzJkiWtW7c+duxYcXExtNYT2eB48gkq + B3733XcOh6Nfv366rsuy7PF4Pv300wcffNBms40YMaKgoGDfvn3PPfecLMstWrTYt2/f2LFjTdM0 + DGP48OG8P8x/gmEYPNUHDQlisZjdbsf8dOrUCV+haRriLAiCOPc4HQoMelQ1atSoV69ea9eujUQi + +/fv9/v9aMbi8Xj69OkzcODAwsLCRYsWjR07NhaLTZgwAYqBpmmTJk1asmTJ4sWLPR7PY489BgWj + uLiY1ZkVe/bsOXTo0DZt2tTU1EycOPGrr75avnx5eXl5UVERxDHPgLRarbynFTc9IrZNEISdO3fe + eOONqVSqTZs2V1xxBZwhaKqFcULBGDJkSKtWrZ544gnG2HXXXXfxxRcbhtGjR4/6zZC6rvMWnFu2 + bJkzZ87EiRNdLte0adMaNWoE/xIvok9J/ARBnEFQZV4UxUQiEQgENE07evToihUrdF3v3bs39Jnj + TOnESYDa/bz1mdvtHjJkyF133SWK4vbt21HHH8nxN9xwQ58+ffr27fvtt99Onz59yZIlS5YsWb58 + ed++fRlj9957b2Fh4cSJEzOZzMCBA6+66iqbzda5c2f4NxhjnTp1Gjx4cL9+/ZLJ5NSpU99///39 + +/f/4x//GDNmDBZTq9XKNdXv4/P5sH5JkjRu3LjDhw8zxiZOnMjq2jdB10X5UE3TSkpKXnjhhY8+ + +mj16tWiKI4bNw7NJU+J9sLqOj6jzgEGlpeX98wzz0SjUcbY1VdfDWUMgeInV++HIIiznP/6Rpnr + AIyx5s2bwwi0Z8+eiy66yDTNgQMH3nbbbfCtm6bZs2fPXbt2zZkz55NPPoG/ori4ePTo0QcPHly9 + erXH43n88cdx2mg06vF4HA7Hhg0bvF4vSrWkUqlWrVr17t07mUzu2LGjT58+KEycqw9wWcYr58yZ + M6e8vDwSiXz++ed5eXmXXnrp1KlTW7RogZEjqFfXdUmSamtrvV5v586dO3bs+OijjxqGcfHFFz/0 + 0EO5ZzsRVqt1wYIFI0aMiEQijDGn09mjR4/p06efd955qETJDUWUrEwQxBlHFEUenJNMJn//+98f + OHDA5/M99dRTjDEElR0nXYmfCyKc4cjiTckKCgqqq6vLy8s1TfN6veFweOXKlY0aNYIpsFOnTi1b + tly7dq2iKMuWLYMC07Zt2/bt20+cOFHX9RtvvPG2225D8WusvA8//PBLL72EDi2BQKBnz54rV66U + ZXnPnj1QbxBuwMs5fJ/NmzePHz9+0aJF69evDwQC/fv3/8tf/tKoUSMoJLquJ5NJrMiIPdN1fdSo + UZWVlcuXL2/VqtWIESOwDYAH77iTn4RKo2kalCXcfpFI5MCBA3/+858ZY0OGDOnevXsymQwGg/j5 + pL0QxDnJf93vD9uSzWaLx+OoH4KiQ7xISCqVym05fPnllyOtx2KxwN8tSRKUE94SGB3WUMQTzewF + QaioqPB4PJ06dYIkRT9KZBliJMfpLS6Xy263q6q6Z8+eFStWfPPNNw6Ho7a2dsiQIUVFRRi5LMvo + mJnJZCwWS15ensPh8Hg8GD+qW2YyGZ55XI/uEYlEkC3Dv/err756/fXXVVVFlHMymVQUBU2yf7Tt + F0EQxH8VSZIKCgoQefuHP/zh/fffFwThqaeeuvrqq1mdnQXilBqM/idw9wtjTFEUrGhIOAmFQkhP + R9+zVCoFHaBnz55YldxuN0oveDwel8uF5aOkpARXTRRFeCTKysqwDsJM5nK5OnTokEgkTNNEbBhq + FtcfRPD888+vX79eEIR4PN6mTZtQKMT73CP9NZVKRaNR9H9DYfRwOIzcToRtJ5NJbAByNZaTc8hg + ilAz7dixY8lkslu3bplMplevXo8++qjT6cxtH0cQxDnJ6TCeIRTBZrNlMhk4QJo0aWKz2Q4fPty4 + cWMcg1jeysrKzz77zGq1otcK3DVerxd+bcS8wiKIuC/TNPPz8xVFyWQye/fu/frrr7ds2SKKoq7r + XFHh1hcoBvB086R5m8123XXXjR49+tChQ8uWLXvnnXd++9vfjhkzZvXq1Xl5eWjUBWUJfmq4rfHa + 7Xbn5+fzobJ6xWV+fv6dd955xx13ZLPZ3bt3L126dNKkSS+//PLq1aunT5/erFkz3tYa1ajJaEQQ + xJlClmUYVmRZvu+++z744AOn0/mXv/zlvvvuQ9pGMBg0TdPpdGIbfabH21DhKxFmGy9qa2stFkub + Nm0YY4FAQFEUpKHHYrF169YdPHiwoqICConL5UqlUlA8tm3bxhgzDGP//v1XXHEFDIJQgeBdkSRp + x44dmzZtkiQpmUwyxg4fPqzrOrLwEcx2onGWlJSsXLmysrJy1qxZb7755syZM999993169e3bt2a + Meb1eps3b+6o63wPN0smk0mn07quY7RQVHL/5ZycDuN0OqE4HTt27LLLLnM6nR06dJg0aVLXrl3h + HmR1lcFxx57EVxAEcTZzOhQYOHkdDseXX34Jn0nbtm0ZY8jjf+qpp+bMmbNnzx5k+3m9Xl3XnU5n + VVUV9BxJkmKxGJQZ9LKMRqOBQCCdTnu93jVr1rzyyiuzZ8/GAfApp1IpfCk8OfhS1FTBYZCYsD81 + adKkT58+jLGrrrrq2muvHTx4sCRJgwcP/uqrr1CWEUsL/CeqqjocDlTZlyQJpker1QqPdj0ueMYY + DrBYLB07dmzTpg1Kwaxfv37NmjUtW7aEkJUkifftIgiCOCNABCEsZ+bMmaFQaPz48cOHD2eM1dbW + +nw+uKYpfuw/JLdzMWpjVldXoy1S165dDxw40KxZM0EQVq1a9ec//3np0qWapvn9fofDkU6nLRZL + dXU1rHuGYeTn57dq1Wr//v3ZbBbWOgQFBAKBioqKSZMmTZ482TRNv9+PBppoLgntJRKJ1N/Mp7Cw + sKioqLCw8NJLL7355pv79OnjdDo7deqEsjeaptXU1MCJxOuYQbkSBKFp06Yos4bvOiWg0LPH49mw + YUOPHj3C4XBpaens2bObNm3Kj0HcxKn6RoIgzjb+6493Op3m0vmzzz7DmyUlJagA/dxzz02aNGnP + nj033XTTE088sW3btpEjRxYUFEiSFAwGEcTFU1wkSULpm1AohCbBFRUV119//ezZs88777xnnnkG + BY7hZoGTBGYqs67FJAK9eEUyxhi0mnQ6rWlaYWHhrbfees8990iStH79+q+++koURd6ADDqP2+2G + f5wxZrFYIPRhu6rfxpNIJKDe8NZvQ4cOhTfp3XffxceTySQVfCQI4owDaTZ58uQpU6YwxqZOnTp8 + +HCYafLy8tBNGH3WWV33Q+LkQJQX+oNZrdZPP/0U77dp06ZZs2aGYUiSdPXVVy9ZsqSsrGzMmDGv + v/769u3b8/LyTNNEAQA0VQgEArt3785ms6WlpbwFJHoGjBgxYtKkSU2bNr3zzjvffffdvXv33njj + jbIs+3w+RVESiUR+fr7X662nUebRo0d9Pp/P59M07ZJLLlm0aJGqqoqiLFq0iDGGoGjoJ4lEAtEW + jDGHw2GaZm1trdPpRF+EUzVp2WzW4/GsWrWqf//+hmGUlpYuX768adOm8Pl4PB4MALcxuV8I4pzk + VNrPotGow+EIBALwJHi9XqvViugCSZKWLVu2fft2xtj48ePh9d67d+8LL7wgCMKbb7555513Qso0 + bty4trYWKoGiKG63G14UuC9QdSSTyaBw53333ZdIJFq1arVhwwaHw4FSxT6fr7a21u12HzlypKSk + hOVEkUF7icfjSJdkdXIQ+YWmaaZSqW7dus2cOTOdTu/atatDhw4IMrZYLJCJ8OSgGrJpmlVVVayu + /DwcNVzVOc4t7vf7s9msYRgOh6OqqqqwsJAxVlZWVlNTs3HjRhzj8/lQOeAUCnqCOEuQJMnv96Mz + g9Vqxa3O+yMh0JRXzsDOjCeD4UHOZrPIAUCYJfZM+MiZ/GENAfQHhEUGzhPIW0hUJJGjzwarM6KP + Hz/+lVdeMU1z1qxZgwYNYnW+dE3TIJaTySR2pfV7ngnGGIQ/Kky63W5EJmONwPIEu1sikRgzZgzc + MoMHD2aMSZJ02223oX7m+vXr0XsHyTCmaULrgDmvqqoKRYR1XU8kEn6/Hy1QJk6c+NFHHzHGVqxY + 0bx5cyifuNZerxe9mHg3GLxAXj6ycRhj0JQYY5qmwTII05vX6/3iiy/Q9NnpdMKxEwwG8WMZY3Al + 4Rt/tBRYKpXCGprJZNANBimscKRg0efFkV0u19GjR2+//XZZljt06LBmzRq0OcJ6jSh00zRlWaab + kyDOVU7lwl9YWJjJZCoqKpCYbpqmoiiqqmYymfLycoQfNGvW7KabbvL5fIIgwNQkCEK/fv3sdns6 + nc5ms+l0GtoFInfRSQ3WlCNHjkSjUZvN5nA4YrGYqqpbtmwxDKNnz54+nw/CEQJO1/W9e/di04Nw + LyzMiNjm2guOlyQJQhkxaevXr4fAbdy4MVqMYbOlqiq6azHGkskk5GksFovFYowx7L1kWf5B7QVg + 5Kyu89fOnTu/++47QRAuvfTSdDodiURM0+Sy/hReF4I44yDUHruiNm3aIDITgSvpdDqdTouiWFNT + g60zdkvZbDYWi4VCIeTLYVvDGMtkMkgLxnOER4aoH6fTKYqiJEk2mw1NyiH3ZFmG5HS5XLFYDDnW + six//vnnf/zjHw3DGDly5I033shnHntZdGP0+/3JZFLXdexTiXrgwh8RzjxtElPKGPP5fPv373/h + hRd27Nhhmub//u//opaM3+9fs2aNKIqXXXaZ1+uF9uLxeCorK51Op6IoSJdHPBWKc65duxbnhD8f + qyTSVJLJJDwzgiC4XK59+/ZBF7XZbLAO8EtptVrxMGIxwrOGRy+VSu3cuTMQCGBtZXWpJn6/H7Y8 + m83GC/NAsYlGoz+a6OL1erHQu1wuTdPQnA2haFzZQ4NpWEgfffTRo0ePKooyf/58NCPCLkIURR5q + 4XK5qKonQZyrnEoFBnnzbrc7FosZhpHJZCKRyPLly5955pnu3btXV1czxl599dU2bdpommaz2YqL + i4uKigzDmDBhAmPM4XDMnDlz/PjxKDRcU1OD6iixWKxFixaQ/gsWLICb22q1oiikzWZbunTpp59+ + arPZtmzZ8uijj+KLYrEYAhtUVc1ms0j0Z3XbHZReYYy5XC5Uiz948ODOnTuHDx/+1ltvoa1k9+7d + oVSgNwtSLfFZn88XDAZTqdS6desgxBGK5nQ6v1/nHsJ07ty5tbW1MBuLonjgwIGxY8cmk0nDMH71 + q1+JohgIBLiqRp2tiXMMGHetVmtlZeXBgwej0WgqlcrPz2eMiaLodrtRroPVlWOqqqqy2+2wSWez + WeSeVVdXI0vYMAzstHhxQuKn4PV6FUXx+Xw2my0/Px9WGEghVVV5GV+XyzV58mR4lUtKSrZs2bJm + zZpvv/12+/btmzdvXrdunc1mg98MoUokr34i2WwWtb/ge8xkMthkf/nlly+++OKdd945ceJEj8fT + o0ePF154wW63V1dXJxKJ0tLSSCSyevXqrVu3RiKRRCJxzz33OJ1OVVXRsBLX0el0lpaWplKpxYsX + BwIB1OFkjOXn51utVrvdPmXKFJgOn3rqqX/+85+apm3btg2GOSg2kUgEz6Cu63DscPdaJBIxDKOm + pqa6uvrtt9++/fbbsfDdeuutjDE0wVRV1TCMRCKB8s3RaLRHjx6wZs6bNw9enRPNjKZp3M/DGIOS + bLFYUMubpwlhMKqqrlmzZuHChbqu5+XlJRKJLVu2fP311+vXr9+4cePGjRu3bt0KPZwxJkkSjxgn + COKcwqyXqVOnTpkyBW5cZJLUc/BVV13l9/sFQWjZsiU/PywxgUDg4osv3r1798GDB3nFYSgqrC4+ + hDHmdrsvuOACxpjf72/btu20adOy2axpmkeOHIEsY4y1a9du5MiRmUxGluWXXnoJOkMwGCwsLLRY + LG63u2nTpihIf+ONNx47dowPr7a2Fi9kWVZV1TTNcDjMu/myOrXBYrEUFxc///zzsiybpmkYxh13 + 3MEY6927dzablWU5Go2apjl69Gh8KhgM9ujR44MPPsAW7bg5Qf0ACG5RFG+44YbRo0ffdtttKN7C + GBswYMDu3bv58ZqmQZQTxNnM1KlTp02bhtcQC3imTsSOHTucTifMwFarFdvfoqIit9vt8Xh4IXLc + /Pfff39hYSGeTVQT8ng8TqezpKTkzTff5Adz6pdL5zA/Sz7rur5x40a/35+fn59bN6xly5bFxcXn + nXeeaZqKoiAZgzHmcDiQfwjjEYQ5LtzWrVtN04TP2TTNWCx2On7t2QRPkTdNc8qUKVOnTv3Rj2Bz + v2XLllAoZLfboSpwSktL8eKRRx7BowRHWTKZfP7551F1k6+V4XC4ZcuWcKQMGzbs8OHD6Ff2m9/8 + BqcVBOGmm27617/+ZZrm+++/j6vmcrmQ8V9UVNSpUyeccNCgQcuWLTMMI3eosiyjOrOiKIhkg2rB + w7EQCDdo0CBZltPpdCwWe/PNN1mdHw9nME1z69at/BEuKysbMmRIPfOTzWaz2ezo0aOtVmujRo34 + LZqXl5efn49YOH7wW2+9xXtS4xfhN6Je6NChQ806YfL9RZkgiDMOpBxfs6ZNm/ZTpOhxnEoPjN1u + h3npwIEDrK53b58+fUaMGDF58uRPPvkkLy8PYhoR2KIobtiwIRQK1dTU+P1+n883ZMiQVatWTZgw + QZKk7du3z50712q1KopSWFj41ltvud1uv99fXl7+17/+NRqNiqJ477333nLLLU6nMxaLJZPJ7t27 + L1iw4JNPPoFLfdmyZevWrTNNE8ZaLnxFUXQ4HIlEAp9ijFksFofDoShK06ZNf/Ob30ydOvWZZ55B + IzBBEKDYwHsuiiLslE8//fT111/PGIvFYt99992qVauOHj1aT4wvqp99+OGHkydPXrhw4c6dOwVB + ePrpp1977bWysjKcHwk2aAVwCq8LQZwNwNV54MABnoBRU1NzooOrqqp44Q3GWCqVMgyjsrLytI32 + HEOSJPQsh7kdkTaIC9q7d++xY8d2794NEYdtMasL1IHfDCfhbbV4kgN2jbwJL3EikNHh9XpjsZgk + SZqmSZIEx4gois2bN2/btu24ceO+++67iRMnQmNEj0uHw/HMM89cc801jDGbzVZVVdW+fft33nnn + o48+CgQCNptt6tSpcH1YLJbXXnutS5cuSI9ZtmzZ0qVLGWO33HLLyy+/bLVaYX1r06bNsGHDvvji + i+uuu85iscybN2/dunVw/qMfJTJUcWVrampM0+RKLAo6d+zYsX///jNmzPjXv/6FxTQQCOTn54ui + iMJoGLyqqoWFhf/+979xq+zZs2fmzJknmh9ZlpEkgwSto0ePptNpl8uF5myRSAQ92Rhjuq5rmnbk + yBFeK5kxVllZydvpZDKZeDyuaRqvEfrfvbQEQZwh/v8+jz/ItGnTDMNA/Rm73V5Pviwc2bmGPfi1 + IWWi0ajVakXGrSzLvEgImlGuW7dOUZQ+ffqkUimn06lpWjKZPHTo0Pnnn68oClZHXddramrS6XR1 + dXXHjh0hH5HTYrfbv/32W6fTWVZWBmmVSCQOHz4simLjxo0dDgfcGjxVkdUVhud9pgFPoBcEIZlM + +nw+Ht6NFBf4tRVFgYeHMRaNRnft2iWKYseOHfkZjpsZzLAgCF988QWMcNFotFWrVi1btsS341N8 + QijvkDj7mTZtmiAI9913H8u5gbmp/gfRNM1iseAJTaVS2Ezzj2NfIooizoPdDH8WkOJvmibSiKHt + i6KIOq2/2D4kP10+A8MwamtrUWeF1e2qIRLRoz33YEVReJgfq5PnpmnmFkFBoZRfYJ+N3GoTr7/+ + usViuf/+++v/CH9Ajh07VlxczOqKymCtYXXZJii8mZtDH41GQ6GQJEnl5eWtWrWyWCyBQACfXbx4 + cffu3V0uFx6TdDqN7ihbt24Nh8PnnXceqysLJsvyoUOHAoFA8+bNWZ09bsuWLV26dGGM8ZI5fLRI + jsLqiZsEJQGwAoZCIb6YoqIAIqgzmQxK6WiaFg6HcQwqLB8+fLhLly4nqruNIxHsLQgCNJN0Og2n + n67rsiyjw5vT6cTkY/nGqOBs4fInnU4j0BQlQ9Bz8z+53ARBnFogD/ma9fe//900zR+VosdxyqqQ + qaoKoQPfMYqBoG99IpEIBoOQIJFIBLt2yJqKiorS0tIuXbpA7OIMkJVdu3ZldRY+xpjVai0qKqqp + qSkuLhZFcf/+/RDEqIjfvHlz9CrGwSj5lZeXB884r3GMBEqn02maZjabxUgQBGyxWKqqqoqLiyOR + CELLEALObTzJZNLr9fKlArMfCoUuvPBCeFeg2HB1hc8Mf33JJZekUilN03KrCMiyzEv7M8Z0XSft + hTj3wP4Y4UmiKOaGf8BG4Ha74VbFg4CSTXxbjH0PtkfpdJo3lvV4PLxlLVEP6I2r63o4HOYzxmtM + CYKAhonwNsPqJIoiJCp2inyxCQaDOIPT6USa+C9NezkJkP0I+xS0F3R7RC1jxhhSPhBmyZUH7M6x + Isiy3K1bN2g7vAtKv379sO+XJMnj8UADQWEbVMhkjKEdMwol4/FBmwGbzdapUyeoBxaLJRaLoTMM + qyv5AM8JLi5K/KMPm9VqhfUQDzWe5Xg8LooinD9cE8aCGw6Ha2pqOnToUE/XILRcM02TN/TkxdAY + Y/x9XrZblmUs3/wORMYLTB4o64zNA8La/xvXlCCIM8spCyHzeDyQIOjVyOrqluAF9iiGYSCWF/+N + RqOIKIPlBjsSlB5q3Lgxdw3xzD+oFmiP1bx5cziIGWM2m41XIdM0DcUcIdcwEghlfKnH49F1HVWY + 8abT6bRYLPF4vLi4OJFIhMNhRVF4SjECcxljSLLnRjJu7DHrspOxlzLrOs98f4oQDxAMBg3DSKVS + qqpCXcFEYZD4UfW7xQiiwYGnye12e71e9ITFXkRVVbfbDXtHOBw+rnM2NkC8YSIeOuy9VFXFk067 + 558CPNs8TwChs/gXlZ0QOISgPu4hx84PAsowDPSBgRoJkxPf5hL143Q6eUcBxlgmk0GpGG4OQ12E + bDaLGsG41eHxQCwZ9uvQdrDcMMYEQYDLAiW8otEoCiizuqKgjLGamhpUW0asNQpjpNPpTCbjcrkQ + HKFpWklJCTb9PBYLL0zTzGQy+F78KwgCiqEFAoFMJoNfhB+C4j34yZqmwSipqmo4HIb/5ETzA/lg + miYsenweeNwEsklxEo/HEw6HdV2HJxajdblc6LUAjxBudVVVUR76v3NVCYI4k5zKPjC5SSY/+Cce + 3oADkFAIuD5gs9ngoOBWE+5X4cEMkN3c350buPL9hpKQtrmj4vVzct+E0QiiP3f83GgkCAIfSa6T + JNeqVH8zY34kusoc91d8lq8Q9ZyHIBocuZHoeNbw2PJHhpfQYP9XJ8l9UvBc4DnCHprlSBWifrjU + EgQhdz8KuYp55snivOYvyxGYx8m346Q6UT+YzNwABFb3XOQuf8d9CpN83Pt8znk5ZpbzRHBwWlxT + nAFrK7ctsrpVmDcFOu41Y0wQhONGiwNww3BfKL9h+FD5WpwbRlH/FPEvypUAvG30cQfn/vbc2zVX + YuCraT0liHMSWnsIgiAIgiAIgmgwkAJDEARBEARBEESDgRQYgiAIgiAIgiAaDKTAEARBEARBEATR + YCAFhiAIgiAIgiCIBgMpMARBEARBEARBNBhIgSEIgiAIgiAIosFACgxBEARBEARBEA0GUmAIgiAI + giAIgmgwkAJDEARBEARBEESDgRQYgiAIgiAIgiAaDKTAEARBEARBEATRYCAFhiAIgiAIgiCIBgMp + MARBEARBEARBNBhIgSEIgiAIFOaDBQAAIABJREFUgiAIosFACgxBEARBEARBEA0GUmAIgiAIgiAI + gmgwkAJDEARBEARBEESDgRQYgiAIgiAIgiAaDKTAEARBEARBEATRYCAFhiAIgiAIgiCIBgMpMARB + EARBEARBNBhIgSEIgiAIgiAIosFACgxBEARBEARBEA0GUmAIgiAIgiAIgmgwkAJDEARBEARBEESD + gRQYgiAIgiAIgiAaDKTAEARBEARBEATRYCAFhiAIgiAIgiCIBgMpMARBEARBEARBNBhIgSEIgiAI + giAIosFACgxBEARBEARBEA0GUmAIgiAIgiAIgmgwkAJDEARBEARBEESDgRQYgiAIgiAIgiAaDKTA + EARBEARBEATRYCAFhiAIgiAIgiCIBgMpMARBEARBEARBNBhIgSEIgiAIgiAIosFQnwKjaZqu68Fg + MJVK2Ww2xpiu66drYARBnL2k0+lgMBiPxw3DsFgsqqra7XbTNM/0uH5BSJJkGEY2m9U0zW63p9Np + i4UMUqcJi8WSTqftdrumadls1jAMSZLO9KAIgiAaAKZp2u12VVUtFothGPF4PBgMptPpn3seof49 + x9///vd4PN6yZcuqqiq73a4oitVq/Q+GTRDEuYDf76+trc3Ly4tGo06n0zRNp9Op67qqqmd6aL8I + BEEIBAIQyKZpSpKEF2d6XL8gBEHQdd3r9eKFKIrxeJwuAUEQRP04nU6r1aqqqiAIqqqGQiFsJ379 + 61//rPPYTvQHWZYdDoeqqoqiZDKZTCYjCILVaiUFhiCITCYjSZLf7zdNUxAEi8WSzWYhNM700H4R + CIKQTqclSXK73bqu67ru8/kSiQTN/+khk8n4/f5YLCbLstVqTafThmGQDkkQBPGjpNNpl8sFgWma + ZjablSTJ6/X+3POcUIFxuVyMMbfbHQ6Hb7jhBqvV6nA4stksYskIgvgl88YbbzRp0uSmm24SRdFi + sUAGwQ59pof2S0GSpBkzZthstttuuw2iH8rkmR7XLwI+1ZIkzZkzRxTFW2+99SQWYIIgiF8aiB2w + 2WyCIBiGoSjK3LlzFUX5uec5oTZimqau67W1tYZhOJ1ORFfjy/6jgRME0fCJxWJerxfai67rFovF + brfb7XaSD6cNr9cry3Imk8G+WVVVp9NJ8396QN6X0+n0er2SJCGWjCafIAjiR4GhE1qG1WoVRVGS + pJNIIzyhAiMIgs1m8/l8TqeTv2mxWMjCRxBEo0aNNE2DXYMHzyCW7EwP7ReE1+v1er1YA6C90Pyf + HmDXY4zpul5QUICllyafIAjip4ANAzYPFotFFEWfz/dzT3JCgQuJjBwYTdNQa4W0F4IgGGOqqkqS + lBvxr2maLMtncEi/NFRVTSQSqqrKsowLQVUiTxuYatM0ZVnmF+JMD4ogCKIBIMuypmn8v6hDcxIi + 9IQeGIQlwKQKU5NhGIZhkA5DEEQmk+EWjWw2i/gxh8NBScynB3gAbDabYRgOh0PXdZvNRknkpw0U + s9F13eFwGIZhs9lQhY+cMARBEPXjcrmwVGHzIAhCNpvNZDI/9zw/kpHvdDqhJ6HVACkwBEEwxiwW + i8fjwWsYODRNczgcJB9OD9hAO51ORVEcDge3ZtH8nx6w+pqm6XA4FEXBI0AlOgmCIH4K2DDwFBWP + x3MS1jcyFxEEQRAEQRAE0WAgBYYgCIIgCIIgiAYDKTAEQRAEQRAEQTQYSIEhCIIgCIIgCKLBQAoM + QRAEQRAEQRANBlJgCIIgCIIgCIJoMJACQxAEQRAEQRBEg+GUKTCGYeBFNpvFi9yuNKZpZrPZbDZ7 + lvSKRtsE3jg8d6i6rh83SE3T8A5vtnASHUMVRcELWZb5az5XHNM00TD0556fIM5ycG/rus7LvafT + aV3Xc+92HMDqnhdd1/lDpygKdWn8z/n+HB7XEbmmpoYxls1mc68LBDguTSKRME0zk8ngVKqqptPp + 0zH0hk8mk+GLSzabTafTkPa5F8U0zTN4n+NC8wHkdpfLZDK5twp/cvnVlyQJ75/E92ISfvCHY5VU + FCWVSvFBQnT84JF8kJqm4Z2zZNdBEMSp5UcaWf500um01+vNfcfhcKRSKYvFYrVabTabzfb/vgv7 + mDPV8ysWiwWDQbSstlgsWFFcLlc8Hne5XDabDTLUMAxN02RZttvtvGEfNlV2u/0kvlcURbxwuVz8 + TT4nuQiCQBs14hzDNE1BEHibRTxZbrcb/zUMI5PJ2O12LhbwvGDPhCeOumT+J6BJPC6BaZqapqmq + qmlaXl4e9o6qqoqiKAhCKBRidaIJR6JTMhdWfr+fMYYO9JqmKYoSCATO4E9rEGDtwF2tKIogCE6n + k0+pYRjYamO5PIPjxB2STqftdrvD4chdodAvFc2sj1vBFUXJZrPYAOi6fnI/IffphuKUzWbdbrcs + y263my+g8Xjc7/dz0cHqzByYz0wmA9XabrfbbDacU5bl4zYnBEGcA5wyBYbLF3haLBaLzWbjW/9c + BEE4gzLa5/Nls1mr1QqRZxiGw+FgjPE12GL5f14pp9PJu4TC2uTxePBX/v5Ph4t1eG9whkwmg28/ + DtqoEecYgiDAIIpdss1mw+MAg4LFYhFFUVVV+GfwjPj9fpfLBYUfz+wZ/g0NmVyRIgiCw+Hgkgf7 + PLvdns1m8VqW5UwmEwgEcKSqqpB70CfRPtk0TZvN5nA4uB+bqAdRFLkrA7OK11AsAd45swa+TCaT + u3CrqorRYgW0Wq0YJxxHuFu4LsGV5JP4XihFMHNg88B1J5/Px+osIPxgxlg6nVYUxefzwfCB0Al+ + Y8NhiHU212hIEMQ5wylTYHRdz2azdrsdmgx346bTaW58tdZxqr70JLBaralUyuPxQMwZhmGxWNLp + tMvl4jIUh+F4VVUNw3C5XHhHkiRIyZ8rEyFzuRTGi+9PBakuxDlJJpPJveFtNpuiKA6HQxRFPIOM + Mbvdzg0E2DDBTQqFR5ZlTdNg/id+Lrn7Tu7ghViGbQU2HWyyTdN0uVxcWPHrkut81jQNzplAIADN + 5wz8qgYFV1rsdntuOCW8LrgWRh1napU8zqDG3Z5Qcfn7/LWiKOl0OhQKCYKQzWadTqfdbo/H4z/X + KYefD68LY4xrdPD+sbrQbrvdDgmQTqdFUeS6EwwcuZNms9ng13U4HGT7IIhzklO26sAmpygKxLHF + YjlRdAEMsWfKKAIbMP8vpKTb7caizs1gjDG4y61WK9YbiNGT9kRbLJZUKmWz2WATQl5N7kgI4hyG + b4w0TcNGDU4Yq9WazWZVVXW5XHj6YDLIDSdDCDv3xhAnQSaTwWxzEQcV0Waz6bquqiq2nlBFuHFH + URR+XbgDAQZyu90OlTI3mIc4EclkknsSLBYL9tb8fkaoHv50ciHKpxDDMOB4cTqdgiAg+SQ/Px9/ + 5VFkuJdEUeTRnjxp6qRDCnMdg6zOzCdJks1mw7dAz0mlUk6nE7dlrqeF1eXhOOrQNI1bJ09yOgiC + OFs5lR4Yq9UKiaxpGnYn0Go4jDEI6DMoo2EpjMfjoihCCEYikVAohORFrOi55ka4xRljiUTCarVi + tdZ1/edaHLPZLPzy8E1hEhD3jwNIwhLnMMlk0uv1ZjIZbH8ZY0iQQ0pGrnrD9yLfDxohS/9Jw3US + 7tfi6RYOhwPbvuOyMnL3hTwThjGmqmoqlQoEAi6XC8F+ZOH+UaC98IgszL8kSaIo4vUPxhKfflKp + lCiK8L/hAYSKkkgkYFOARQ8HQz0IBAKKomQyGfhGoOiexM+BUgdXD9ZcbjREnQA4VTCBiqJ4PB6h + DgxV13VYGGVZhqyA8fHUTQ9BEGcRp2w3IAhCLBaDsLNardiaI64sN54V8KCR0w9sjQjjrqysLCoq + 4pktuctwJpORZVkQBGgdiqJ4vV4clkqlTsISDEvnkSNHDh06pOt6UVFRYWEhFDxSXYhzHmzg+Ib4 + 4MGDmUymVatWPEcf+gyeLOyzt23bduzYMY/HU1pa2qxZM1ZnGTlzP6IBc1yuBZL4dV0vLy/v3r27 + 1+tFKgvXcAzDwMXKzT5Pp9PZbNbv99vt9nQ67Xa7ce1Igv0UZFlGApgkSW63G8sQnzpefxKcKWcj + z37JLbmRG7oJVx5e8wxSq9Xq9XphxIR28XO/F5n3udqRruuyLK9Zs2bAgAFutzt3z8BtoNlsNh6P + V1RURCKRvLy8Fi1aoOiOy+XC8o3xnPx0EARxFnPKtAhFUZ555pnGjRsXFRU1bdo0Pz8/Pz+/Xbt2 + 3bp1GzJkyF//+tdNmzbxIIQzWCYYVhyXy5XJZLp06ZKfn9+sWbOioqJQKHTRRRc9/vjjK1euTCQS + DofD6/V6PB7DMEaNGlVUVPQ///M/x44dYzki/mfx0ksvde/evWnTppdddlnv3r1bt259/vnnP/XU + U+yHCpsSxLnH0aNHZ8+ePXz48LKysmbNmo0dOzb3r06nExb9119/vX379na7vXPnzgMGDOjdu3eX + Ll2GDh26adMmCiE7aeDs0nX90KFD8+fPHz16dOfOnf1+/1tvvYW6ydgR8k0kF0qwScmyPHny5Nat + W7dv337btm1c1dR1PZlMkgT7KWSzWUEQ1qxZ06tXL6vV2qxZs8LCwlAoVFBQ0LJlyyuvvPLxxx9f + vHixJEln9j5HSCde79279+GHHy4qKsISGQgEzj///HvuuWfBggWRSATPLGPMbrcvXLgwFAqdd955 + 33333UmERsOkaLfbU6nU+vXrJ0yYcMUVV5SUlAwaNKi8vJzVJdPy6LtYLPa///u/BQUF4XC4V69e + 11xzTdeuXQsLC99+++0jR44wxlwuF99m5FZ/JgjinOGUmTOtVmtFRUVtbS1jrKioCDEJkiRls9ny + 8vI5c+a4XK6333578ODBKF/D6sKCa2pqwuEw7HlYCGH4SSaTcK9brVZYB5GNZxhGPB5HrU9ZliFA + kZcPIw3sQHiH1blcWF0UBLceORyOo0eP4rXP59N1ffPmzRs2bJg0aVL37t1nzZrVpEkTfCQej0uS + VF5enmt5gjVIkiQ4suupHQmL5iOPPGKz2Ro3blxWVmYYxtq1ayVJeumll7Zu3bp06dJEIuH3+/Hv + SZehJIizloMHD8KL4vV6JUlC9DxSbBG6iehNVVU3b9586NCh1q1bd+zYMZFIbNmy5dixY/Pnz3// + /ferq6tPznxAyLJstVrj8XhpaWkgEIjH44wxq9WqKEo4HMbWkNXpOYZhiKKoaRrEbzab/fLLL59+ + +mlYtXNrMNpsNvjWiPrRdR0FMN1u9/bt2xljsVgMYcmCINTU1Bw8eHD58uVvvPFGjx49/v3vf+MB + QUa7zWaTJMnr9WIpQYCDw+HgufJ8PcILLHlYXnEkXyjxJ1mWEV7Fh4eIA5yNVwg0TbN58+Y7d+6M + xWKmaebn58diMUVR3nrrrbfeeiscDm/cuJGvklBlJUkqLCzM9ZagZRDuGb4Ws7p2N7l+HrR5efrp + pydPnmy327nWgUXf7XYjVAzhdg6H45NPPonFYh07duzcufOOHTs2b96sKMrIkSOXL1/+wQcfMMbs + djvm7YynFREE8d/glHlgEA3FGGvRosWHH3544MCBb7/9dsOGDTNmzLjxxhtdLpcoirfddttDDz0k + CEJ1dTWrS0cJh8Osrm8ayuEDXh6RMTZv3rx+/foNHDiQMWaxWEKhUCKRYIy5XC4k+KJ6CRfitbW1 + 3IjFlQG8SKfTUKv4yIcMGfLVV1+tWLFi4sSJfr/f7/d//vnnCxYsqKiogODr27fv448/PmLEiIKC + AnwklUpdfPHFrVu3XrhwYTKZxMm5OvSDXHvttVOnTt21a9fKlStXr169f//+vn37WiyWZcuWvf32 + 29iW4V/SXohzj0Qi0bZt25tvvnn8+PEdO3Y0DKOmpsbhcKBsK68U5HK5YN/99ttvZ82atWTJkq+/ + /nrMmDGxWExV1S+++OJM/46GCmKWEolE06ZNL7/88hdffLFz5871NPjLTW6pra397W9/m0qlqMHu + fwiK72EfP378+AMHDmzfvn3fvn3Lly8fN26c3++Px+OrVq1q0qTJtm3bcLzNZuMtVlBUBsW4GGNO + pxO7fDQ00zTtkksu6dKly+rVqxljPp8PoQS5Mc/QH+DrYIylUimsXw6Ho/7M+9atW69evXrDhg3T + pk3r378/Y6ympubee+9F6dFMJpOfn//cc8/94Q9/QHI/TJkvvvjiNddcM2DAAFVVk8lkbk4sq9OW + cX5d1z0eD/Su888/f9y4cY8++mg940mlUr///e/37du3efPmd95558svv9y6det1113XuHHjuXPn + 7tu3D+f0er0IlfxPrhpBEGcpZr1MnTp1ypQpvO8yyj7+IJqm/epXv2KMBYNBvIOGvqZpRiKRyZMn + B4NBVDVZunQp/0gqlco9bTQa5R15TdNEcMKxY8ceeeQRi8WCJGC4kiVJwjGqqvLjZVmurKw8blS5 + /8Wv4GPD8nzvvfeapplKpRRFWb9+PWPMbrf3798/97T8t2D5iUajiAmeNWuWaZoY0olAOiw/A47H + T0N47pAhQ/iQJElSFAVNxwnirGXq1KnTpk3Da968pf6PwI6bTqfPP/98t9v9wAMPRCIRXi75+x+H + cDBNs6KigjFmtVpHjRp1an9FQ+eny2f8Ff3LcXybNm3sdvtdd91l5pT0xbXgx+DF9ddfb7fbCwsL + oWfu2rWLH5krrn9R8CkyTXPKlClTp079Kcebpvn1118zxtxu9zvvvGOaJlpAYjnYvXv3kCFDsC4P + Hjy4uroaC0Hu2oGPmHUJM+hGGo1GTdNE1ANj7N133829LlgEE4nEsWPH+JuRSARWPzxl+CHV1dX4 + K7+4uq5fd911giC0aNEiEongbNFoNC8vD6km/ISyLPNfKssyHudHHnnE6XR6PB78CtS7QwVO/sHj + 7j3+4r333oPetWPHDtRQNv/v/aYoCsIxuKCYNm0aY8zlcn388ceYJYyKr/sEQZwlQERwUTBt2rQf + laLf55SFkKH7FU/14zWIGWN5eXn33nuv2+0eNWoUY2zMmDH9+vXjrZ23bNmyfv36r7/+uqamJhQK + 9enTp1+/fqIo+v1+r9e7b9++Tz75ZNOmTZBcf/nLX+AWf+ihhxhjKE7yxRdfLFu2bOfOnW63Oz8/ + /5Zbbmnfvr3f78fs4FsQl6XrOtLx4YFBOARialFeLBgMwircsmXLdDqNQmqfffbZunXrzjvvvJtv + vtnpdC5fvnzRokXw/n/wwQfV1dW1tbUXXXTRtddeW1VVVVhY+P3JQXMu5G4mEolgMAh3Ofz76XQa + rifUeDmJLpkEcZaTTqfhYHQ4HNFoFPe82+1GzKeqqjw7H/stPJuwVSNIyWq1tmzZ8kz+hoYMHNRI + 5ccWkwf2/CCIC6qtrX3vvfeQ3rBkyZIuXbqQf/jkQHQAbGG85wlP6/d6vZqmlZWVvfDCCxs2bKio + qJgzZ87IkSMvvfRSRIJVVVV98803CDY+cOBAu3bt7r333gsuuMDhcBiGEQwGV61atXTp0ry8vEwm + M2/evIqKCkVRkBkCR82+fftWr169cuXKqqqq0tLSxx57zO/3N2/eHJHbtbW1RUVFiIb4QbLZbF5e + 3tGjRxs1amS1Wrt27bpq1aqSkhLevPLQoUMLFy5UFGXUqFFYTJ999tnvvvtOVVWr1Tp27Fg4Q373 + u9+x/9uugNVlgeK2RE0dTdMQKXei8aiqirJ42HJglrp06dKiRYt9+/b5/X5ID2TjUAgZQZyb1K/f + /HQLn6qqvXr1YozBKpNryTty5AjeKS4uRlpLRUVFIpEwTfODDz7gTmS+g3E4HOvXr4d+ho0++7+F + biwWC6wypmm2aNHiuJTBcDg8cODASCSSzWa5KwPj55YhgOPvv/9+s87cu2nTJizqU6ZM4U6ehx9+ + mDHWq1evVCqladrDDz+MVRxiEYWh4cbJ5fu2SQyGu2u2b98OC9PYsWPR+6L+a0EQZw8n4YHh5t6L + L76YMXbnnXcedwD3r+ZiGMbs2bMFQSgsLFy2bNmpGf25ws/ykOMFt0ZDXJ/IAwPT9bfffotN7Tvv + vKMoCiws5IExf74HJpvNok/oV199hXUHHhizzktw9OhRuChnzZqF/f1jjz3GD+jUqZPD4cBmPRgM + ws7Vq1cvzH91dfWwYcNyy22Fw2GXy/Xggw/iDA888ABSR1wuF89ZOu+887Zu3cp/Tq7b//semPbt + 22MkhmFUVVXBlHDNNdfAO6QoyvTp03FaeJMQAoelmQeIiqKYTqcR05X7Xdls9vsP/uLFi3HCE3lg + KisrMXXoOJdMJh977DHGWJs2beAswtXh/hmCIM4ezi4PjMPh8Pl8wWAQ8pF3z7VYLHBKCIJw6623 + TpkyBRKwSZMmjDFZlv1+/7Bhwy6//HK/379ixYpx48bBirNs2TLGWHV19T/+8Y/Zs2d/9NFHzZo1 + GzZsmNvtDgaDTZo0QbZibW1t3759Bw4c2KFDh927d//73/+eP3/+ypUrt2/f3r17d24vNE2TMYZ4 + Ysg1p9OJBErGGIzBmzdv/u1vf6uqaosWLYYPH47w4kgkgowdRVFgWLrmmmvOO++8ESNGeDyeq6++ + +pJLLvH5fO3bt+cNXsw61cjMKZGsKIooisgphF15xowZKE5w2WWXYe1BEj9KQ/LGYQRxDoAqHaj+ + V1NT43a7kdOs6zovJmuz2fDsoMivy+Wqra2dOXPmqFGjHA7H8OHDr7zyyjP9OxoqSARHEC9jLBaL + pVKpeizTNptN07Rf//rXNTU1o0ePvuWWWyRJgnf6NI763AFd6llOJfF4PI64AE3THA5HcXExY0xV + 1d69eyMJ/uOPP37++eehAxw8ePCCCy64//77u3btun379hkzZixevHjt2rWLFi26/PLLw+HwsGHD + CgsLx48f7/F4Bg0a1K1bN8ZYly5dZFm2WCyVlZUtW7a88847u3Xrpuv6+++/P3369F27di1fvrxN + mzaMMd565USgMjIKPDz00EN79+71eDzTpk1DnAWvb47aA9FotH379pMmTVq6dOmiRYssFsv48eMF + QSgpKcltpcoRBAG2vEQigV7PWNxFUaynInNBQYEgCHAV7ty5c9asWS+++KLdbn/zzTfz8vJ4FR+3 + 281rGBAEcS5xyhQYqFOqqqKlABINsVhardZjx44VFxf7fD632x2Px7du3dq1a9dYLHbllVdu3bq1 + tLQUobHdunX7+uuv58+f/+WXX0LilJaW3nXXXZs2bWKMpdPphx56iK/BjDFBEL777rtAIIAWbF26 + dGnXrt38+fMlSdq2bVu3bt1QHcVqtXIFBnIWy7DdblcUZcmSJXffffe2bds2bNjAGLvqqqumT58e + i8WCwSBjLD8/32KxuN1uXhTlsssu69q16wMPPJBIJK655pq77roL7yMejE+I+X8bvOBPXq+3trY2 + Ly/vyy+/fP7550Oh0JVXXgldjtXZq2w2G2kvxDkG7+SAxlBIA0NNc8MwNE1DLI3D4dB1fdu2bQMH + DoxGo7Ce+ny+6dOn33DDDWf6RzRg0um0KIrcy43e5PWUlzUMY8SIEbt27WrZsuWzzz7rcrmQoHi6 + xnuuwfUW7Mh9Pl84HEaBTdTAZHU1xEpKSgoKChKJBDb6aFa2efPm0tJSnKF9+/bNmjVbuXKlYRj7 + 9++/4oorFEXp0qVLOByeMGFCIpHo27fv7bffzoO0GWPjxo0rKytDkWKn03nNNdcsWbKkqqpq2bJl + Dz74IPLQuDLwg+OvqKgYOnQoSqUxxq688spHHnmkSZMmyWTSbrfzJmmGYSSTyVAolEwmf/e739XW + 1i5atEgUxUceecQ0zdzz8/URAXUw8Pn9/nQ6zUuT1d9PRtO0GTNmPP3008lkMpFICIJw8cUXz507 + l4eqch2GtBeCOCc5ZVXIYDiB6uJyubxeL/oJxGIxxlhxcXFNTU2fPn2QaAjvTzAYLC4uLi0tTSQS + TqfT6/U6nc6rrroKcb01NTWqqkIsOhwOj8eDNVgQhEgkgi91OByFhYUooMwYc7lc3bt3t9vthmEc + PnwYx+BP3CuCzD+73Y4AM6vVevjw4enTp2/YsAEay8033xwKhXj3X8ZYdXU18mcYY7IsY4/F6ppV + M8YQ0J+rrpjfa4zAC7MEAoG9e/f27NkTRfSnTJnSvHlzxhhM0Ui0PVUXhSDOEhCVxBhD2Dq3biC8 + BOq9pmmIfbdaraqqIqaFMSbL8qxZs3bv3k2PxknjdrttNhuKNyqK4nQ66y/NNG/evH/84x+qqs6a + NSsYDEYikZKSEt4ehPi5IM+e1QUeIwEmm82iXQl0eF5ezOFwqKpaU1MjimJRURFjDNoLopo9Hs9l + l12GaK49e/bwRj2hUAjdBdDMHtEHKDLWtm1baC8ul8tms2UymQsuuCAcDu/btw/5mYqiHFdY+Tg0 + Tfvggw9Q38zr9V5wwQWXXHIJjAtKHYwxt9uNh9Tn8yGVhRfahvYi1MHqGgzg/LxmNPLiGGO1tbX1 + p4PKsoyvw11tmubGjRsnTZoE6YGOligXThDEOckpU2DgR2aM5eXl8aVREAQIL2S8HD58WNf1vLy8 + oqIiHMxNLKtXr161atXf/va3jz/+GHYjdEThISWmafLax4FAgH8FDt67d++nn346ffr09957D/4W + qE/RaBT6DJbebDaLJBx0bsHHr7/++vXr18+fP7979+6MsZEjR5aWlh45cgRykDFWUFCQTCZhxcG/ + WBsg91Ha32KxYH+m63omk0HHa77e8+9ijO3YsaOsrEwUxbKysq1bt+bl5QmCkEwmcU6r1XoSXcAI + 4iwHLUcYYy6XC7lk/E+maeJRQoPtqqqqDh06VFZWxuPxPXv2zJgxIz8/f+7cuT169OD5A2ZdNDz+ + S56BHwVz5ff70eOFG1zQNz0SiVgsFtM0UWI+Go0OHTrU6XS+8sor7dq1MwwjPz//8OHDHo8HpWlZ + nUxDa44z+9MaBD6fj3sN/Te2AAAgAElEQVQVBEHg+eUorsCXKuz+YX2DYQu1OnGSRCLx8ccfz5kz + 549//CMasJSUlOCviN2qqqpidT0f4VHxer14WDKZjCiKq1atWrRo0ZQpU44cOVJTU4PSybwJWz0e + uWAwuGXLloULF44aNUqSpJdffvmiiy7atGkTCmw6nU7EV6uq6vP5cEugXAGaIjDG+HoKoDgxxqC/ + sbrK3awuPsLr9aKSh8ViSaVSFosFzhlWdzN7vd7rr7++uro6lUpVVFQ8++yzqqr+9a9/7d+/f1VV + Fe+ZwxjD0kwQxDnGKQshY4whRAHFGRFOhi27pmnIPty8eTMq23Tu3Nlms6VSKbfbPXHixDfeeGPH + jh2MsWAwaBhGOp1G4RT4f+FkT6fTrVu3zmQyWIAzmYzVao3FYocOHXrggQe++OILn8/HzS2BQAC+ + IFik0uk0b9GFCC5WZwnTdb24uPjCCy9s167dwIEDly5dev3119fW1l5//fWbN2/O/XXfX6dtNlso + FOJuevxG2I/xDjpwQV/CJHz88cd33XWX3W7v2rXrhx9+yC1eEOWIrolGo3BGncJLQxBnHN5PFl2h + kGXBGEOsC5ycVquV1/Fzu92lpaUtWrTo0aPHxRdfXF1d/be//a1Pnz7ciAtHLvteUSPi+/CakEhj + wM6SMWaaJkz4OAa7z+HDh6PX4Xvvvffhhx8mEgkUjk+lUqIoXn755W63+6WXXurbty9vdnmGf95Z + D5aJRCKRyWQ8Ho+qqmjhKooiv+2Riomi4Yyxdu3aIbDKbrdv3Ljx8ccfX7ZsGfdPMsYCgUAikfB4 + PDjs4MGDoig6HI4mTZoguACOTV3Xq6qqHn744Y8++ghuH8aYxWJxOp3InodGihvjRON3uVwtWrRo + 3LjxgAEDxowZEwqFdu/e3b1792PHjuXn53MtC54fqE/xeFxV1WAwWFlZyU7c34zrwBgA1DlWp/Ag + WxV2Q+jYMD7CRIj3TdNs0qTJk08+2blz5xtvvHHt2rXz589/8MEHkVPKKISMIM5RTtnCbxhGKpXK + ZrM1NTXISOGeBOgzsizPnDkTW5b8/Hy4wh955JHHHntsx44dDzzwwLRp0+bPn3/ffffl5eUhkYYH + PAiCYLVak8lkPB6PRqOsThrKstynT59169aVlpaOHDny008/jUQieXl58Xicd+w265pMM8Y0TQsG + gyjbCkGJgcEZLUlSjx497r33XqvVumPHjtWrV/PSKKxOgeGiltWFATDGKisrfzBaF84fCGWbzbZ6 + 9ephw4ZFo9FLLrlkyZIloVDI5XJhbDCS4bRomHOqrgtBnA3Aco+2d+l0Gv2U7HY7T0tDYVnsriRJ + wlYPMSdFRUUDBgxgjH344Yd8BwbI9/ITgcCMxWIw+SPdiDGGXSxjDMF7LGdDqev6unXrFi9evGnT + pvLy8l27duHNw4cPHzx4sLa2FlFPPMOQqAd0YvX7/eFwGE0nk8kktBfY3Wpra9GPcsWKFS6Xy2q1 + 9u3bF8tKRUXFlVdeuWbNmnbt2j3++OOzZ89OJpNNmjSJx+NoV4Dz2O32vLw81FlGYYxUKgVD3hNP + PDF37txMJjN69OhZs2bV1tZeddVVcLnAc4KrWc+6U1ZWls1mHQ5HJBLx+/0ffPABrvuqVasYYzyi + AQ2psajl5+f7fL5YLNaiRQt0Qa1Hh2E5wWNIkGM5SaHpdBrWPV7tA/cw5gfh5U6nc9CgQWVlZS6X + a8GCBazOpEjuF4I4VzmVHhi/319YWAhrhyRJkD6SJMEfMn/+/GPHjjHGHnroIdRd2b59+8svvywI + wsyZM/v37w/HCJZGnA1LbCgUikajCM0qKChgjCE5T1GUX//615FIJBwO7927N5VKQVxCR4JrG5+C + hYnl1IPHMfBxoyGXLMvIe+nXr99rr72GvyK7kf9AKDPc981X7sLCQohvhLohghl1XXihs0gkcsMN + N0Sj0datW//zn//0er2wD8G57/F4eAoNOhaT0Yg4l+CbY1ZX8gjvSJIE/6ooivyex5OImleKojgc + jgsuuIAxlslkMpkM93myH/KLEvWAND9VVVHq0GKxVFdXQ3XErKLaygsvvPDoo48mk0kIQJfLlc1m + 9+7di9qMf//73/Pz81u3bo1ci+OqlRA/CGYe6xHWCNz/iqLk5eXFYjEsf9FodMKECagafMEFF8Dc + NmjQIMMwGjVqtGzZskaNGjHGstkswszS6TRiq9xut6qqR44cQZYLHDV2u13TtOnTp7/77ruMsa+/ + /vrCCy9kjFVWVhYUFMBAkMlkov8fe28eJ0dV7v+fquqq6upt9mSyh5BAwiIgIYgERQSUzQuIXK6i + KAoEcNcoLiCiqIAIXlHIwFV4iUFEREW+LIKQEIGBkGhCdjB7MmtP79W1//743Dm/vhMykDgkdPJ5 + /5HXpLq66lRV1/OcZz0DA6i0GeZRrlmzJooiLHRWrVaPOOIImEyPP/74WWedhQpYTdPQ5BMXAsWN + 0SaTSVlSX4s8HX6BWAcGu+m6DttD9o/G9SJyVSgURo0aFY/H0dITWeIwy1Op1MKFC9FTZ4i4IITs + S4zYu43myD09PbAxYDMUi0Xkbq1evforX/mKruuWZV1zzTWJRCKXyz388MOonHnPe94D8Z3NZvv7 + +yF2IVXhzmlqakLt/pYtW8aPHw+jIgzDlStX6rr+oQ99SFXVdDqNhi0ILsMlIwYTalG/CNeXYRgw + rmCQIO1Y9jj+85//jMjJunXr3ve+971uqaumaWigtGHDBghQIQQEOoL7QRDUerMGBga++MUv4qPf + /e53UEK12S/wesoIzEg9FELePqBSGdlfMP6DIECNHNzAAEme6FomF6p74IEHJk2atHHjxr02+vpH + 5t+aponlQREGx4qBmOehmW97e/u0adOiKKqt6l67di1E6+mnn97W1obYixhsEL+3LqpekCvtIO6R + TCZLpZJt27jDYRj29vYiMW/lypVCiBtuuOHII48UQpRKpf7+/nw+f+6557a2tiJZq7GxEZGclpYW + pA8IIWRq9KuvvoqTwmaYN29eS0tLtVo9+uijYVeMHj36tddeS6fT6Ekjm2fK1WZ3pLW11fd9pHci + 8IJXGJGQHfeH3q9Wq62trRs3biwUCtKN+LpgDDh7FEXIbkDzz4GBgYaGBqTb4UqR3yHz68RgD6FK + pbJhwwbbtt/97ncjSQ8/+N14XoSQtz8j2YUMkqKhoWH79u1CCNu2+/v777rrrmuvvfad73zntm3b + PM/7n//5H/RBbmxsPOqooxAJ+fGPf9zV1VWtVp999tnvfve7QoiWlpZ//etfQggUxxuGAV374IMP + CiHkytwtLS1BEPz2t79dunSpEKJYLH70ox/N5/NhGP7zn/9EwAcCDokT6JqCNXphTqRSqZaWlnw+ + X6lU1q1bd9lll91zzz1IjTjnnHP+9x6pqvi/efbI1w/DcMWKFWvXrsXlQ51DEEuvD1bpWrFixW9+ + 85soiiZMmOB5Xmdn54oVK3p6epYuXbp48WJcqed5aCxTmyFDyL6B67rbtm1DryEs6ierxRBxRT6M + EGLJkiXr16/H3AhtDG+++ebFixdv3LjxpJNOMgyj9k2k7/9NUq1WgyCIx+OQij09PZCHuq739fVZ + lgWhl8vlwjCEw0hWCfq+n8vl+vr6hBBRFBUKhdq4tOwfRYYB9zMWiyHrCWaDDDkuWrSos7Pz+OOP + v/HGG4UQs2fP/trXvlYul7u7u5G/0NbW9sc//vG1114TQgRBcPHFF/f29ubz+YULF+Ig5XIZGRCF + QuGxxx5bv369EAJm0pFHHol0sl//+tcoCv32t7/9wgsvBEGwbNmyXC7X1NSEnpy1foQhFItFwzAK + hcKqVavuu+++iy++GOc9/fTTUeqJ5SmxwqYQAi3IjjnmGPRSe+SRR5BNLVegG3J8FM1Wq1X0+zFN + s1AoVCqVIAg2btyI5DF4QBCWqVQqTz75pOu6yDWNx+P9/f0f/vCH8elXv/rVdDqN5uxCCP4+Cdk3 + eb3VLf9/3vxKz+Vy+fzzz8fEXa71KwY9MZZlHXnkkc8++yyW6UVtyb/+9a9EIgERc8ABB2A2c9BB + B2HLzJkz5VLfsIiEEJlMZtKkSaeddhoWiPjGN74hT9TU1ASTpqWlBerhwgsv7Ovrc123dtjIQsEW + GSSRHcCwBd2NoyhCk7FPfepTiqLMmjVLetEcx7niiitgq4waNerkk0+WyyrLs0RRhIRgmG1SV9Xe + HCGEqqof/vCHe3p6hixOPPxzIWTvMm/ePPl6ypdimP03bdo0YcKEWCyG8KNsj25Z1pQpU7BydhRF + rused9xxuq5Pnz79W9/61iWXXHLooYcKIVpaWpLJ5IYNG2pfjXCQt+wq3+68efkMNm7cOHbsWNnZ + trm5Gc1zGxsbp0+fjgzYqEb+yGcahuGyZcvgRF+7dm0URajiGLLb/gNuNW777bff/ubXkMYS9UOQ + ejCVSv3iF79AUSX2L5fL3/nOd7BbKpVCrpemaTNmzMB7dMEFF2zdujWKokqlcvnll8PsHDdu3Akn + nDB//vwoip566ikxWE+CeKaiKFOmTMGWD33oQ8888wzOVfu48W8QBGeccYbsJjpEhZ199tno7Oz7 + /t13342zQDUjUrR8+XIx6M474YQT3vve98Lxt+M7K0/9rW99y7KsdDqNlRji8ThSxRoaGm677TZ5 + T6IowunOOuusL3/5yx/60IcOPvhgZIOfccYZ8IDk83mMZJefLiHkLQavvNRZHR0db16KSkYsAoNw + LVwdtm2jHQr04lVXXfWTn/zkySefnD17diqVyuVylmVhwfvOzk7P81paWtavXx8EAQr6P/3pTyeT + ycWLFz/22GMo5mtvb3/wwQczmQwqFDs7O5G3/YMf/OArX/kKJkOFQmHSpEn333//ihUr0Hvx3nvv + XbVqlcyCQFMUtGpVVRXFJ/gu8sQMw5g2bdqll1765z//ec6cOa7rIlg0BKiNa6655uyzz1YUpaen + Z9GiRchILhaLyFiTSW7osJTNZtFLDa0IcJBUKoV+ppZltbW1oYIT6enDL9FASN3hOM7mzZvhyxdC + IBkJJS6bN2+WBQCYTHuet3nz5uuvv/6Xv/wlmjKddNJJnZ2dkyZNkn1U8YrhlWQp/xuCau8oirZt + 24ZqbEVRstlsFEUQOKtXr47FYr7vl0olSNcwDOGjQWGDYRilUgndq4QQsVgslUphPlq7gC95XdDJ + xvM8uaR9bZVje3v7aaed9r3vfe+ZZ5656KKLRo0aheYKyIC69tprP/3pT2cymVKp1N3dfeKJJz72 + 2GMPPPDAgQceqKrqH//4x/Xr11cqFcuybrnlllNOOcU0za1bt3Z2dq5evdr3/ZNOOumnP/0pAixY + cm3evHlr166dNm1aU1PTn//856VLl2Ih6WGeIxRxa2srRnveeec98sgjDz74YDKZRDQV2V+I4wkh + YrFYIpE47LDDfvOb38BqevHFF1HxH71e3RpOXa1Wu7q60KijVCqhZKinpweCAk19sDOy3TzPe/jh + h+++++4nnnhizZo15XL5kksu+e1vfzt27FgxaK0N0xuaEFLXvEEX/46OjjAMUbuJypCR6lgqF+Ut + FAr9/f1YagDlH6VSCb4TuGFUVQ2CIBaL5fP5rq6uKIoQZkEKBJa8zOVy8Xi8vb0dyrVUKq1fv76l + paWtrQ1lplhRa2Tbrfq+n81mc7lcLBZrbW2VhYaE7PN0dHQoinLJJZeIwRXEMcfd1ePAyJdmCSbT + QRAsWLDAtu0oikaPHn3ggQdiRiLrLgh46+QzGR7catz2O+64Q1XVSy+9dGRPAQMGjfgwj+/v7x89 + enRzczPchT09PfCLITEMr0YURd3d3QMDA/CLodFlGIbZbBaNzsaMGQNjI5/P9/f3I20BsTWplEeQ + KIqy2ezAwICmael0GibQiFCpVLZs2bJ+/fqBgYFkMnnAAQdMmDABGR+EkLc5mDBInXXnnXdGUbSr + UnSvNeiQghJyVgzGuIUQpmk2NDTAR4VrQwzasqxx48bBBSjXwNI0raGhAS1Q5DETicTEiRMTiQS2 + oG/YyKbL49SNjY1Y4hqD5wSLkH8HeCWEEKeffjqizLLhL7wVfL/IfoLs7yKEMAyjra0tmUwmEgm8 + DqqqNjQ04L/SdIEvAA36dV1HJwzsLBtjSC2ZTCZRWyJTqUfQepGOCaSfoe3N8HX8u0oikTjooIOm + TZtWqVQQBuTyA4TsV+zNDoOYkSCnS25BztUQSYpUudp+Kf+bAKeqQgi5EJs8AoR77ddH3IBBz7Ha + IQkaMIT8G8gG5XJJO+kXEELUeigI2eeRS4RBc6F3XO0OlmXJlRzRxxIhlNo9ZUROVVXU6MMvULsE + k/i/65uNCLV9NYdoyREBDdxggO2scxohZN9mr+UbyHSR2vx1z/NQcle7G/ap7ebueR5KTYakv6MI + B9nG2CL/O+KZFUNEP+AEi5B/B9gw6Gu0t8dCyF4GAUloMflGRIPtYeRuMsxSqxCxmxi0WNBvQAgB + F2FtY64dT/Hvs2NxGnyOI3V8LHcDjU9ZQcj+yd6MwMAGkKX/aDQp69dlgwKsGoGNKEVFf1Vpk0hP + j5TgQRDgD5guO+757wMPEyQyTiTXrCSEvEl2fGUw9RnStXzPDoqQvYwM5sOGgRdPqkKZM4ad5QuC + OiipT+XLhb7/juMgjIn9a3eDLh5BA0MMqsggCGT6g+/7I+vjq1XuOD6XrSRk/2Gvve21slUuTiwl + qdynVkJBgteG0WVoRVYCyTXyas0euTOaAYzgJcirgDFDA4aQXWJnr4zneYjQopWqnABxgkL2B+CD + g1KTKyaJHXxw8r+yhQYMHmkzIKlMZotJ/yCCnJ7nwRuIjSOov6CRMRgcVq4sNCJgGTcZsBWDYShC + yP7D22I2gEZhO8rlWgtElsfUflEW9Q7JENtREMO39NbNfmS05y06PiH7NkO8v7WlcQCv2J4dFCF7 + BxkSGaLLhv+v3Ci3D1FJtSoVpstb5HRD4AV/vxWuPenHlHlxjNMSsr+x1wwYOR2BrwgCDv4huFJQ + nycGc8ykqJXLYMHBI2Mv0eDKOOjKIrcjo0y8BQUqMnMMNTksgCHk36HWPvF9X9M0+QqLkfbgEvJ2 + Rla/CCHwIkDxycIP+TogkCJ9c1jcDC9OtVqtbYMBVRsNrgAr3y+ZrS3eGi0p32V0vhmRw8pgrJw5 + vG7aBSFkH2avGTCvG6yoTfCt3bO2h8mQtFfp2lEURfYiE/+3+8pbFBiRh93RW0wI2SVq3cbi/8oH + BjbJfsgQi10qsh0VYm1ade1HUiHWqlTM8odsGfHcBDny2nON4Is8ZMC0WwjZD+HMgBBCCCGEEFI3 + 0IAhhBBCCCGE1A00YAghhBBCCCF1Aw0YQgghhBBCSN1AA4YQQgghhBBSN9CAIYQQQgghhNQNNGAI + IYQQQgghdQMNGEIIIYQQQkjdQAOGEEIIIYQQUjfQgCGEEEIIIYTUDTRgCCGEEEIIIXUDDRhCCCGE + EEJI3UADhhBCCCGEEFI30IAhhBBCCCGE1A00YAghhBBCCCF1Aw0YQgghhBBCSN1AA4YQQgghhBBS + N9CAIYQQQgghhNQNNGAIIYQQQgghdQMNGEIIIYQQQkjdQAOGEEIIIYQQUjfQgCGEEEIIIYTUDTRg + CCGEEEIIIXUDDRhCCCGEEEJI3UADhhBCCCGEEFI30IAhhBBCCCGE1A00YAghhBBCCCF1Aw0YQggh + hBBCSN1AA4YQQgghhBBSN9CAIYQQQgghhNQNNGAIIYQQQgghdUNsmM/CMCyVSo2NjUEQ6LouhHBd + 1zCMPTU2QsjbFN/3TdOsVCqJREJVVSGEYRhRFEVRtLeHtl8QRZGqqrZtx2IxIYSu6xDOYRju7aHt + F6iqKrVhEASO40RRFIahoih7e2iEEPK2RlEUCE9MHiqViqqqjuPs6nF2asBAOqdSKUjqMAw1TTMM + A+cjhOzPGIZhGAb8GkEQqKqqDLK3h7a/UK1W29raLMsqFoupVMowDDqY9hi41VEUlUqlsWPH2rbt + OE48Ht/b4yKEkPoATh9N03Rdhzjd1SPs1ICRIRdd1y3Lkts9z9u9sRJC9hmq1aqu65ASmqYJIYIg + EEIwArBn0HU9Ho9ns1khRCKRUBQliiLDMCif9wxQt4qiJBKJrVu3CiFgvfD+E0LI8CAQomkaJg+6 + rodhWK1Wd/U4OzVgFEUJw1BV1VgsVqlUDMOIxWKe52HKQgjZn4nH40EQFItF0zQ1TVNVNYqiIAhM + 09zbQ9svqFQqlmXBtYRoQKVSSafTlM97jFKplEgkXNfFU4iiyLbtRCKxt8dFCCFvaxzH0TQNERik + 4AZBsBsRbGWYqE0Yhvfee2+hUGhoaOjt7W1ra6tUKsxxJ4SkUqnNmzcfeuihvb29nueZpgmfim3b + e3to+wWWZamqWigUmpubK5WKbdvjxo3btm0bHFrkrSYIgrFjx27dutWyrEQikc1mM5lMGIb8/RNC + yPDA6ROGoeM4uq63tbWtWLFiwoQJF1544S4d5w2K+KvVquu6iqLEYrEgCMrlciqV+rcGTgipfzzP + cwdB2kwYhr7vswxgz2DbtmEYSCHDPbdt2/d9RmD2DI7jSFslm81ms1nLslzXZY0oIYQMTz6fj8Vi + KLCPoqh2IrFLDGfAqKpaLpcbGhouuOAC9LphChkhRAjxy1/+csKECe9///styzJNk7X7exjUIN1z + zz26rl944YWKolSrVT6IPUYURajaj6Lo3nvvtSzroosu8jyPBjwhhLxJIEht2y4UCruR3rVTdxGc + SZqmVatVWC/lcpnWCyFECOE4TiwWy2QymLEhk5X5pXuMeDyOIJhMW4rH4+ygsMcIw1AGvsIwhPuQ + 1gshhLwhqJiFworH45lMJhaL7UYb5Z0aMGjHGY/HkVTteV4ymaSCJIQIITRNQx2eGFyTJAgCuv/3 + JPF4HEvBJBIJBN95//cYuNWe5yUSCdu2VVWl9UIIIW8GRVGw+gKcnrXTiV2CCbuEEEIIIYSQuoEG + DCGEEEIIIaRuoAFDCCGEEEIIqRtowBBCCCGEEELqBhowhBBCCCGEkLqBBgwhhBBCCCGkbqABQwgh + hBBCCKkbaMAQQgghhBBC6gYaMIQQQgghhJC6gQYMIYQQQgghpG6gAUMIIYQQQgipG2jAEEIIIYQQ + QuoGGjCEEEIIIYSQuoEGDCGEEEIIIaRuoAFDCCGEEEIIqRtGzIAJgkAIEUWR53mlUkkIkc1mhRCV + SkUIUSqVqtWqECIMQ7k//rZtG//iCHIHIYTrugMDA/JvIUSxWMQOruuGYSh3dhwHXxdC+L7veR5O + EUWR3Ig/MIzaQ9We1Pd9fKVSqcjvRlEkD1V7TAwA45dXOjyu65bLZSFEPp/v7OyUhyJk3wavpHz7 + Vq5cuXz5cvyNNwjvL3ZwXRf7Q5KQEcG2bSnEsGX79u2vvPKKEKJYLAohwjB0HEcMSksINMirF198 + 8a9//es///lP+QT7+/shk6vVKvYhw5DP58XgW9Df3y+EwK0WQkRRJNVToVAQg/ooDEPcbXxaLpfD + MMStloqj9gVxXbdarfq+jxcKe0Jh5fN5HFOeaIjqkT8JPHTocfwqoMejKJKPHiOX48cO8jj4VdSq + aRyn9npfV/H5vl+tVuWPEIN57rnnxODvs/YguBDHcRzHkSq4XC5HUYT/lkolecnUs4Tsk4yYAeP7 + /kUXXdTe3j5hwoSpU6dOmTLlHe94RyaTOfHEE2fOnDl//nwhxJYtW1RVxc6apimK4vs+tliWpWla + tVpVVbVYLPq+XyqVDMNoamqCbDUMQwgRRZGqqo7jGIZh27aqqp7neZ6n67qmaRhJLBbTdV0IoSiK + oijYCIkshIjH49hSKBQmT55smmZ7e/vkyZMnTpw4bty4a6+99oUXXgjDMJFI5HI5IUS1Wp0zZ86E + CRPOOOMM13Ux7CAIMFTP8wzDCMPQ87xEIiFF/I54ntfV1fXaa69dc801Rx99dGNj43/913/J4RGy + b6Pr+urVqxcsWPCRj3xk1qxZ73rXu26++WZ8BAmASUY8Hl+3bt3MmTPb2trGjx8/bdq0Aw44IJVK + 6bo+ZsyYr3/963vzGuqZIAgsy1IU5R//+MeTTz555ZVXTp8+feLEiXfeeWc2m5XSFXM+x3GKxWIs + FisUCnPnzh0/fvyxxx577rnnHnnkkZZlNTc3/+Y3v2lpadE0zfO8eDyeTCb39vW93WloaBBCKIqy + aNGid7/73ZlMpqWlZdSoUY2NjU1NTVOnTp09e/bZZ5+9fPlyz/PCMMzn86qqxuNxx3F6e3uFEMlk + MgxD3GrXdSuVShiGqVQK/42iyDCMeDwei8UwuU8mk77vB0GgKEpDQ4OqqtK15/t+GIbwIYZhGEUR + tGdPT08ikRBCOI6j63o6nfY874tf/GJ7e3tjY+MhhxySyWTGjx//+c9//g9/+AM8gOVyubm5uVgs + zp8/v7W1dfz48TC08FIbhuG6bjqdrr0VtXq5lmw2G4/HVVVdsWLFLbfcctxxx7W3t59yyimdnZ2W + ZQkhqtUqJgO2bcdiMSGEaZqmaVqW5fv+ihUrTjzxxIkTJ37729/2fT+VSqmqGkWR67rUs4Tsk8RG + 6kCmaW7durVYLNq2bVlWd3d3MplUVXXZsmWO41x22WU33XTTbbfdNmrUKMdxINEURYnFYpqmFYvF + VCqlKAqsC3yKvx3HMU2zt7e3qampVCo1NjYKIXp6eiZMmGAYhu/7sVgM4ikIAkhnHFMMOoEgSSHW + 4YyxbdswjHHjxnV1dfm+393d/b/3Ihb76U9/ev311x911FELFixoamoqFovpdNpxnFwut3nzZohj + HDYej+NTIQT8XrquYx7w+jc6Fjv00EOz2WwqlYJvbPv27dVqVRpUhOzDrFq16pBDDmlqapLOYIB5 + GBwQ8ES0trYiODiSx/YAACAASURBVAP3KgwbTdO6urp6enr22gXUORCDL7zwwnHHHWeaZiaTwbR4 + 8+bNqVQKgstxHEhOwzDgA5o9e/aSJUuCIJg1a9a0adNKpdKyZcu2bt3a19cnhNA0zXVd7EmGp1qt + ep6XSqVaW1t7enqKxWIymcxms7iHtm1v3LhxzJgxMGPuv/9+GDxhGJqmOWbMmEKhkMlkoO/K5XI+ + nx87dqwQolwuq6pqWdbAwEBTUxPOhWfd09MzatQoIUQQBHisjuPA/sHsPwgCVVVhhMAQwv61eJ7X + 19fX3d2dTqfXr18P72FHR8fdd9/d2tr67LPPjh49WgiRTqdTqRQiS5lMBgPo6upKp9PJZBKv8M7C + INiuKMqoUaNgL/3iF7+AqsUOo0aNisVinue5rpvJZIQQ8Xg8DMNt27aNHz8+iiJMJH70ox8tXrxY + 0zSIFHlY0zRH8DkSQt4+jJgBI4QIgsC27cbGxpdeeimZTLqu++qrr3Z1dT3zzDN33XXXq6+++sEP + fvDqq6++7rrrEOo1DMMwDEVRNE1DpBu6sL+/v6WlpVqt6rqO9K1HHnlk3rx5qVTqr3/9q+/7EyZM + wM5wI0Eca5qmqmptyEUIIV1BiqJIRavrOgLlnue1trZ+4AMfuPrqq8MwfOihh37wgx8IIZYuXXrb + bbdddtllzc3N5XL5zDPPPOyww/Bd6ao85ZRTNm/efNttt5188skwQvr6+lpbW3d2cxRFGTt27LHH + Hvv+97//73//+xNPPJFKpWi9kP0Ey7LGjh175plnHn744fPmzVu7di3mIvD4Atu2dV1vbGyMx+Ou + 695www1HHXUUNqZSqZ6ensMPP3zvXUF9AzlpWdZhhx124IEHzp49+5577tm8efOYMWMg0yqViq7r + EJKxWMxxnFNPPfWll15SVfXvf//7McccY9t2JpPJ5XLLli1ramqCaJWucYqy4YnH47hF+Xwesf0b + brjh7LPPhlduyZIl69atu/rqq4UQf/7zn8eNG/fss89Onz7dtu3a6FY8Hi+VSqlUSsZhYB6USqWm + pqa+vr5zzz03l8vdeOONH/zgB03ThOlSKBQSiYRpmvgWMrVSqVQYhlCalmV5nlepVEzTROwCQ4WF + YxhGJpOxbburq2v79u0rV668/vrrV65cuW3btjlz5jzxxBNIhRg9evR3v/vdWCwG/2AYhu3t7ffc + c8+vf/3r7du3r1ixIh6PI/NCCFGrpqGpbdtOJBLIyHjnO9951lln5XK5jo4ODAOTAUgMMZioNn78 + eGm23XDDDffee68QAleK4BKuQtM0uEH30JMmhOwpRsyAKRQKo0ePHjt2rO/7U6dOxcZJkyb5vv8f + //EfH/vYx0477TTLsr73ve+ddtppM2fOrPXbWZaF3DAhRBRFLS0tlUpFVVXTNJGptXbt2iVLliBS + DHMlm80mk0lEnPEtBKYhEGHJYE9pw4BsNtvc3JxIJCA3+/r6DMM4+OCDhRAzZsw44YQTTj/99FKp + tHXr1ubmZvi9zjvvPKQRCyFc14UCWLRoUbVa3bx5M7ZAbg5zf6rV6ksvvYS4zfbt28vlsvQwEbLP + M2nSpDVr1qRSqWq1escdd7iuu2XLFvkppmWYoBSLRaRinn322RMnTgyCwPd90zRldjvZDSAMjzji + iOXLl0Nazps3L5/Pl0qlKIqQMgSZjGzbNWvWLFy4cMyYMT/84Q/f/e53CyF0XXddt7Gx8T3veU+l + UnEcB3I7CAJaL28IootCCKn44vH4uHHjhBB9fX2nnXbaySef/P73v/+b3/zmM88809fX9/nPf/4v + f/lLMpksFAowIcIwHBgYaGlpEUIg8FWtVuEBTKVSAwMDhmG8+OKLrusiFaKhoaFarWqalslkZEqC + 53mmaSLegpEgjwC7DUm10jRN0zTbtpFuPXr06MbGxiOPPPLwww//2Mc+tnz58jVr1gghDMPQNO2w + ww6bOXMmoqlisGb1+eeff/7558eOHYvKWCSE4yy155K+S9M0f/zjH6uqquv6Qw899NOf/hSHkj8w + xGGSySSuqLGxsVwub9iw4aqrrhJCjB49uru7Gzkg+GViN1ovhOyTjJgBk8lkEGtGlhd8HmEYxmKx + VCo1ffr0+fPnn3vuuZZlXXXVVY8//nixWGxubg6CYOHChRs2bHj55ZcHBgbK5fIHPvCBs846q6Gh + AdlZ/f398+fPf+6551zXdV33pptuamhoqFQqX/3qV8VgcHzhwoWPPfbYqlWrwjCcPn36WWedNXv2 + bDkw5P6qqoqM2ObmZlTXuK5rWZZt2zgg8olPOOEElEVu3boVF+U4ztNPP/3qq6/OmDHjve99r6Zp + zz333LPPPgvd8Nhjj5VKJd/3Z86cecIJJwxzfxDLRp4bPMpIHR6SH0zIPkkQBKlUCoaKbdumaSLD + PpfLtbW1xWKxWi8+PBHoeCE9EYqi1IZryK7ieV61Wk2n0/B2x2IxeMqRp4QJped5iI1ff/31TU1N + PT09F110US6XSyaTMuKtaRq+K4RwHIfWy5sBE/RcLge9E4vFkBalKEpra6tt257nHXrooX/6058O + OOCAQqHw17/+9fnnn3/ve98Lq9627UWLFq1aterJJ590XXfKlClXXHHFQQcdJIRAtvZrr7324IMP + ohjmgQceGBgYiMViRxxxxLve9S7Lskql0vLly19++eWFCxfmcjld1y+99NKjjz56/Pjx6XS6Uqkk + EolSqSSVEd4+zPtROtXW1tbb29vW1lYqlQ4//PDRo0e/8sor2Wx2YGAA2W6bN29+8sknwzD89Kc/ + LYSIx+M//OEP16xZU6lUtm/ffsMNN7S1tfX09Fx11VWKoshcCWnG4P7AKhZCDAwMIGQUhiES2/Db + i6IIcSRMMKCFTzzxxNbW1hNPPHHy5Mk333yzvAqYeVD9e/BRE0L2FNGwzJs37/bbb4c4k824dsbx + xx8vhJg8ebLcEyoTOI4zadIknDSfz6Ng9KGHHpLF91IRtrS0LFq0CIWkvb29kD6QSkj8FUJs2LAB + zVvGjh2r67qiKBB8+Pqpp57a29sLZYxheJ4HVy7cjTJQI4S44oor0DagUqm88MILmqaZpjlv3jx5 + sR/5yEeEEMcee2y5XM5ms6gkHqK2P/WpTxUKheFvpjzv5z73OSHEAQccMPz9JORty7x58zo6OvA3 + fsby570zisUickePOOIIIcRll12Wz+er1ao8CD7t7e2NxWLxeBxt+oBt2294/P2QNy+f0VYR97C/ + vz+KopkzZ0L6yX183y8Wi/gbk9crr7wSvcvkEeR/Ac6LkoP9CtxqXP7tt98+b9684feXN/bVV1/F + rP3uu+/Glt7e3iiKfN93HMd13fnz5ycSiVQqNXfu3EqlUigU8vn8rFmzpK6BGZ9Kpc4444xsNouD + fOpTnxJCIB8bSlAI8Y1vfCOfz7uue/nll1uWBUUJD6MQYubMmUuXLsWpoyjCm1gul/EHuplFUQTH + 3JgxY+Svq7u7u6GhwbKs0047DRvDMLzrrruEEE1NTblcLoqiTZs2wXiQehmGB15knFEShiEqVG3b + xt9RFD3xxBNQ0MuXL3ccp1KpyG/Jm1koFC699NJYLHbQQQdVq9XrrrtOCHHRRRehkQD2KZfLCDMS + Qt4+QBlJqdLR0fGGUnRHRrIGprm5WQiBTogId8DPJAbzuC655JJvf/vbQohly5bNnj27Wq3CF/il + L33piCOOGD169JNPPvn973+/v7//m9/85oIFCwzDiKLotttue/DBB5966qlUKvXVr37VMIxYLDZm + zBgxmAt73HHHnXvuubNnz16zZs28efMWLlz4xBNPbN++XQiBopTavNsgCAzDgLs3DEPDMFBbKYQo + Fosf/ehHW1paXNf9+Mc/LtudGYZhWRZak8VisTPOOKO1tXXu3LmmaX784x8//PDDdV0/4YQTho+l + uK6LrgPIgtN1PZfL0TNE9hNc18Vbls1mZStCeJdl9QXmOhBqvu//7ne/++c//zllypRDDz20vb0d + /gg2FNo9cG9RG9Dc3CybkeTzeRQtoLkidkOGmKZpEydO3Lp16y233PLwww/H4/Hx48d/+MMfvuii + iyzLgrMcjnNUU+zdC3ybk0qlPM8rl8uyL0UikYBSaG1t7erqam9vRyTk5JNPRonm008/feONN+Lr + q1evPumkky688MJJkyb19vZ2dHT87W9/e+yxx5YsWTJr1qx0Ov2FL3xhypQpV199dSKROO200448 + 8shx48ZNnTpVpmW2t7dffPHFxx57rOu6v/vd7373u98tXrz4scceO/TQQ/FOwWRNJBLo9IW3UgjR + 2NhoGEZjYyNeW7jwHMepVqsdHR2qqmLYspKqUqk0NDS0trbedNNNjz766OOPP55IJH74wx8ikiNn + HrU3B3YXwrNisH61t7cXClrTNBwcEgA5HUhkePDBB++6664wDP/whz8oimLbtqZpyWQS58LBGbYl + ZF9lJA0YdG9EpoGMEUNGQ/YhFqwoyoYNG2bPnu267plnntnb26soCiTU8ccfv3bt2vnz5y9atKhS + qViWlUqlLr/88g0bNvztb38zTfMLX/jCkEn/woULZ8yYkcvlGhsbjz766KOOOuqQQw6xLOupp576 + 4he/iH0gNyHyKpVKOp2W8RPXdRctWvTJT37yX//619KlSz3Pe//733/XXXfhWpD0hcJEnBe2yuGH + Hz537twwDI899thPfOIThmGgP30+n0c8fUdgKaHbEpxMw7QsI2QfA9lKqqo2NjYipRO9XFVVTSQS + mC2hGxLKnW3bvvXWWzGXamtru+mmmz7wgQ+0t7fv7euoV6LBZk1oPAVnihAikUioqipdPJBOK1eu + FEKk0+mXXnoJOT/xeLxSqaxbt27JkiXz589fsGAB7B/INFmcTYYBP/4VK1bAu4fuFEII27bxw4Yd + mMlkGhsbc7ncxo0bxeCDe+WVVyZMmCBLQA888MBjjjkmCII1a9aceOKJtm0fccQRo0aNuvrqqz3P + O+WUUz7zmc+IwT6c5XL51ltvTSaTQRAgDHLqqac++uij1Wp1xYoVuq4jN0w2odE0LYqidDodRREy + DD3PW7Vq1cUXX+w4zvz58xsbG4866qif/vSn48ePF0Kg+B4a0DAMGAzxePwLX/jCpk2bFixYkEgk + rrzySlkeszNkuwIYMPhvNFgeI4TQdV16MXRd7+zs/MIXvhCG4Z133jl9+nRU7MD3UTv3KJVK+JG/ + BY+UELI3eUveaulfQbgDq1D5vg8xHUURUrZQ6CL9KxCXxx57LNyBiHdDEiG3BLmzlUpFLmtlGMaM + GTOEEI2NjX19fb7vjx49uqGhwbZtBKNlw1bYMEKIdDqNTAkx6JVctWrV/fff39nZWSqVPM973/ve + h4Fhn9dtWh9FEeYB8Xgcg8dsYGfWCyHkdZEL4AohLMuqVquWZd10002/+tWvfvKTn1x55ZVCiN7e + 3ksvvfQrX/mKXIaPjBS1y/xhkidXEc3lcg899NCpp566cOHCrq6u7u7uz3/+87lcbvHixd/85jdl + RpBgkfS/h2VZ+XweClEIoWkaehObptnV1aUoSn9//4QJE7D4CfKspk2bhiBDf3+/pmmWZaE2CcYk + fIhCCOQ4JJPJlpYWWC/IKIui6IQTTkgmk6tXr3ZdF4280CdjZ4PMZDK/+tWv5s+fj050733ve2fM + mNHX14ckCE3TkJkmVTOoVCqwIjC23bg5yP2WqhyzgiiKstns3LlzC4XClVdeed5558F+Rr+1aDBp + HJeTSqXYL4eQfZKRjMBIosG+73DeILcKC1Shbchhhx0GT1IURVgHZsOGDZs2berv73/iiSeQbQKz + wbZt2YASIiyRSMiCFtM0IdZt216wYEFzc/PSpUvz+TzWxJT+KgDBJ5fowsFN0zznnHOuuOKKvr6+ + efPmvfLKK3Pnzn3kkUd+/vOfo95miAEjk1hisZhMwxA1vWUIIW8eOfESQmiaVi6XTdOE3YKii+uu + u+78889fuHDh73//+3POOee8887b20Pep5BdoYQQiAzIxYWFEMlk8u6774bjKZ1Of/e7333llVee + euqpO+644xvf+EZjYyNyfshuU61WZbcbIQRajSH20t7e3t7eXiqVUNCiadqGDRteffXVcrnc1dUl + q57QCQC+wkQikc/n0R7ANE2088KJ0Dxz+fLlW7ZssW17xYoV5XK5UqnIRIDhU6A9z1u5cuXy5ctf + eOGFjo6OH/3oRw899NCjjz7a2tqKlTelESs7nqFHc23ap2wLtktgqTesGY0thULhe9/73rPPPvuO + d7zjM5/5DGynKIpaW1srlQrMPIQNh8mJIITUOyNmwESD60bJOkL8IYWX4zibNm3K5XKxWKy9vR3Z + I11dXffff/8tt9yyadMm7AbHXhiGuVyutbXVMIwgCBoaGkzTlH4U6WESQmzfvv3iiy9+7rnn4DVE + WrbneaqqIl9CjhBZbUOK74MgaG9vR53iOeecc/vtt19xxRXPPPPMBRdcsGzZMmT31l7gkOtFbzQE + kWSvs5G6pYTs82DeJhelzWQyyEqCY8IwjGQyeccdd7zzne8sFov3338/DZiRBWHt2i1YikcIkclk + sAp7d3c3YgLY8tRTT/m+v3bt2lmzZslyplpXEXnzQEUiBoLKzGq1iqjFoYceats2TMSFCxf+/Oc/ + /3//7/85jiPXa25paYFZkk6n4cWTH8nVlhHhfOmll/7yl7/cdNNNURShRzmMATxWWCC1aw/syMEH + HzxlypQZM2acf/75Z5555uc///kVK1ZMmTIlm802NTWh4w5OLdt+CiEymQyUNTTpbhSwoacOnI8Y + pOM4AwMDd999txAil8t9+ctf9jwvn8+3tbWtXr1aCPHwww8vX758/Pjx9913H1Ii2SiPkH2SEZtt + y7Vvayfx8u/e3l7TNBcvXiyE0HW9vb0dYu5nP/vZ3Llzt2/ffsEFFzz88MNLliz58pe/3N7ejmJ3 + IQSyWrdt2waPDtxOqEgxTXP16tUzZ85csGDB9OnTr7766s7OziVLlrS1tZmmKa2XaLDLCsyqarVa + KpVyuRxkvRisO8RKyZdffvmcOXOSyeSaNWs6Ozt3Vv8Hh1A8HkfxMSwrxGRG6n4Ssj+AVExR4yBQ + FAX1b+h13tvbO3Xq1MmTJzc3N7/yyit7dbD7IOiJLGo8UKZptre3NzU1FQqFtrY227ZHjx6NCgdF + UeDPRi9gVDHVZuqSXUU640qlEoyNp59+OplMqqo6ZcoUy7J6e3s3btx4/vnnP/TQQ4qiXHbZZS+/ + /PKmTZsOPvjg/v5+RVHS6XS5XBZCoFWXECIWi9XGQwYGBm6++ebrrrvO87wvfelLDzzwwIYNG445 + 5hjTNB3HQdsxVHsO431zXVdV1WKxWCwWTzrppDlz5liWparq448/LgbXhkbiN7yHqqricmDcwnQZ + JkVtV8Elb9q06emnn3755ZeXL1++aNGibdu2aZq2ZcuW559//uWXX0aLILoUCdlXeatSyGTLL5gN + yWTygQcewLrOl1xySTqdxgowN910k+/7991330c+8hHf9z3PmzFjRldXlxjsaSaEME2zra3N8zyI + 9SiK5BIu11133cDAwMyZMx955BGsFtzU1FQqlaSbSgxmsknH0hBnDHRwIpGAd8f3/YMOOgi5K5s2 + bTrqqKN0XZcZcWKwlRlkIlrxKIoi9Tc9PYTsKkgTlbkuaF2I3Pooitra2oQQmUxm+/bt8LCSEQQx + c7ifZHpPQ0MDZNqKFSuwigjiAI7jZLNZNF0YM2aM7/vw8Y/gxHR/Q1ac4w5v3rz5hhtuKBaLmqah + M3JbW9vMmTO7u7vf9a53/fWvf02lUlheecWKFQgviMGsLWBZ1sDAADSU4zixWOxnP/vZ/fffL4RY + tWrVpEmTHMdJpVLTpk1bsmQJWslh5yErPg8B6WoyzezUU0/93Oc+ZxjGk08+ec4555imiYQxhI9w + HKydgBHiGnejW10QBOjbJkOFpmk2NTU9+uijQRCgKU4qlapUKr29vXfccccTTzzxwQ9+8Otf/7pp + mpZllcvlZDJZm0lBCNlnGDEDRrYdk2s1YtHcRCKRy+W6urquvPLKWCzW3Nx87bXXQiP29vb6vp9K + pSZOnIgWIqZprly5El3LoFZzuRz0pRCiXC5v27Zt4sSJsBM8z/vjH/8ohJg8eTL6m8Xj8Ww2W61W + E4nEwMAA1rqSPXbEoBRGqATZKWjKLAtpLMt69dVXoyhKJpMItcuej7VKGgesVCrLli0TNR3GRupm + ErKfEEURuhjJACkmJaVSCc7+7u5uXdfXrl3b19d3yimn7O3x7mvATS5ze6Tv6aqrrvrGN75xxx13 + XH/99fAlQZz+/e9/F0KMHz8+kUjIyqW9Nvr6R4a/0Jzz17/+9cqVKxVF+fKXvwzTvVQqbdmyxbKs + UaNGYRaONMtRo0ZVKpWBgQGYkb7voyNOFEVLliyB6xCVMOvWrcO3pk6dKgbLNbu6umD/wCpAtX1t + 9+EhYJUzIQTMieeff14IYRhGJpPBJcDpIGrKQWVl/8DAwNatWw888MDd64GOBIra3LZMJvO+971P + CIGMsnK53NTUFEUR1qKZNGnSiSeeKIRwXVd2NiOE7HuMWHRVxohhwDiOY9t2pVJ54okn/vu///uw + ww7DivXf//73m5qakOkL8Wrb9pNPPrl9+/Zqtfrb3/723nvvDYIA5YaqqjY3N8fj8alTp6Ig76WX + XnIcR+bXjh492jTNhQsXdnZ2ep63adOmq6++2rKsSqWSy+Vg9ohBFYvmJBCyWGGmXC6jKwsOuHTp + 0q997Wu/+MUvYMycc8452K3WdJHrByPx98UXXxwYGEBrFDHYk4cQ8iaxbRvFcgiZIn+st7e3oaEB + SaTpdPqaa67p7e0VQpx77rl7ebj7HNKAgQwMggBNn84//3zLsjRNu/jii4vFYi6XM03zxRdf/PnP + f16pVL7+9a/DzhRCsIfyv0MQBN3d3Z2dnffdd9+55557zTXXCCGOOeaYG2+8EY8GjcVt2+7s7Fy1 + apXv+9ls9vzzz69UKqVSybbtQqEghEA7cl3Xq9Xq0qVLkWGFav50Oo24zT333IOE6muuuebZZ58t + lUqrVq0qFApoq93Y2DiMgYEEhzAMX3755fvuu++Tn/ykruulUun444+H1STTEGBlwawaO3YsnBHr + 1q1Def2u3h/8zGQuumyjjNRTtOFpbm7GFrhBwzCUa3EKIeBF3Y1HQwh5uzP8OpdvfqXnKIpOP/10 + IUQymZSZVCiah1icNWvW008/LXd2HGfdunXwCclsMcuyDjnkENQXTp8+/Ze//CXO+Nprr8kFfWfO + nPmhD32oXC4HQfCd73wHO6P817KsxsbGtra2WCzW0NBw5plnbtq0SQ4biWFRFGHtNpglhmHIRjqG + YcDpm06nb7zxRsjrKIo+8pGPxGKxd77znRg2HEKXXHIJ8owbGxuPP/74+++/HxbRzm5OGIaTJ082 + TRPBIohj1G4mEolly5bBvkJC+fAPhZC9zrx58zo6OvA33i+4ZnfGa6+9lkwmFUXBErR4bVtbW0eP + Hp1KpeRxgiCAj//ggw/+0pe+dOGFF06ePBlv6JlnnslXYwhvXj7btu37PuzAeDze0NAgZ5Njx45N + JpPyKcjF3W+55RYsg/iOd7zj8ssvP/300+FcP++889avXx9F0cDAAJ4IxOl+BW41bvvtt9/+JteQ + HhgYQNBemn94EVRVxY9cVdWvfOUrmKbj33K5/JOf/AQPIpPJNDU1QRXOmjULj+8///M/u7u7seec + OXNkB51TTz313nvvjaLovvvuO+SQQ7Azyv2FENOmTRNCmKZ51llnPf7443KEYRhinXu57D3UuhAC + hgTqS4UQDQ0NZ5xxRjSoE5GlhkWi5e/w2WefnTRpkhCira3tyCOPPPnkk3d2Z3K5HP64/PLLY7EY + tCQMj0QikUgkUqnUj3/84+j//thyuZzv+6VSCf8966yzYrHY5Zdfns/no0GJVCqV8JgIIW8f8HpK + WdHR0fEmpWgtIxaBKZfL6PaIokBVVRsaGuLx+IwZM770pS/96U9/+sMf/iADu5B0zc3Nv/71r48+ + +uhsNotQ72c/+9m///3vX/va11RVXb16dWdn57Zt26Bi77nnnoaGhlGjRi1evPjRRx+Fx+Xaa6+9 + 8MILkW+GZiyPPvroihUrYrFYPp9ftWrVK6+8IgvrVVV1XTcIgkwmE4/Hkcbmum6pVILnxnXdTCbz + 2c9+9qGHHpo7d65M785kMmiGVi6X0dK+WCzOnTv3E5/4RENDQy6XW7p06SuvvFIul4fx9JRKpebm + Zsdxenp6hBAytq6qqmVZaIuJYkdI5JF6LoS8HZBpHtu3bxeDSzD19fV1d3dbloXXHEVofX19mUxm + 7dq1t9xyy3333bdhwwbbtr/3ve/9/ve/l4VtZFeBI2nbtm1IQMLCI+h0sm3btnK5jPU9hBBNTU3w + ps+ZM+fWW2894IADli1bdt9992Gd36uuuuqmm26aPHmy53nw2WezWdb+vSEIp0Dsy5UA8IPXNG3U + qFEnnnjirbfeunjx4u9///uwEGCKJBKJM8888+KLL25ubi4UCgMDA9OnT1+6dOmPf/zjKVOmCCHu + v//+tWvX+r6fSCS+9a1vfexjH2tsbIyi6G9/+9vq1as9z7vgggsuuuiiZDKZSCSKxeLo0aN/+ctf + Ll269KMf/ajjOA8//PALL7yAJg0oxIcWw9nL5TIGg07NYRg6jjN+/Pjzzjuvo6PjL3/5C9o3K4qS + y+VQL7p+/XpZgTNr1qxvfetb48aN6+3t/cc//rFw4cKd3R+4Dru6uuAE7O/vx3jEYJcdufhBLBaL + ogghpkQioWlaIpGwbXvr1q0I2wZBgB8khrHbS9AQQt7m/O/yjjujo6MjDMM5c+agxmP4Or9KpYJi + PjFYvi/bbklOgQAAIABJREFUa0Y1Hh3ZdAiVKoqidHd39/b2Hnfccfiubds9PT2u644aNQpyzfM8 + dBR55pln2tvbm5ubx44di/AxFHA+n29tbU2lUnJZzFWrVgVBcNhhh4nBDGP8i+oXNKzM5XLQKADJ + aRB2xWJRVVWYVcgxQyS6Wq1Kgbh58+Z8Po9GZGPGjEHq2jA2TDabRawJZ5fbBwYGEIqB8OWqcOTt + T0dHh6Iol1xyiRgs/8WbNcxXtm3bhrWVavcMw7BUKsnZcyqVCsNw3bp1+Xx+8eLF48ePnz17djwe + TyaTmqaxGHcIb14+5/P5ZDIZi8VkRb7rumgbhR1QAVitVlF8aFmW67qYkq5YsWLZsmVNTU0zZ86M + x+OIBsimVbtRmb0PgFuN237HHXeoqnrppZcO/xXZPHPr1q3jxo3DQQqFAnQQ9CMeBxST4zhBEMgf + fFdXV09Pz9SpUxOJxJYtW8aPH9/X19fb29vc3Dx69GiMJIqirq4utNnMZDKTJk2Kx+NYWwl5XPF4 + fMqUKWhTtm3bNt/3kTwGb52sioGhEoZhMpnE2zqkU3a5XIapg5+BzD3DMPr7+/HC4nJKpRLcEFOn + ToWm2xEMEqd4XUkCp14qlSoWi7KXwJA9az+CIZRKpdDJYD/8iRLydgYvr9RZd955ZxRFbyhFhzCS + XcggaiGmZWYX1nVOJpO1XpAoihAYgThrbGycPHkyVp+MosiyrEmTJqGWBqt0IQzied5xxx2XTCbh + fYETt1AojB07FuIeWxBmmTFjRj6fF0KgGkcaKnJgcqNczAuWA5w9si0SusTA3BKDfcbQB2bChAkT + JkyovQMyz21HHMeRshvRHl3Xi8ViJpOB3wjNl3bsCETIPoDnebBehBC6rmO2BJcHJsS1roRDDz00 + DMN3v/vdcgukAa2X3QaNxbCuCG4+hB4SfpLJpGVZxWLRMAysqxiGIbo8qap6+OGHT5kyRdM0SL+e + np7GxkbDMPr7+5uamiDNhrddiRhUPWhLjS2lUgk/ezj1pPGJjXgQlUolDEOsPdDe3o6KF+RhptPp + dDotVwsQQriui4/QcQEb4YZrbGzE+tG6rqM4RL6PQBq0qEGVy7bAESnHhqHK4nhpvqLflxAiCALk + YojBtWhTqdRhhx0Gpbmzm1NbbQ97CQf3PM/3/YaGBoRZau0oBH/k35qmwXrBSGR3NSRmD6OaCSF1 + yoilkCFxVghhWRZWnoKkMwxDSplyuYwydyzcm81mhRDVarVQKJim2dfXF4/H4QpC+i+sCMzshRB9 + fX0Qc5BuAwMDSPpyHCeRSCDzwbZtwzAgreBolDMk3/cdx5FrtsA+gU9XrvwFEFSxbbuvrw97Yufe + 3l7o+0wmg+P4vl+pVIIgQA/oYYogcU/6+vqKxaKu6wi7ZzIZGKDIu4sG6w5pvZB9DLxBPT09KJyQ + BQAQGuj4h+pbuH5lB44gCAYGBuDN7e7u3ntXUPfIOWg02GoF2V/JZBJiOZFIwIkjHUmKovT398Oh + LldFbGtrQyy9paUFSVC0Xt4QrHeMTpuorRdCQDFh/RZ8ioUpoYygGlD+YZomPoK+g7MPOQhQGYZh + ZLNZ2DzQp8gHQ9UTbBKs8lwqldAxDA9RDg/KF8OQRgKGXbsktExb932/UCgg7IN+PEIIeOUwpFKp + hF9XsVjEyGF9vS74aaEzQalU8jxPrmMbi8WQHIG/4/E4GpwO+Tr6AMkUBvwykexA64WQfZIRM2Bq + 19mtVqu9vb2Ik4hBy0EIAb+IEKJcLvu+39zcXCwWkZPgum5raysC05isQMrDklFVFSsPZLNZz/OQ + V9bU1ARBjFZm2WwW9SRCCKiHIWaAruumaRqGgdA8dDb2z+fzUmTLP0zTbG1t9TwPve2FEG1tbRDx + YrAdGcwbbBl+NTdM0VpbW+ElqlarkPIYBuYHWEUO94qQfQm8HaNGjYLPHr9/hBxRMicGczWRF5pK + pdDGEM1Y8fpI1zXZVaLBXvAywKvrOiSwGBSDvu+7rotqCik8W1pa4FCXYWH46SG+fN+Xcp4MA6oo + YXWIQXu+WCzm83nTNFOpFOL8qN+QHeFgzARBgDhMPB7v7u6G7y8ej8u8A6RXJZNJRBvg5kskElif + vlKpqKqKsk/EQ8rlsqZp6PiH5yjXmiwUCsjmwn9xZLy8cnVprB2ExjlYcg1GDj5tbGyUCxXgi+l0 + Gtc7TAQGLzistVQqJZMphBDxeBw2CSwl/PxQjBpFEUZoGMaYMWNw66rVqlzQMx6Pu66LHzkhZB9j + xAwYJITAxRKPx9va2tLpNAQQYjK+7xeLRYhv2Twes3l4aMRgHBnWBYraIQrhUsrn883Nzbqu53I5 + nAgVKWhg39zcbNs2ju+6LmwkqO0h4W9kxOJc2NLQ0IAlMuG1kjsjiSIej2OlFyGEbF8m7RyIZvio + hrFhsFYxXEeO40ifJbJicEzpdSZkH0O20EApcDwel5XKMkgrK9CCICiVSpZlodwZU5Zh3LfkzSBX + 7JXJsVg8BJ/CiY51sRBP9jwPIh1iHP1FhBCok9F1HZ4guJPI8CCcYppmMplEtEEIkU6n5d1zXReJ + CWEYwiaE6kGFiawngQ3f3NyM2Tz0HbrRoAAV2g1F8A0NDVh4VK6VGYYhVkfB24SYhu/7qEATgzZG + FEXYAUWbtm1jQUkcHG9uEASwvgzDwIChxHGxeKmLxSKMByjxYe5PIpFArzAY2BgDxIKmaZgPwHCC + PxH3UOaGISKEX2YqlZJaFXmn8kdOCNmXGDEDRgih6zrEH8SNEMIwjNoZfzqdtixLOnKwCJcQIpFI + yC9CBlmWhSQryPRMJoO2ZkgyaWxsRNAGcgrOLZgxEGfJZBKi2XVdOLGkaSH9iNK2gSjEedFKXzql + 5FLHQggIYsRJZIgfZffYYfi8L2gguI5wXjiQoFpq85jlAQnZl0DDJUVR4AtAgrsYzAjNZrOYoGBn + mRMvV0scxn1L3hB52zHXhHcGM1Fswaf4F44bXdchmbEqMRztYlAGCiFM02THkTcJ7BDpBRM1KyNL + lxZuL3KlYOpDv8DyQcAEuX9wtOm6jmoZmBaItMD919LSAnNCto2B/QClIwYNFRmpkIaKECIMQ+QC + SE2NFYFkjMV1XaSWNTQ0oNAUPyQoX9hI2LOpqSmdTlerVYRrhlknDTcH2Rb4dUHhQhuapikdhTIB + WwyWvgghEM6VbkFcI8JEI/gQCSFvK0by9ZZl+rUppzumn0qZUlvUvrOd5Udyoi/7d9UmXiNzd8ch + DdGvtS16ZDqE1Mo7jrD2KxDxtfaGXP1tx4O/LvL+YFS1BTMYAyZtcl0aQvYl4G7AbAx/4JXBiywX + gxKsAXtrwG2Hy18+BTEodvB0IKOGiM3aZmXk3wE/dUh4Kf9ft4LodV8B2eB4yOPA85KfQo3WajTU + t9TuXHtACb6IeEXtbnhP5ZbaAePnhC9KA2xIW2353+FrUWrr+MWgiSWPKbfXbpEjwQ2Ru8l7O0xV + KiGk3hnJCAwhhBBCCCGEvKXQgCGEEEIIIYTUDTRgCCGEEEIIIXUDDRhCCCGEEEJI3UADhhBCCCGE + EFI30IAhhBBCCCGE1A00YAghhBBCCCF1Aw0YQgghhBBCSN1AA4YQQgghhBBSN9CAIYQQQgghhNQN + NGAIIYQQQgghdQMNGEIIIYQQQkjdQAOGEEIIIYQQUjfQgCGEEEIIIYTUDTRgCCGEEEIIIXUDDRhC + CCGEEEJI3UADhhBCCCGEEFI30IAhhBBCCCGE1A00YAghhBBCCCF1Aw0YQgghhBBCSN1AA4YQQggh + hBBSN9CAIYQQQgghhNQNNGAIIYQQQgghdQMNGEIIIYQQQkjdQAOGEEIIIYQQUjfQgCGEEEIIIYTU + DTRgCCGEEEIIIXUDDRhCCCGEEEJI3UADhhBCCCGEEFI30IAhhBBCCCGE1A00YAghhBBCCCF1w3AG + jO/7mqapqiqEiKIIW/bQuAghb2NisZht2/gbYkHTNM/z9uqg9iMgkKvVqmVZjuNASiuKsrfHtb+A + W62qquM4lmVVq1Ux+FAIIYQMg+d5mqaJGpvCtu1YLLarx9npF6IoUlVVSmTIa8MwXNfdnfESQvYh + VFXVdR1/Symhqirlw55B0zRN0yDxoQmEEIqi8P7vGQzDwB+4+XgQYRgGQbA3h0UIIW97pM6Skwdd + 1+GG2yV2asAEQRCLxXzfD4IgDEPXdXEmKbgJIfstlUoliqIwDFVVrZ1GS8FE3lLCMBRCqKoahqGm + aYqiRFGkKArl8x4jiiJN0+RbIITg/SeEkDePdP2EYVipVHb568N/7HmeYRiqqiaTSWyB4iSE7M/E + YjHDMGTOUhAEvu8rirIbUWCyG8BiQbwFNoz8d28Pbb9Amo5hGDqOAwefoii8/4QQMjy+70dRJGcL + iqIkk8ndSB/Y6WwDh3Zd1zRN3/djsVi1Wg3DMJFI7PagCSH7Bo7jxGKxSqWSSCQYe9krVKtVXdd1 + XQ+CABllQojdiMKT3QM3PAgCXdejKHIcJx6PswyJEEKGpzZSHUVRpVKBJ2hXjzNcDYyiKLquZzKZ + arWaSqXi8fhuDpYQsm/R1NRkmiZqlxOJBErm9vag9iOCILBtu62tzTAMx3EMw/A8z/M8Opj2DJVK + Bdaj4zhtbW2u69q2res6zXhCCHkzhGGoKEqlUqlWq+l0ejfyb3dqwEhPUl9f3x//+EfP84IgqFar + pmnu/ngJIfsE1WrVcZy//e1vxWJRVdVyuayqqud5lmXt7aHtFyAwvnnzZsMw+vr60C7SdV2mMO0Z + VFU1DANV+93d3a7rFgqFWCy2G05EQgjZr4C7JwzDZDIZhmE6nd64ceNuGBc7NWBc19V13bIsVVXz + +bzv+8lk0jAMdookhGQymUKh4DhOuVw2TVNRFMuyMKve20PbL8Btnzhxoq7r1Wq1UqnE43HXdVmD + tGdwHCeKomq1Go/HJ0yY4HleFEXlcpkRGEIIGZ5UKqWqaqVScV0XGQSmaWYymV09zk61HaI5URR5 + nnfllVd6ngeDiYkihJCOjo54PH7hhRcKISAWXNdlC6Y9TEdHh+M4c+bMoXzew+BW47bfcccdqqpe + eumle3tQhBBSH2DCIHXWnXfeuRvha2o7QgghhBBCSN1AA4YQQgghhBBSN9CAIYQQQgghhNQNNGAI + IYQQQgghdQMNGEIIIYQQQkjdQAOGEEIIIYQQUjfQgCGEEEIIIYTUDTRgCCGEEEIIIXUDDRhCCCGE + EEJI3UADhhBCCCGEEFI30IAhhBBCCCGE1A00YAghhBBCCCF1Aw0YQgghhBBCSN1AA4YQQgghhBBS + N9CAIYQQQgghhNQNI2nADAwMDNli27bjOEKIUqmELdVqNYoiuUMQBEEQ1H7F9/0hB/E8LwxD3/fl + F23bFkJEUSR3DsMQhwrD8A3Hia/L8eAUcovrutihWq3KsbmuG0WR/GIQBK7r1h4TY6tUKvJQ2CcM + wzAMcRNwLtu2sU/tfQC5XA5frFQqb3gVhNQR+M2HYRhFEV7S/v5+Mfi++75f+0JJcTHkLZPvexRF + 8t2sfXnJzgjDsFgsCiH6+vrwX9d15T2EZCuXy/ivfCjYKHfL5/OiRky5rosntaPQJkOQ6kNqSfy2 + oyjyPA//YrvcQSqIarUK3SFqbnUYhtCM8hR4fLW6Y5jngseHHcIwrFV2OG/ta+V5Hj51HCeKomw2 + iyN4nud5Hi7Etm0cRw6v9jLlhexsPFK3lstlqEv5lSAI8NPFkDzPq9XaO16jlDBBENTqekLIPsaI + GTC+7zc1NQkhstmslFOWZZmmmc/nU6kUBE08Hvd9PwgCSElN0zRNgzCFlIzFYkNkn67riqLEYrEo + ilzXdRxHVVVRI8GjKFJVFYdSVVXKr51hWZbneZVKJQxDTdNs29Y0rVQqBUHg+75hGJZl9ff3x+Nx + TdO6urpc1zUMQ1EUy7JwBE3TDMPAeDB4yNxEIqHruhg0zGKxmKqqqqqaplksFuPxOM6u67pt24qi + 9Pb2QutgTtDY2CiEsG07kUiM1HMh5O1AoVDAm6soCt6alpaWMAxjsZgQIhaLGYbheV65XHZdN5VK + 4Y0wDMNxHMzwsFupVMKkWdM0MTibwcSaDIOqqul0WgjR2toqhCiXy4ZhaJoGUYl/cUvF4BQWs8Zk + MgkxKIRoaGjI5/MQU4VCwTCMVColamarZGcoioLbC7UFX5vruoqiBEGgKIqu69AjqVQK6qNYLJbL + 5TAM4/E4dEehUBCDbkFVVXVdV1XV933o1mQyKQbNGOgjvFxQdtVqFboSZidUGHZQVVWq3YGBAbxf + uq5LSwbqNZfLmaapKEpzc7MQwnVdXdcxbFygqqrxeBwWstTR0MhS++/s/liWFQTBwMBAMpk0TRPW + UaVSgRbGOKFbdV3HcXRdhw0D3Q0Ty/d9RVHCMMxms1DZ0voihOxjjJgBoygKhGZzczOsFAiyYrGY + yWSEEL7v/+tf//I8DwZJrWMGwlTXdU3TILIhOnVddxzHdV34ilRVNQzDNE3TNPEty7IwJRoyEkjP + 16VYLGI+lEgkbNvWdR0HSaVSEJT9/f1yXtXd3d3e3m4Yhhj0Pm7btg3yHSPEJMAwjHg8Lh1mEL6w + puR5E4lEGIaVSiWXyz333HMrV64UQrS1tSWTyWq1ijkBdA/dmWTfo6WlxTRN27Zd143H45s3b37t + tddUVfU8D28WPMfJZBKvT62zABKjWq3CtkmlUnjlc7mc53npdLqhoWEvXlpdkMvlfN+XEga3d8OG + DZ2dnUKIWCzm+z6EnhBC07QoipqamiDDlyxZsmXLlgULFixevLihoaFYLDqOg+kyxDgNmDdEOrla + WlqKxaKmafF4PAzDgYGBeDweBAEMQmgNOOMymUwymYSJAuUCS8OyLPmkov+PvfeMc6pM///vk5yT + 5KTMZHqjOXQQVARRRIosKKAgYi+oqLiKyop9F0QQ1wari4sISt2fqygIdhYFpYgivSgCw9BmmJ5e + TnJOcv8ffP5zv/JVGZFFcOB6P+AVMsmpOdd1X51zePcikQieoJycHLzPOY9EIpxz7Mtms0FXCrMz + NQVAmDoZGRkwdIPBIByR0MvxeBxKCgGi2tpamByMMZhGhmHgZ5CdnW0YBp70ZDIpSRJ+WnBcHu36 + xGIxs9mcnp4ejUb9fj+sI8MwPv74Y8aYkAbJZDIUCnHORRBGlmXoblxeXdeDwaAsy5mZmdC/iURC + WOYEQZxOnDADxmw233333Q6HIysrKzc3t1WrVl27dm3evPmll146ePDgl19+2Ww2FxcXK4ri9XoR + lMAX4ZHSNC0ajUajUavVKssyLBxJkjjnMFqEyIbTRWQ7xGKxn4jFRCKRGoP+CS6XS1EUi8USj8eb + N2/ucrkKCwtbt26dl5dXXFz89NNPl5SURKPR9PT0cDicl5fHGLvvvvsKCwtvu+02xlhhYaHT6dR1 + XZIkrKJisRh2J7IyEokEhD4ORtM0SOdQKDR58uSMjIzevXt37dpVkqQHH3ywoqICjiXGmCRJuq67 + 3W7KiiFOMyKRyJ49e9asWXPXXXe1bdu2Q4cOEydO1DRNURQRb8SSZeLEiYWFha1atcrIyMjKysrJ + ycnJycnLy8vPz2/dunXbtm3ffvttBAfcbje+SwvoX8XtdpvNZofDceDAgRUrVowZM+bss89u27bt + kiVLNE2DgIXIgqsIMm3btm29evXq3bt3t27drrnmmm7duhUWFn7zzTdWqxVSC86dBjzrBLBYLDAM + tmzZ0rFjR1VVc3Nz27dv361bN5fLVVRUNGTIkJEjR65YscJsNiPSAiOEMQZ7gDHmdDqR4Qx1CU8c + 7prdbhcqEqlTkiTZ7fZEIoEsAMZYNBoNBoPIc4abIDWN0Gw2Q+HiHZfLpapqZWXlyJEjc3JyOnbs + WFBQkJeX17Zt2zFjxnz33XdVVVUwbLKzs00m07JlywoLC1u0aBEKhWBlIUlBOPIaNiSsVivCSqqq + Hjhw4LXXXvvTn/7UvHnzG2+8cfv27Ywx5GjA1ShJks1m++STT1q2bOlyudxud5s2bZo1a5aWltax + Y8dzzz33o48+YuQKJIjTHfkEbquqqgp+IJfLVVNTwxgzmUyHDh1ijG3YsGHs2LFLliwZMmQIAjKs + vogFoXCxiI/FYrIsJxIJiD8oyMrKyvz8fK/Xm5GRAfPAZDIhCg9DSIhdcz0NHGcwGEwmkzBRRBq3 + qqo1NTUTJ0587rnn+vbt++9//zsnJwfWSE1NTUVFRXl5eSAQgKqGRMbxWK1WqJl4PK6qajweR+YY + 9oVEMlmWPR7PxIkTp02bxhgbOHCgzWZ77733Xn311SNHjsybNw9uZrvdHgqFUq8GQZweVFdXt23b + ljGWm5tbXV2NxTQeXpgxcAfIshwMBmtra202m6ZpLpcLIVlFUZLJZCAQ4Jzn5eW5XC4kdsI5Tc/L + sSBJ0g8//NCxY0fGmNPphNwrLS0Vnnjk3uC/NpttzZo1d9xxx+HDhxljvXr18vl8wWDQ5/PdcMMN + zz///KhRo+LxeCQScbvd4XAYARmiAWKxGKIHyAHTdb2mpsZkMjkcjlAotGPHjvXr18+dO/e6666b + N28ekq+CwaDL5bLZbLAnYcaIcIRA0zSkJ9TW1jocDlVVkc4NCwdKFov+1GwFJG+L7EFh5yCcgqzp + 7OxsPI8iwiPL8rRp01577TW32/3FF18UFRVlZ2dHIpFQKISwDNyRNpsNhaOMMew0EolEo1GEcX7x + 4sBlOX78+BdffBFvIm6DjDWkeOCYkXSnqqphGKFQyGaz7d27lzHmdrv379/PGKusrER6uTDLCYI4 + /TiRBgx0WEFBwVdffZWfnx8IBOrq6srKyhYuXPjvf/+7sLBw2LBhkyZNGjdunM/nc7vd8DDBRQQZ + xzm3Wq0+n89sNrtcrkgkgm1++umn06ZNKy4unjdvHuwfIcRRwSKMFlHN/3MpD5Bzgteapjkcjhtu + uGHixIllZWVfffXV888/7/P5NmzYsHDhwvvuuw9hluuvv75Tp07Z2dkulwvHGY/H+/fv7/P5xowZ + c8stt4iDZ4wJ6wWHAQOMMTZ//vxp06apqvrxxx93797d4XBs3LixW7duixcvbtWq1fPPP4+POZ1O + Wg0Qpx/RaLR169bnnHPOgAEDXnnllR9//PHIkSN4cBBFESHZG264oUePHiilKygoCAaDSJJZsmTJ + 8uXLGWN4flOLN1LTn4hfJBKJ2O32jIyMFi1anHPOOb169Zo5c+aePXsyMzNVVRVrVqxikZg0fvz4 + w4cP9+jR47PPPoPU3b59+znnnBONRrdu3YrPQ76RvPpVDMNA8vOhQ4f8fr/dbn/22WevueYav9+P + IpZFixbNnTtX07T33nvv008//frrrzt37ixUFX7nqqqK+lJEUVCUgiS0eDx+22237d279/nnn7/6 + 6quRrS32LuwTxlgsFhNp2DAJcMcZY/APisOGCcEYczgcpaWlkUhk9erVr7766qZNmwKBwOOPP75s + 2TLGmN1ub9u27eOPP44Ur4yMDHgW3n333ddff91qtS5duhTOx6NdH1wcXdc9Hk/Hjh3vuOOOffv2 + zZgxgzHm9Xrz8/OFXw8eT8aYpmm1tbVZWVlvvvlmdnZ2IpEIh8Mul8tqtbZv3144N3+SYU4QxOkD + b5CZM2fOmDEDlX8wNo72yUQiMWjQIKvVmp2dLd70+/3oWzJz5kyn0wm30BdffIEKRfiH4CJCWFy8 + g935fD7kZU2YMIEx1qRJExwAtsnr+7ccH6Jby7333ss5R3u01atXQyVfccUVqacGHYNDDYfDfr8f + oZh//OMfCMQjkoN8NnxLhPg559XV1QjxP/roo3gnFAppmvb666/jGOBaLi8v55wHAgEcDEH8YZk5 + c+asWbPw+idP5S8SCATwMZ/P1759e6fTOXLkSM65x+PxeDypDzKefc45njiwb9++fv36McbGjh1b + U1MjPiZ8zCf05BoNxy6fOefRaDQUCnm9Xs65ruutW7eWJOn+++/Hf1Ovoa7rlZWVTqfTZDJNnjwZ + b0IoPfXUU4yxnj17IlM3Go3yM/L641Ljss+YMWPmzJnH+K1Vq1ZB5s+fPx9v4sEJBoMlJSWjRo1i + jOXk5AwbNgzqAJcd/2J3IpIDcPETicSBAwdQ3DJv3jzOeSQS+fnvARE2znl1dbV40BCH+fnR4uEa + MmSIoihNmjThnB84cIBzvm/fvqKiIqR2c85xMOFw+CcXJxKJQHE7nc66ujqe8mj/nNT1QDAY5Jx/ + 8sknLpfLZDLt2LEjVePHYjE04Pn8888ZY82aNcNPEVcGZT+cc/wysVPSpwTxRwPPrJBRs2bNOkYp + msoJc1uaTKbq6mqLxQJ/anV1dW5uLvx2Xq931KhRJpMJ0nn8+PHr1q0TdYR79+795ptvtmzZUlFR + EYvFhgwZcuONN8I8SE9PLy8vX7FixZIlSzIyMsrKyubNm1deXp6RkXHttdfm5eXJsqzr+qZNm5Yt + W7Zx40bGWNeuXS+//PLzzz+/gayS2tra7OxsEaKx2WyI1Mfj8R49euAdkZkmSdKyZcs2bdrUpUuX + 3r17O53OzZs3L1u2jHPOGNu2bdv06dNDodCAAQNQ1iKcW6y+MrKmpmbbtm2RSCQ/P79Xr16MMcMw + UKzcv39/pMosWLBg9OjRhYWFoVDI5XJR1xTiNAO+ZIReJUkKhUKqqvr9fjg1GGOapkmShOIKpMtD + uiFvZPHixStWrHA6nQ899BBcJOiShBTNUChEdfwNAxGH4nvcBYfDwTmvq6tDMh6rb/Vrt9slScrL + y9M0zW63b9myhXOOAEI8Hv/qq69UVS0uLkbOEjaOniWn9PwaAQiDyLLscDhErxqoDJTvt2zZctKk + Sd98882OHTuWLFny2GOPFRQUQBdEo9Gvv/565cqV+/fvDwaDbdq0ufbaa7t37w4Hn6qqy5cv37Nn + Tyg8UpG9AAAgAElEQVQUkiTps88+q6qqSktLa9q0ab9+/ZCBtmPHji+//HLHjh24xQ888ICu67m5 + ueg/JpIgxI9BpGAh283tdnu93ubNmyeTyeLi4nPPPfeTTz5BgBSP9u7du1evXh2Px8eMGYOU6ffe + e2/p0qVI4po3b56madnZ2VgD/BysBzweT2ZmptPpDAaDFotF9MGzWCxogSPLMtYGKN9njAUCAfQ5 + EPFAWHTIYdN1ncKDBHHa0rB985s8fAMHDmSMNW3a9Cc+VOE9atmyJdYZhw8fhgX2zjvv4DAQoMDS + 32Qyffnll9hddXU1/goRjw8rigJHSzQazc7OFgaD4Morr4RbCB8TxwOvDJK7eH1fl3vvvRcdVDjn + 33//Pbb/wgsvCIfQLbfcwhg777zzOOder/fxxx8XOxIq/LHHHhPXAbtDWIZzruv6/fffzxhr3rw5 + 3hGtVDjnHTp0YIzdcsst+BOcT7/oDyOIPw6/NQIjPpZIJDp16mQymW677bYG5IlY4SWTyR9++AHN + zRcuXHjCTuC04Njls2ihKz7WuXNnxthtt92Gv+JNfAyvR4wYwRgzm81vv/0257yurm7v3r1YNe7e + vRudIXHTz0AP93FEYKAN165dC2th/vz5uNoej4enROzfe+892BLQKVBhrVu3Zoylpk9nZWVdcskl + YuOjRo1KteGRLfbEE0/g6zfddJPQnvi6oigtWrSAIub/N37C638G+FUMHjxYkiREYMQpwyq46qqr + 8Kau6zNnzkTPNASOkJ3I6nsfo3MaPBeiQZnYV+pvT+xi6dKlOODdu3cLlZ36mbVr1yqKkpaWhnPE + B/BThHrFjxO9Co/trhIEcZL4Y0VgjgbnPB6Pw7E6YMCAmTNnSpJUXl6em5trsVh8Pl/Lli0HDhw4 + aNAgs9m8du3a6dOno7bku+++Q4R6/vz5r7766saNG9PS0iZNmmS3271eL6SeLMt5eXlnnXXWmDFj + mjZtWldXN2XKlF27dn300Ue7du06//zzhYHBGEMpsMgMRmovGvDDnVNaWjpgwAC3222z2R588EGo + agzSEtnDbrd72LBhGRkZTzzxhNVqhRuMMXbhhReyevcVPoyWSvhWVVUVY8zlciHhGA2g8Sd4oA8f + Pgyl1UADaII4c8ByBw/L6NGjE4lEr169rrrqqlN9XGcKuq6/8MILX331VVVV1Y033vjll1/26dPn + pptuYoy98847BQUFouEvS6lfIo4DqADUsXDOL730Us653W5fsWIFq+8NU1FRcdlllw0ePLhz585e + r3fatGlffvnlmjVrtmzZ0q5dO1VVb7zxxpYtWz711FOGYdxwww0XXHCBJEldu3ZFJzG32926devR + o0fn5OSkp6cvWbJk1qxZBw4cWLhw4W233XYsMQqXy4XS/JqamgcffBDDWCZNmgR9iqcVnQYQIWnR + osX48eM/+uijdevWpaenjx8/XpbleDye2i3gfwEN09AO9F//+leTJk0KCgq6du2KSI6w1mKxWHp6 + Ov/ZzGiCIE4DToYBgxCzrus9e/acMWOGoig//vjjBRdcwBi78cYbr7zyysLCwkAgkJaWNmDAgA0b + NixbtuzAgQNQim63e8SIESUlJRs3bjSZTGPGjGGMYX4LhNT777/fpk0bFNW43e78/PyLL77YYrFs + 2rTp3HPPTe2XjyhzJBJBjgrnHBNjli1b5vf7v//++40bN2ZmZg4dOnTs2LE2m62uri4rK8tmsyE2 + LfrZd+3atU2bNk888YRhGBdddNFdd92FWWOMMdE4Ep+EmJYk6eDBg2hXbzKZUE0rCv3z8/MlSdqz + Zw/ewUlR3SFxhiOyQWbOnLl58+ZEIjF79uxUfwTxuwIBu3Tp0i5duhQVFc2aNevtt99mjC1evPjq + q69mjKFnlKIogUAAku1UH3KjBOlb8JGZzeZIJJKZmWm32yORSF1dXVVVFVr579q1Ky0tDREYn8+X + m5vbt29fq9X6xRdfnHfeeYyx3r17t2/f/oknnuCcDxo0aPjw4aI9Zn5+/oQJEyZMmOB2u/EEderU + 6f3336+trV2/fv39998PVYuO/0czZnbt2vXwww9XVFQsWbLE6XS2bdt23rx5Z599NloIMsaQx4Xe + zZxzRVHuueee8vLylStXFhQUoNAUw2phwPyPOk6WZQwpisfj48ePh+3Us2fPBx54YOjQoTabLRqN + ojsFq08F/192RxDEH5Df3YAR4Qsh6Xh9WbyiKFarFUJZ9Fa+5pprVq9ejUV/eno6ui7ylG6M8Ehh + y5IktWnThjFmNpvRSB4NvkKh0KFDh7BfzrkwJFh9Lj5ULz65Z8+ew4cP4wA8Hk9xcTH6vWZlZSGi + AskuZk0kk0mM3dR13Wq1ikUVLJNkMplqwEBeYzhmauRHhMBwPFVVVThIkZH8e98XgviD4/V6LRbL + xIkT/X7/mDFjmjVrltpYifi9yc7Ovu+++2w2W3l5OaufWfn000+73e6LL77Y4/EUFBSglTyqO071 + 8TZK0PPNbDajmAQDGTMzM1GCD9MiFAo1adJEfMXtdvfo0QPRDI/HwxiD+09VVShK0S0TfbFRB+Jw + OFBYEovFioqKunTpsnHjxsrKSla/vkdn86Mdp8vleu2117BNTdO6d++elZUVCoVELStcb9hUMplM + JpPCfgiHw8j6Rh64GKH2vxAMBrt27frWW285nc7169evXLmypKRk7dq1paWlNTU1o0ePFqYLq7cS + /8c9EgTxR+N3N2DEmsNut5eVlWH+QKtWrSDRUPG/b98+XddLSkpKSkqWLl2K8QI2m83tdiOHBMV8 + sFs0TYPN4PV6s7OzGWPV1dVOp/Ojjz5SVbWkpATzDYThBCMEFgurb42v67rFYgmHw06nc/Dgwfff + f//OnTtXrVr1ySefTJgwYcmSJYsWLWratCmsFwzNRC9XxphhGGL+V2qtv+jrmlrPilHEcErhA6IG + EclmSAQUmgM5Zr/3TSGIPzjRaDQjI+Ohhx7CXO0XXnihpqYGU8aJk4Df77/77rs/+OCD7OzsZcuW + bdiwYfz48ZWVlTt27Bg0aNCOHTtat26dSCQgwJHNe6oPubGCqwelhlbCiCcUFxe73W5N02AkhMPh + AwcOlJeX19TU7NmzR1EUPCOwITnn4XAYUZdgMIiaFmwzEolkZGSEw2FN0zZs2BAIBMrLyw8fPhwO + hyORiNlshjJq+CAxAmj9+vVLlix58803Z8+evXz58qVLl2KsEAbRwIeIfGxhOaD3A6t38P2iIXEc + 9ozL5XI6nUOGDDEMY8iQIYyxtWvXDhs27MiRIw8//HCvXr06derEGPP7/ZmZmfTjJIjTkt/9wUY0 + 3G63m83m77//Hm3si4qK0K1L1/U5c+ZMmDABVSIOhyMWiyHXC4uVWCxmt9thqGDur2iNjxc7d+6c + PHnykiVLICURsRFtxDDSXshH0eRedN0JhUK5ubk9e/bs2bMnBiEPHz58165dw4YN27JlC2LiKOAR + Ih6NUMT8L1Hkk6oDhA2DVN1WrVrt2rUL85XxMSHH4d1EKT8cReQrIgir1bpr165XXnmFMTZlyhST + yZSTk0Oe1JPGpk2bPvzwQ7PZ/J///KdDhw6dO3e+8847R4wY8c4778RisTZt2vh8vvT09Jqamvz8 + fKqBOW5E10oMQMN4NIyB7tChQzKZDIfDNptt2bJlb7311ocffoguLy1btoSdAA3FGBMhFI/Hg1nS + sCIMw8jIyCgvL3/uuefeffddbDk9PR1mksPhEIt7dPyDRfpzevXq5XK5unXr1qdPnzvvvHPgwIEl + JSXnn39+aWlpYWEhsgkURcEgARwMYwyjM+GSgxL/OccdjZEkyel0wtjjnPfs2XP+/PlXXXVVLBb7 + 7rvvOnXqZBiGyOwgCOL042SsBiAiq6qqvv32W8MwmjZtmpmZCak9YcKEP//5z1VVVffdd9+CBQtW + rVr17LPPIhGrsrLSMAy73Y7RK4wxBM2RgcYYs1qtP/74Y6dOnZYtW5adnf3ss8+uWbMGzZRF/Qnn + HE4pfAXBEwRhxOGFQiGYFolEok+fPnfddVcsFjt06NDy5cvRAlJVVZTNwGhBYhhjzGw2o8Rf/JfV + i2NZlrFHHHCnTp3QugBpu+JjoVCotLSUMda1a1f2M3OLIM5YksnkHXfcgaDrww8/LBI4iZPDu+++ + i1ymPn36yLJ85MgRxtjUqVPRBleW5T179sB6YYxhEUkcByLzijGGEhHUGjHGCgoKTCZTWlpadXX1 + 8OHD33nnnSZNmjzzzDOffPLJ6tWrUaRkGIbb7T548CBjTFVVKDJFUaBEkO1cU1MzYsSI6dOn19XV + 3X///fPnz9+5c+cFF1yAns7RaJTVt3g+mvXCGDt8+DCanlsslvPOO2/BggWMsVgstnLlSrQcQI81 + xhgqS6H4oCKF0+Hn3TX/l0vn9Xp9Ph8WElgh9O3bF72ht23bVlFRIcsyxV4I4jTmd3+8o9EoZPSm + TZu+//57SZIuv/xy9F1Zt27dc889pyjK9OnT77jjDl3XVVX97rvvMGKysLAwkUggyxYbMQwDZY6Q + s9Fo9IknnrDZbFlZWRs3bszIyEC6msvlCgaD6IyMY0CQBCnCP3EWyrKcnp6elpbGOVdVNRwOw5YI + BAI+nw971zQtEolEIhFRZ48sNeTyojqf1SccC38YZiOgtBHt8z0eT1VVVXp6uqIoyDTz+XwIPaFL + Jq3SCAIsX758/fr1DofjnnvuYYxhHgV5+k8aq1atkmX5kksuMQxD1/XCwkJd13Nycm6++eY33njD + MIyNGzd27NhRxJ9P9fE2VrDCRvoAshX+/ve/Q2Peeeed8XhcluWRI0dGIpGuXbsuXLiwWbNmyKnO + y8srKyvDwr158+aoKUWBZWZmJlraMMZ8Pt+CBQtWrlyZlpb2+eefo3dOJBJp1qwZOnlqmgY3QcOJ + ZIlEAh+D5sLAe8Q6rrvuOuRaY++pNgO0ISYOoXgGGdSpfz0+dF3PyMhAQgfKh0SxK1LsCgoKWP3g + KRIdBHFacsIiMKLWRaRphcNhhC845xs3boTrDqESTNLdtGmTqqq6rl922WX4JEQqZFwwGERFI2MM + 7VPq6uqEx4Uxlkwm16xZo2laly5dMjIy6urqFEWBxGf1NTCQqiaTCSYHtix8Trm5uVDP6KiTTCbt + dvsXX3yBOL7D4UjtyQgZzRiDxIdBtWPHDvEmsr9E8hj2hQy0kSNHIoC+ePFiwzCCwSAu0QcffIAi + n7vuuguRd3wXcpkgTicw6xa58uIdLN18Pp9wx+KBeuaZZzIzM8Ph8Pjx43VdR0EzlYf9L6CPCNLw + dF2PRCJWqxXCEF5zVh8KiEajxcXFhmFUVFTU1NSoqor1MVo4Il3W7XaLDFvcRKJhJEmKRqOyLCuK + kpGRUVtbKwQ+mtDU1tY+9dRThw4dSiQSzzzzDLSeyWRavXq1w+Fo2bJlcXExEsMQlsHdZIxhs9CV + iqKsXr0a1gviM+vWrWOMZWRkXHDBBZFIJB6PY8ijxWKpqqrKyMiAby7VnIBahOoUydJQrPjBrF27 + Fp/ElEx8RTTXYfV1oSjcP3LkCGwb0UP5543IYrEYrg9OIRwOpzbpFpnVMNjwO/T7/VCyIvdh48aN + 0N0DBgwwDAOnj9Kg3/G+EgRxijhhBowIW8uyXFZWhnd279796aefvvHGG926dSsvL5ckafbs2Q6H + w263x+PxVq1aQWA9//zzsVgsEom89dZb06ZNgzFQUVERCoWysrLC4XBmZiZaJ7/11luwHKLRqMPh + gBmwbdu2LVu2ZGVlHTp0aNy4cV6vV1GUrVu3QvUinpOTkwMZGovFIGdlWcaUTPSBOXLkyA8//PDw + ww//5z//8fl8CJSLXDX4nCDKZVnOyMjARn788ccffviB1Xfxb+D63HHHHYyx119/fefOnS6XKxQK + rVy58sEHH0wmk7fffrvT6UTfAnw41U1FEKcByWSypqYmNze3srISCyan0xkIBDjnmqa53W6Px4Pn + OhwOezyeH374wePxdO3ataioCBVoFJ/8X4CJEovFotEoJBX+W1hYiPAyYywcDmOB6HA4unXrJknS + 6tWrFy5cqGmaGOIxZcqUYDDodDrbtWuXlpaGHFpqb/2r4DcPd14sFkPvacaY1+v1eDzbtm17+eWX + hwwZMnXqVE3TevTo8dBDDyEgE4lE8vPzw+Hw2rVrd+7cyRgrLS29/PLLGWO6rm/btg39grGXNm3a + 6Lq+YcOGAwcO1NTUWK1W9NVkjB08eHDBggV2u13TtOeff/6dd96Jx+MHDx6srq5GAhgCOMIKAqw+ + 70tV1Vgs5vP5ysrKpk+f/uSTT8ZisaysrJ49e6IYVSSwoe+ZJEkVFRXdu3eHcTtnzhyWUhr6czCB + gHNeW1uLbs4+nw/tDZAgh+oaJF+IVDe/34/Waoyx77777rnnnvP5fOixJtLIyetBEKctDc+5PPZJ + z6FQCCN7WYp8EQtxRVHatm27ffv2vXv3iq0lk0nk1DLGVFWF06hp06b4yjnnnPP666/jkyUlJU6n + EymtZ5111tChQ7HTp556CknYjLHCwkK8yM3NdbvdsiwPHz68rKwMPcdw8NgaimrQpAW+mZ/EsouL + i+H3xXdvvPFGxlifPn0w5Rc6+4477oB8z87Ovuiii95//320fzna9QkGgwMGDMC+Lr/88ptvvhn1 + hUOGDNm1a1fqQcLf3PB9IYhTy8yZM2fNmoXX+Lmin97RKCsrczgcOTk5ubm5WLphFl5eXh56KCGH + HixatAhP4qRJk3j9MHI8vEQqxy6fcXkrKirS0tIsFgs67cKnnp2d7XQ6i4qKxId1Xa+srOzTpw9k + eK9eve677z70emKMZWVl/fOf/8QnIRJT790ZAi41LvuMGTOOZYY0LIFvv/0WahFPAWNMlmW73Y57 + UVRUNH78+NLSUsQkOeeGYYwfPx4JUVlZWdAaFoulc+fO0LOjR4/es2cPPnzjjTdCn5rN5sGDB7/3 + 3nuc88WLFwutWlRUZLFYnE4npscwxm644QbUsaSOqw+Hw+KeXnnllUIzYu84YLfbPWjQoGg0ilN7 + 4403JEmyWCziOTUMY9++fSL60bVr1+HDhzd8PTnnjz/+eFpaWrNmzURnTvSATktLe+WVV/BJCIRb + b73VZDL17Nnzscceu+6665CXnpGRMXnyZHwAp4AjJAjiDwUWDOLBnzVr1rFI0Z9wwgwYzjnmNDPG + kCUiy3Jubm6XLl0mT548ffp0qDrOeXV1NV5EIpFPPvmkRYsWkIkWi+W+++4rKyubNm0attO/f39e + ryMXL16M6hH8ye/3c851XR86dCgkncvlatWq1apVqzRNg0GSlZX12Wefcc4rKyuFVIXpwjmvqKgQ + KiQzMxN99Dt06HDrrbdC7nPO4SG+9957JUnq0aNHTU0N3jcMw+PxDBs2TJhnY8eObcB6AQcPHrzl + lltcLhcUWFpaWr9+/Xbv3s3rRS26M6PTQMObIohTy281YEpKSkROyM9z31O/Gw6Hn3zySSysFy1a + lLquIn7CsctnZOeiFj91MYrkHCyFOeeapomVa0lJyciRI0VcnTFmtVp79Ojxzjvv4AMQwrxeTp5R + /FYDJhAIwIBZtmwZAiaiVSZjrGnTplddddXTTz/91VdfiUr3SCQCnXLkyJF7771XhLn69u376aef + 7tu3T3QVX7t2rdfr5Zz7/f6BAwcyxlRVVVV19OjR0HePP/54ahOw2bNn19bWDh06FP998cUXcYTB + YDCZTEYiEfE8hsPh6667zmq12mw2UYvvdDovvfRS5D/jY8Fg8LXXXkOaWWlpKd4MhUK4OJjVhp/Q + 0a4P3HaRSOTee+8Vx+lyuQoKCvALtFgsL730Eq+3A6PR6F//+lcEf7BlGHVz5sypq6vjnBuGIZYc + BEH80TghBozEG+wEMmvWrGQy+ec//xklLg20MY1Go4ZhOBwOVJ5gnglemM1mTNpC3i0q+TDXEvWI + hmF8++23f/rTn+AU5Jz7/f5Dhw61atWK1cdzDMPw+/2RSCQcDqenpxcUFHg8nszMTDQH27BhQ0FB + wVlnncUYC4fDDodj1apVHTp0SB0cgb2zlHIdCDg0f0wmk8jsglWDusBYLIbSF9EXMhwOx+PxjIwM + nNGhQ4d2797dqlUr7Bpv/uL1QR1hPB5HrpqiKOeff77VaoX1hVpD9CfgNDaY+MMza9YsSZLuvvtu + Vt/+WzxfR6OyshLxUtHfgjHGOUf6ChI+UWKBbaKaLh6PG4YRiURUVbXZbA1koZyBHLt8htRFVQO6 + j0DWia8gfcjhcKSKRwjqb7755vDhwwUFBXl5eUVFRVlZWYwxeFuwFj8DRZYoJVIU5fXXXzeZTCjy + PBaEnMcv/+eKyev14teO14gtVFVVbd++vXv37rqu4xZomrZ169bi4mIx6gSr9mQyuX79+vz8fLQS + Rv1YLBbbvHlzs2bNMMPAZDLV1NQghbuoqCiRSPxkiqWu65ji4vP5RLb2T35guO9YSUDvI/srHo+H + w2EcNue8rq7O7/cfPny4W7duDczKBKJ7J2MMaaXZ2dkmk0lkykGT4urt3r17x44dyWSyWbNmZ511 + Vn5+fmoLAXT1pNG3BPEHBHJPiJQ33niDc37sUhScsC5kIg0XEtNsNkejUcSUOeei14rFYrHZbDAP + MKLO6XQivqHruli+e73ezp07Y4PIqUUCMQS31+s1DCMzM5MxhpKYc845x2w241o4HA7DMHr37o2v + 42BisZhY+qCDfigUgomCzDQsksTUMHQ1wdhgHDzUucPhwJnCUGnSpElhYSGaJkcikQa6zlutVpg3 + LVq0yM3NtdvtEMSGYeAiCEvS6/Xi1AjidCI/Pz8QCMBtAZkFEWGz2fx+v/A14LlAkZuYAwsvBpXB + HDeip4jJZIL4xfJOrEdTq8DhFbPZbJC0ffv2ZfVOFnwYs9VFWyoaznOMoJoIP+ZYLGaz2Xj9gGPG + GNSc2WzG0t/j8TgcDryurq622Wz9+/eHOoMvwGazXXjhhWLjQqG43e7+/fsHg0HhNYPmveiii3CL + 4SPIyspKrW5H2xv0A7BYLPDrMcbsdjtsYyjEQCAAJV5ZWZmXlwczGOYr9CxUW0ZGBjyMkiRlZ2dn + Z2e3bNmygSsD+0RYKfBCpupBscAQWd+KorRp06Z9+/bQ7PF4HFcyEomIU8APFRftf757BEH8sTiR + isfj8WAQr9VqRQd6h8OBmAmq9lGqzhiz2+1lZWUulwtRGsZYenq63W4PBAIQhQhoMMYqKyuRkIYm + AagxhUwvKSnBZxDHEPU2Yix0XV0dJg3jTVHMZ7fbJUlC9BnuGfQu45xnZ2dzzqHaIQfh0EptZq/r + OspgIPFlWQ4EAqjyDwaDR7s48Xg8LS2ttrYWdprf70ffMzQcgwUlxmuewJtCEH8EsIpCoqbFYvH5 + fFis4FHFQ4o2G1arFX5cVv9gYgtY3p26M2jcIHSMBShEX0ZGRjAYFHPfq6urHQ6HmIiFe5GRkZGT + kwN5iBCxx+OJx+MOh0NE2yRJojkwv0o0GkWARWRSiYb7QnNh3LP4CqalIdSQnp6enp7O6o2E/Px8 + BE/wWAkTyOPxwMiEXWG1WkOhkN1ut1gsoieY2D50MfrRMcZqamrgLIDHEOqP1atITDLw+/1paWk2 + m03X9fz8fEmSYFdEo1EcAywWBGGQH4GN41AbUG0irQ7/xcWBdReNRjVNwwA38XnUCFksFkxWgPa0 + WCyxWAxWIr6OQz3uu0YQxB+ZE7kgsFqtaNiFlT2yxex2O9YiyNcSKQdNmjQpLy9HaT7MklgshjQz + iDlo0Pz8fBgeSFeAMPX7/bIsI8EMWXRIYBNzWiDFsrKy7Ha71+tljNntdkh8AGmLpq6MMTSLRPoE + ehwjHQ7tyEwmk81mQygJs2hEKgukM8bI6LqOlcEvoiiKpmnZ2dnwbKWnp2PLCPggkw2tfhBlIojT + CdGF3O/3M8bcbreqquJht9vtSFnB0hnrGPTlQ7ITo97i/xswOSBjI5EI7oXT6YREjcViubm5rH61 + ajabFUWBTEOaE2SszWYT2Uqsfi4wOimfujNrHEB5ibGVqW3Bk8mksEDi8ThcZoyxUCiEdl6svswj + FoshqIJemlBPjDFMG7NYLAhZ1NXViZA+bqjP50NsRJKkuro6vAMLFpG0RCKRmm6tKIqwprAdKG4Y + UdCDaC8mZgzgGPB14WiARVRTU4PPNJBnCDMDmfGsPm9czEKA/sXXke/tdrvFhYKUYIwZhmG1WjMz + M00mE6wacz3/+x0kCOKPxokcZAlDJdXHI/IWWH3O1f+/1/qOK6lfhBYUXxdqEtJHCEpWL0YBqlNE + fBmkKlSEaxhjIv+B1UtY6OzUfYnekXgn9SvYV2qKrdlsFv3WENFu4OKketp+ru+FZKeGpMTpSuoa + CIhfu5jzkIp4uERW58k4ytMaSJ5UqcX+rzjCPRILPiHTUmVsatbZyTjo0w6hR3CdU3/5qfI/tU0Z + Xog7hRdQT/hK6r2ACwybTf1k6l/xDp4pUeEJftLEX/j4Uo//JwoXX089BmFZMcZSTaOjgV9a6pAo + scGfXAfxgYZ/kOJHTmFbgjhdoWebIAiCIAiCIIhGAxkwBEEQBEEQBEE0GsiAIQiCIAiCIAii0UAG + DEEQBEEQBEEQjQYyYAiCIAiCIAiCaDSQAUMQBEEQBEEQRKOBDBiCIAiCIAiCIBoNZMAQBEEQBEEQ + BNFoIAOGIAiCIAiCIIhGAxkwBEEQBEEQBEE0GsiAIQiCIAiCIAii0UAGDEEQBEEQBEEQjQYyYAiC + IAiCIAiCaDSQAUMQBEEQBEEQRKOBDBiCIAiCIAiCIBoNZMAQBEEQBEEQBNFoIAOGIAiCIAiCIIhG + AxkwBEEQBEEQBEE0GsiAIQiCIAiCIAii0UAGDEEQBEEQBEEQjQYyYAiCIAiCIAiCaDSQAUMQBPvi + yQMAACAASURBVEEQBEEQRKOBDBiCIAiCIAiCIBoNZMAQBEEQBEEQBNFoIAOGIAiCIAiCIIhGAxkw + BEEQBEEQBEE0GsiAIQiCIAiCIAii0UAGDEEQBEEQBEEQjQYyYAiCIAiCIAiCaDSQAUMQBEEQBEEQ + RKOBDBiCIAiCIAiCIBoNZMAQBEEQBEEQBNFoIAOGIAiCIAiCIIhGAxkwBEEQBEEQBEE0GsiAIQiC + IAiCIAii0UAGDEEQBEEQBEEQjQYyYAiCIAiCIAiCaDSQAUMQBEEQBEEQRKOBDBiCIAiCIAiCIBoN + DRkwmqZFIhGn06lpmqIojLF4PH6yDowgiD8uJpPJbDYHAgFd100mE2PMYrFwzk/1cZ1BeDwei8Vi + s9l0XYd8TiQSp/qgzhRwqRVF0XXdZrNZLBaPx3OqD4ogCKIRwDm3WCyMMZPJpOt6IBAwm81YSPwm + 5Ab+ZrPZXC5XZWXlhx9+WFFRYbVadV23Wq3Hf9QEQZwWSJJUWVn59ddfV1ZW+v1+s9mMZVwsFjvV + h3ZGEI/HW7RoEQgEotHof//7371799psNlmWyYY8OUiSZBiGpmmtW7euqqpSVXXdunUHDhyAViYI + giCOhtVqjcfjmqYlEon09PT8/PzKysqcnJzfup2jGjC6rpvNZs55kyZNLrvsMkVR7HY7IycfQRCM + zZs3r6ioqHfv3jabTThOOOfJZPLUHtgZAsJftbW1Tqdz0KBBuAUknE8mZrOZMZZMJqurq00mU69e + va644gq6BQRBEA1jMpkkScLrZDKpaVp1dbVhGL91O0c1YBRFMQzD6/Wazeb09HS8KXIVCII4kwmF + QjabjXMurBdd1w3DUFX11B7YmUMikfD7/YlEwjAMOP4557LcUFCdOFEIXWsYhs/nM5vNMF1g1RAE + QRBHIxqNyrIMa8JkMnHONU3TNO23bueo2s4wDFmW09LSZFnWNE2WZeyPPKwEQTidTkVRzGZzMpmE + DaMoCsmHk4bJZHK73U2bNtU0zWKx4LKbTCa6/icHcaktFkt+fr7NZnO73Ywxuv4EQRANk+roTCaT + ZrNZVdXj8L4d9QuJREKWZeFPMgzDZDLBVDqOwyUI4nRC13U4NWC9JJNJXdeTySTVAJw0NE2rqqpC + 0ZGIg1EK08khNdJSXV1ttVo1TVNVlfQjQRBEw0SjUZPJpCgKzApUb+q6/lu3c1QDBsX64XBYkiSb + zSbepxA5QRCi6AIvTCYTtfc4mei6rqpqbm5uOBzGf5PJpNVqJfl80ojFYtDBmZmZDodDVVVKsSYI + gvhVRAQGIWv43U5kFzLOuSRJqeoQ75CHiSAIwzCsVquIwKASgzFGEZiTAxr41tTURKNR/BeSmeTz + yUGSJIvFgjrUurq6SCQC64WuP0EQRMNgIotI8kLy2Iks4odotlgsCOtAOot8d4IgzmRkWY7H46IR + E4qYyXo5mSD+DlcWyeeTDC41LruqqgjFsHq9SRAEQRwNtFEWNbRmszkejx9HDQxpO4IgCIIgCIIg + Gg1kwBAEQRAEQRAE0WggA4YgCIIgCIIgiEYDGTAEQRAEQRAEQTQayIAhCIIgCIIgCKLRQAYMQRAE + QRAEQRCNBjJgCIIgCIIgCIJoNJxgAyZ1jFcymcQMmWAwiHfwAtNq8KdQKCReN7BNzOmMRCIY25k6 + 70aMb4tGo7FYDG+KsXqRSER8MhaLcc6TySRmV//kr5qmsfqxoHg/Ho8bhiG2mfpagHE8hmHghd/v + x95xwDgwXdfFfwnijMXj8eBFNBrVdV08xXiEY7EY5ACeRLyJKY2s/kH7+QNIHDvJZBKCMR6P/0Qi + aZoWjUaF9NZ1XchDiERWLxsB5xy3L5FI4NYQDYPrKRCqR/zaxU8dT4H4ALRVqqpKJpPRaNQwDF3X + U29K6r58Ph9LUZ2/SG1tbarmxQ3Fv0JF4qgaeO4MwxC7wMHE4/FTOM3zJ7sWP9QTxUk4X855JBIR + 2wwEAidw4wRxOnEiDRiYB3gNyYjZXi6XC286nU5WP3RTlmXOuc1mEx9jjMXjcbHKSSQSEO6SJGFe + nt1uN5lMyWRSlmVd18PhsGEYkiRBy6qqarVasV+z2Yzn3263+/1+bNNqtRqGYTKZMGsMo8RZvcjD + DD4xtVPTNJPJJMuy+Axeh0KhVIEixojipNLS0vBmIpEIhUKxWEySJEVR8DGCOGMJh8OZmZl4raoq + pi5yzjnnR44c0TTNarVCDphMJkgSPNT4Ch5PPIzE8QFBKsuyxWKBREokEoFAQNd1m82mqqpY6imK + gteY1ejz+SA5Y7FYKBRKJBKcc0zMNJvNFouFbJhfRZZl4dHD4FfDMEKhkM1mi8Vi4XBYDCSFehK/ + fGgW8d9YLIbnQpZlTDKNRCLCDjEMA1rV7XaLHeFboVAoGo2Gw2FhKTmdTkVRYDslk0nccVmWo9Go + w+FgjAWDQey3gedOzNKORCLYjsViOYXTPOExhDcTFrvwZp4Qfu/z1TRNkiS73Y6FDWMsLS0t1Xwl + CEJwwgyYurq6RCIByyGZTCqKIklSOBxGjMUwDMjWQCAAmSJJkq7rsiynegQtFgtWOYlEIhqNCrmQ + TCYjkQg2ZTKZYNg4HA5ZlrFBYSEoigKNqyhKMBiMx+Pp6emZmZmapmmapihKIpHAOkmWZahnj8cD + cyWZTNbW1jLG0tPTFUWB5kBQRZKkQCAQCoWcTmdaWlooFBJxJNg/wiuDKJPFYnE6nWazmXzGBMEY + w5IoHo8L567FYgmFQpIkZWVlwZERjUbj8ThEh8lkMplMhmGIz3u93lN18KcBsDogbIGu6yaTKS0t + zTAMrJAURRHBMafT6fV6A4GA1Wp1u90Qhlar1Wq1ms1muIGE3UIOml8FFxCqJ5FIWK1WWZadTidU + IVx70WgUegfaCjoFfjcsZ4PBoNVqhVoMhUIitQF6DValMGYw4hruNovFoiiKqqoOh0NV1Xg8Ho1G + 8dBJkmSz2UwmE/7LUvyJ8DKw+vjn0YhGo4FAwG63OxyOaDT6k1jTScYwDJwpYywQCMDteGIN7N/1 + fIUTlqXE5ex2+wncBUGcNpwwAyYrK8tkMoXDYSw+4vG4JEkQl6w+RmEymRCNgZsEi3t4BCEFwuFw + MBiMRCJms9npdOJhhly22+2QRJDmELLRaDQtLU2WZZFdBtvJ7XbH43GLxWKxWEQsSJbleDxuNpsV + RdE0LRAIYDtYP0GdZ2dnh8PhWCwmHFfp6enCEQI1wxhzOp0ijoR3FEWJxWLxeNzlcqWemizLwWAQ + phdBnLGIOKfD4RCK2eVyxWIxrJySyaQkScKdKUlSLBaTZdnhcASDQcMwMjIy6Dk6bhKJhMlkQsAE + wD6srq62Wq1ihaSqqtlshvRzOp2qqkYikbq6OmFGIvDC6m8QloZkwBwL4tJBeYVCoVAohFAM1J+q + qnhMTCaTqqq4Qaw+j0toTxg2TqcTd81utyOSGY1GTSYTYpWMMahgJAVIkoQoSl1dncfjwd3Hx6BP + WX3ms2EYCMIwxmw2m3AsHu2kIpGIqqp2ux1a0uFw2Gy2U/icYi0RiURgeOPNE2gA/N7nK0kSbnow + GDSbzaqqipwUgiB+wolMIYOZkZqRBUsAq3k4UEUagyzLIrWM1VsCDofD5XJhI8gwEclg8E5B8kIW + x+Nx6FeEXIUEx58sFgs+lkwmcRgQ7pA1NpsNkh2ZxLFYTFGUeDwO/6Ku65FIxO/3YwuiiEXEW2Kx + WCwWg+gX/hJZlqEJFEURMXez2exyuYTlQxBnJnhwsLZA7j7WvnhgEXuBJaNpWjAYrKmpsVqtPp8v + GAza7XZ8mJ6j40YIZOG2x1I1NzcXaUgQjMi/h1hTFEVRFLvdnpmZiQAaY0ySJE3TIPRcLhec9Ce2 + zOC0BJc9kUigvAGpR06nMxaL2e12m82maRpMDsaYxWLhnAeDQahFKEoRzBc5e7hZjDHkMojISSKR + iMViqXlfuq7jK1lZWZmZmYjkMMY0TUNyBF4kEglZlv1+P9yOiOE0HGGw2+0we4TmTSaTp/A59fl8 + NpvNbrcL6/rElsH83ucr8max5IjH45mZmZTHQRC/yAku4pckCcovEAhUVVXV1NSsXLny22+/DYfD + 8IJA8wUCAUjeQ4cO4YvCJsH7kiQJMWEymXw+n6qq0AFIxY7FYh6PZ8mSJWVlZfAOCschqy+wQdW+ + 8OkahgFZk1rHAtMF2h1iTlEUOLfS09M1TautrRVbRmp4NBpFKgXSYRVFqa2tha0lAj44F5FtLBYN + BHFmUldXxxiz2WyGYWAFtnfvXlbvjTabzbBegsEgPM1ZWVmMsR07dnz//ffQ5XicT+U5NGbgx7XZ + bJIklZWVbdy4ccOGDSUlJVVVVYwxq9WKBRmWs6z+viB3t7KycuvWrT/++CMEss1mQ6YuYwyilSIw + vwqMkGQyifKGRCJhsVjC4bBYByP8yOoL6EXwpKamRqT/sfp1Lf6VZRlaFckF4qYgwU8kYMMWtVgs + Ik9BuA4tFovNZuOcQ6Mlk8m5c+fOmzcPf/V6vQgQNdxlJzX9zGKx/GJfgZMGoi7IkBTXUyRKnBB+ + 1/MVFmlaWtratWsfffRRrDdO4C4I4rThRBowPp8vHA5HIpGPPvpo4MCB+fn5xcXF/fr169Onj9Pp + zMvLu/DCC2+66aZEIpGWluZwOBKJxMCBA5s2bYoS0ry8vLPOOuvKK68cN27cxo0b4f9DLa/b7eac + Q+x+/PHH3bp1s9lszZs3v/rqqzt37ux0Ovv167d69WqLxZJIJPx+PyS4YRiTJ0/OyckpKCg4cuQI + rAvYMKhjufnmmwsLC1944QXkc9vt9unTp7vd7uLi4ldffZUxFovFsrOzUWnDGPP7/SNGjGjfvv2M + GTPQRSAYDP7rX/+6+OKLmzRp0rRp0/T09KKiooyMjKZNmw4dOvTVV19dv359OBxOjTURxBlITk7O + rl27Pv300yeffLJ9+/bt2rUbN24cMsix9mKMoZTfYrFUVVU99dRTZrO5V69eF110kdVqveKKK9au + XXsKi4MbO5mZmaWlpY888ki7du2aNm3arVu3Sy655IILLujQocOsWbPMZrPdbg+FQsi/FUXDy5Yt + 69mzZ2Fh4XnnnXfuuee2bNny/fffh18mtdEi3ZdfBRdNUZR169Y1adIkKytr3bp1UIKRSASJT2PG + jJEkqX///nv27GGMxePxzZs3d+vWrbi4ODc3t1WrVs2bN3e5XAUFBa1atTr77LOnTZv2yiuv5OTk + ZGVlTZ06FQkCqALHHdF1fcWKFa1bt27RosVnn31mt9tF4zLcPphPqDqLxWL//ve/x44dW1VVBY9b + eno6q6/1P9p5BYNBSZK2bdvmdrutVutXX32FGp6TcU1/iXg8rmka6os+/PBDSZLat29/Ao/n9z5f + RJtjsZjJZEokEnPmzJk4ceKRI0dO1PYJ4rSCN8jMmTNnzJjBOUevQLiCfhF04dy3b9+wYcOwZYi/ + 3r179+rVS2g4WZZDoRDagyDCCzeJxWKBmwTOvJYtW7777rvYMmLu4JFHHsGWEWbt378/am8YY9nZ + 2X//+98hxIPBIP695557kHKGr+Ms6urq4NC65ZZbGGOPPPIIYu6c84kTJ8KnVVBQUFFRwTn3eDwi + mGMYRq9evRhj06dPF4f00ksv4dTgJsHpmEwmhJUVRXniiScavsgE0eiYOXPmrFmz8BpiAc/I0di5 + cycebbhILRbL7bffjj+JdmSBQIBzXllZ2b9/fzxT11133aBBg5o1a8YYkyTpyy+//P3OqDFy7PKZ + c75gwYKcnBzGWJcuXa666qpWrVrhIlsslr/97W/YAmrHk8mkpmkffPBBy5YtIY0vvfTS/Px8RAkW + L16MfaElAITtmQYuNS7ajBkzZs6c+atfwbXdunUrdMTu3bvFpdM0bdq0aW632+FwTJ06lXMeiUTi + 8fjOnTtZSpSSMZbq+1+zZk1paSnSoS0WS3l5Oa9XxOFwGJvt1KkTY+yaa67BO2ilgw5dnHPYM3hy + ly1bZrVanU6nz+cTJ3jkyBFsp+FT27p1K/TdsmXLcE1OLbFYLBAILFiwAKsFXJkTyO96vmKxwTnv + 0aOH2Wx+/vnnT+wuCOKUg9+50FmzZs06Fin6E05YBAZWxJAhQ5YsWcIY69ev39q1a5PJ5Jdffrlq + 1apkMrl9+/Znn312wIAB6LtiMpkgl+Px+IgRIyoqKrZt27ZgwYK+ffuazeZ9+/bBVmGMqaoai8Wi + 0eidd945c+ZMxljz5s0PHDjg8XiWL19eXl6+fv36tm3b+v3+cePGjRs3Ttd1p9PJOUcfMAhoVh9J + 55xnZmbqum42m5FWgfJ9+MDgfUxLS6uoqHj44Ych/jjnFoslGo2inkdV1ZqaGhE4FrbZ119/XVVV + tWvXrp07dy5duvTee+9Fu+eXXnrpvPPOgweOc87qO5uxnw0HIIjTFVmWW7ZsOXjw4Hnz5iGgmprY + jeUUApXTpk37/PPPVVXdvXv3W2+9tXDhws2bN7dr185sNg8fPhwdC/H00eNz7HDO27ZtO2zYsE8/ + /XTTpk1vv/327t279+zZ06FDB8Mwnn32WWSIiZ5XmqYNHz68pqamd+/eJSUl7777bkVFxQ033KDr + +vDhw/fv3y9a9DqdTqqBORZkWYZnHdft8OHDuNqMsc8///zJJ5/0+XyjR48eM2YMq0/qE7WX//zn + P8vKyvbW4/V6t2/f3rNnzyZNmrz44ouBQCAejz/66KNiYBrccM8888yOHTscDsczzzyDMlGz2YyA + Dz6JVp9ms7mysnL06NGxWOzrr7/Gd1HplJGRwTlPbY0VCAQwZEZoMc55Tk7On//853HjxhUUFECt + Y3nB/u9DGolEkCCHbp+pf+L1ppf4Yk1Njfgr9iU+L9rk/GKTNCSPKYrSuXPnv/71r6NHj4bThNcn + oCLBDO+Ivogw2xhjQryw+qzy1GlIv/V88QLNx1P/y+qDcriY4shZfbETgmALFy7MzMwcN27chg0b + Umfy4DUJQOJMp2H75jd5+KZMmcIYk2X50Ucfra2tFS49zjl6i3HOS0pKeH0LMs45GhbffvvtqW6M + Sy+9FNGMzZs3c85DoRDnfM+ePZIkud3u8847LxQK/fjjj+J4fD5fIBDIyclRVdXlcpWVlUEGcc7v + u+8+cZrYBd7H60GDBjHGxowZI3aNzDGgquqCBQuw0sJZhMPhP/3pT4yxl19+GQ4SzvnUqVNhvH3/ + /fc4VF3XURXzxRdfwJfscrnGjx8vQklwxYktEESj47dGYDjnhw8f5pyHw+GioiKn03nXXXchXiq+ + i3GKaI/+4osvir9yzr/++mv4refPnx+LxfCAp654zkyOXT5DgkFAif/GYrG1a9cyxmw225w5c3h9 + EIxzPnfuXMaYoiilpaV4J5FIVFVVFRUVMcaeeeYZiK9UoXpG8VsjMOLKb9y4ERd89+7dkUgkkUiU + l5djeMCQIUMQeMEPOx6Pb9iwgTHmcDigiVIR6sPv9/fo0QMBgTVr1ojfwL59+/DITJw4UTQPEEeC + Lhpia+PGjWOM3Xrrran5DuJM8atIfdyw3DfqEZeC14fmeMp4GV7/e8Oxeb1esR10lfjJeYlrxVOU + LzaIOJIQFyKgxOu1qsfjEf/FaSLhAuclLk40Gq2rq0tVweIwsAtsiqdEnyKRSCQSOY7zhdzDa/EB + CDfsi3OOBQMaD4jNYjv/+te/TCbTgAEDcISpF4cgGi8nJAJzworbqqur//a3vzHGzGbz2LFjMzMz + UVgiJtDB7VRUVMQ5R7ZYMBiEZwX+EviEOOcDBgxYuXJl06ZNUWDqcDgikQgSsXw+32uvveZwONq2 + bcvqwz7IVZs9e/aQIUNMJtP48eNnz559fGdRW1urqqqmaXa7PRwOv/TSSz179jzrrLO8Xi+8ZTgL + EXXBRUQ7ZnTZx0by8/MZY/369UtPT//888+DweCrr756++23FxcX42qEw2GqzCPOHDRNa9KkCWMM + Xa2qq6vRBUtUGOM52rdvXzgcdjgcl19+OWKhcCdfcMEFXbp02bhx4+zZs2+++WY0zhJPENolndLz + +6ODqw0BhQpy9K0uLCxkjNnt9oqKClbvAw6FQlOnTmWM9enT56yzzgoGgw6HwzCM3Nzcnj17Llq0 + aPbs2Y888ggC6SxFHhJHQ7RxQzcLRVH27NnTpk0bn8/Xp08fOODeeecdVVUrKyvz8/OxkBUNjhEo + gOpE7MtqtWK9m5aW9uCDD44aNYoxNmXKlCVLlhw4cKBFixajRo3Sdf2iiy76y1/+gl442CCqLCwW + CxrYMMbC4fCMGTMYY3fffTea5SBRbfny5d99993ZZ5997bXXbt++/cCBAy+99FLr1q0HDhw4cOBA + Xj/wjXMOBWexWIYOHdq6dWtss6Ki4u23327evPlVV11lMpn++9//rlu37sCBA506dbrzzjvT09Nx + 8FghiKUCWubgUGfOnGmxWPLz8y+66KI9e/Zs2bLlgw8+uPjii4cOHdqqVSubzbZv3769e/e+//77 + hw8fvuyyy0aPHp2RkcHqK3y2bt2KNJDrr78+Ly8vGo2qqhoOh8Ph8MKFC3/44YcjR45kZGQUFhbe + fvvtbdq0YYx5vV5sobS09KWXXkJpkKZpZ5999tixY8Wku2M/35KSks6dOz/wwAM2mw1VuLi2siwj + eWT16tWHDh268MILu3bt2qJFi/nz5zdv3rx///5NmzZljFmt1ltuueXhhx9evnz5gQMHOnTo4HA4 + 0GIOkvPk/ooJ4g9Gw/bNsXv4vvjiCzyZY8eO1TQNbg9RVghjS7hUkXrr9/vtdrvJZLrnnnt4iktp + 1KhRECKVlZXw7YmxKt26deP1bkJ4XDjnVVVV8K907NiRMeZyuTRNO44ITDwenzBhQm5ubtu2bceO + HYsvjho1Snw+Go1efPHFjLFJkybhaBOJBHoAKIpy8OBBnuKwqaqqwr4eeOABqPlly5ZBFeEDFIEh + Gi+/NQKDRsm1tbWGYfTs2ZMxdtNNN/EURyYkxocffojkmcOHD6c+qvF4/O6772aMYSUtdgqR8qvB + n9OV3xQhj0ajVVVVwhMMh/qUKVOQYPPBBx/w+utZXl6OtdHcuXNxbSFyw+HwvHnzkGK0f/9+nuJR + PtM4jhoYdLBcs2YNY0xV1RUrVni93r59+6Lh3pEjR0RiAkaHcc43b97MGLPZbO+9957YL+6RqF0B + l112GYIw+D1Mnz4duWEffvihOE7h78cX8WYwGPzyyy8ZY263G3dZPHGjRo2SZfnCCy/86KOPoA2b + N2+Og+/evXt1dTWv/8EgUsQYW7p0qbg4U6ZMcblcJpNp79695513Xuqqo6CgAOoSOxIBotQgj9fr + bdGiBWPsxRdffOONN1D1arFYMBFh06ZNR44cOeecc8Q209LSmjVrhr3jBN98803GWEZGBgZJ4WRL + SkrS0tKgkdPS0lRVVRTl5ZdfTo1HvfHGGyyltx4ekLVr1x7H+eI5atq06e7du7FxPDJbt2698MIL + xcHDeBsxYgRmUezYsQOfxHAeVN5OmDABvwoEgnjKgoogGh1/rBqYXbt2Ia4ycOBAq9WKdHbRvlBM + IWD1M6ExWgvVhCLBNBAI7Nix49133/V6veeeey68JuFwuKamxmKxGIbRu3dvxpjL5YIvBGIoNzdX + VVXDMHr06IHtH8fQbs45Yyw/P7+6ujo/P3/SpEmdO3dmjC1YsGDx4sUQQ0hiRgIxfGM4eF4fTGf1 + pfyxWMzlcuEzffr0QUe1zZs367ou+sBQ4jhx5oDeu1lZWaL2LCMjIxKJiMiJGJmH0bFbtmyRJOng + wYOKooTD4UQiEQwG3W53OBxG+opo+8vqU+GJhrHZbDk5Obhu8LJHIpG1a9f6fD6r1QqrEg5+j8eD + 1RtGXrL6xrt2u71Tp04o5Ni1axen/mPHDEoodV0vKChgjMXj8by8vBdeeGHVqlV1dXUrV65EzgKK + LUUwHz9vWZbr6uqQrWAymaBVYZ8wxsLhsKZpTz/9NIqXJk+evGPHjueee45zfvvttw8ePJjVF13g + ZnHOEX+DUlNV9bPPPmOMdenSBYNlxZBo+Pi//fbb66+/3ul0XnfddSNGjOjQoYPJZFq/fv1f/vKX + UCiEkJ3dbsf0MzE5LZlMFhQUBIPBZDJ57bXX/vjjj4WFhY899lj37t1VVa2oqPjggw9KS0sZY4jh + pA4/AW63u66uLj09/e9///vdd9+dnZ09cuTI22+/Hemjw4cPv+KKK7Zt23bZZZc9+OCDV111VSAQ + KC8vX7RokSRJuEQ4GK/Xi9HV4XDY6XQ+/fTTgUCgoKDg//2//7do0aIXXnjhgQce6Ny5s8gBqa2t + feGFFxRFGTx48IIFCz755JNnnnnmzjvv7Nq1a11d3W893y5dujgcjsOHDy9atGj//v24C0eOHLnj + jju+/fZbVVXnzp373XffPfvss5dccsmCBQvQuNztdsN6wR0fMmSIoihvvfUWHl6bzYZbf2LbQxNE + o+OEPQB79uwJhUIulwtxGNEXVcyd1HUdXSM1TYN0Rjt8xlgikdi/f395efnevXtRyyhJ0pw5c6LR + qN1u1zQNLiKz2QwPkJgWjP73sChkWW7evLmiKJFIpKysLC8v7zcdv2EY+K7NZqupqXE4HP/4xz/6 + 9+8P3dC3b9/MzEzOORLJUse64QVMSZhVPp/P7XYj2GKxWLKzs2G57d27F3NjMAqDVl3EmQMSlpD9 + gsdBVVVMUkIXDUy96NixIxZbX3/99YABA5Ad6nA46urqtmzZgoLXuro6i8WCJTX8DqTIfxUsRnH9 + w+EwrvbkyZPhXH/88cczMzNF8XdpaSnqgyHMIRXRDt5qtSYSCZfLtX//flRLU/LesSDswJ07d2Kt + /Le//W3NmjUul+uxxx7r27cvYwwaxO/3IwMZHkoMep86deqbb76JjZSVlRUVFc2ZM6ddjaoj9gAA + IABJREFUu3bxeBzK6MILL7znnnv++c9/lpeX9+vXr6ampqio6Nlnn8UDgtsNIyEQCKAzDbxvsiwj + KNSuXTuWYkKI7DXGWMeOHRcsWFBcXMw5nzRpUps2bUpLS5csWTJu3Dj0qcO4mNReo2iP3rx584MH + D27fvn3SpElPPvlkIpEwmUy5ubnRaPTjjz9+4IEHWH3LAahOnAuMBFgIKPe//PLLZ86ciW6EsVhs + /vz5NTU1oVBoypQp6LWzZcuWpUuXqqq6adOma665RpIkv9+fSCRUVY1GozU1Nchf9Xg8//nPfxhj + w4YNu/rqq1VVFQ0PQTAY3Lt3b0lJid1uHz58+K233goljkPKyspCw4BjP1/DMJo1axYOh7/44ou/ + /vWvjDH099uyZYvNZps/f37v3r1NJlO3bt3uueeehx56aP78+TabLR6Pi8G+jLGCggJd1/fv36+q + aigUQqiNUsgI4oTpHtgqsVisbdu2WIIEAgHMTUNWFUapSJLkcDiQ0YvSF8bY3Llzu3fvfskll4wc + ObKqqqpbt247duxo27at8KPAGEgkEs2aNQsEAiaTCdOCoUH9fj8mDbdo0QKC5jgiMBBGXq/X6XTq + uu71evv16zdixAibzbZz584XX3zR5/OhgQyEPswPuMGQC44txGIxt9uNLmcY2Ne9e3fsAiM10X7N + MAxMEDtR158g/shgpDeWxVlZWZIkwTPKGMN4RJTBNGnS5Prrr7darVOnTn3rrbfcbncwGPz+++9v + u+223bt34wGUZVmW5dR5i2TA/CpYNGOZ5XA4OOdz586dM2dOIpG47bbbHn30UV3XcUklSUIHJEVR + 2rVrFwqFkOjrcrlEtBwDyLG6hV16as/uj4/ZbMaP3+/3w3u1YsUKj8fj9/v/P/bePM6p6v7/f9/c + m5s9mWQ2Z9iGZaAooIi41r2oFFdaFHGvbEWtH1ARLRVQEaWlolVZXBAXqFYp4FrRCiqbGyAgIovs + zJ49uUnuzf3+8frN+aXIDIOOS+T9/INHJtzc3Htuzvuc9963b18cE4vFJEmC9oKIJnRkJqL9+/ev + Xbt2xYoVX3zxxa5duzZu3IiSVtFoFB4JwzAmTZoEhT+dTsuy/Le//Q0rMr5dlMByuVwiZwz/btu2 + jYjatWuHPps4DNFQ6XS6a9eub7zxRrdu3VRVhS1y1qxZsiwnk8m1a9fiYDQqwMqId5xOZ3V19c6d + O10u11VXXYU4aqvVKstyjx49SktL8aVov0b/G49gsVhQZQtGz379+v31r3+FGpBOp7t3744eoHfd + dRe0l1gs1rt379LS0lgsJtRpt9uNOEkiKikpweAHAgFVVZ1O54oVKzZu3Ci+NxwOh8NhWZY9Hk9x + cbHX69U0benSpXv27IHBBTEU4gpbfr8Oh+O4447r1KnTjh07cGQ2m507dy4RFRUVDRo0qKSkBOql + x+MZMmQISpOHQiERwCbcU7Is19fXi70Hahh85x8kw/wCaDUFxul0ZrPZTCazbds2t9sdDAa9Xi/8 + 1Ai4+vLLLx9//PGHHnqIGrP2iQgbfdM0UTPRbrfbbLY77rjjmGOOQcwJ+kYVFRVB9u3atQsBrLl+ + Hq/Xiwy59evXQ36hqMthAfUjEAjU1dVVVFQgcPaJJ56QZdnr9U6dOnX9+vWwFhMRVDIiEjsqpB7C + 3RSPx3HXEHBffvklTl5eXo43seSj1WZrjT/D/JzJTSKHaTkej4uYFhT8wJ9Tp04tKirSdX306NEd + O3YcMGDAKaec8vbbb5eXl2ezWVVV/X6/w+EQzci5lmhLwKbW5XJBZs6cORPWoiuvvHL27NmoO4+N + VCwWKykpIaJMJtPQ0ABzL95H/BIiaX0+n7BAsxw7JNlsFr6UiooK1O2999578V+XX375ypUricjn + 81ksFmT5S5IE818qlbLb7XffffeHH364bt265cuXr1+/ftmyZV27djVNs7CwMB6PYxlyOp0PPvgg + LHrnnHPOeeedV1xcLNQD7HpjsRgeH0xpFoslGo1CXy0sLMRXm6aJd7A7x55ekqRMJoNCW506dcpk + Mii5gfCHZDKJaMNEIoFgOSLy+/0dOnSIx+OzZs2CSoBrqKioqK6uhq4lOhwgK13X9VQqJcsyfnUw + BXbt2rVHjx4YB1VVi4uLs9lsIBC45ppriCidTrvdbmjm1BhNqmka9hUYYeGsMAxj8uTJiUTi888/ + v+CCC0aMGLFp0yZN03w+n8/nw7dXVFScdNJJ2Wx2zpw5vXr1+tvf/ibsoZA/h3u/ZWVlaNcjWoh+ + 9tln6L5NRHV1ddDHTNMMhUIosYDMHHxpMBjs2LGj2+1Op9NfffWV3W7HoHk8HjbcMEc4rabAdO7c + GeaZqqoqIoLcRDodDH6vv/76zTffPH78eMSBwC0L78rIkSPr6+vr6+utVmsqlbr++uuxdlJjGGuH + Dh0URTEMA5mOeB/GFWqstg7xB9tSeXm5aZpoCENEJSUlODniek3ThA8EAg7mK7hWcNnwIDkcDnRt + Q/nCW265paGhAV5sYaaCfoViSl6vV5Ik1FCKRqMQahaLBZWjFUXp1q0b3EfUuOSzC5g5QlAUBROQ + iLBjgHnYNE2n04kOJMjl9Xg8GzduHDNmTDab3bFjx4cfftizZ8/PP/+8Z8+eiqI4nU6Px4NgTizk + CHD/qe/v5w76XBGRJEkvvPACqpuMHTv2mWeeQXqhsOx6PJ7CwkIYfVGajIiELG1oaBAeM7vdDjVS + WIuZprBYLPAnQJMkohNPPHH+/PlWqzUUCg0cOFBY6BGrDGcXVERN0yorK/v06VNZWdmzZ88ePXoc + d9xxNpsNhjDslWE1u+iii/AQ+/XrFwgERIMUdKYnIvzrcDhE+xGPx4Mk9U2bNqHPqWma8LZhqRXt + R+BPsFgsaA5DRDt27EBlTmSBitAvnFmSJHwL2kra7XZU8sBaCS0OW3D8i9Ufv1KLxYLVXNd1BIvC + PigyThsaGtBuFWF1drsdH0yn04jQxo1A7ODC4GMZM2bMTTfdBEXxySef7NWr1yOPPILwEESk67r+ + yiuvXHfddRaLJRgMPvLII507d0ZaP67qsO7XMAxhq7VYLPF4HDsQmAkymUxRUVE2m3U4HFBZ0aWn + oKBAbG+8Xm9VVVUikVAUpW3bthgT/NcBDXAY5kij1RSYrl27orrfxx9/nEqlMplMLBZDGCuiRVG7 + E+XFUqmUKKNBRB06dAgEAoFA4NFHHyUij8dz4YUXwrYEQVlaWgpzEXwsIoYek9zlctXU1Fit1rff + fpuISkpKCgsLsWBA5uq6Xl1dres6dJJ0Op1KpRRF2b17t6IopaWlIhsS+anCGqQoyvnnn4/OYuvX + r3/kkUc2btzYpk0b3Fo6nYYVRNM0SZJqamqosVymx+OBwbKhoWHp0qXQl9q3bw/TMjVKPbZcMkcO + oVAIjlMYfaG04L8wiWBFJiKfzzdt2jSUVEZzvV69esXjcV3XEW+DXRqEgyzLIlicaYqGhgbYbl94 + 4YVrrrlGluW77777oYceEkVdUWYX28qKigqI1n379uEF5FUqldq+fTtijU455RSRUcMKzCFpaGiw + Wq2SJNXV1eFn73Q6Bw8ejJCEWCx24YUXCrckKtzkBoBh445tLpRGsYIgQwnrTiwWQ2UtSZKQrN/U + 9UBpwRmwAgr/ALbFuBiXy1VcXBwOhxFZIGK5KyoqTNPs1KkTVCxMW9Roxg8Jf6LwQEFBAZx7LpcL + ZcQikQj0DQwF3DvxeNzpdMIzU1dXp2laNBrFOpvJZBRFwWF4jR+h+Fni540wDfyYRbM1LOXpdNrn + 86HxzvTp03fv3j106FBc57hx40aNGgWDKZJ43W73s88++9Zbb11yySX79+83DGPUqFFTp05FjN9h + 3S+kk9vtxrNwuVyBQMBqtcKZCfUVPrS6ujo8AlmWY7GYLMuGYWA+IntN13WElkCjo5zWnAxzZNJq + CgzqBkqSNGXKFJjr3G43DBLwR4fDYaS+wI6iKIoIJPvmm2+CwWBtbe31118/cODA6urqd955Z/r0 + 6Zj/RFRcXHzsscdms9nXX399w4YNkHrwtNbU1CSTyZKSklWrVm3cuBGdLuFGdzqdxxxzDKQbNBY0 + loELmIj27dtntVrLy8uhSOi6Ho/HYfqCiIGQ/fOf/9y+fftsNjtlypTNmzeLGqNw1EATg/EGQwEf + lNvtjkQikUjkiSeeyGazp512GnrXCJtlbhYgw/ziQWkdIurQoYPb7RaeE/hhEFZOjXuaSCRSUlLi + dDqx8H/44Ydoufj73/8eWxlqXL85AaMlBAKBeDy+cuXKa665xuPxDB06dPLkyQgKEs3IEbVPRC6X + C3vTdevWoXUGhJvNZkPVLL/f3759ewh2tsK0BKRkYBnCiKFo8ujRo6+77rpYLLZ169Zf//rXwg+G + A2ApUxQlN7sD6TToq4iMDvGRaDTa0NCAZwo3TlPXg/093CzHH388NTYtwL4Z3wKlRZR8wHIsSVIy + mdyxY0dZWVmbNm2gwaL6VjabjcViqMGD5BxU60IJQfyK4vE4/KjQuOLxeH19PXbniNTApC4qKsIW + 4oD8E5vNBofh7t27cT2xWMxms8FthW80TVModRi0goICeBTh6gmFQuXl5VOnTv3mm29+//vfE9Gi + RYvQ1xL3jnE78cQTFy5c+Pbbb9vtdl3XFy5c6Ha7D/d+a2pqgsEgNgl47pqm4cewadOmPXv2FBQU + eDweuGJEL6aamhpoeojYXL9+PRH5fD7UX6XG0Duun8Ec4bTaBPB6vZMmTYK+8Yc//AGbeMSwwm2N + llWapsFERESJRALlht1ut9/vh5R85plnUGjyzjvv/PTTTyHQU6nU7NmzUS9/7NixmL2IRkUN5Ugk + cuONN6qqGgqFnn/+eRRaIaKKigrIrFdffTWbzaI0mdPpLCgoWL16NWR9x44dITQRW0+NSzJiJDKZ + jMPhmD59uggVIyJcidfrRUam1Wp1u92FhYUo+nzUUUehUnswGLz++usR/HbPPffAfIIhgjhjyyVz + hABtPxqN7t69O5PJRCIRWCURi4+QekmSYAdFBh0RITXOMIz33nuPiLp06YLeTbn9E0WsBdMMsJ0P + Hz68uLg4Fos9/PDDiURClmU4paEloowvESmK8qc//ck0zZdeeikSicBwTkTV1dVvvPFGIpGAUxp7 + KZ/Pl1v9ljkoImTa7/cLbRD1kZ999tkBAwaoqrpixYpx48YlEglkn1utVuR7pFIpt9uNg/Frx15Z + RDIjE4OISkpKAoGA3W5HpmjzU0MkbZ566qmGYXz88cdY3ZDlD2WGiDAxcapwOGy1Wh988EEiQt8C + hIgjxltV1YqKCoSHYaudTCYRzuDxePArUlUVagkEAuIVha/JNE0MDhSwcDiM0xJRNBrFNWDcqLG0 + mlAMoBwSETw5RBSJRJC1gjLH1dXV0AqKioqi0ajb7a6oqLjooovcbncsFkP+D6odIE0Iu5dzzjln + zJgxaDy6ffv2w73fkpKSgoICTdOQfYTxRG3r1157bceOHclkUtM0RVEefPDB4cOHY8zFxgDWnBUr + VhDRxRdfLJ4dwuZ5/8Ac4bSaAmO322+55RZVVcvLy1955ZXLLrtsxYoVyG9D7LvVaoXRJZFIhMNh + XdchZaLRaCgUQjwJhNFjjz1GRLIsjxo1av/+/bDOduvW7cILLySi7du3i7ItkGhffvnlscceu2PH + DlyD1+uFaAuFQqecckp5ebnVap08efL7778P01Q0Gt23b9+ECRMURTnqqKNOOOEEhHjBygXRL+wx + MKhcdtllV199dTKZhNd469atEDoI5ceGbP/+/cjACQaDK1eunDdv3gknnLBs2TJJksaOHXveeefh + ZiHFcFOtNfgM8zMHSagFBQUFBQVQPBBFRo2NKVAvNRAIoM0LAiqw23vssccmTZpERMOGDSsrK4Pl + mHKKj7ET4JAYhvHkk09u2bJF1/Urrrhi165dW7du/eKLL9avX7969epVq1ZBVxQboxtuuKGkpCSR + SAwZMoSI4vF4IpH461//WlVVVVZWdu211wrLFLETrAUg8yGTyYTDYRjXUGkKi+C//vUveAmmT58+ + b968UCgEUxp21aqq1tfXx+Nxv9+PQjLIfoG2n0gk3G43jkf5XU3TgsGgYRii3P+3QeggFqzTTz/d + 5/Pt3r27pqYG34hkj2QyiZaRl156KYKjvF7v+vXrX3zxRZfLdd5555WWliJEvKqqCmFadXV1mUwG + ZZHR4CWZTGLZhaMVQ2EYBtwauEd0PsGFYVkMh8MoyJbNZoPBIKK1HQ6HpmkiC2vXrl2hUMjj8eSW + YoOHBzn9FosFPpOqqiqLxVJaWlpTU3PTTTd9/fXXMDuGw+ENGzZAlVJVFfLkiy++mDFjRigUQgCb + 1WpFLZ/6+vpOnTod7v0iH4YaY/bQrWHChAkoQ3LhhReOGjXqoYce6ty58/jx44kICbqw4YZCoWw2 + G4/H4YEZMmSIpmnYTeFS2QPDHOk03+ey5Z2eU6nUvn37tm/ffswxx1BjEZV27dqdffbZ/fr169q1 + K74OtYPNxtbOMCGMHTv2gI7agwcPxvH33HMPwn9DoVAymRw9erS48q5duw4cOLBNmzbCFnXHHXfg + 4/F4PBwOm6bZ0NDwwQcfCAd07969L7nkkpEjR1JjpbLVq1ejuy2YNm0aEfXp0wd/wqMCX3wwGCwv + L8cFT506FXusdDqNrZWiKEjvOSAqrLS0dP78+bW1taZpou4hbhMvUM2MYfKOWbNmzZ49G68hFnL7 + gn8bNHFzOp3orl1UVESNLWgR/mE29uEeP368xWLp2LHjVVdd9cc//lE027777rtxGHYn4szYu/wQ + 9/jzp+XyWdd1RMvkbnoQo0JEbrf7iSeeQJd3PIV0Ov3aa69B3HXv3n3kyJEINCouLp43b57omI5v + PALHHzeOYZ8xY0bLe0ivWrUKg79kyRK8g8Gsra31eDxt2rQhojlz5kCN/89//gPNBKqI6GCIxevX + v/51JpPBkehkv3v3bixA06dPN/+3sf0B4JGhPo1pmlBT4XYTDB48OLfO1dFHH33WWWfhdUVFRVVV + lZjy69atUxQFPTFx5lgstnDhQhyMa8O/pmmieljfvn0xhmIRxNUahiFWZIfDYbfbJ0yYkHtVzz// + PAYBGov47blcLkVRxo0bJ4785z//iQuA+xHjgynQpk2b8847r127dkSkquof/vAHJNybpvnss88S + kdVqPfPMM9GfB+M/ZcoUMZ6Hdb/Dhw+3Wq19+/bFnyhB/vjjj2PDQI2OlJNPPvmNN97A46uurhZ3 + 8corrxBRz549w+EwnjU2SwyT10B6iDVr9uzZLZeiglbT4CVJKisrc7lca9asuf/++03T1DStqqrq + o48+WrJkCWwelZWVv/vd72BB8Xg8wWBQ9N8VVhCk9z3xxBMojnzvvfcuXbqUiHw+n91uHz9+/MKF + C7t06YJJvmDBgr179+q63qVLl/fff3/q1KnpdDoYDDqdTq/Xi1jt448//v333+/du7fdbl+zZs2i + RYtmzpyJPJy5c+f26tXL5XLB7ggZ5/f7kb5CjZGm1Ggk/vOf/0xE8DgTkdfrtVqtaO6GgDE0CCOi + 008//fbbb580adI333zzm9/8pqioCM4oIXlFIFlrjT/D/JxJJpMFBQXpdBrVlpD9UlNTA0MybLFo + 5FdUVGSa5jfffPPSSy89/fTT69at69Wr1/z58ydMmEBE6XTaZrMhhYyITNNkM2RLgLMapVCQSIDQ + L9HrHVWSUBE+nU5brdbzzjvvtdde8/v9DQ0NM2fO3Lx5s9PpnDhx4hVXXCFOa5qmKJbFNEMsFsOa + gs4nyGwhong8DmeIrusffPDB3r17iWjo0KFff/01EWGZJyIcj8QVamx0hmAnpI7AoYHIbcSGUbMh + Rnhkwoc2ZMiQtm3bPvroo2g2j908gqjPOOOMBQsWFBUVffnll8uWLSOigQMHfvDBB6WlpaIdM1wi + IkQKeoWu6z6fD78famyjSUROpxNlguH0g8sFFQIopzNMOBwOBAJI+EGfN1QsgHqjquq+fftQJoeI + NE1DtR50XMWvGiklTqcTqUTRaLSoqGjYsGElJSWhUOjzzz/fvXu3x+O59dZb77rrLlFSr0ePHuee + e67FYlm2bNmGDRssFktxcfHcuXPHjRsnWh4d1v0idDAajeJ+4UIZNWrU22+//Ze//GXEiBFjxoxZ + tGjRypUr9+zZg4+Iz+7YsWPmzJmIRsGOiBo9z+z2ZBip+T307Nmzs9nsyJEjRSPnZrYLpmmibj1E + UiKRWL16dTAYRG111DIXEhMVTiKRCKpAQnxAQORafXA2RJeKBrSoJ7Z48eJMJtO5c+dOnTq53W7E + 0eKrYXERUoCI0ul0VVXV119/XVtb63K5TjzxRJfLhWoedXV12DPh21G+Ha1sYCNBOTKcp6GhQTSZ + QQ1H5Prntqw2TROpkJFIRFRdEwFj6Oyb+/HDemAM83Ng9uzZkiQNGzaMGgNRxA+7KWA4RI0gTC6U + Lw+FQgUFBVVVVYgYMU0zkUhs3bp106ZNgUCgsrISCeX4FjGVUqmUqqrJZBKdE5r/6l8qhyWfqTFP + QNM0EVwknh3MK0LWQR5Go1GPx/POO++gdPVJJ50EySbqYmGb+2Pc6s8MjBuGHRax4cOHH/JTSM/A + lheKIqpiEpEYRqwm6EmCxwRroMjPRE4/7GhYE3ExWEzr6+t9Ph+y7fEtB72SZDKJE6LwptVqnTJl + yoQJEwYMGPD0008HAgHDMEaMGPH000/37dv3/fffR5BnXV1dYWFheXl5bgVhXAa+C3oy4qNkWUZw + V0FBAQLn8CbioFAbAIs+tvi4sEQi4XQ6c9fZ6upqJK8KMAj4ceJsiKwToRY1NTXoZUQ5TWAw2mZj + 9MfHH3/cvn37QCAguh6hjjOuBIaVDRs2dOnSpW3btlCisGE43PvFvWCbgWdkNlYtE+YDPPfx48dP + mzbN6XTG43HE782YMWP06NGDBg2aN28eDvv2VGWYfATzUaxZTz75pGmaLZGiubSmAsMwzBHCd1Bg + mFaH5fNPxXdTYH5WiF8LduThcPi666778MMPb7311nvuuYeIBg4cuHTp0u7du6MTwE99vb8ooMnA + jAtVZMOGDX379tV1ffjw4Y8//rimaRs3bjzhhBOOOeaY119/HZG3DPOLoVUUGNbgGYZhGOYIAr3L + EDGIys4+n2/u3Lm33nprIBCAG8RmswWDwVAoxNpLq1NWVjZgwICzzjqrsrLSarWuXLnyxRdfhJ8N + WbV2u91utx933HGLFi1C+2yGYQ6AFRiGYRiGOYJAzHMqlUKnxWg06nA4fD7fs88+W1dXh24qyNVB + hidHK7UuiURiwYIFb731FtxfSDo69thjJ06ciBSg/fv3t23b9s0330RFMoZhvg1LJYZhGIY5gkB6 + BpJLHQ4HkknQYxFpOaqqXnXVVaeffrrD4WDtpdXZuXPnqlWrdu3a9emnn6qqeuyxxwYCgXPPPbd9 + +/bILyorK8tmsz6fT2QrMQxzACyYGIZhGOYIArmvaGCCLTJ8MqZpejwe1Cg77bTTzjnnnGQyyR6Y + Vqd9+/Zt27ZF9D96xaLcAioTYMBRHBX+GdZhGObbcMYnwzAMwxxBKIqC6meyLKNYM4oIS5KE8mWa + pmFLzR6YHwJN0ywWC1QUr9eLZ0FEHo8nFouJJtqoMsfjzzAHhRUYhmEYhjmyQId7IkK+vq7r2WwW + 3UWQGIPuQJqmoYMN04qIHCQEjKF+I9xiNpsNHUttNhtGnosoMMxBYQWGYRiGYY4s0IkSxn5q7AmL + oCbDMBKJBLqU2O12r9f7E1/rL45UKpVKpfx+P1riwNmVTCZN00Sb7HA4nM1mvV4vNByGYb4NuyYZ + hmEY5khEhCfBJwBkWUYtLOYHQjTuJCLRVRZjjubXPp8Pb7L7hWGagj0wDMMwDMMwDMPkDazAMAzD + MAzDMAyTN7ACwzAMwzAMwzBM3sAKDMMwDMMwDMMweQMrMAzDMAzDMAzD5A2swDAMwzAMwzAMkzew + AsMwDMMwDMMwTN7ACgzDMAzDMAzDMHkDKzAMwzAMwzAMw+QNrMAwDMMwDMMwDJM3sALDMAzDMAzD + MEzewAoMwzAMwzAMwzB5AyswDMMwDMMwDMPkDazAMAzDMAzDMAyTN7ACwzAMwzAMwzBM3sAKDMMw + DMMwDMMweQMrMAzDMAzDMAzD5A2swDAMwzAMwzAMkzewAsMwDMMwDMMwTN7ACgzDMAzDMAzDMHkD + KzAMwzAMwzAMw+QNrMAwDMMwDMMwDJM3sALDMAzDMAzDMEzewAoMwzAMwzAMwzB5AyswDMMwDMMw + DMPkDazAMAzDMAzDMAyTN7ACwzAMwzAMwzBM3sAKDMMwDMMwDMMweQMrMAzDMAzDMAzD5A2swDAM + wzAMwzAMkzewAsMwDMMwDMMwTN7QpAKj6zoRybKcTqfFnxYLKzwMw5Ddbtc0Da8hHBRFwQvmRyOV + SjmdTsMwIJmz2exPfUVHChhqi8ViGIbT6UylUj/1FTEMw+QHuq4rikKNmwci0jTNbrcf7nmUJv9D + UXBSm81GRPg3kUjgBcMwRzKRSERRlGw2a7FYMpmMxWKRJElRFMMwfupLOyKIRqMFBQWmaSYSCVmW + iSiVStlsNh7/HwdFUTDgRJRIJEzTJKJQKOTxeH7qS2MYhvlZg62CruuZTEZV1Ww2qyhKJBI57PM0 + 9R+maUqSRESBQCAWi7ndbiJyOp3f56IZhvllIEmS1+vVNM3pdLpcLrxpGAY208wPTUFBAaxLsizH + 43GXywXthcf/x8EwDGgv8XhcURRJkjRNKygo+Kmvi2EY5ueOWKqEp8Tr9YZCocM9jwTT0beBAjN9 + +nSr1epwOLLZbCaTMU0T38cwzJGMw+EIh8NutzscDns8nnQ6bbPZMpnMT31dRwqXZCFeAAAgAElE + QVQIYVIUxWazJRKJbDbrdDqFN4b5oUHkWCKRsFgsCCHjKGuGYZgWYrVaU6mUqqrRaNTn88ViMZ/P + d8011xzWSVjaMgzDMAzDMAyTNxzCnWK1WktKSvr3748QMoZhGCJ6/PHH/X7/ZZddlhtWyiFMPyaa + ps2dOzeVSl199dWI4uPx/9EQQx2Px+fPn28YxvXXX/8dklAZhmGONA5YqhKJxL///e/vEELWpAKD + BBgiamhocLvdyNblJH6GYYjINM1IJIIdWzwet9lskiTJssxJ5D8OSOKHCx7aCyfx/5jIsowBd7lc + uq6n02m73c5J/AzDMIcEWwXTNFOplMvlstvtkUikqXyWZmhSgUGZM7vdHo/HiSiVSjkcDk7iZxiG + iLxebzgcRsS/1WpFRTJRG5H5oUG+uCRJKKNMRDabjcf/R0PXdaEuOp1OZH9xEj/DMMwh0XXdYrHI + sizq0eu67vP5Dvc8TebAYCE0DENVVfEn9xlgGIb+t2q7KOjOu+cfGWTw5y4DP/UVHSmIxjuyLHNg + AsMwTMsRXePEniG3s1zL4QWPYRiGYRiGYZi8gRUYhmEYhmEYhmHyBlZgGIZhGIZhGIbJG1iBYRiG + YRiGYRgmb2AFhmEYhmEYhmGYvIEVGIZhGIZhGIZh8gZWYBiGYRiGYRiGyRvyTIHRdT2TyWSzWdM0 + DcNIp9O6ros/M5kMakt/N0zTzG10YxhGKpVqjatmGIYMwxDzK5vNHrJnvGEYucd8hza9jCCZTOIF + ROV3OINpmt9HujKH5Ef4hafTafTcZBiGyXfypvEctj6yLEuShHdkWZZlWRxwwJ9NkbtIZHNwOBxm + I/iKlpyNYZgWYppmOp0WHQCtVushP4JGgeLjYu4zh4sYbV3XDcOAGMxkMg6H46DHQ8mBtpPNZi0W + i6qq3Kj0h0A0IcXPG1Y5p9PZKieHyc80TTw+VVXZEMAwzC+DvFmQdF2XZbmFraYNw2hK/dB13WKx + 4H+/rfPkKjDEOgzDtB6YbphcLdxFYQNtsVh41/U9EZJTlmWhzDSjkGQyGZvNJkkSjsl1TTOtywE/ + b6vV2oq/dkVRFEXJ9blJkpTJZFpiPmAYhvk5kzcKjMViEWuwiEUR1lzTNC0Wi1iPm1E8mjHiivOz + rZdhWp3cOdWS+QWbdK7NgifmdwbDCDnZkuPtdvsBH4enmm06PwQwnOHRSJJks9la9/yyLOcqRS38 + DTAMw/ycyRsFBsqJiPISXhQikmUZQRHNOF4OOA8WY8j03H0SjGHCD8O7JYZpLZBjBmMwvKnNzC9d + 1xVFEQfgRTab5Sn5fYBkoxwB2PxeOZlMGoZhtVpVVWU/2A9BOp1WFAVjm06nEVrZilpiNBq12+3w + 6ojoA9ZCGYb5BZA3CgyAXvHtyAdIZOyQWmJeyvXnAPFBSZJwHigwbKximO9POp1WVfWAzRPePOjx + vFduXXJt/KD5hBbIQDyyH/7qjlysVit0ckmSVFVtuSWuhXg8HrzAkocMfn6mDMP8AsgnBQZWQ7Hu + plKpeDyuKIrT6cSbkiShTk4zWscBawPsXlg2YGjM/TibexmmVRDRnul0mohg0W9mnoqpp2maYRiq + qlqtVrYmfGeQSoTXmUwmkUhYrdZmMsUzmYzQLZFWLsuyoii8921dJEnKZrPJZBLesB8iNSWRSOi6 + 7vV6iUiWZTzKVv8WhmGYH5l8UmAoJ8k+lUrV1NTU19dbrdZAIFBcXKwoCjSc5t0muYk0sVisvr6+ + vr4+Ho/37NmTiODNJ44SZphWRVGUdDq9a9euvXv3ElGbNm3at2/flPuFGicgttqwSnDa8fdB2H2S + yWR1dXVNTY1pmm63+5hjjjno8Xg0sVhsz549NTU1iqK0b9++bdu2P94VHzHs379/79692Wy2pKSk + rKysqbpw3419+/bt2rVL1/XOnTuXlZU1bzVgGIbJI1pTlg0bNqyoqKikpKRdu3Zt2rQpLi5u3759 + t27dBg0aNHXq1G3btmmahiNjsRh82bNmzWrfvn2HDh08Hk9RUVFFRUVFRcWVV175zDPP4MhEIvH/ + X6vFYrVa9+zZc9ddd3Xo0KFTp069e/fu0aNHly5d2rRpc+eddyYSiXA4rChKM/1boOR8/vnn559/ + fkFBQbdu3c4444yzzz67pKTkoosuWrlyJQ6LRqOtODIMc+SQTqdhaBCTd9u2bZMnT+7cuXNlZeVZ + Z501cODArl27ut3up556Cm2diKi+vh4Hx+NxIvr6668nT578m9/8pl27dqWlpTU1NdyE5HsSj8fH + jBnTqVOnjh07nnvuuSeffHLPnj1LS0sffvhhHBCJRCA5dV1fs2bN8OHDPR7PKaeccuaZZ5522mmV + lZXdu3dfsmQJ/W/LLF3XRZMZphmqq6spZ0XbsmXLVVdd5fF42rZte9JJJ5111lldu3Z1Op2dOnUa + OHDgm2++SUThcJiIhg4dWl5eXlFR4XA42rZta7PZzjjjjJEjRy5btowaC17ngpiC0aNH+/3+ioqK + s88++6yzziovL+/atesf//hHRVGQDyOe4OLFi0tLSysqKpYuXYo3cU7MuCeeeMLhcAwaNCgWi+HN + tWvXFhYWdu7cecSIEYZhYMKK44loypQppaWlgwcPxp+GYaxZswZ2xqOOOqqoqKisrKykpKSoqOic + c865+uqrX3/9dRyWTCbFSSBGfogHwTDMLwSzWWbNmjVjxgzEWSE2t6kj6+vrf/e738FuV1BQgJOr + qipqqvj9/tdee800TZSlx79Tp07FkX6/HxZWv99PRA6H44ILLhA7IVBbW7tp06aTTjoJHnCbzdan + T59u3brh/EVFRaecckpdXd3+/fubvynTNN944w0iateuXf/+/QcMGFBaWorL8Hg8H374oWmamqal + Uqlm7pdhjmRmzZo1e/ZsvMY0SaVS+DORSOAF5ng0Gl2xYgURSZLUrVu3wYMHd+vWjYh8Pp8kSffd + d19DQ0PuSXRdF1sfxL0QUVVVldms/Dkyabl8Nk1z3rx5RKQoSq9evfr379+3b1+MbVFR0ZgxY0TD + kEgkks1mb7vtNgSYde3a9aqrrurYsaPb7YZkXrp0KeL6TNOMRqM/yo3+7MDtY9hnzJgxa9as5o+P + RCKmaTY0NGialkwmX375ZayVVqu1oKCgsrKyd+/eFRUVwj1y55134oOZTOa3v/2tWCWJqE2bNviz + rKxs0qRJpmli34/HZ5rmf//7X3hysFD26NHj+OOPxwS0WCy9evXatGkTjgyFQqZpzp8/Hydcvnw5 + 7gunwt394x//UFX15JNPDofD+K+VK1fiOlVV/ec//2nmhGFns9lYLDZ58mSbzTZgwACYJ1Kp1KpV + qxAFKqIW8XNCsbtAIHDppZd+8803ZmNDalFkgmGYXyTYMIg1a/bs2YeUot+m1TwwgUAgFoul0+nS + 0tIPPvigpqZm586dK1asmDlz5qBBg4gok8lcdNFF48ePVxQlFAplMhmYeVRV9fv9CxcuXLdu3bp1 + 64YNG1ZWVpZMJt99992XXnoJcpmIUqmUx+Pp3r376tWrDcN48MEHd+3a9emnn3711Vfbtm2bMGFC + XV3dypUry8vL/X5/rt/mAHDC44477vnnn9+8efMbb7zx+uuvV1VVvfrqq263OxqN/uc//0kkEjab + LZPJsLedYQ6LXD8J9k8oj3HxxRd//vnnX3zxxXPPPffVV18tX768Y8eOpmlOnDgxFApRo2UaWf5t + 2rQ555xzxowZM2TIEOzzxL7qJ7qtvCeVSnXo0OH//u//lixZ8sEHHyxcuPDjjz9euXLlaaedVldX + 9/e//3379u0IM/N4PJIk/epXv7rxxhvXr1+/Zs2aF154YenSpc8884zb7U4mkzfddBPqWSE36ae+ + s/zA4/GkUim/32+z2d57773LL7/cbrerqvrXv/51zZo1X3/99eeff/7ll1/W19e/9dZbo0aNqqys + NAwjlUolk0kElXXt2vWzzz6rra2dN2/e8OHDXS7X/v37J0yYsG3bNqvVqmmaoiixWKyhoeHyyy/f + v3+/pmlTpkxJp9OrV6/+5JNP0un0vffe63Q6v/jiizPPPHP79u2U00MTF3nA08QWwTAMXdf37t2L + y0BtQIfDgcpm06ZNi0ajuaUIkNWTSqVSqZSI6EbyWyaTueeee4LBYH19/aeffvruu+9OnDixsrKy + oaFh4cKFQ4YM2bBhg8ViicfjkiTV1tb+yM+IYZg8o3n95rAsfBdccAERlZSUiHd0XceLp556qrCw + 0OVyERFsNmDKlCm4jP379wuLy+LFi4nIbrf379/fzDHoXn311UTk8/kWLVpkNtq0hN332WefLSsr + I6Jp06Y1c5E4G5ImQTKZDIVCuq5D+TnhhBPi8bjZaIViGObbNOOBERNHiIva2lqz0dxrmibcKW+/ + /Ta2RIsWLcpkMrDvijNghr755ptwzArr7A9+Y3lFy+UzDqirq8OfEJ6GYbzzzjsoNTZv3rxsNgvR + Z5pmrv1bSMtx48aVl5dDXJumGYvFcGbx6I8cDtcDg3Unm81mMpnCwkIo6itXrsT/ory4ruvpdBol + E0T0QTabveaaa4iovLwcfyYSiXQ6/eCDD2LpfPrpp4X3wzTNSy65BKvw888/jzNguuEFvHBEdNtt + t4mP/POf//R6vZIkffDBB7keGPjZpk2bRkRHH320uJf3339f7B8kSbr11lvxvpj49957LxGdf/75 + 4gf58ccfQ0167rnngsEgflH42WzYsGHgwIE424ABA/AmL74M88vm5+WBicViFovF5XKZpplOpxsa + GpLJJEywVVVVN95443333YcCRK+88gpiptFhAE5kWZY1TYNBCB5zwzBg1IFpdtmyZS+++CIR9e7d + ++KLL967d6/H4wkGg6qq4oALLrjA5/PZ7fbbbrttz549TV1nbmGx6urqVCpltVp9Pp/FYqmsrAwG + gzabzel0xmKx5suMMgxzUNA1L7d3e1FRUTgc9vl8tbW1mqYhYrOgoADFkbZu3UpEXq8X8gG2ZMy+ + TCYDlw5Pxu8J7OV+vx+JiB6PBx7m9u3bp9Npn8/X0NAQDoedTmc4HEY2AhFlMhk0EoGu0rlz5337 + 9vl8vvr6ek3TXC6XpmmieCPTDFarFe6IsWPHwnk1YsSIk08+mYhSqVQ6nUaHFpSikWUZqg4RJRKJ + UCikqqrNZtM0TXg/TjrpJCLyeDx79+6FbpBMJteuXbto0aI2bdqUlZVdffXViHEoLS01DCMUCpWW + lvbv379bt26SJD355JMbNmyQJCmTySBu02zUVClnlcQugYjcbnc2m21oaMCXYmUvKioyTXPu3LmL + Fi0iovr6esx63IKodYYzyLIM50xuhLlpmp06dXr11VePPfZYq9X60UcfIR+mmSxWhmEY0GoKjNvt + tlqtqGusqmogEIBmEo1GjzrqqHA4/Mc//vGoo44qLS195ZVX4G9BHqGor4rKqplMZsuWLS6XK5PJ + tG/fPpvNFhYWplKpJUuWmKZptVonTZoUjUbbtGkTiUQQE4yw2kAgMHHiRE3TLBYLfDgHBVeF5aS0 + tDSRSGialk6nt2zZsmnTJiL6wx/+QI0RuiYnETLM4YO4kdyKf8hmKS4uFi3e9+zZk8lkIpFIjx49 + RBl0NPJDnGcmk5FlWVVVVVXhGeCQzu8DZKMo5gZ18aOPPrJYLA0NDT169MDwIoRMVdV0Om21WkVa + vyRJq1evJiKol3iOdrudhWRLgGaSSCRWr16NmMnHHnsMZj6bzWaz2SKRCEKn8Npms0EZcLlccMuo + qmq323Vdj0QiRLR9+/b27dtjKUS8ltPpXLx4sSRJe/fuhX8mlUpB8zRNE2pDQUHBY489ZppmJBJZ + tWoVLsxms8EIKJrGgNxWztFoNJvNIoZCkiSr1epwOKZPny7LcigUmjJlCtRji8WSSqXgPxFLPMwQ + 8PYUFxdHIhHUyMGPyuFw7Nu3709/+lMmk9E0bfny5aZpulwuwzByjSAMwzAH0GobAgQSEJHdbofh + Jze+FjrGtddeW11dbbfb6+vrLRaLzWYzDAMdCeLxuK7rsOfNnDkzHo/LsnzaaafB/ipJ0tatW+12 + uyRJ3bt3h2lH7IREldU+ffrAzLNly5amrhOiU5IkmBgRlLxgwYLf/e53NTU1gwcPvvDCC7FCGIaB + NZ5hmBYiHKfiT/QXlySprq6OiJD8VlVV9dJLLxGRy+U644wziCgWi6HTC+zQDocDLWVhn04mk9yU + 6fsgqk5Fo9FEIhGPx10uVzKZfPXVV/1+v9vt7tmzp9frjUaj0DwVRYGvpqioiIgKCgq2bt36r3/9 + KxAIVFZWIpAMIjQajYrykkwzWCwWp9P5ySefZDKZ3r17G4YRCAQcDkcymYzFYl6v1+VywRkCbyQK + JBCRz+cjIk3ToMN7vd5EIjFz5sxdu3Z5PJ5LL73UZrNhTdyzZw8+gnBup9PpdrtN00R6DMqIiYYw + X3zxBRFJkgRrnSzLNpstVx2VGiGiTCYDVYeIqqurUeTm0ksvRb2N1atX/+1vf4MZAr4XqCu5Z8DP + b8+ePV6vt7i4OJlMilpn5eXlV1xxBRGlUqndu3dLkhQOh2VZxkLMMAxzUFpNgYH/hIgURRGCyTAM + l8uFrYmu6+3btyciTdO2b98O/zjMgQ6HIxgM7ty5c/ny5ZdccskjjzyiKMqll1569dVXq6q6d+9e + VVU3bNhgs9nS6XRhYaHT6YxGo6qq4iTRaBTf2KVLF8MwHA7HV1991dR1QpWCifG4446TJMnpdF55 + 5ZUbNmxYsGDBc889V1JS4vV6q6urIdBba3wY5kgAGymzMbyeiKxWK+KXioqK4vG41WqVZXnu3Ln/ + /ve/iWjYsGEIQHK73UJuYOOSyWTgyUGdDyLCDoz5DkiSBPO52+12Op14PX369Pfff7++vv6OO+4Q + GfwIE8pms16vF/Z7GNFHjx4dDocbGhomTpzo8XhET1Kv1ytsSUxTwP21Z88ebOiPOeYYsYN3OBxQ + IYjIZrMh5V3X9aKiIkmS4vF4MBiUZdlut1dXV2/dunXOnDknnHDCZ599RkT33XcfVjQ8vo8++oiI + SkpKkAEPdchisSQSCbfb7Xa74/H4CSecQESGYSCPP5FICFNjMBjMveZc9UMshaZplpSUFBYW4lPT + p0/3+XyyLI8dO3b79u379u1Da2l8HBn/kADYHvh8PnR2cjgcOCfsjy6XS1XV4uLipUuXJhIJhJuK + YDOGYZhv05qR5di7ILOFiGBMJSJVVbPZrKIohYWFRKQoChws1OizjkQiJ554IpbMaDQqy/Lf//73 + UaNGQUSiauSePXsSiUTv3r1TqZQIYLBarel0GpubaDTq8XgcDoeqqs0oMAjQhz0Yli1R2ujee+/1 + +/2nn366UF1SqRTrMAxzuCSTSWxi0uk0MvXhTsG++fHHHx83bhwRXXrppQ899BCcNpIkwXCbzWYx + 6RBFg40yVBrs8xBOQ409nWRZFl/HNIWIvtu/f3+7du1M05w3b9748eOz2ezVV189fvx4i8WCglfY + CuN4sbG+/fbb33zzTYfD8Ze//OX888+HsPX5fNBt4DT7qW4tL0Cs3e7du8vKynbu3FleXo4fPOL0 + oCRguUEcNeYC0kpRpHjz5s2oUgNzgNPpXLRo0emnn44wP2SzQKUsLy+HiwOWQb/f73Q6Q6FQQUEB + JiARybK8ceNGnA3fbhiGzWZDFzWszvC62O12XIxhGKg7p2kaYiigZU2fPv2GG25QFOWCCy5APpvo + CyTyeUQwWCqVQtEIaozQzmQyWM07dOiwa9cu3JppmqKEOsMwzEFpzZhydN0SYVdWqxUJgqqqwsoC + k4+u62gJvH///tra2pKSEmr0L8diMafT2aFDh2HDhsmybLFYEC5MRFh016xZgxRGq9UajUaFqK2v + r4culEwmGxoamrHcRKNRXddxDW+99ZZpmvX19a+99trFF1+8du3aAQMGoDUNdBuOuWeYw0Ik7GJG + I2ofNoJQKNTQ0PD8889PmjTJ6/UOHjx43rx56A6BkE5YqZGvjJAn2GVtNhtmNIJUsXNC9RJR1PUn + vek8AM8F2kskEpkzZ86oUaOI6Pbbb58wYQJq12IYIVF1XU8kEhjwkSNH/uMf/7DZbKNHjx4zZgwR + qaqK/Aok1bD2ckgQA9mjR4+dO3eWlpYuX74c6yP0AcTgIfvlmWeeQYa9pmnxeNwwjLKyMmjsgUAA + 9f0Nwxg2bNg555wDEx5mjcvlikQiVqv166+/psbFy+/349EXFBSgXUwymUSYd6dOnYjIMIxgMGi3 + 26FmxONxm82G3pQ2mw1ZOoZhIDBMJFAREVJSI5HI9ddfP3jwYF3Xt2/fjrKizeSuwJQpSRK8T5Ik + YeGuqanZtm1bKpX69a9/jTpA+PYf8JEwDJPntPIGvbCwUFVV1DwhIqvVCmMq5G9NTQ1sLZ07d04m + k2VlZYqi1NTUIE7XNM0xY8bE4/FMJnPfffcRUSaTgcTUNO3oo4/WdV2W5draWuhI8EHLsqzremFh + YTAY3L17NxEpinLsscc2dYUejwcbJhiHiMjv9//2t7997rnn+vTpk0gkpk6dipB9lLdv3fFhmCMB + q9WKcC9JkkT2hd/vX7x48bXXXtvQ0HDllVfOnz/f4XAgYCwWizkcDmg7qBKrKEo4HA6FQqgqi7QN + CBMkkZumKXrOfLsZOXMA0PfKysp0XX/++edvvPHGSCTyf//3f2PHju3SpQsRORwOFE6AkR6BQLqu + 33DDDbNnz0adZTQoRFyZzWaDVOeO6S0BXg632+3z+aqrq+FmhPMEv3wcFolEhg8ffvPNNy9atMhm + s8H9Eo1G0+n0cccdt2PHjr179/br1880zRdeeOHll18mItS9wMd/85vfIK3UNE2sbpFIBHFoRAQF + defOnXhwqGMmy7J46LquI+QbvjVN0wKBQDAYLCgoEGU2xJmhwMBP8vDDD7tcLrvdfv/99y9btiwQ + CDQ1DuJODcOAWxXCoaSkBAV7EDiHr2AnDMMwzdCaCowsy+FwuL6+XlEUiJ5wOIxQ+FgsFo/Hn3rq + KWxBunbtCgnldDoRab1hwwYiGj9+/HHHHbd79+4HHnjgo48+slqtEKxWq7Vz585ut1tRlB07dmC9 + NE0zHA6Hw2HIVp/Ph4bfhmGIXsUHBZnBqPeC/GCLxeLxeEaOHFlcXBwKhT788EP6VlcvhmEOCTZq + FosFNgJqTA4mojlz5owYMUKSpKFDh86cORPHo2ihqLiKkBUYQTweDwouG4ZRXl7ucrlQMxCnVRQF + JY8Mw2BP6SGBB0DX9VdeeeX2229XFOXPf/7ztGnTiouLqbHZKHwC1JiWoOv6lClTnn32WSKaO3cu + GowQkcViwTYUEhIG9Z/otvIGTIFYLNarVy8iWrlyZSKRiMViiMuKxWIoYRwIBAzDQDwkJoWoR4wo + g8LCwrFjx5aVldXX1//lL3/ZvXs3IspisVg2m23Tpg1Ww6VLl6KbJPwbWEaxVq5btw6aw9FHH01E + Vqu1rKwMGg6ePnRdFHLAxYdCITRIoJwQcWp06wWDwaOOOmratGmpVCqRSNx33307duxoahxisRjc + TaguKLxPc+bMwT0ef/zx1JjSw/OaYZhmaDUBgUhWWGioUfT4fD64Vtxu9+LFi6PRaDgcHjlyJDUu + fpFIBO6Utm3bEpHD4ZgxYwYRFRYW3nDDDZqmwY6r6/qgQYPQm+Wee+4RJT59Ph80JcMwotHoo48+ + ilBjlEI+KIlEAisEtkGqqqLrS1VV1cknn1xbW2u1Wnft2gULmYjlZRimhUACuFwu7Gux3XnnnXdu + uummdDo9cuTImTNnihJ/hmHY7XZh/icii8WiaRrKCSIqlYgQTOJ0OhEzg9Am1AMg3ui0AJSueu+9 + 96677jpN02688cZJkyZpmgYbk67r2MI6nc79+/ej5u+0adMmT55cUFDw1FNPDRkyBBppJpOB8BSq + DsePtQQsNx6P59xzz8U7t912GwoqJJNJVVVRdg+qI8IQ0ul0KBSSZdnlcqE0Dgq+nXvuuTfddFM2 + m/36669vv/12JNK43W6LxXLmmWcSkd/vv//++6EhIDeGiKLRqMPhME1z6tSpFovF7XZXVFTgSjp3 + 7qxpmt1uhxkRefaJRAJlcmpraxVFadu2LSadaZrCtIeANL/fn0wmR4wYcemllxLRihUrnnvuuabG + IbfkAzRhjMBDDz2EMNFrr702lUph5eX1l2GYZmi1hV+WZchQr9cLSYfYD3SofP311ydNmmSxWEpL + Sx944AEIJiTT22w2h8MRDofj8biqqieffPKYMWPq6+u3bt16yy23iGT63r17n3322YZhLFmy5IMP + PsBXiOKqsiy//PLLW7du1TStf//+Rx11VFPXiTxFfATediJyu93l5eUvv/wyxH3Pnj0RZ88Z/Axz + uGAfBqUCwV3btm278cYbk8lk165d0aEC0f8NDQ3C94LATlGKHW9iDqqqipKyCF9BBD+ORCzZT3Kb + eUckErn11ltR9WTmzJkoZ4IevqKGJBFhH7lu3bqHHnqIiH7/+9/feOONKL4s2sjkdvghIi6jfEiw + oBDR0KFDPR5PUVHRzJkzP/roo1gs5na7dV2vqqpCNQukXwYCAVVVCwoKEDWN4C6Px4MwyzvuuOPc + c89VVfXll1/+xz/+IerQXHjhhf369YtEIsuWLXv11VclSUJnAhRdUFX12Wef3bJlC2x8PXv2xKeK + ioq8Xq+mafPmzdu3bx81emyIaPfu3fPmzdN1/aSTThJNLcXTRy9UajRSPPjgg0hmO6CaWS7hcBi/ + FvSKIaL169c/9NBDmzdvDoVCjzzySElJCSIjci+DYRjm27SaAoM00FQq5fV69+/fT0SKotTW1s6b + N++555676KKLNm/ebJrm/fff7/f7RdItGj/Dey7qCD3wwAOVlZVer/epp6Tw8G4AACAASURBVJ56 + 9913icjr9SaTyddee03TNMMwLrzwwhdffBHyNJFIRKPRyZMn33777TU1NUT04osvNpPUK8tyQ0PD + +vXr33vvvXQ6LWolvfXWW/fdd5/NZvN6vfCtc2gKwxwu2JSIGCS8uXjxYux0zz///PXr12/btm3j + xo0ff/zx3r17V61atXPnTuxXsJPGR1B7HQGoSAOQJCmRSNTX1xMR6hSJXGHu13RINE1bsGDB5s2b + bTZbnz59Vq1atWbNmk2bNm3atGnp0qUrVqwQm2CHwxGNRm+99VZU7z3llFOWL19eU1OzcePGLVu2 + rF279qOPPoIDnBoVGC6jfEhQUzgajZaVld177711dXVOp7Nfv34zZ85ED8rS0lJZlqurqzEFQqGQ + aZpwi6FojWjsaLVaVVV9+OGHkb5/xx13hEKh2tpaPIt7771XluWSkpIhQ4bMmTMHjwY9ZKZMmTJy + 5Ehd103TvOmmm6ApEZHH4xk6dCgRff7558899xxmmdPpjEQis2fPRrvJc845B4n+IjQUoWtoggk7 + YMeOHWfNmkVEKDd6UHw+Xzqdxqq9fv36+fPn33HHHZMmTXK5XF26dLnpppsQc4HYjR/2kTAMk++Y + zTJr1qwZM2ZgD2GaJqJjD0okErnooovgssg1nECXcDqdPXr0WL58OSQpNJa6urpHH30UIfI7d+5E + Vi5qoXzyySeKosDaunbtWqGrfPrpp4FAAO8XFRX169evX79+CJp3Op0+n2/9+vXV1dXN31QikZg4 + cSIR9enTZ+jQoSNHjrzkkktEXO/ChQtN00ylUqZp4moZhjmAWbNmzZ49G68hFjBlICiQ541kYsMw + Lr74YmqMa6ec7DJMuscff9wwDFhkTdPcvn376NGji4qK8L8wMfj9fkmSSkpK5syZU1dXZ5omwld+ + ilv/GdFy+WwYxqBBg4gIfvJcRwr+feSRR0zTDAaD2OCKOvVCkgstxWaz/fe//8Vp0dDwx7jVnxkY + agz7jBkzZs2adciP4OeKD959990YT0QQdO/e/aqrrkKHFgz1uHHjxMH9+vUjorKyMjFNqqqqTNN8 + 8skncXzfvn3NxtUTzew9Hg9KYCuKMnDgwMsuuwzrstVqdbvdGzduxCWFw2G82L17t4goO/roo88/ + //z+/fujh6nL5br22mtxGCoKrlmzBhMTWhbuSyy7p512Gs5zwQUX4LdkGMbq1auFrxVR3wf8tO65 + 5x602hQn5MWXYX7BYOEQa9bs2bNbIkUPoNU8DJIkBQIBETyGN10u16mnnnrzzTfPmTPn9ddfP/XU + U6HhQHh5vV6Hw4Fs3erqamosaCNJ0gknnHD33XcbhqFp2pQpUxAUK8tynz59VqxY0b9//0wmEwwG + lyxZsmTJErvdnk6nJUmaOHFiu3btIHZhJRIRDrgwIjJN0+FweL1ep9P52WefzZ8/f/bs2W+88UYm + k/ntb3/72muvnX/++dRYA5qrkDHMYQG3CUwYmINo+oSAJbwQVVmFCwW5c6KYmCzLwWAQdQJRKj0S + iVgslvr6etSQpcYuez/RXeYfottGLBZDGBIRZbNZt9udzWYDgQDajyB+zDRN0YpEbgTvEJHVaoVW + WV9fL2qRMc2D8sGZTAYjP3ny5AULFpx33nmmaRLRpk2bXnzxxU8//VRRlNLS0srKypNOOgkOFnGG + kpKSZDKJk+Ax9e/fHw3sP/nkE+TQw89z6qmnfvbZZ8hIIaIFCxYsWrQIT3/EiBFfffUVQgwymQzq + fxJR27ZtFy9efM011xDR5s2bV6xY8d5779XV1fn9/nHjxj355JNYQHF+zGh0I6DG4uYlJSVw4j3w + wANutxtz1mz0osiyLH4nKIymKErnzp0vv/zyGTNmvPvuu3DCQG7gUjl+m2GY5pHMZh21s2fPzmaz + I0eOxG4exWqaOvigCZ0ofpr7PnYtyDZRVTUcDiNJkRpb1KEDFzXWxkH8Lk4FAQcfdHV19WeffWa1 + Wr/44ot//etfmzdvJiKr1dq3b98hQ4ZcfvnlopkXEZmmicSbdDoN6b9ly5aampodO3ZEo9EOHTp0 + 69bN7/ejJyYah3FzCYZpitmzZ0uSNGzYMGqcp5i8mqZhdwLdAx24o9GoaIl4AJqmiYmGDZDIajvo + 8aJzlGhniU3hkTlbD1c+iwK1AhjAvv1+U+uCJEn19fWIEUJvxEgkoqrqkRZFhqHGsM+cOdNisQwf + PryFnxWrEpwMO3fu3LFjB1a30tJSYYMjIgw1FH58Ct+LR4ZjcA3IwkdzHjwdTJD169dv3rzZ6/X+ + 6le/8ng8WOBM00QiCi4DeThEhOYHa9eu/eabb/x+f5cuXcrKypCHI74LVTcOuB303xQLdCKREAHh + UKvwwuPxiE2CruuoKGiz2VhXYZgjDQgosWY9+eSTpmm2XIqCVisgEwwG4RrWdR0CEYVTcsPJEMWL + xVK0shLtnFOpFNIZqVEo48ZQ9EbE01NjBcaCgoLOnTvHYrFBgwbdcsstd9111/z581Op1IYNG8aP + H3/zzTfPnz9/4MCBOBUUEkmShGCtrKysrKw89thjJUk6IFkQGyPDMDiPn2EOC2xu4DZBRzyHwwED + xEHBFgpbtJY4PKG9wBSNd7iRZUsIhUJer9disWSz2Wg0CptRru6HOryi80Yz3i2Xy4Xqt7AEobPw + j3MX+QvK7mGoUXMPgdBE1Llz5/LyckVR0NYMx8fjcVTnE9oFOvDIsoyFDM8LzxG6DYIqsZaJFPme + PXt26dLFMAycBL61ZDIpEmBwPZqmiXaxp556ap8+fRwOB6YYCmagyabT6bTb7cjdFxYKoc+4XK5o + NGqxWFwuVzAY9Pv9UHGJSNd1j8eDHx4OVhSlKaMGwzBMS2i1EDK/3w+3sizL6HsFWRkOhxOJBNQP + 7FGwiAorFBHpuo7YXOxLEFcGEomELMui0zDOKUJNFEWBCbC4uPjpp5/etWvXAw88UF5eHgqFrrji + igEDBqC6jtnYsVtoR9FoFA4Zt9vtcrni8Xg2m02n0ygSgJM3ZQNmGKYpMMUMw8D8crlcmUwGucgH + JRwOQ3vJTcSvq6tr6njMU0VRRBI5B5K1hIKCApHx4vP5RPU2IYTdbrcoSS+qVx8Uu91ut9vFTpTH + vyWItpIiAx7Z8GhDiZBmxOyZjVXIZVl2Op3Y5dfX15um6Xa7oWxQ48oFUyAeIlZDkbxktVrRasbh + cOAkCDpXVRXaC1RZLNl2uz0ajaZSKV3XVVX1eDzCQABLhGEYXq8Xb4ry5XC8IJgT7lOPx2OaZiKR + 8Pv9iNZOJBIInzNN0+Px4Eeo63o6nU6n01Chc62TDMMwLaTVPDAQSYgeISLTNHVdx1J3wJEQYZC5 + KLWM91ELH5bCZDKJD+IwVPCEgiQyUCGO7XY7HNOZTCYQCNx555133nnnpk2bSkpKPB5PMpnUdR01 + ixRF0XXdMAy0QMYZ4GAROtIBl8ruF4Y5LLA/QxN3GCkQIiLSXQ5A7KVEW3dZlouKipo6PpPJiFmJ + 6SySan6Q+/mlAGmpKIoI4KHGTD+UFMP4x+NxRVHgFT/oeTD+0CE1TctkMs2415hcHA6Hw+HIfRCi + ohflLKBixUTnSvR4cTgc4heOVH48O1QwQ0gYtPpkMqlpGqpjOxwOUdEYPhZom4hogKKSm7AqvsJs + 7PWMhRLLNLSghoYGaDLRaDSTyeCmiAgOPRgicZJkMgk5kE6n7XZ7IpFwuVzfrjfIMAzz3Wg1BUYs + jUJQohsaQsXwwmKxwGCDhF004c4VZBDHFosFobrwwHg8Hvhkcs2uCPmFwIWchUBHn+Du3btjYTgg + tgSVH0XUHYxALpfLbrfHYjFxMSKfGBfcWkPEML94VFWFXUBRFHScRD5MU/MIBtrceWr+b6+JAxDa + Czy0+FPklzNNIcYNT0TY10XFBWr0hDffBN1ms2HvS42uGMpJ6mCaIhKJwMGlqioWRMSAodekaZoW + iwXhzYZhxGIxn8+Hzi14HFjO0NRFBFsKT0huIpnQKDCPAoEADoC+BO8NWk6La4NZMNcWAD0KeguC + xLAWOxwOnDCZTLrdblw5MtwQGZ7JZBDSpqoqfnKKoiDmAj+zA/QWqFKcccowzHeg1RQY7FpEgj4R + maYZiUQQTpZ7JEwyKD6WTCbxEZiLUBeFiFCARVEUmPdgy0mlUkg5JaLccGEsBm63G2Id8dmoFipK + MWJtwMKQzWYhpsXJiUjYjeDMybWEMQzTchoaGgKBgM1mw6SDKbeppHCRk4boUOxvRCDNt8EmCVEr + QgJwM/hDAosPts4YN9M0RX4FEMOIuKODnkeEOUFOIh+Dd5+HRCSIiuZjuVt5OFVgWZNlGW5JTAEs + pnhMSKBHQb9EIiFSOjH+CMeCcoKzQdvEY8IXiRoASKBHMQA8d1TgQCUApOuIK0Tmvaqq8BFhsaZG + rcxut8fjcSy4Im0VK7j4OmSTCk+pKJ+aW52MYRjmsGi1hV80FoCEQk16JPBRY30hGGJz7X/CqRIM + BhGqK2QlSifb7XZU7YSN6oCYLk3T0um01+v1er1QS2AdxFKNj0A+hsNhr9fr8/lwftM0RckUyGKE + AkOa836IYb4zZWVllGODwDRs5njIitytzCGPF6n/sPjmWqCZgyLks0i9QE4CNY4/npRIR2zqPIjx + g9MbZxOClGkGlNwRSxhqcCHtE+Fh0MyJSOz7ke6FpRBuzNy8I/FarHqIL0ilUlg0EUQgljO4U6Dw + HBD4B7VWpOML5wk1VuPAGXANCIXAp7xeLwyXqIBHjUXtEMsg/HIo4Q19DGFmQmuCHxVK0Y/wFBiG + +SXRajt1IYCwUh6gAzRVpka8j5ix3A8eUF1RxOPmkptjgwsQRlm8EFsiUXQF5/925TFWWhimFRFT + 75CezMOdekJXwbaPvhUpynwbEW2Lx5G7X8wd/0NG4uXay3Eway8tAeMmhl3U4Mr96YoFLjdNlA6V + iilmAR3s6eRewAENZA96BvrfqgwHfLVQPMT5xQG5TVGBuH7RsvOAL/r2RTIMw7QcNnswDMMwDMMw + DJM3sALDMAzDMAzDMEzewAoMwzAMwzAMwzB5AyswDMMwDMMwDMPkDazAMAzDMAzDMAyTN7ACwzAM + wzAMwzBM3sAKDMMwDMMwDMMweQMrMAzDMAzDMAzD5A2swDAMwzAMwzAMkzewAsMwDMMwDMMwTN7A + CgzDMAzDMAzDMHkDKzAMwzAMwzAMw+QNrMAwDMMwDMMwDJM3sALDMAzDMAzDMEzewAoMwzAMwzAM + wzB5AyswDMMwDMMwDMPkDazAMAzDMAzDMAyTN7ACwzAMwzAMwzBM3sAKDMMwDMMwDMMweQMrMAzD + MAzDMAzD5A2swDAMwzAMwzAMkzewAsMwDMMwDMMwTN7ACgzDMAzDMAzDMHkDKzAMwzAMwzAMw+QN + rMAwDMMwDMMwDJM3sALDMAzDMAzDMEzewAoMwzAMwzAMwzB5AyswDMMwDMMwDMPkDazAMAzDMAzD + MAyTN7ACwzAMwzAMwzBM3sAKDMMwDMMwDMMweYPS/H+nUimn00lEVqs1m80SkWmaP8Z1MQzzMyab + zWqahtepVMrhcFitVmL58GORzWZlWU6lUh6PJ51OWyz/nymKx//HRJKkdDptt9uj0SgRGYYhHgTD + MAxzUCRJwoYBmwciisfjdrv9cM/TpAITi8XcbrdpmtlsNpVKWSwWSZIU5RAKD8MwRwKqquq6bpqm + EAuZTMYwDAgj5ocG2ouu6xaLJZ1Ou1wuIjIMA6sC80ODkZdlWdM0i8Wi63oqlbLZbD/1dTEMw/zc + SSaTsiyrqorNg2maiqKoqnq452lSIXG73URks9nsdrvVaoVhCfuV73HZDMP8ErDZbDBqiHesVut3 + EEDMd8Zms3m9XpvN5nA48CBkWf6pL+pIAUMtSZLD4RAP4qe+KIZhmDzA4XDkBgtIkuR2u7+D9a1J + BcY0TcMwotFo7qKYzWZZgWEYZv/+/W63O5vNWiwWi8UiTBsINGV+BCwWSywWgymLiOAB4PH/cbBY + LBhwWZZra2sNwyD+8TMMw7QAWD9N04RrBBHpdXV1h3ueJhUYRIYEAgGn8/+x995xVpRn//81M2dm + Tj97trALywLSVVQEFFtQRMWWqDFRH+yKoMb6qMSGvcVEwVgQ7KAY0SfGgkEUEBWJUYooiPS+fU8/ + 02e+f3x+O6/9oSAiJRuv9x/7mj1nzpwp577u++phwzDg7vE8j418DMOUlJQEg0Fd14PBoCRJnuch + hGwnwliZnSOfz4dCIUVREO6rqqo/HzC7G8/z4HLBzTdNExt7+7wYhmH+09F1XZKkQCAgSRK0l2g0 + uhMpKsK2kj41TVMUZcKECel0umfPng0NDZggWYFhGEaW5bq6uk6dOmWzWT+ECUJjb5/aLwJBEOCB + CYfDjuOYpllSUpLNZvn+7xlM04zH4+l0WlEUSZKKxSIcklxEgWEYZvuYpol0Wc/zNE2Lx+Nbtmyp + qqq66KKLftJxtqnx4OjIgVEUBdk2ft0hhmF+yUQiEZhM4Ah2XVdVVXhr9/ap/SJA0DDyyBHuWywW + JUlCLBOzu4HSIkkStPdAIBAMBjOZDCswDMMw2yccDqMOjSAIkJ/RaHRXJvETkWVZtm137tx5+PDh + 4XBYEATLsrjKDcMw48ePr66uPuGEE2KxGPIBIH04R26Pkc/nX375Zdu2R48eLctysVhEyXtmz4Ab + blnWxIkTA4HA7373Ow4hYxiG+VFg6DFNE3mbuVxu5syZmzdv/qnH2V7AtCzLkiSl0+lIJGLbNnGV + G4ZhiIgoHA6n0+lEIiGKItwvlmWx9rIngQcmEAjIsmxZVjgc5iTyPYbrutBeZFkOBAKiKLL2wjAM + syPAHQLtRRTFRCKRTqd3wgDHGZ8MwzAMwzAMw7QbWIFhGIZhGIZhGKbdwAoMwzAMwzAMwzDtBlZg + GIZhGIZhGIZpN7ACwzAMwzAMwzBMu4EVGIZhGIZhGIZh2g2swDAMwzAMwzAM025gBYZhGIZhGIZh + mHYDKzAMwzAMwzAMw7QbWIFhGIZhGIZhGKbdwAoMwzAMwzAMwzDtBlZgGIZhGIZhGIZpN7ACwzAM + wzAMwzBMu4EVGIZhGIZhGIZh2g2swDAMwzAMwzAM027YQwqM67rFYtHf9l+3LMt/3bIsbKTTaWw4 + juP/xbupVIqIMpkMdrBtGxv+K9ih7VtE1NDQgA3TNP0XDcPAkRmG2YVYluWPcc/zqM2403XdH+b4 + l1oFQi6XozZj38dxHE3T2o5cZufQNA3C1jRNx3EKhQK1PqBsNutv46HgXU3T2h4hn89v9S+eGj+d + HaHtL98wDH/i8/E8z3Vdy7LwCHK5nGEY1Pp08Km2j8B1Xdx/13UxcNrOaJ7nYef169fjX8dx2n7c + MAx/ljRN0zRNfB0R2baNHwO1Ds9CoYCnjG/Ex/2dW1pa2l6jP/xxIdT609I0zX/L3/BvSyaT8X9I + +PkxDMNsh92uwEAsiqIYDoeJqFAoZDIZf+Eiy3I4HG5sbKRWGVcsFktKSoioublZkiRqlWWyLBNR + MpnUdT2RSGBPy7Kam5sty4rH4/i6ZDIJISgIQnNzMxFZltWhQwciSqfTiqLgI7lcTlVVSZIsy7Jt + 258hGIb5mQiCIIoitTEiBAIBjPpgMCgIApY+TU1NwWCQWlc5sVgMf23bxsglonw+L0lSKBRSFIV4 + WfPzCIVCEMKyLOOuEpEgCK7rRqNR13UFQbAsC5I2Eol4ngeZXFtb6ziOZVnRaDSdTudyOayDo9Eo + DsiWoB/Ftm3c2Pr6eiLK5XLhcBjKQKFQwBDAwJFlGeMiFovhZx+Pxw3DyGazqqpGo1HsnM1mRVGM + RCJEJIpiSUmJbdttdaRcLheNRomoa9euRGSapv/QiUjTNFVVA4EAERmGoSiKoiiqqjY1NRmGEQgE + BEHAQ7dt2zCMSCSCnTFObdtWVdV1XcdxAoFAaWkpEVmW5Xkepnt8iyiK/nRPRKFQSBTFpqYmvJVO + p1taWmRZxu9HlmVFURoaGlzXjUQiuVxuK/2ZYRimLYHd/QUQwUTkeZ6u65FIBDI3n8/LsqyqKhGV + lZVhz0wmk0gkHMeRJGnLli2zZ88eMGBAjx49LMuCcC8UCrFYrFAoRCIRzJ2+RNZ13XGcSCSSz+dL + S0slScJhm5ubq6qqiKikpATSFq87jiMIgq7rsVjM138Yhvk5mKaJhQ4R2baNbdM0E4lEJpNpaWlp + bGxcuXLlfvvtt99++xmGASHgeZ4gCPl8HkuuZDLZ0NDged78+fM7depUWlras2dPIoK4YHYay7Ic + x1m3bl1dXV1tbe3hhx/eqVMn0zSj0WhjY2NFRYVt26FQqKWlpbS0NJ1OJ5NJx3Gi0agkSZIk6boe + jUb9Va//oH0hzGyLQCCA4QDzXHl5uW3bmBx1Xf/uu+9SqVRNTU2/fv1EUTQMw3XdUCjU1NRUVlam + 67osy9AcPM8zTTMYDMbjcYwX/G1qaiovL0+n08FgEPNjPB43TTOVSi1fvlwUxe7du1dXV2MKdhwn + FAqZpqnrum3bUD9whPLycpxwKpWSZRnjkYgMw4B6o6pqLBbTNC0Wi4miqOu653mBQABakCAIRATn + EpQiWZbxIn5gruuWl5frup7L5SoqKnBFsFSGw+F8Pg9rI06mbRgFwzDMVux2D0wul4MYggZi2/b7 + 77/fuXPnrl27LliwgIjWrVsniuKmTZuIKJFIPPnkkwMGDBAE4cADD7zuuuv233//srKyc889t66u + ThTFaDQKa9Cxxx5bUlJy4okn+o7sYDAoSdKSJUuGDh1aXl4+ZswYSGdoL1u2bJk3b1737t379u27 + YcMG27aLxaIoirFYzDAM/yAMw/wcfPeL53nYcF23rq7ulltu2XfffXv16tW/f//zzz//sMMOCwaD + U6ZMgWEYNlqYNiZNmjRw4MCampqqqqozzjjj5JNP7tWr1x//+MdVq1b5K2ZmJ8hkMrfccku/fv36 + 9et30kknjRgxYp999undu/e4ceOIyF9NEhFWtMlkkohgM7Isa+zYsQcddFCPHj0WL17suq6iKNBq + DMPwI4iYbaFpWiAQ8DxPVdXGxsZsNhsIBM4+++ySkpLy8vIjjzzyt7/97cCBAyVJqqmp+f3vf//h + hx8SUUVFheM4V1111X777delS5dQKNS1a9d+/fr16tXrnnvuef/994koGo1qmlZeXu44DtQPQRAa + GxuvvvrqffbZp6qqatiwYUOHDu3cuXPfvn2ffvrpYrEoSZJpmqIoxuPxRCLxyiuv9OjRo3///vPm + zfOdnMlkMhqNZjKZcePGVVdXn3766URUXl4eDAbnzJlTU1PTo0eP0aNHw1mEUQxFxTTNV199tWfP + nhdddFE6nYYn5/PPPz/mmGPKysoSiURNTU2fPn0OPvjg8vLygw8++Lbbbps6dSp8R9CXPM8LBoM4 + 5t55WgzDtAd2uwITi8Ww7EAMbiAQiEQimzdvVlX1yy+/JKJu3bq5rtu5c+cNGzacdtpp11xzzZIl + S2RZPuCAA6qqqjA7vvfee4MGDZo5c2Ymk5FlubGx8fe//30mk5k1a5amaX6wryAI33777fLly5ub + m997771gMOivpSorKxcsWLBhw4bOnTt36dIF9jAiQsgEL4wYZpcgyzIWwZ7nIWamUCjU19c/9NBD + tbW15eXlI0eOPOywwxDff9VVVz3xxBOmaYZCIThhbNteuHDh4sWLS0pKRo0adfLJJyO97eGHHz74 + 4IP9WBRmJ1i0aNEjjzyyevXqAw88cMiQIUcccQQRrV+/ftKkSX/84x+3bNmCuCYicl0Xt72urg7x + S//+97//+te/rlixYsOGDaWlpaIowhVARKIoQuFhtgNmImSqVFRUzJgxQxCEt956K5PJJJPJAw88 + cODAgV26dIEtb/bs2d9++21tbS0+m8lkNmzYsGnTJtM0N27c2NLSsmrVqgcffHD06NHXXnttKpWC + FuHnkHz77beHH3741KlTt2zZEo1GBw8e3LFjR0mSVqxYceONNw4ZMmTZsmWKoiDfRpKkZDK5bt26 + zZs3y7IcCoVs2zZNE/NjIpFANMTatWs1TdN1XRCEqqqqfD6/Zs2ayZMnz5gxIxAIIDabWp2uixcv + TqVS2WwWXiPoMGvWrGlpaZEkadOmTRs2bMhkMpIkLV68+JFHHrnkkksuu+yyVCpVKBQQGYFwMjYs + MgyzHfZEEj+yEgVBgEEFqS+1tbWVlZWO47iui8z7U045ZcaMGa7rXnvttWvWrFmyZMn8+fM9z3vz + zTd1Xa+vrx8+fPiCBQtEUayoqCgrK1MUxbbtBQsWCIKAeVcUxY8++gi2paVLlzY0NIiiCIEoSdLk + yZNlWT7jjDPg4E4kEojW9QN2GYb5+SAyXhAEWGSJSJKk8847b+7cuevXr7/33nvffvvtpUuXHnfc + cYZh3HHHHYsXLyYiZOoHAoErr7zyX//6V21t7eOPPz59+vTVq1c//PDDgUAgn89/9tlne/XK2jGe + 54XD4fPOO2/evHkLFy6cNm3aP//5zzVr1vTp02fTpk1Tp05FMC0RFQoFURQTiUShUKiqqkqn04VC + 4ZxzzvFt87Zt67ouiiIioNrmXTDbAgn6iI38v//7v7PPPjsUCgUCgWeffXbx4sVfffXVZ599tnz5 + 8mw2+49//OOUU06pqKhAqqfjOLqum6bZs2fPjRs3fvfdd6+//vpNN93keV5zc/MLL7yAsUZEpaWl + yBsZNGjQ6tWrW1pa/va3v61bt+7tt9/euHFjLpe7/PLLO3fuvGDBgsGDB2/ZskVRFD8GrG1lHTxZ + HNNxHGgyVVVVqqoGg8FAIJBKpRzHURTF87zbbrsNRQJgXJAkSRTFsrIywzBM04Qtw7ZtpFcR0U03 + 3bRly5bVq1d/8skn77///j333NOzZ0/DMF566aWDDz5406ZNkiQhXuenOAAAIABJREFU28fPkWMY + hvlBdvva3XEcWZahJOCvoijhcDiRSGBRgjiu6dOnf/PNN6Zp3n333Q8++GDnzp2LxSImyOHDh3/1 + 1VcIfx8/fjzCFX73u99BwsLVjiObpvnJJ59IkhQMBktKSlasWOGfxooVKxYsWKAoyumnn47QMlEU + A4EAdCp2VTPMrgIpub72Eg6Hu3btOmXKlCFDhnieV1VVFYlEqqurb775ZiLSNG3lypVEZFkWxnuv + Xr0OOeQQURRd13Vdt6am5rTTTsPRZs6cudeuqp2j6/qhhx46fvz4I444wrIs5Ejss88+r776qqIo + mzZt+uSTT7CnKIqapmUymUgk0tLSUlJSMnr06Nra2u7duxNRMBgMBoN4xFiesvt6R0A4AJwS559/ + vqqqmqZ9/vnnF198cZcuXbAPPA+nnXbaa6+9dsEFF8AqR60pRtlstlOnTr179z7ppJPuvvvu22+/ + nYhyudzs2bM1TYOaIUnSRRddFI/HZVl+9913hw4dGo/Hy8rK4OR86qmnxo4dS0SyLI8fP94wDL+M + jSAIqqpiOvYnazjZMDmuXbvWrz+G70J5nsWLFz/33HM4ScdxkPfvl3/ws/MDgYAoioIg9O7du7S0 + tHv37v369evdu/dNN9302muvnX322bIsr1+//p577sFXICiOk/gZhtkOu12BgQzC0gSrEM/zMplM + JpNxXRdGJtM0R4wYQUSVlZXXXnst4knC4bBhGJ7nhUKhysrKiy66KBaLvfvuu59++mk+n89ms0cf + fTQRzZkzJ5vNep6HomSrV68momKxmE6n586di3hfTdO+/vrrRCKhKErnzp2TyWQgEHBd169yxoKS + YXYVqGdFRI7jIEO3oqICBlrEhHiel0gkqqqqYGFFXSZsZ7NZGIYLhQLWfIIgdOrUSVGUjh07IkmG + 2QmwCPbrlwQCAdM08/m853lYj6I2VLFYDIVCoVAIkjmRSDz33HNvvPGG4zjPPfccDPayLONB4Gkq + isJllHcE0zTj8fgDDzyA0Mobb7yxf//+UBcROoVYLNu2bduWJAkKQzAYzOVyiqIgP8SP1DrppJOI + KJlMrl27Fs6cbDbb0NAwbdq0bDZ73HHHnXLKKR06dJBlWdM0eEuI6Nxzzz3qqKNSqdSjjz66du1a + IgqHw4IgeJ7ne11QZZuIBEFQFAUKqv+TQM0AKFfI6rn33ns///xzFBgMBAK+vRIF7vwNSAMUA7As + KxAIhMNhWZb79es3derUHj16KIoyderUt956Kx6P4+fKHhiGYbbDLlNgYHrBNmIM4JiORqN4HZXE + qFUqBYNBqA2api1cuBD215EjR0JKYk9VVbFRXl5+3333IUT773//ezgcjsfjRx11FBFBdBqGEQ6H + P/zwQ8uyBg8e3LdvX0EQPvnkE/htVFV95ZVXstnsxRdf7B/cjxyLRCJcRYdhdhV+7pmf14sXbduG + 8V6SJMdxVqxYAa3miCOO8MNg/JHoW3mJ6M033/Q8r7a29re//e1W34X1N8fK7wi2bWNhGgwGoWRG + o1Fk5IfD4QEDBhBRIBDwF5pE9N13340cOVKSpIkTJw4YMADrUUQ0FYvFYDCI/EO/1CSzLVzXxaCY + Pn26ZVmGYdx0003wciBkC9Y0qDHfj8qDbkBEKDoci8WWL1+uqmoqlerbty8RFYvFeDz+97//nYjC + 4fDIkSP9z2JMIXA6EolcffXVSEqZNWsWESHjBTvggYqiiJHrp/tLkuTP49FoNJfL4Zfw9ttve54X + jUbPOussaq1yXigU/Npl+GHgx+aLgrbgqgVBePzxx03TxKztOI7fC2HXPQGGYf7b2GUKjCRJsiwj + 9RNmGL8eEYK+sHBBIiDqDkG6KYqyatUqTdNEURw8ePC2jl9eXg7z4YwZM0RRtCzrxBNPxPfOnTsX + 4bYoazZ06NDDDjtMluVvvvkGSZPpdHrx4sWe50HnYRhmD4PoFCJC3SrDMMaPH48qq2VlZZIkIV4F + HhuUXXZdd9OmTePHj7/yyiuLxeK4ceP2339/PzoFIL0NJm1mO7iuC6+L34UD69HXX38d5vm+ffui + thjWmiiWdd555xHRmWeeOWrUKORnh0IhGObD4TD8Bv5qldkOqDVMRIsWLRJFEdU1iQi32v8Bt1Xj + kZJkWVYoFDIMA+oB4r6I6J577jEMI5FIHHroocViEQefP39+IBDQdf3YY4/1vxqTciQSwRM/5JBD + MILWrVsHfQmDCOkufqoqtdr4bNtGH2q/2w8ccUTUp0+fq666Kp/Pb9iwYezYsbFYrFgsRiIR1BT9 + SXTv3h2/va+//lqSJKwNuL8QwzDbYZcpMHCnJBIJ0zSz2SwMeAiHhVPF90T7IdR4RZKk7777jogM + w9h///23dXzbtvv06SNJEgJOVFU94IADYEl6/fXX4/G4IAhz5sxxHGfYsGEnnniiaZqbN29GeP3a + tWvXrl0bjUaPPPLIXXW9DMPsOGiV6HkeOug98MADn3zyiWma119/PZIr/HgkIvrmm29QUrZnz553 + 3313Pp+fP3/+dddd5zcv91qhNoWbme2D7h9+/0TbtidMmDBz5kzTNO+4446OHTsi6QhrU8/zrr32 + 2kWLFu2zzz5TpkzRNC2RSKBBe2NjIxzsfnxR2/7uzA/it2kmItM0Bw0a1DZvHi8WCgXLsqCo+D91 + SZLwSmVlZUNDw9dff/3ee+9VV1evWLGipqbmhhtu6NixI7UOnEWLFqF5S0lJiR8X7SskmC732Wcf + bC9btgzeFTSO9M/E93v4CgwqBKJIILVpx1RdXX3bbbehoM599923cOFCfGQnQj27d+9eVlYmCMK/ + /vUv/0XOTWUYZjvssok/FAqhnz1C2BEji+JjwWAwk8kg7YSIfGuT53kQzfCT+DaqH0SSpKqqKky9 + mzdvxnGOPfZYURTnzp1LRC0tLUuWLFEUZf/99x80aBARBYPBL774wjTNefPmEdHAgQPR64BhmD0P + YmNCodDzzz//xBNPeJ539tln33XXXXDMIgGAWjWZr776Cq3B0+l0OBy+5ZZbGhoafP+An0231SqQ + 2Ra+1TwcDsO0/49//OPKK6+UJOnkk0++/vrrqdU5Bvk8efLkDz74IJFIvPXWWw0NDaFQCFV9dV3v + 2rUrEh6wJ1zre/Pa2gO+0yMYDKKIAjLvNU1zXReKQSQSUVUV0VP+BCqKYigUUhRl4cKFlZWVhx9+ + +CmnnNLc3NypU6fHH38cSfnYOZVKoTdlZWWl67o4DqK4URfbbx5ARIIgwBRIRIZhYEBtFQqI6RjP + V1VVJDvBTec3qK2qqnryySfxA7jkkktQT3nnnHKFQsE/Q7Ty3ImDMAzzy2GXKTAbNmw4/vjjjzrq + qNNOO+200047/fTTDzvssHPPPffYY4894YQThg0bhsJf+Xy+ubkZfQNQaIjaBL5vJ5ZdEISGhgYi + isfjCB02DOPUU09NJBLr16//+uuv58+fr2nagAEDSktLO3fujEn6iy++UBQFkcGnn346y0SG2SvA + SKEoytNPP33ppZcahnHOOedMnjwZY983eSDHt3///rZtFwqFRYsWTZgwoXPnzp9//vkBBxwwZ84c + /4DIPIZXZ29dVPsiEon4pZAnTpx4ww03ENG55547ffp02PsFQQiFQrIsr1ix4vbbb29qarr11lv7 + 9u3buXNnIoIZnlpDgnHnEdHEOYQ/CiY7VVWxuF+5cmU8HkdIXtt6mET0/PPPjxs37quvvkKTFiLy + axAjxZ+IPM8755xzfv3rX1Nr/WK8W1paiofiO1Uw5fkVaxBGiO1YLAZfiuM4cNf4RkaMKbhToMBA + yZFlGTUGoLsahqFp2tlnn33GGWcQ0bp161CiAKbMn4Tnedls1nGcfv36UWs5Zi6uwzDMdthlFTAb + GxtXrFiBumG6rquqahhGVVVVXV1dhw4dUMw+Go0iTRCrGT81EDEkJSUlmzZt6tWr17a+4ptvvolG + ox07duzYsaPjOKqqHnrooegh8/XXX2/ZskUQhMMOOwxW3mOOOeadd9756quvMpnM3LlzBUEYPnz4 + rrpYhmF+EiUlJYVCYfr06VdccYUsy3/4wx9uvPFGRVHy+XwwGMRCyk90FkWxoaGhQ4cOffv27dq1 + 68EHH3zUUUfpuv7UU08dc8wxbTNeoMOwB2BHcBwHi9pnnnnm8ssvJ6K77777sssuIyLIYaRDENGf + //xnWIumTZs2Y8YM0zRRYxd5L+ecc04kErnjjjuGDRuG6lJ8/38URGr16NED7pclS5ZstQMqj61Y + seKhhx5qamq67bbbbr/9dhS8QST2wIED586d6zjOGWec8emnnz766KOnnnrq0KFDUa/PNM1IJNKt + W7fVq1dv2LABWfh+4pNfxEySpPXr1yuKouv6AQccgOEWDof9PH6//LEfDYG3oEFh6GmaBk9dMBgM + hUKpVOovf/nLW2+9ZVnWnXfeeeKJJ1ZWVv7U+4OCAbZt77///rBiUBvLJsMwzPfZZQrMgAED3nvv + vQ4dOniel0qlSkpKYAeCkamlpQWO7EAgkEwmYeZBXTLHcZC773ne3//+96FDh/7g8ZcvX45gEmg7 + aKQ1aNAgZB9++OGH6XRakqSjjjoKc/Dw4cPfeeedZcuWPf3000TUq1evrl278kTLMHsF13Xfe++9 + s88+OxqNjh49+s9//rMgCJlMJhqNYlSapinLMky8uq536NABHwyFQgceeOCYMWMeeOCBDz74ACE3 + WN/4RZz25oW1E1AgwTCMN95445ZbbiGim2++ecyYMcFgcPPmzdXV1fl8HhWuYAtHSagFCxZAwLY9 + 1FdffWXb9pYtW4goFArpum5ZFle8/VHwQ+3Ro8eyZcuam5u//fbbfffdt1AoRCIRX3VPJpN+QhFu + aT6fj8fjRFRfXw/9c8KECQceeCARXXLJJR988EHPnj39gw8ePBglbWbPnj1s2LCtnJOWZcmyPGPG + DDxQBFoTUYcOHeDPrKur22o0oQm1ZVmdOnXyX0SuFOr04JWOHTs+9thjKH12zTXXDBky5KfenGnT + pmHjiCOOyGazHOzNMMyPssvmfng/Kisre/bsecghh6BT1b777rvffvuVlJQMHDgQIWR+hURqzft3 + Xfeggw5CBbO//e1v2zo+BJwgCCeffLJhGH607sknn6woyt/+9rc333zTtu3DDz8c5qj99tsvGo3a + tv3www/Lsty/f3+uycgwe4tPP/30wgsvJKIRI0bcd9990F4SiQS0l7a1xVCYFRZfwzDy+XwoFMIS + uVAoYDnlp++3rdTMbAfLslRV/eSTTy6//PLm5ubbb7/97rvvDgaDzc3N1dXVsN9TayLirbfeOnv2 + 7I8++mjWrFnz58+fOXPmRx999OKLLxKRJElTpkyZOXOmv0hFa8u9eGntAugMxWLxiCOO0HU9EAhM + njzZ94lRm9kQpcD811FzGb13dF13Xbd3795jx45VVXXz5s1oZ0mtCWaHHHIIYswmT56cSqUwuBRF + wbQriqJhGO+88w60U5gCiai0tBT+lqamJowm6DNEhBrlnuf16dOHWksLYPK1bRv/Ilr70ksvRUjb + 119//ac//emn3p/77rvPcZyysrLjjjsOVX/QKW7n7jbDML8EdqXxEo0FsA3RCY9zMpn06zyiP1cw + GIxGo6FQqFAoSJIUDAbHjx+PhN1HHnmkba0hbMybN2/SpElEdMghh/zud79TVRWBv4qiHHfcccgs + JKI+ffp06tQJfQmGDBmSz+dVVc1kMpZl/c///A93jGaYPYMfSY8ll2EYI0eO1DTtoIMOuueeeyAW + UDqZiLBCUlU1l8v5iRY4giiK0WhU1/X6+noEpnbo0CEajfpKC2svO0goFMrlcldffbWmaR06dLjz + zjtha/dbW2I3CMm+ffsec8wxQ4YMOeKIIw455JDjjz/+6KOP7t27t6qqjuMcffTRw4YN69q1q5/q + 4KeGM9sChWeI6KabbqqqqtJ1/Yknnli4cCERoYB4PB63bbu0tBTKg2EYuKvJZBLVydCnBXmkt956 + 65AhQyzLeu21155//nkcWZblE0888YILLiCiyZMnL126lFoDwPzuLlOnTv3oo4+IaMSIEUcddRTe + 7datG44wceJEy7IQkwmFyjAMKK7du3c3DANGhEgkEo/HEWaG4tr4+P3330+tcV+BQMBPYhFFEbWY + qc249tOx6uvr77zzzjVr1hDR2LFju3XrBsmQSCQ4vY1hmO2w26Mv4BCHUIO4lGUZhtXGxkbUXSGi + 3/zmNwcddJBt2zfeeONzzz3X1NSkaRqm1ZkzZ44YMWLz5s09e/a88sorq6qqqHWidV130KBBsizj + yIMHDy4Wi7APWZY1YMAAwzAcxykvLx80aBBLQ4bZ3WDMYnWCCoSGYYwbN27NmjWBQKBDhw719fVf + f/31qlWrli1btnTp0gULFjQ2NmIxjWLoc+fOXbJkCcwZCGoaO3bs5MmTJUk69dRT9/LltVtEUXzy + ySeRO37CCSesWrVq6dKln3322ZIlSxYsWPDNN99AG4EgVVU1m82i8Si1ynDIbaxKkcSI0KZUKsWW + 8h+lS5cuLS0twWCwS5cuF110UWVlZT6fP/LIIx966CHc5+bmZtd1Gxsb4XtEAU8iQmekWCyG+tfw + T5qmOX78eCKqqKi48sor58+fj0eQyWTGjBkjimIwGBw6dOgLL7yA2TAQCAQCgXHjxo0cORJ6yF// + +ldqDTwTRfGaa64horVr1z722GONjY2apoXD4YaGhvvuuw+BZ7/+9a9VVRVFMRKJ5HK5bDYry3JJ + SQk6n+Iau3fv/tprrzU2NqJrbT6f9w2aqqp6noefUyaTgZK2bNmyJ5544vzzz7/33nuJ6OSTT0Zv + N18f5pBvhmG2h7ddJk6cOGHCBL/HHCKkdwJErqN7wBdffIGvfv3115uamvx9lixZMnz4cLjOFUU5 + +uijhw8fjlB4VVWrqqqeeuopRI9YloUNWKqQMijL8nvvvadpGl63bRtiUZKko48+2vO8QqGwcyfP + MMxWTJw4cdKkSdj2e7d7npfNZj3PS6VS/uu2bR9//PG+wEHbCoCkl0cffdT/uKZpQ4cOlSRp3333 + HTNmzKhRow444AB4CXr37v3ll1/upcv9D2XH5bOmaSgVRW0K2aPyGxGVl5c/8sgjLS0t2BkVxpD/ + 4LU+06+//ho7NzQ04F3kfOOrf2ngVuPaJ0yYMHHixO3vj3vleR5u6Q033AD9pLy8nIj69es3atSo + /v37oz5nIpF45plnMCI8z0NoVu/evdsewbZt3/cydOhQx3EwJ7a0tMD3QkSCIITD4WHDhg0fPtz3 + VXbr1u1f//oXninqj3me19jYiGlUUZREInHBBRcMGTKktLQUGsjVV1+Ng+fzeTRlguaTy+UymYzn + eThIfX2953lQQmRZHjp0KE64UCh8+umn1KovBYPBreo1h8PhP/3pT9988w3290sB+XeAYZj/MjC6 + /Tlr0qRJPypFv8+eyH/12/0iAmHLli3xeDwejzc0NEBew9lywAEHvPzyyxdffHFlZaVpmp9++uns + 2bMbGhpEUfzVr3717rvvjh49OhAIeJ5nWZamadlsFjXpe/fuTUSBQKBz586QjOl0WhTFmpoapPkO + GDAAO3BnX4bZrSAgvqSkhIjQ0FbXdYxQ2F/RTgS5++gHj2U0Rq4sy4lEAlLi4YcffuGFF5YuXdrc + 3DxixIjp06cfdNBBe/HS2jVtM1WgwKBvul9dV5KkrQpPOY6DPSORiOd5W7ZsiUQikiRt2rQJgUP+ + I/PTGpltEQqF4MhqaWkxDOMvf/nL2rVrjzjiiKamJlVVv/nmm0mTJtXX16NE9cCBA2OxGJwqfpMW + uMXQBxYly84444xBgwYlk8k5c+Y88cQT8KElk8l99tmnrq7uwgsvlGVZFMVZs2a9//77eMSXXnrp + ggULBg8eHI1GNU2Dr6apqam8vPytt9667LLLTNNEfs7ChQtbWlry+fzEiRP/+te/ooslSqiFQiGc + myRJ8MIBWBsfeugh/5L9njaSJKFWQSwWQ1ipoih9+vQ5++yz77333tmzZ994441oY63rOn5X6Dyz + Rx8SwzDtiv8vV29bTJo0yXXdyy+/HH5kv1jKjoOP+NU28XFN0xBUhpzFreSU67otLS2zZ88momOO + OSYej2PqLRaLaMv1g1+B4+dyuUgkAr0Fct//LlzCTzp5hmF+kEmTJgmCgCK8GICo1oro0GQyiYxh + BIim02lVVUOhULFY9K3+uVwOCxqEyAcCAU3TZFlGWdjZs2cjZb+mpmbAgAHQi5it2HH5DFvXVjUP + 0GnE8zxd1+H69h+Zfyj0/YAERvoiYskQFKTr+i+z1i3uD277008/LYriqFGjdvzjLS0tjuNUVFQ4 + jlNfX19bW7thwwbP8zp37nzooYfm8/lAIBAMBjF54Vv8iYxa5zKMOIwpPAtJkvzJFEUy5s+fb5pm + Lpc74IADunbtirf8yREVAqLRqD8wHceZP39+XV2doij77rtv586dJUmSZdnzPBQRJSI/GyeTyUAh + CYfD6XQ6Go2uXbsWjRBs2/Y8T5blVCoVCoWCwWBjYyNqi+m6jhgzHC2Xy8ViMb/MAH5+bVNrGIb5 + 7wPiy59onnnmGc/zfpIUpV1YRnlbFIvFaDQqiqKvuti2HYvF6urqqqqqUBuHiPAv8n0tyyovLz/r + rLNwbX62HwJOqNVAqCiKfzTs4DhOKBSCrQjroVAoBDmYzWbb2ooYhtkdqKqKYet5nq+9wCFDbWKW + 0FrEX2yl0+ny8nJBEDRNgwfmpJNOolYDh7+UQQLxnr6k/wry+TyWiZIk4TYiRQG319defIEMuU2t + 6RPwA0DSmqYJP4AgCHiCbbMdmO2AWtWqqiL0AAXHkslkPB4fOHAgtdYii0aj6OmMtmmYOtHk3rZt + zHF4BTmf0BZCoRCiMmAaKCkpQVlOPO5MJoPH5A8i1HGWZRmJ+0SUTqcjkcjgwYOhsaDJARL6fa8L + tTblFEUR5cLC4TC+joh69eqFXxS0F9M0Y7EYxi+0Fz+/Ba4eak1nRS0fX61C1EZLSwtuFMMwzPfZ + 7SFkmNggkogIxhgiqqqqymazqqqmUinLsqqqqvL5vCiKoVAItj0igl8FQg0CnYhc14WpBkfzLY6S + JCEKAgoMtUZKwDBJrfVPGIbZrWD54v+L1RLqsbbdzS+2Qa2ZAP4S2bKsLVu2oPA6LBH4CJfr3Wkg + h9Gbq60jGiFkEJh+n/hCoYANJMNQazyP53lwmGO1iswH/+DMdrBtG3dVVVXLsjKZDBb6giCEQiFZ + llEfXJIkv44ChgZqhafTaagEWO4jDpOIYKFDSn1DQwOqbsbj8ZKSEk3TAoEAdBIiSiQSKIwRiUQQ + qLbvvvsSUUNDAzpKo165/xWo04ChBy8Qao41NTUFg0HotI7j5HI5ap2dm5qaiAitM/Ebg4UV8RfY + B54lanUJYgM/P0EQCoUCAhexDxQkhmGYH2S3KzCu6yIyRJIkv5svJBdMfclkEsIuHA4jPdF3IhOR + JEnhcNhxHFVVfbNQNBrFIsm2bcRnIyWIiBAHDPEHfw4RFQoFdr8wzB4gk8mgw50gCLquYyljGAbK + qmIfGIypNRLG7+uC0Yrq5506dQoEAqioHolEHMdpaWnhqkQ7DazpWFBCFYHWgfIqfnKgf8M9zysW + i6IoYlmJd/EcHcfBbkhygFRnto/v5kJtPfgl/NuOID1ZlhVFgf6g6zr8M9ghEon4ikEul6upqdE0 + DZ2giSiRSDQ1NSEFpaSkBKY6pMqUlJTouo6joW4Y3BroQ4Ca2jgNP3wLOgm2odhgnGJGhq0BO6A8 + mmVZiG1LJpNEhDYGKCpArdXSJUnCx/Gi4zhIjCEiqEO+MoMXURuAxzvDMNtht4eQwamCbd/yB8EE + Edl2T9/CKghC2xBYX5C1DeD29/FzHKm1sifwA1Rg1uWO3Qyzu2lrNPWHM8amP/wRlURtfKR4HYO3 + rTnfDzmTJImDSX4mbZeDviDFHfZFsX/DUcAK237YHh4ojEpb7c/sIHBeYTLyn0jbwEi/VFfbT/kO + DWqdztqmHkmS5OsV1KY48g8eCuMIL+IgvgERO2AY+v/643FbSSlbTevYHwER379GvwfUVmfb9rPE + vheGYXYAXtMzDMMwDMMwDNNuYAWGYRiGYRiGYZh2AyswDMMwDMMwDMO0G1iBYRiGYRiGYRim3cAK + DMMwDMMwDMMw7QZWYBiGYRiGYRiGaTewAsMwDMMwDMMwTLuBFRiGYRiGYRiGYdoNrMAwDMMwDMMw + DNNuYAWGYRiGYRiGYZh2AyswDMMwDMMwDMO0G1iBYRiGYRiGYRim3cAKDMMwDMMwDMMw7QZWYBiG + YRiGYRiGaTewAsMwDMMwDMMwTLuBFRiGYRiGYRiGYdoNrMAwDMMwDMMwDNNuYAWGYRiGYRiGYZh2 + AyswDMMwDMMwDMO0G1iBYRiGYRiGYRim3cAKDMMwDMMwDMMw7QZWYBiGYRiGYRiGaTewAsMwDMMw + DMMwTLuBFRiGYRiGYRiGYdoNrMAwDMMwDMMwDNNuYAWGYRiGYRiGYZh2AyswDMMwDMMwDMO0G1iB + YRiGYRiGYRim3cAKDMMwDMMwDMMw7QZWYBiGYRiGYRiGaTewAsMwDMMwDMMwTLuBFRiGYRiGYRiG + YdoNrMAwDMMwDMMwDNNuYAWGYRiGYRiGYZh2AyswDMMwDMMwDMO0G1iBYRiGYRiGYRim3cAKDMMw + DMMwDMMw7QZWYBiGYRiGYRiGaTewAsMwDMMwDMMwTLtB8DzvB98wTVOW5WeffVYURV3XbduORCKu + 6+7h82MY5j8QVVWz2WwymUylUqqq2rYdDodd17Vte2+f2i8Cx3FUVRUEQZZlXdd1XQ8Gg6ZpBgKB + vX1qvwhs21YUBbc9GAxaluV5nmEYkiTt7VNjGIb5jyYQCIh6XcLtAAAgAElEQVSiWCwWA4GAYRhY + SMTj8QsvvPCnHWdbbyiKQkSapomiWFJSYlmW4zimaaqq+nPPnWGYdk42mzUMQ1XVSCQiiqJlWZqm + WZYVCoX29qn9IohEIrZtb9iwQVGUysrKWCwmiqIgCGxj2jOoqqooiizLjuNs3LjRNM2amppIJGIY + xt4+NYZhmP9o8vm8LMue5ymKEggEVFU1DCObzf7U42xTgfE8TxAEIiovLz/11FOj0ejPOl+GYf6L + ePnll1VVPfbYY4PBYDgc9jxPFDkedc/hOE42m501a5aiKEOHDo3FYpZlWZYVDof39qn9IigWi7Is + y7Kcy+XmzJljmuawYcPi8Th7YBiGYXYE13UFQSgWi7quz549eyesP9tUYKC9WJaVzWaDwSAR6bru + ui5PkAzDpFKpSCQC7UUQBIgLZo8hSVIoFGpsbFQUBV5xrKf39nn9UvDnQVVVGxsbTdMMhUKsvTAM + w+wgMHpCluZyuUKh8FOPsE0FxrbtQCCgKIrneYirhhrDIQoMw6iqKooitBfHcYjItm1BEDgHY88g + CIKqqpZlCYKAdbPjOJIksXzeM4iiiBsuSRJ8X6qqep63rZxShmEYBti27WsWkiSFw2FRFHciP+VH + VhuyLNu27bqupmkw7yE3hmGYXzK2bSNxGb4XrOT29kn9gnBdVxRFuF9gx2r7l9kD+Dcc8y4SkPj+ + MwzDbB9fj4D10/O8QqGwE3Ec21RgYMxDrQDMlFBgTNPc2XNmGOa/hHA4jAofRGTbtiRJkET4y+xu + oC5ixew4ju+HYfm8Z1AUBb5HZH/B8eV5Ht9/hmGY7YPZSpIkLB6gZexEBaDt5cBgXsS/8Iybpske + GIZhXNe1LAvbvpRwXZflw54BAhlFqx3HgS8eRV328pn9MvB9j378JBGJosh+SIZhmO1jWRasn/7i + AVG4P/U42/N3BwIBx3FgW8LXcIA7wzBEZNu2by+BWHAch5PI9xgQyMFgUNM0VVV9D8DePq9fCrjV + ruuqqqppGhJEuZQFwzDMj4IC9NRGpwiFQjvRRI4DdhmGYRiGYRiGaTewAsMwDMMwDMMwTLuBFRiG + YRiGYRiGYdoNrMAwDMMwDMMwDNNuYAWGYRiGYRiGYZh2AyswDMMwDMMwDMO0G1iBYRiGYRiGYRim + 3bAnFJhUKmUYBrYdx0Gv4mKxiFdQ+9l13Ww263+kbT9jlIvWNA3/6rqODb+PHl4xDMOvze8fBK9w + ewSG2ZPYtu0PQ8uybNvGiLYsq1AofH9/jHFqIxa+j+d5/m7MzuHLzLbCFi/i3kKWFgqFrTrKW5aF + HdAZzC/Yb9u2ZVn+YZntoGmaf6MwOnAbPc/zB4vjOJitsKdpmv6Mmc/nsY8/A7a0tPj/Yn8cx58r + sQO1GV+GYeBd/yD+5Nj2e/1X8KxxnjgZ/11/TrcsK5/P+z8Y/4A4Gdd1DcNoOylv5xb534Wr4Imb + YZjtsCcUmGQyqaoqRLMkSegVHQ6HNU0rFApol1ksFuPxOGZH13UlSfJFnud5fte8VCqFlmG6roui + CAGHV/AVtm0bhgEprChK22bJ1DphMAyzWxFF0W/qJ7XieV4gEIhEIkTkuq6/nmu7XA6Hw0SUzWb9 + 5Rq1LrYEQfDXTMzOAVlKRPF4nIgMw/DXx7jJvixVFAXaJuSwLMv+alsQBP9TkiTJsswNTH+UdDod + CoVkWU6n00QkimI+nw8EAq7rCoLguq6u647jSJIkCEKhUJBlOZ/PG4bhz5iqqmK+U1UVA6GkpISI + 8K4sy6ZpQv9He1PDMEpLS6HDSJKk67rneaqqhkKhYrGIB42nSW2UKDxKKBKCIIiiGAgEAoGAZVmK + ouA4UFkxQl3XtSwrGo0qilIoFPApXDI6bYuiqKoqtj3Pw4bjOIVCAT8/XddzuRwR5XI513UDgYBp + mrquh0IhbgzKMMx22O0KjL/mkCQJCobruqZpapoWCoWCwWAmk5EkKRqNQieBWiJJEiQdEUGAZrNZ + TdOSySQRmaYpCEJbJadYLJqmiT0xT7S11/rb/v4Mw+w+oMBguGEbKzOsfQ3DEEXRH6fhcBjmCWBZ + VjAYDAaD+XweK7ZAIIDVM9QbZieAHFYUBYtRPAVVVSVJws1XVZWI8vm8b9EXBCESifi+l3w+b9t2 + IBAQBEFVVXRQFgTBNE02DP0oJSUlcH2UlJRgvR6NRlOplCiKWLUHg0FJkkzTtCwLSn40Go3FYv4R + ZFmGfoJxRESiKDqOg6djmqaiKNFoVNM0x3FCoZCqqplMprS0NJPJuK4bDAZ1XW9ubqY240gQBMzI + GKF49NCj/A7ZRNTWLAhtRJblsrIyHAG/HGg4gUAAJ2DbttcKDgLrJA4rSRKUZEmSgsFgOBw2TTMW + i0Ft9jwP+hX/rhiG2Q67XYFp65KWJCmTyRiGIctyKBSqra2VJCkSiTQ2NlKrwQbKCT4IkwwRua4b + j8d9/ziMOjDnQHxDGhIR7Fi6rsNQBOkpy7I/f+/u62WYXzhbxZBQqwu0sbERFmVJkvL5PKKYfJOt + aZqINvE8DyuhcDjsm4dhUWYPzE6jqirWlEQky7IkSalUCgtEURR9Ka0oSjAYhGcAtnAoOYVCoays + zDcJmaaZzWZxNF5l7gie50FRdBzHV0tgj0MAAm6j786Co8bzPGxkMhkigmJDrROZbdv4LF6BTwy6 + JYZeIpHIZDKJREIQhFQqFQqFysrK2oacUasOg21YDRzH8YekruvQcKLRqB+llkqlcPxCoWBZliRJ + 2MaZ+69AY9E0zY8Sx+vQcKAJ43vxL7bx4/TPbdc/CYZh/lsI/PguP49oNEpE2Ww2EAgoigKzDYB5 + T5bliooKIlIUBWsX13VbWlo2btyYzWZramqqq6tN0wyFQn7wSSAQKBaL4XAYH7csyzRNLHdUVYXj + G7KvUCj4Qp9hmD2Av1LxNZl8Pp9IJCoqKrLZ7Jw5c0zTHDx4MEY9VBpd133TPhE1NjbG43FsI8ql + rSma2QkQv6frerFYXL9+PXRIhOaWlZVh6ek4jm/rEQRB1/VgMIjopoaGhtWrVycSif3335+IwuGw + bwwSBKGttZ75QeCggIoOBwh+2JqmtbS0bN68WdO0jh079ujRQ5Iky7JKSko8zysWi4gTwx2G06y5 + ubmsrAxzHxFpmgb3C/71nTO6riPfbO7cuZ7n9e3bF/onTkOWZV3XTdOMx+OSJGWzWdu2S0tLMV8T + kWmapmn6/7qui6jvUChUXl6OoR2JRHzlB0GJRBQKhWzb9uPHfG9PS0tLZWUltfpwiMhxnEwmEw6H + 4W9paGiIx+PBYNC2bYSU+5oMwzDM99ntHhiYjuLxeDgcRijIe++9V1ZWFgqFvv32W8MwEIFQW1tL + RIIgjB079oADDqisrPzVr341ZMiQffbZp2/fvhdeeOG6deuIKJvNwg4Ea9bvf//7srKympqaI488 + slu3biUlJf3797/++uvnz5/vp5zC4OS7uXf39TLML5zvp9qnUqnbb7+9qqoqkUicccYZ559/flVV + VXV19VNPPRWNRkVRDAaDiqLA3Lthw4YTTjihW7duZWVliqLEYrHq6up4PN6rV6+LL754r1zRfwGC + IORyuVtvvXXo0KEDBgw47LDDjjzyyJqamsMPP/yBBx6A+IXpXVVV36G9du3aUaNG9e7d+5BDDjn+ + +OMPPfTQSCTSv3//119/3c+xZjP5jqAoCpwbWNA7jjNv3ryLLrqoe/fuXbp0GTx48HHHHXfggQdG + IpFu3bqdeOKJb7zxRiaTgfVtxIgR3bp169WrV2lpaWVlZZcuXY4//vhRo0ZNmzYtm80mEglFUYrF + Ih6EIAj5fH7t2rX33nvv4YcfXl5efswxx5x11ln77LNPeXn5gw8+WCwWXdctFAqqqkLrePXVV7t3 + 737kkUfOnz+/WCz60QrRaLS+vv6RRx7p2LHjqaeeSkShUMgwjJkzZ3bp0qVjx47XX389NCvLskRR + RJa/KIovv/xyz549L7zwQkSs4WKPPvroioqKioqK8vLyeDzeuXPnQw455Kyzzrr55ptff/31LVu2 + dOjQAS6gYDAYj8cRaMcwDLMtdrvlLJFIUGtYLYxGVVVVLS0toijW1dUNHjwYu3Xs2HH9+vWXXHLJ + smXL6urqiKhr166apm3cuHHNmjVr1qyZM2fOn/70p5EjRxIR7IilpaVEJIpifX19Y2MjAnnXrVs3 + fvz4xx9//MEHH7zuuusikYifAUxcjoxhdj9+5JgfC9rS0jJ+/HhN07p3715eXh6JRBYvXlxXV3fz + zTc3NTVdeeWV4XA4HA7DNBsKhRYvXkxEsVgMRmXXdXO53PbrFzE/yscffzxu3DhBEPr06dOjR491 + 69YtW7Zs5cqVjz32WCAQGDNmjOd5yKuGt1zTtJEjR86ZM8fzvLKysquvvnrjxo3//Oc/a2trs9ls + 27Ql+Gr24qW1C5DniTno1Vdfffjhh5ctW0ZEgUCga9eu5eXlDQ0N69evX79+fSaTqa2tDYVCnufl + 8/lMJmNZ1vr164kIMdgffvghEf373/9eunTp3XffTUThcNjzvKampoqKipkzZ77xxhuvvvoqEQmC + MHjw4IaGBgRwjh07dtasWePHjz/ooINM08zn87FYLB6PG4axevXqxsbGrdLMSktLg8FgXV3dmjVr + iAghhR07dszn8+l0evz48SeddNIJJ5xg27Ysy1B6DcP48ssvt2zZUldXh4ALURQlSVq5ciUkA9KB + crlcfX29bduzZs2aPHnymWeeedttt3Xr1q3tMHdd10+FZRiG2Yo9IR18tcGyLBQZw79QVOrr613X + bWxsvPjii2fPnl1XV3fllVeuWbNmyZIlq1atMgxjypQpsixnMpnrrrtu9uzZhUIhGAyWlpYiLL6p + qemggw764osv6uvrZ8yYcemllyIf8dZbb12+fDlyBKk1UJtzYBhmd9N2jFNrxaHjjjvuww8/XL16 + 9ccffzxjxoxZs2YdeeSRuVzuzjvvFAQhHA6jhgf2R62khx9++MMPP3zxxRdfe+21Tz755L333rvj + jjv23mW1b0zTrK6uPvvss+fPn798+fLp06d//PHHGzduPOGEExoaGh566KEFCxbA9wJvmGVZ5513 + 3uzZszt16vT22283NTU99NBDb775pq7rL7zwwlFHHUWt3nVUXNjb19cOaG5uxr2dOnXqddddt2zZ + smg0+uKLL8JI9+9//3vlypX5fP6dd9459dRTbdtWVVXTtFgsFgqFMplMeXn5qlWrFi1aNG/evFGj + RpWUlCxbtmzcuHEbNmzIZrPZbBZFF1auXPmHP/zh1VdflWX5z3/+s67r77zzzurVqzVNu+mmmxRF + +eijj4YNG1ZfX68oSmlpqSAIKPrnui5sghi8fvGGtplOmEDXr1+fTqfhHbruuusQV4ZPoT5H3759 + oR3hwovFImoVhEKhCRMmfPnll2vXrv3iiy8++uije+65p2vXrqlU6tlnnz311FM//vjj0tJSy7Iy + mUwsFmPthWGY7eFtl4kTJ06YMMHzPPRUQSnkHwQ7wIaHjVQq5b9eLBY9z0Pe59KlS2GafeWVV1Bo + yDTNCRMmIOD1nnvuaW5uxnchC99xHFhk4/H4vvvu63+RbdvDhw8nop49e+JfpDzef//9uLRXXnnF + 8zxkyCAPePsXyzDMDjJx4sRJkyZhG2LBH/g/CMJLYIGGZPjnP/+J9dALL7zg72YYBpZKqqp+9dVX + XmsnCv/d3XIx7ZYdl88APXn8fzVNgy2fiJ577jnP8wqFgud5qVRq3rx5iA6aNm0aiiajQBaEc0tL + C/7+YoUqbjVu+4QJEyZOnLiDH6ytre3QoQOcjQsXLmz7OPwDIsQLr+Ryud/85jeiKFZXV2MHvHXX + XXdhfT916lTUt8D+Z555JmbSuXPnogoOplHwwgsv4HGPHj3af3HKlCmYkWfNmtX2NPDBRx99lIgO + Pvhg13Xx4qJFi0KhEL5FUZQ//OEPnufV1dV5noefx9ixY4noxBNPxNU5jvP5559j/9dee83/lWJV + sHz58hEjRhBROBwePnw43kqn07j2HbyrDMO0LyCyfGkwadKkHZeiPrvMwiHLMqqO+Il3yP9DEAji + DZCJC6UlGAzGYjFYcb777rsnn3zScZyqqqoLLrigtLTU8zzkhsKic9BBB40ZMyafz2/ZsuWNN96g + 1gxIHBwxu5IkrV+/PpFIHHnkkfBc19XVobYypHMwGOQoFIbZK8iyjOKBRFRSUlJXVwczbVlZWVNT + E+odFYtFv5iSYRiKoiArI5vNwhjMyeI/h3Q6HQgEUAoSJX2DwWDHjh2JqLKysrGx0bIs3OGSkpKJ + EydCmJ955pkomyvLciAQKC0traurSyaTLS0tyWQyGAxyrsIO4nmeaZqvvvpqQ0ODYRg33HDDgQce + iP5I1NqHR5blQqEAnyTUj2g06heFww7hcLhYLA4ZMgTFkRHIgMHy2WefffLJJ47jnHnmmfvvv380 + GvWnUeR/HnHEEb/5zW+I6O233/7yyy/R7yUajWKC9lP2vw8KjhGRKIrohuk4TqdOnRzHefLJJxcu + XNihQwdqne63cxzETWCMw1TRp0+fBx544MADDywWi7Nnz/7HP/7hH2E7x2EYhtmVLloUZESuCzQH + mH8giCGgIcSJSNf1TCaDdUlLS8s333yjqupll13WtWtXIsrn837WCsTcmDFjXNfNZDLTpk3D1yGv + RpZlVNZvbGzEZ1taWpA7WFZW5v3/2wxzxU+G2Vu0HX1VVVXz5s0joubm5oEDByqK4ldnQg87OGdg + DUkmkxi/Huew/QxKSkr86rpopEhEixYtkmW5vr7++OOPz2aziqLgMU2ePNl13SuuuMLzPE3TsErG + W1VVVY7joAQwtdZHYbYPihG7rvvmm28SkaIo1157LX7efvI99vTDL9HJER5I9H5JpVKYPTVNw/7J + ZLJjx47Qgmzb/vTTTxsaGojof//3f9sW/EQEFxH17t0b7o7a2toFCxagbUvbDjDbOv9AIIDR57f9 + URTllltucRwnGAyef/75giA0NjbGYjG/usMPEgqF/NGNSmhE1LVr19tvvz0YDFqWNWfOHJyJ53lc + dIdhmO2wyxQYVE70BZPf5kyWZRQa8oUjmli1ldqYHWVZHjJkCMrGw55ERMFgUBRFdCEoLy8noqVL + l+KDvoxLp9OxWKyiogLC/eabb04mk9XV1UOHDkUzL78RXtvEU4Zh9hjogUitrRI1TXvuuedgIT7m + mGOotQxrLpdDtVbTNJ999tkpU6ZMmTIFPcupVZ9hdhrbtv12hHDfv/TSS3hlwIABqNhLRGjfLsty + TU3N4sWL77rrrssuu6xTp04nnHDCpEmTGhoa0JYUKhAnFu4IXmt35q+++ioSifTo0aO6urqt80pV + VX/KIyJ4wwRB8KP+wuFwMplEcYVwOHzVVVdJklRbWztkyBBJkjRNU1V10aJFSLMZNGiQZVno70xE + siyrqopQBb9wzrfffktEqB7m91vb1vmj56x/qoqiJBKJiy666LzzziOiZcuW3XbbbRUVFblcLhQK + obHbD4IgUugt6N0J387RRx+dSCRkWcZZYaTDn8MwDPOD7DIFxpfFvqMZ//qN6iRJQoNe3xUOs5Dr + ul9++SUR5fP5yspK9LBTVRUl83GoSCRiGMbBBx9MRPX19ShvjwOGQqFOnTqtXLlyxYoVb7zxRpcu + XVasWJFKpS6//PIuXbpQa9gJFBiu+MkwewUYEYrFItLE77zzzvnz5+fz+XvvvRdLYZgk0OlCVdXK + yspHHnnkggsuuOaaa2Kx2PPPP7969eq9fRHtm3Q6jW4hqVSKiFRVffrpp2fNmkVEDz74YFNTE5zn + kiTBgy2K4vz580899dSHH3743Xffra2t/fjjj6+55poLL7zQsqx8Po8IH+4uuiNgLZ7JZNBxZb/9 + 9qM2IVKYPTOZjK7rsiz7fVpQ0MKPMVuxYsXnn38+a9asYcOGLV26VBTFxx57DJ4WeC+/+OILBGrC + Y7NVSTHk5SNoUFXVBQsWEBFqnVFrD9Ntnb/fFToYDKqqapomKn8++eSTaLz2yCOPLFmyBDPsdhQP + P6Ib2posy5FIBFbOYrFoWdbKlSt1Xcf5/4z7zTDMfz+7TIFJJpMw7+m6Dh0GYshxHNRMhLkFJiVE + waLwsWmamzZtQjJMdXW1JEmYEaHhIJWfiFRVra6uJqJsNovmxBD0mqZ9/vnnvXv3HjRo0Lnnnltf + X9+rV6+XXnrpuuuuI6Jisdi2keV2XOQMw+xWUqlUOByWZXnKlCkTJ07Udf3000+/6qqrsEojIsuy + 4vG4aZqPPfbYgw8+eMcdd9x2223pdLpjx46XXnrp+PHj9/YVtGNs28Zy1nVdRH+9+OKLV111leu6 + l1566cUXX4zuhIhNWr16dTQaNQxj7ty5lZWV3377bS6Xa2lpueKKKwzDmDFjxl133eVHjnFc3w4C + lwgRIdsTuS6YkuAn8eu5IUOJWh0Rtm3HYrE1a9YMHDjwmGOO+fWvfz1//vxu3brNnDnzmmuuUVUV + h3VdF2mfsVjM7y6K9kqYQ6PRKMIfELGJ3DPf1AhXzLZOPhAIoKspKjr4r8fj8eeff56IDMO47LLL + 8LPxm1p+H9/LJEkSwuqQOhsOh3EmvpOQ2lhFGYZhvs8uS4qdO3fuAw88gB4ChmEkk8l0Oh0OhwVB + MAwjFApNnjwZmaCY+YLBIOSpIAgdOnSA0lJfX19aWprL5bCPr6K4rquq6oYNG4goGo1uZViCJyeX + y8VisVwud8opp1xwwQXUWtIR+3CcA8PsRTzPQwjo5MmTL7zwwmAwOGLEiFdeeQXvIgofq7eWlpbR + o0fDzBEMBu+4446TTz65trb29ddfr6mpGTNmzN68jHaL67pYtkJEv/DCC/fccw8RnX/++ffffz9W + nJZlQfD6Od+iKM6YMaO8vByr4ccff3zdunUffvjhAw88cP/996fTabRO36tX1j7ALBYOhxOJRCaT + SafTCAqA+gdXDOa1p59+2rbtww47bNCgQUSEUl2qquZyOfSgJKJu3bqdcsopAwYMIKJUKhWNRrHu + 79mzJ2r3+S2YYAdEPipmQ1876tatG6ZFy7IEQUBg57bOH8dEaisUGEmS4vF4Y2PjOeecM3v27Gee + eebLL78cN27cFVdcsR1FKJ1Oa5oWCARkWZYkCYUicFFQV7p164ZiP9Ra9YdhGOYH2WUemIqKijlz + 5syaNeuzzz776KOP3n333Tlz5kyfPn327NkffPDBu+++q6oq7K+wKum6ns1mdV13XbdXr16INFu7 + di21ZoWiAIAoivF4XFVVy7IWL14sSVJNTU0ymUSdR7R86dOnj+d5hUKhX79+RPTkk0/OnTs3m80i + WEXTNF3X8aUcQ88wewXEhEyaNOniiy8Oh8PXXnvtK6+8AvsrdvDDThAvivpL8Me+9NJLSDRfsmTJ + 3ruC9g3Ku0EIT5s27fLLL9+yZcsdd9zx3HPPVVZWhkKh5uZmRVEge3v06IFYvnPOOaeqqgoRZfCu + n3766Uhg2LhxIxIUIYr38uX9xxMKhSKRSDweh86watUq/OChGSJBxbKsurq6q6+++tprr50+fXo2 + m6XWlNGmpqa+ffsWi0XbtgcPHrxu3boJEyYsXLiQiJLJJJJOQ6EQVJq6ujr4ZPzYMNTXIaJ8Pt/S + 0gKFoVevXtjHMAyczFaWwbYg7BBV7DCNOo6TyWQqKipSqdStt95aVlb2/9g70ygnqrSP30pVZU86 + Sa90A93sgoLogAyIooCIy4ALIoggoiOKoAOKjI4LIrIKKgwgDOgIoqjzuu8LIj0iIsgiCArI2jR0 + d/akUqkkVe+H/+l7IkoLyGKPz+9Dn3Sl6ubWdu99dl3Xx4wZc+jQoTq8v8rKymRZhoTDd0N+AjjO + oVcQZshjgiCIOjhhAkxhYeGuXbu2b9++Zs2arVu3bt68+fvvv9+2bVt5efmuXbu+//57FEdLp9N8 + VLLb7UifguAWURQxIrtcLqid+JpG1/VQKBQIBJxOZ6NGjRhjiGV0u92ICESWSSQoy2Qy1113nSRJ + mHe5XZ6HKhIEcVJByRd8xspJ1/XFixffeeeddrv9zjvvnDJlCmPMZDKZTCYssxDSBiMAgFIjk8mU + lJScddZZNpvtq6++Oo0nVa+JxWKwwCxdunTw4MGiKD7yyCOPPvoolz0cDgevPNi8eXOWlbTa5/Nl + MhkkUy4uLrbZbFarddu2bdxJicIVfhWkTJBluUmTJoyxr776StM0GMSQmVOSJJPJZLPZ4FjFhUlV + VSHzoLQl3iO73a7r+vDhw3/88Ue0j1m1pKQEc+Lu3buhtuP3F6+h0+lcsWIF/CAwk6bT6aZNm2qa + 5nA49u3bx2rtNowxPA9wfEBAKVKiIReOxWKBJGO1WsvKyiZNmgQ70rBhwxDTEg6H8VLHYjGr1Yoe + wnGOF76EoS+dTr/zzjuMMVEUy8rKGGMulysej1PWUIIg6uCECTC5ubklJSVNmzZt3rx5yyzOPffc + srKy0tJSzH+GYXBbdiQSkWU5lUo1bdoUZpYJEybEYjFN0yDbBAIB7t2L8TEcDo8YMUJRlHA4bLfb + 9+zZww01iP6HWre6unrYsGG5ubmxWAxmcZSVoMq+BHFS4e4l0BpEIhFFUTKZzOeff37HHXek0+ne + vXtPmzatsrIS+3N3F3zGmhh+9lBMeDyeRCJRXFycTqdhoSWOA6fTGY/H169fP3To0Ly8vH79+o0d + O5YxBqkGS0wsQGFRKSkpicfjDodD0zSYzQE80FRV7dy5M24WVuGn+fR+98BZQFXV3r17M8a8Xi/C + wBAKwhjD5YXYLwgCciowxnJyciAiJhKJRCIhy3KzZs1QKfLgwYNjx47lhzPGzjnnHGS4QVZiRJlC + VMA9qqys/Pe//41Un126dGGMRSIRJGKOx+N4v2RZ9inPMJoAACAASURBVPv9mUwGWenC4bDJZGrb + ti1kLS7WptNpzK2Yo2+77bYOHTp4PJ4VK1Y88MAD8XjcZrMhJ4TFYuFOENFoFNnYcKYQ0jRNmzRp + EtocOHAgY0zXdYfDQRm6CYKogxO5oEdKsez8IfiAki/YAtUOvIG5429RUdHTTz+NdIpPPvmk2WzG + mOjz+dDCt99++/TTT2ua1qRJk06dOsGTuKqqqm3btoyxRo0a8SDFG2644aqrrmKMvfbaa/Pnz4fL + BNzJeFozgiBOEnhzuerUZrNBJXz33XcrilJSUjJnzhzGWIMGDZDFSBAEnuwV4XMoTGE2m5F+HS6g + X3/9dSqVuvLKK0/jqdVrkIr3pptucjqdgUBg2bJlyG6CDAp8VZpIJJCNatCgQZIkTZ8+vaKigg+b + 8Xi8vLw8Go16PB6n04nS7Ixcc48OJLEYOnRoSUlJMBj8+9//DicxWZbNZjMupsPhyMnJMQzD5XJJ + kqQoSiAQgLbOYrHAcKHr+t/+9rd+/fpFIpEVK1ZMnToV7UcikWuuuaZ3795ms/mtt956//33ZVmO + RCJQ2+Emrl69+quvvrLZbLfccsv555+vqmpubq7T6cxkMo0bN166dGlNTQ3LKqFWUVHx+uuv67pe + XFysaRpsQYFAQJZlt9uNZ8Dn88FWM3XqVFVVXS6X3W7HKWD6RiI1PGNFRUU1NTWpVIq7q+3du3fG + jBnbtm1jjE2aNAkuZNXV1UhKdorvEUEQ9YgTWQcGyxGTyXRYTS6r1YpUjzU1NQist1qtiqLAMTeZ + TMbj8QEDBpSWlno8nocffphX+EokEjab7f333//LX/5iGIZhGLNmzUIZNcZYfn7+7t27RVEMBoMV + FRVwmmeMPfjggyaTKZVK3X777YqiIClKTU0NqnqfqPMlCOLnQGsLAQalZjOZzKxZs7799lu3233V + VVcdOHBg1apVSGy1ZcuWLVu2wKsEK2yr1SpJ0sGDB7kHms1mGzlyZFVVld1u79mz5+k8t/qMJEkz + Zsz44YcfQqHQtdde+8033/z4449r1qxZu3bt1q1b161bhyAHjJDpdPr222+HTWDixIlQtIfD4S1b + tsydO5cx9o9//AOZr2lEPXrcbreiKE2aNBkwYIDFYmnQoEHjxo1nzJgBp4NoNCoIQiQSiUQi8BBj + jNntdp/Ph0po2TfIarWOGTNGFMVIJDJlypQtW7ZkMhm3263r+owZM7DPdddd99xzz0FMDYfDeXl5 + 8+fPv+aaa6LRaCKRGDduHNrRNK1BgwYjR47cu3fvpk2b5s6dG4vFUIWmurp68uTJSMZzww032Gw2 + KBqcTqfZbA4Gg8hMIAgCZt7zzjtv8uTJsNiw2szLvAQtJKjKysrc3FxZlquqqn744Ycnnnjimmuu + mThxoiRJF1544eDBg3GtCgsLETF7Gu4TQRD1BaNO5s+fP2/ePDjpwou37v0PQ9d1TdOQUIhvXLly + JWNMEITXX38dzYJt27adffbZJpMpNzfXarV27Njx+uuvh+stWLJkiWEY4XDYMIxgMGgYRvfu3R0O + R1FRkWEYkFWQoPnZZ581m80Oh6NJkybRaBTtx2KxY+o8QRBHYv78+QsWLMBnDAt4xzVN8/v9gUDA + MAwUrYtEIhdffDFeYSgykMaXF8FAO3y58/LLL+fk5DRt2nT48OF33HGH2+3Ggmzo0KEVFRWn5WR/ + txz9+KwoypAhQxC+wpM7mUwmrghfuHChUXsXkPD3pZdewleNGze++eab+/Xrh9Vw7969/X4/bzkW + ix3rvPA/AI9dMQxj3rx58+fPr3t/yOfIFWYYxv33349ri7fgwgsvHDFihM/nw7+yLM+YMYPPm1df + fbUkSQ0bNjQMA3XuAb9BXbp0QWcikYhhGGvWrCkoKGCMSZLkcrl69Ohx0003YU+bzVZUVLRmzRqj + dibFtLh+/XpEokqS1LRp0z59+nTu3Lm0tBSv6t/+9jc+jRqG8eGHH6I1HItOBoNB5CHo378/Hq0e + PXrwQ3j6De4Vxktd4wmcMGHCwYMH0WAkEkmlUrquY5YnCOJ/D4wbfO5YsGDBr46iP+eEWWDQD8aY + YRjpdFrTNE3T0uk0EqRkq1IURXG5XNwLljF28ODBQ4cOtWrVatWqVffff7/f7zeZTOvWrXv55ZcR + QXjFFVfs2rVr0KBB6XQalSKg6NV1PR6PIxjRZrOZzWYE9998883nnHOOJEm7du2aOXMmY2zfvn0O + hwP+LQRBnCRkWfZ6vVj3YDmFPIEul8tqtSLVVTAYNJvNCCtntd753IvJ7/eHw+Eff/xx4cKF8+bN + M5vNbrd7zpw5Dz/8cHFx8Wk8tXoNz5OLv4IgeDweHo6Ym5uL5TLuAqLJu3Xr9tprryH/25IlS958 + 881MJjNu3Lh//vOfkGSw3ESi3tN8er97cIlqampwhSdNmvTVV19169YN1q2VK1e+8MILEPvNZvNF + F11UXFwsSRKmM6zmCwsLkVgcDSqKcsUVV1x88cUej2f16tWPPvqoKIoQTVu0aLFp06abb745nU5H + o9Evv/zy+eefFwTB7XYPHz58//79kFUcDgfCnFRVbdGixdtvv33TTTel0+lIJPLuu++uX79+z549 + 0Wh06tSpkydPdjqdoVDo0KFDjLGcnBzGmCAIsixnMhm8xR6PBy/1I4884vP5kGosFAolk0k4gyFV + dzKZzM3NRTnLM8888/LLL3/wwQe3bds2cuTIwsJCh8ORyWTgPpdMJjHLEwRB/CKCUWcGzAULFui6 + fvvttyMzCZ/wjh6/3w+1q67rsVjMbrdLkgSjuc/nC4VCfJDauXNns2bN4Dbm9/uXL19ut9s7dOgA + f1yM7OgAUnxGIhEYzU0mk6Io2Skg0+m0JEnZjXP/XYIgfjsLFiwQBOGvf/0rYwzvIH/F0uk0cosl + EglolGtqamRZxronm3Q6nU6nsSaDSxJWxocOHdq8efOhQ4fy8/N1Xe/VqxerTY5EPkvZHOv4jB0w + cmKQhBgJZz8+QiIQHPciHo/v37//u+++8/l8jRs39ng8Xq83Fos5nc5YLJZtw/lDgSuJy/7MM8+Y + TKbbbrut7v3D4TAE+4MHDxYVFeEuxOPxgwcPfv/994FAwGKxnHfeeUi4jMAYzIbI/RWJRFBJCRt5 + rrDq6ur8/HzGGKbFYDCIXwmHwzk5OXv27Pnmm2/8fv9VV12Vl5eHamk86Rk6gzo/8JXQNG3FihWx + WMzlcrVp06Zly5ZYIXDZKR6Po7xb9tnhR6uqqgoKCuLxuCRJKK9pt9uhzbTb7fiWMRYKhRDPgw7z + iwlp6sCBA9BT4Bk7GfeOIIjTDhYMfM7617/+ZRhG3aPozzlhhSyRZxM5T6BSxfAK6QVjGeQNluU9 + 4nA4ampq8vLykslks2bNMIQhgcl1113Haoc27KyqKryusQU5IvEZYYIw/mA+1nUdAy6sNC6XKxKJ + ICz4RJ0yQRCHgXR/giAYhuF0Og3DiMViWHhhxQz1P89sjuUvdkYLhmHk5eX16NGDr7NhyCUFxG+n + urq6sLAQq8Z4PJ6Tk8MvO1bJqHgI0QXXnzHWqlWr4uJip9OJZauiKE6nE9Ipgp0wbp+2s6oPmEwm + yBWMsaKiIk3TcG0dDkdpaWlJSQmShqEoM5+kbDabqqp4+PPy8jCNIosxLDlceuFFSPEr0Bsmk8mS + kpLS0lLeDbx3ZrMZc6XJZCoqKsIU6XA44Hd99dVXY2fDMCDwMMaQSUySJIfDgfxjKBuNmgf4URSk + xpOATNCMMeQcw7doE1pFTdN4qAxjLBQKoYZ1cXExMkc7nU4u4RAEQfycEybA8EQ0qO1w2LdcS3fY + V7IsY3GDcQpjX/ZcmL3/YSWfs3fDrwuCgEEze9QzmUwYgjFhEARx8uCvHha7giDwiAssubD8AnxY + yFboIuEsq31huWsZ8dspLCxktffoMIMYH7f5kMtX2OynNdFx17KX0SS9HCvZ0rgkSdxzj/1sisye + 9bKNXXhlIL2wrDqwAHrDn6/++W6CIPA3y2Qy/eIdzH55udKB1YbWsNqnIrtX/Bf545R9IMt60/kV + QJd8Ph9vkO9P0gtBEHVA5giCIAiCIAiCIOoNJMAQBEEQBEEQBFFvIAGGIAiCIAiCIIh6AwkwBEEQ + BEEQBEHUG0iAIQiCIAiCIAii3kACDEEQBEEQBEEQ9QYSYAiCIAiCIAiCqDeQAEMQBEEQBEEQRL2B + BBiCIAiCIAiCIOoNJMAQBEEQBEEQBFFvIAGGIAiCIAiCIIh6AwkwBEEQBEEQBEHUG0iAIQiCIAiC + IAii3kACDEEQBEEQBEEQ9QYSYAiCIAiCIAiCqDeQAEMQBEEQBEEQRL2BBBiCIAiCIAiCIOoNJMAQ + BEEQBEEQBFFvIAGGIAiCIAiCIIh6AwkwBEEQBEEQBEHUG0iAIQiCIAiCIAii3kACDEEQBEEQBEEQ + 9QYSYAiCIAiCIAiCqDeQAEMQBEEQBEEQRL2BBBiCIAiCIAiCIOoNJMAQBEEQBEEQBFFvIAGGIAiC + IAiCIIh6AwkwBEEQBEEQBEHUG0iAIQiCIAiCIAii3kACDEEQBEEQBEEQ9QYSYAiCIAiCIAiCqDeQ + AEMQBEEQBEEQRL2BBBiCIAiCIAiCIOoNJMAQBEEQBEEQBFFvIAGGIAiCIAiCIIh6AwkwBEEQBEEQ + BEHUG0iAIQiCIAiCIAii3kACDEEQBEEQBEEQ9QYSYAiCIAiCIAiCqDccUYDRNI0xpqpqJpNhjMmy + HI/HTSYSeAiCYJlMxmKxYHAQBEHXdVEUDcM43f36A6Gqqq7rNptNURRZlhljdP1PGbjUsiwrimKz + 2XRdV1X1dHeKIAiiHmAYhiiKuq4LgsB+upw4Jo4okJjNZl3XM5mM1WpNp9OMMYfDkUqlfkunCYL4 + 38BisaTT6UgkgnWbyWQSRRGDEXEKUFVVlmWz2WwymWw2G7aQgumUYTKZ8OTbbDaTyWQ2m2VZJhmG + IAjiVxEEQRRFTFiqqkYikXQ6bbFYjrmdOpR26XT62WefjcViBQUFgUDA7XYHAgGn0/mbOk4QRP1H + luW9e/eeeeaZ1dXVqVQK+o50Om21Wk931/4Q6LpuNpsrKip8Pp/Vak0kEj6fr7q6GsIMcbJJJBL5 + +fmBQMBms6mqGggESkpKNE0jGZIgCKJuVFWVJMlkMmmaJstyfn7+li1bGjdufPPNNx9TO1Id35lM + JqvVqmmaYRjpdFoURYfDoev6b+s5QRD1Hqj/gSAIFosFS7dEInG6u/aHAIp/n8/n8/kURcEWSZJo + fD41SJLEZUWfz8cYk2VZFEV6/gmCIOomJyeHMYbZiq8l4Ah9TNQlwDDGYrFYTk7OtddeazabJUlK + pVLH8RsEQfyPsXDhwsLCwosvvthiscAWnMlk4Ml6urv2hwChF88++6yiKDfccIPZbFYUxeVyne5+ + /YGIRqN2u13TtBdffNFqtd54442JRMJut5/ufhEEQfyuSSaToigiDCaTySSTyZqamuNwwT2iAGMY + hslkglsIH5RlWaYwGIIgVFV1uVzZK2ZBEKDjOI29+uOAMRn6frPZLIoinHvp+p8aZFl2Op2CIJjN + ZtwFQRDsdjtdf4IgiLqRJInVRsKIoogpDK4Ex9bOkb6AXzv8QxKJBLIMHZ+VhyCI/zGsVqvJZIJJ + NpPJIIifMYa/xClAVVWfz4csZFhMa5pmNptPd7/+EOBSG4ahKEpJSUkikVBV1Wq10vxIEARxNBiG + AckilUohYuVYWziiAIOo3Fgs5vF4IB4xxlRVpQmSIAhN0wRBgADDhRbDMCiT76nBMAyLxVJdXS1J + EuxgWFJTDMypwWw244K7XK4DBw4ghU4mk6FEfARBEHUj1ILFQyqV0jQNtVuOiV8J4nc6nZqmQULi + WTuPv9cEQfxPgHhxODLpuo50IjDYnu6u/YFABRJWazDHjTjdnfpDgCxwXICXZZlPxgRBEETdcI2b + yWSy2+26rsOv7Jig2Y4gCIIgCIIgiHoDCTAEQRAEQRAEQdQbSIAhCIIgCIIgCKLeQAIMQRAEQRAE + QRD1BhJgCIIgCIIgCIKoN5AAQxAEQRAEQRBEvYEEGIIgCIIgCIIg6g0nWICJxWKMMVVVGWOZTAZ/ + M5lMKpXK3i2ZTDLGDMNIJBKHfRWPx3EgdjjunqTTacMw8EPZPwoSiQTfgp+rqanBV7w/6XQaf/Hh + sGMZY7zsDi8eh2M1Tcs+BFcge4fsFn5+jqhOyj9nMpl0Os1bSCQS2T+nqirOFDskEgneeDKZzD5l + gjiV4DHmxONxxlgymUylUnikGWOKokSjUTzPuq7jSU6n09j5F6Hn/LhJpVIYbRKJBC4jH16yRyHc + iCM1kk6nk8kkH7h0XU+lUoeN4QRBEARxsjnmwjFHIhgMer1ep9PJGEskElarFesS1PYSRTGRSJhM + JlmWk8mkzWZTVdVqtdpsNlTv1nU9mUxmMhlUlcb8ajKZ8OE4CoShzKfFYkmlUiaTCasoTNiSJNls + NsZYLBazWCzYnpeXhwP5XI4PkiSpqop9dF232Ww4ljFmNpsZY6qqJhIJr9eLDmN7KpXSdV0QBJTp + EQQhFAp5PB5RFA3DQNW/TCbDm8K6DWeK/RljyWQSBZ55fZ9UKoVD4vG41WqVZVmWZXyFS8QbVBTF + bDYfR2EggjhRZBe1dDgcjDGMAKz2BUcdTFYr7eBxlSSpjueWnvPjA4KHyWQSRTH76uG+MMYMw0BZ + RpPJZDKZIpGI2+1mtXocXGEM2vicyWRQiYwPQQRBEARxyjhhFhis4BVFSafTmBRRojgej8MgA3HC + ZDJBXcrNCMlkEjWMbTaby+VSFAWijiiKUNzWoQ6sAyx04vF4MplEpWSLxZJOp7EdxpPc3Fz0kwst + 3KjCWzAMQxAEHA4xjDEWDAZ5/61WK86d1S7L0CbkEL6Gc7lc6XRaEIRUKgWZBMsI2GcMwxBFEb+I + LbquWywWh8MhSZKmaTgRSFOGYeBKonuRSASrE0VReK8sFothGNmnQxCnEuGn4K0XRTGdTnOFPYwn + EPWxLIZppY5Xnp7z40OSJKfTiRFGURRFURhjDocjHo/DhAJpBONVOByG9IKhiWuXrFYrRngMUDab + DQ0Gg8HTenIEQRDEH44TprlUVVUQBJvNhikwFoslk0m73c41fFxL6na7U6kUzBfcq4Expuu6KIp2 + ux0reEmSRFF0u92ZTCYej/N2jhJMvfwoTdMgxuCzoihQNKK3sizznqBj6ANsKRaLhZ8C9oHEkkgk + BEGwWq2GYaiqajKZYPARBAHSBcw+JpMJ54KzQPvYrigKv2KHgR6azWb8NZvNuq7DOQ1KULQmCAKW + GtlK6GQyCXEL+uljum4E8VvAC/LzRxorXdhCYXRltS8UN7fCCIOX9EgyDH8ZGT3nx4KiKKIo4upx + wxertYyxLI2SYRiwkDPGfm5g4cdiiMP+2Q0SBEEQxCnghAkwZrMZxgr863Q64U4GDWsymfR4PLqu + ZzIZWZZVVYXdw2QywRCRSqWi0SjEFcyycMU2m82iKB6r9MIYE0UR3vZYOZnN5kwmE4vFJEmyWCwe + jwe7Ycth8zSUxNjCvdcgcui6HolEHA5HticGJDd85u3wX4doAcGD/wSm/2wXGig1IfwIgoCv4GLO + GJMkCQISX8DxFRsCBnDd8C3vAy0siFNM9iDAtxiGIUmSoijcAUlV1WQyyd9rWBfhP6lpWjKZhCvp + z4lEIvScHwe4RIqiZPudxuNxfIaihDGWSCQsFovZbE6n07hTmqbpuo6xK5PJQLFit9txl1VVNZvN + 2VIlQRAEQZwCTpgAw80O4XDYarVi4Y5ZkK/1YUOQZZmbHbKdpnw+H/up5pWvhCA8HFN/DMPA3ByP + x3Vdd7lc3JEMOwSDwZycHEhZ3PULn3moCcsSYCBNwWsCoSzoHldtZjIZrMMgbGQvFFithQQXBw5g + fr/f6/XG43FRFLP9+CHMQJ7BgehDKpXCmi+dTqNxSFM2mw0nlclkgsEgN/IkEgl+FgRxWuCh/KlU + CmvoVCqVTqf5kwkhnz/AeBegDfnFBqHjoOf8WFEUxW632+12jCpQr0CA5NEvjDGMY4wxSZLg3Gs2 + m1VVrampweFwhU0mk9w4fByDM0EQBEH8Rk6kABOLxbA6t1gsiDyB5hViDKL8JUnav39/SUkJq1XW + wj6Dxc2hQ4cKCwt37dq1f/9+l8vVsGFDt9uNeJLj6A90h36/f+fOnaIotmzZsqioiDGG/nA3MEgC + 0BOLoggbERqprq7Oz8/fs2dPaWmp2WwOBAI+nw9yCwQtVVVh0kHUPtcEw60cYkY6nY7FYhAzoK3E + aTocDpPJdJimGT3hIQHZIKYoW70dj8dhSsIaEScVDAbJnYb4PQDpBaK4JEmhUCiRSOzcuTOVSjVt + 2jQ3N9fpdMJxlDGG4C6IItFo9EgWGP7y0nN+TPC4o0gk4vf7/X4/dEaNGjWy2+2wijPGrFYrwhSz + jb2BQGD37t26rufm5p5xxhnQ0SQSCTiPmUwmLv8QBEEQxKnhhGnONE0bPnx4Xl7eoEGDKisrLRYL + hBNW612NGXT37t3dunVr3ry5ruuKomCxjply7969s2bNKioqatas2YUXXtihQ4eioqKWLVs+/vjj + 4XD4WPvzwgsvXHjhhTabrWnTppdddlm3bt0aNGiQk5PTpUuXESNG/PDDD4yxQCBw6623tm3b9vzz + z4fzPXSKEB5qampWrlxZUlLSq1evzZs3s1pDDQJmZFlGGjGbzeb3+++5556SkpK8vLySkpLCwsJG + jRp17dr13nvv/eSTTxKJhMfjkSQJYa+app1zzjm5ubmlpaWFhYX5+fm5ublFRUXt27e/+eabX3zx + xcrKSgTMMMYikcjkyZMLCgq8Xu/UqVNNJhO3LLFa//V33323tLS0tLT0o48+YozBpsR+GhVDEKcF + iNaZTGb8+PHt2rUrLi6+4IILunfvXlZW1r59+5kzZ7JakyNyEtbU1EycOLFly5YNjgA958dHTk7O + zp0777vvvj/96U+tW7fu1KlTly5d2rVr17Jly6eeegp5I7mPKxLcM8a++OKLHj16lJSUdOvW7bLL + LmvTps0555zz3HPPQdTM3v+0nRhBEATxx8Sok/nz58+bNw95frDOqGPnSy+9lDFWWlqKwgIwJsDf + ADskk8mlS5cyxgYMGAC/BWwPBAKbNm0qLS1Fei6r1dq8efOzzjoLWcLMZnPnzp23bduGFhAxjw/I + YsQbNwzD7/cHAoHLL7+cMYb51e129+zZs23btowxxNyz2rTFhmH07duXMdaiRQucGjbyM503bx4s + Hlu3buUd5hmZsz/jF6E/hmIYnmaCIGB9AAVzPB6PxWJutxsyG/aBfcnpdOLD2WefvXr1asMwIpGI + YRgHDhxwOp0ej0cQhJ07d+JGhEIh3JTKysozzjiDMTZkyJBgMGgYRiwWQ9+gIiWIk8H8+fMXLFiA + z3hZ8ALC0RHb8SEej7///vsYbdq1a3fllVeeffbZeP4tFssDDzxg/HR4ufvuu/mrJEkSTDF2uz0n + JwevzKeffppIJHDIH/whP/rxORKJfPLJJ9B9nHHGGT179uzSpQtuis/nGzt2LM8UD71SPB7//vvv + sYPFYunRo0fXrl35rFFeXs5bhoB60k/1dwZOGZd93rx58+fP/9VD+EPLJz5cak3TsF3X9UQiwV8f + nr6fg4DS7Ccfn/khP4fPv0hdY/zaJA5wT2E75eeL7HO/uD9aNgwjHA5n99bImlKj0Sj/Cs4RaBbT + HG8k+/Tj8TjOFI1gDuU9xIdYLMb3xA78h/Av70AikVAUhZ+CpmmJRCIWi+Ea8n34/nwLPlRXVxu1 + leuyT5xPuARBHD140/n7uGDBgqMZRQ/jpPsuw6YBe4LZbH7uuecYY5dffjmi5xljiqKkUql27dod + PHhQ07RHHnmksrJy+/bt69ev/+6778aNG5dKpb788svevXsHg0FN0/x+P3yx0CB3IxFFsbq62uPx + dOrUafny5Yyx888/f9OmTeFw+NVXX92wYYNhGJs2bbr77ruvvvrqaDSK4RJ+X/AIz2QygiBgIxKz + GkfWLHIhjf+6IAgul6uqqqq8vHzlypX33Xcf7s3YsWN37NiBk4WPWSQS0XV9yJAhP/zww/79+9et + W7d169Y5c+aUlpZ6vd6NGzf++c9/nj59OpZuLpfr8ccfD4VCFotl4sSJsiwHAoGcnBz40rzyyivb + tm1zuVx33nkn3Ml4nQ24eZy0G0sQv4AkSdzLEa+PzWYrLi6+5ZZbNm7cuGbNmueff37Dhg0rV65s + 0aJFMpmcPXv21q1beUqPcDh8/fXXL1q0aPz48S+//PITTzzxxBNPvPjii9OmTevSpQtScUDHwZNz + sNo8FkQduFwuWZb79+//3nvvbd269eOPP/7oo4+2b99+7rnnBgKBOXPmrF+/HmkVMYDY7fZ//OMf + jLG2bdtWVFR8+OGHy5cv3759OzxvFy9ejDVuOBzm0Y9EHSARgiRJkUgEc4Gu63h6ebQk9GvIoIAd + 8ColEomqqiqk2kPJAcYY/JaxvK7DlxLVCPAr3CfwKCsTZJcBQGEDwzDgLM0Yy2QyiUQCkgMPQnM4 + HJCUGGORSIQn0WGM2Ww2/C42qqoajUbhRA3XBjSCSnFIJoGUpHjZeTrQQ4cOIeUpfsjhcGBPVpui + EBF3cIPET0ejUVabXg8ej6lUCsMIMnlGIhHMlVarNZ1Oh8NhiDfYAgeQvLw8nvBd0zRstNlsx5Fh + iCCIE0Pd8s1vt8AAVVWhDmGMeb3eyspKmAv8fr9hGEOGDGGMybL84osv8v25imvhwoUYnu655x58 + C0UUXOpjsRg0IlCELFmyBOc1atSompoa3nPDMMLhMDqG7aBHjx6iKDqdTiNLMcZVZXPnzj2SBSZb + baPr+pVXXinLcmlpKddDG4YxatSoxo0bM8Ze9zklUwAAIABJREFUffXVbD0Nejh06FDjp1qlcDg8 + ZcoUxpjD4UB8P1f/NGnSBJcIxhkcuGvXLqvVWlhYOG7cOL4RH3D1snVFBHECOZIFxsh64/jTCL0s + zIaGYRw6dMgwjOXLl2OF8eyzzxq1ms7sESaTyaAFHFhaWirL8qhRo9Aa3uXDfvqPxjGNz0bteGsY + Bl96vv322xjili5dyscurP8YYzabbdGiRel0GlptqF3MZvP555+PdjDCZCvX/yAcqwVG1/XDhnpc + Olg2ksmkqqqH2f8Nw0in09mzAG5QtpUg20Lyi2T3IR6Pc3NHHf3Mhm/HfI1TxpODBvkOKOic3SXe + yUAgEIvFuI9Ddq+SySS3gaRSKW7ASafTvMFQKIQfSiaT/AEGmMpxJaEWzP4Wakp+2Xlv+ZVPJpPZ + UyTWFfx6ohs4iw0bNjz++ON/+ctfRFGcOXMmbwFvSvadJQjiaKgfFhiWVfC+vLycMdamTZu8vDyY + C7xeb3l5+eLFi71eb/fu3QcOHMgYw+COatCyLA8YMKBJkyZms3nGjBmIXUGtFbPZDA1Kdv6uwYMH + Yz5++OGHc3Nz0+l0IBCAxsjtdkMb5Ha7MT0jG5LD4SgtLWVZSc+4Siw7O9lhZAetIuMz1DNQhgmC + oCjKyJEj9+7da7fbf/jhB+hpYNjhZSh5zmiMkm63e/DgwcOGDVNVNRgMzpw5k6s2J0+ejCKY48aN + 41mAsGd+fv4jjzzCGEskErz4Jk8ldILuIUEcLRhZGGM8Cg6lisxmM967goICxlhRUVEymczPz4c8 + g3pKJpOJv3RI5ReLxXJycu6///5AIJCbm/voo4+63W6srvjPUTT/rwKFsc/nwzLRarVCvd26dWuM + PAi9yz6kqKgokUi8+uqrPJF9OBxOJpOaprVt2xbKI+Ro4fFIxJFAqCdShDPG3G43EoujtjKSu8Co + hf1R0RWF0axWK9bW3DkZu6EyMj6YjgBaw8uCJHKMsUgkcqR+8peX/bSak8fjicfjhw4dYrV+BEZt + /R9uz+EVnBKJBKq3QTjxer3IWKOqKneZ5mUSUJOAMYbkECxrfse/ZrOZJzBEqtI9e/Yg5wSczGEq + cblcgiBA2kGXnE6n1WqFsIFCbegnjsVpGrX+cugMXDAikQgMNX6/H32bOXPmtGnTvv32W1mWc3Jy + 0DHcSkojThCni1MhwGA0sdlsS5YsMZlMvXr14kOJIAjvvPMOcpQ99NBD0WhUVVWe3RjLFIfD8cgj + j2ia5nA4PvjgA14+AhZnTdOgaHE4HB9++CG2jx49Oi8vD/NrYWEh7DmMsUAgwLKM6ZqmQUzC7A5H + FL4wQr7X4zhZDNN2u33jxo2yLCuK0rp1azSLGQizEXeDwUiayWRqamqKi4tnzJiBGpoLFy40m80Y + na+//vp+/foxxj7//POPPvook8l8/PHHq1atYozNnj0buQF4kQ04dUCld/y3jSCOC+55IooifwI1 + TYP/RigUYoxFo9EPPvjA5XJVV1e3a9cO+9fU1LBaIR9/cfiuXbumTJmSTqcnTpwIF6ZYLIZmTSYT + dLqn/jTrFzk5OVi3YQWGjYIgrF27ljEWj8fbtWvHhyMsJbt06eJ2uz/44IPnn39eEARVVfft2/fq + q68yxm699VYexA+l/mk7sXoCnmSj1usYxgS73c7rGkMfyRjDYhrpYRhjmN14xnDGmCzLyF6NBTSr + 09UZxgGIE6FQCC8OFApHAi/jYdWcUqmUw+EoLCyUZRlxIFjrs9pKA6jYhu7ZbDZIxU6nEz2HCMGz + 9cTjcchXeCZZ7dQMQSWRSCBdjSRJqqpm+6fhWiEpKDYmEgleYDqVSnk8HniF8cuOf5EfFZWgsbqA + 3IjMPbxcG07c5XJFo1FZlgsKCmCrnDVrVigUWrRokaqqfr8/GAwyxmw2myRJqVQKLnMEQZxiToWG + 3uPxoFbD+++/bxjG5ZdfjuEJo8zevXstFks6nT7//PNZrdEDAR6w0hiG0aRJE/jW7969m/vCogQK + wmAggezatctsNiuKcskll8RiMYxT4XAYE3YikYD+hucQs9vtUOFwZQxjDClf0YeGDRse6aSyM4ea + TCbUfjEMI5FIuFwuWMYfe+wxVLzp1q1bIpE4zFlWUZRQKAT1EirP5OXloRtnn332li1b9u7dCzUV + 9r///vtff/11h8MxYMCANWvWjBw5MplMjhw58qKLLmJZsQc4cawdyQJDnGKyvd5R+JXrmJEcGWsU + l8u1Zs0a+KZffvnlqqrC+1/XdbzdcDTH23311Vczxnr06HHLLbfg8Xa73ViLIHlgKpU6zHpAHAaW + sBA5AoFAJpPJz883DGPJkiV2ux1jJstaCptMpqeeeqpTp04Wi2Xo0KGffvrpJZdcMmTIkKZNm159 + 9dXnnnsuqzXwYlAl6kaSJJ4cPJFImM3m3NxcxGlUVFRUVFQwxnJzcwsKClCyDEfByoF/t2/fvmvX + Lp/PV1xc7PP5+HSGUPsj6apwx7lPgWEYKAZwpH5mx73wPrBa60pNTc327dvj8XhJSUmzZs3MZjOf + ZxGUUlNTk5+fL8uypmmQK7g4xHPWwa26oqJCUZR9+/bJsty1a1deBY7PWdByWq1WlDrgmbttNhsf + ZLLFG8zIyWQy2/SE2NRYLIbqq6z2ofX7/YgKgxhWXV198ODBQCAgy3KTJk2Ki4tdLhcWLaqqer1e + XORAICBJUn5+PtQoiAISBIHCYAjitHDSLTAQSBRF2bBhw/79+88888zWrVszxgRBwPKlvLyca5Jg + E+euUPF4HJqeDh06WCyWWCy2Y8cOp9OJA+FhArMJzL6HDh2CUcXn8/E5gNdmgZmCMYasL/CIhdK3 + srKyadOmLVq0aNmyZVlZWbNmzUpKSpo3b37dddcd6bww8MGBD4M+TOeJRGLDhg2vv/569+7dv/32 + 20gkMn78eEmSMMbFYjGMsG63Ozc31+PxoHtwEggEAhguW7ZsiUtRWVmJA1VVPeecc/76179Go9Fo + NNqjR4/t27fb7fbbb7+d1boQaJqGPAToIS3piFMP3MD4vyheBMUzFjrpdFrTtHnz5i1btowx9uCD + DzLGEO6Sk5MDiwq8MeEmu2zZsi+++IIxtmjRokwmk509mYfVURGSX0UUReiDksmk1+vNz89Pp9Pz + 5s179913bTbbNddcw2otw7iYiUSiYcOGL774YnV1NWNsyZIlQ4YMkSTpgQceeOKJJwRBCAaDiqJA + c4QBmagbXFhVVWOxmCiKFRUVN954Y4MGDRo2bNipU6dOnTq1bNmysLCwtLS0c+fOK1euPHjwoCAI + mUxm4cKFjRs3btWq1TXXXHPeeec1bdq0S5cuY8aMgbsX6sA2PAJut/vRRx9ljCWTyVmzZjVv3vy8 + 887bsmXLkTr5c+kF09yPP/44YMCA/Pz8Ll26XHPNNW3atLFYLM2aNdu+fTv2TKVSS5cu7d69e/Pm + zfPz80tLS91ud9OmTW+99dbnn39+//796AMemOnTpzds2LBly5a9evW68MILrVbrGWec8fTTTyeT + yVWrVrVo0cJqtV5yySWYr2HoQOSP3W7ftWtX69atBUHAAGLURsddeumlwWDQYrEg4p+fzltvvdWm + TZsOHTrs27eP222QW0hRlOHDh//pT38qLi4+++yze/XqdfHFF5eVlTmdzgsuuGDevHn8rkEQ8nq9 + 8N+GyzeiZ2meJYjTxUnX0EM56nQ6P//8c8bYxRdfDLfUVCrlcrlCoRBsBS1btmSMQXcCnYphGFi7 + R6NR5DHLycn59ttvGWNYEjmdTpg1DMPweDyZTGbHjh1I0tKiRQs0wlWzsMtDuYtmMZbFYjFocHft + 2sUYQ2oUOBmbTCaHw3Ek6zAUOXytBkkmGo02bNgQuijGWElJyQsvvAALCXRLWH7Bb62qqgrCD9c0 + o3s4HcaYruuVlZUlJSWhUAgnOGnSpMWLF3Nf5Oeff/7MM89EjW2cLFyK4fWbSCS4rwhBnBqynTfw + 6kG8x6MeiUTcbvcrr7wyYsQIi8Vy2WWXPfbYY5WVlQ0aNOC6/3g8DgWnxWKpqakZPXp0IpEYN25c + QUEB3G9QMJe/TVQJ/iiJx+NOpxODTCKReO+990aNGiWKYseOHf/v//6P1SZxYoxhqBQE4ZVXXpEk + CUs9rOQef/zxzp07N2zYEPeIMaYoypGqjhKcdDqNfFY5OTlWq/XJJ58cP358KpVSVdXpdDZq1Cgv + L6+ysnLHjh179+6tqan56KOPkOd6ypQpU6ZMSSQSubm5OTk5Pp9v7dq1GzZsUBQF4g1UhJgRfo7T + 6YTTJhLPoCDpMVkMENfevXv3AwcOMMY8Hk9BQUF+fn5VVdWPP/64ffv2M844w2q1yrJcXV3NU287 + nc5YLBaLxZYuXbpo0aKLL7742WefLSsrEwShb9++b731lizLbre7YcOGJpNp/fr127dv37Fjh91u + b9++/Zlnnvnll1+uWLHi3XffvfTSS/EEYkI/cODAvHnztm/f3qZNm/PPPx9RK3v37pUk6bPPPlu0 + aNG9995rMpmQog0ZzFwuV0VFha7rxcXFrLZIrtfr/fLLL2+88cb9+/djsm7VqpXP5zt06ND+/fvj + 8fiqVavS6fSoUaOcTifEFVmWETvkcrmgPUFcEwXAEMTp4qQLMFw5+sYbbzDGbrzxxlQqhfEOKYx1 + XXe73YjOh5ssX/fADO1yuTRNk2U5HA736tWLMYajGGM2m01RFMy1oigiDljX9W3btnXp0iUej2Ok + hi1YFEVu70ZInyzLGJ5yc3PXrVsHkzckDSy/nnnmmSlTpmTbwdlPdVRc38w1wRaLBRMGY+zWW2/9 + 85//zBiDqwBs3Ait4XkzGWPcoa6qqqqgoEAQBD4bnXPOObFYDPKMpmkej2fGjBl33XWXpmk9evTo + 2bMnGsGZ8mkJjVNkM3Hq4foCSZK49yarfZ3dbvdzzz03bNgwxtjQoUMnT57Man2QBEGAcZLnQs1k + MuPGjTt48GCDBg3Gjh3L37XDQsZJejkaMpkMIh/ga/TSSy+NGTOGMTZ06NCpU6diH8TvYUg0m819 + +/ZdsWKFYRjr1q3btWvX0KFDQ6HQgQMHOnTo8Pnnn3fs2JHVjtjZKWuJXwTBEngd3n//fVx8h8Ox + ePHirl27lpWVMcbS6XRNTc1///vfjz/+uFGjRhBvJkyYkMlkmjVr9tVXX+HJVxSlvLz8hx9+wIQC + Wz1mloEDBz7xxBOsVnOHVwN5mW02G1K/oLzM0fQZmjVJku69996qqipBEKZNm3bbbbfl5OTEYrGa + mppFixY1a9YMjljwlWCMOZ3OFStW+Hy+eDy+fv36e++9N5PJfPbZZ3PmzJk+ffrHH3/81ltvMcaG + DRs2efLkTCaTl5d36NChN998kzEGR4Ynn3zyT3/6UyaTGTNmzJYtW7Idobds2fLMM88wxiZMmFBU + VITIT3wliuLYsWP79OnTokWLRCJht9sxD+q6jlzSCMPDAPXdd9917doVUTHjxo0bPXp0YWEh2gmF + Qps3b37vvfcikUgwGCwoKOC5dux2uyRJCNcxm82I4aEnnyBOFyddgMGgdujQoW3bttlstpKSEqRa + h0rDbDZ37dp12bJlFosFqhHYEzAj8hD2iooKGMq9Xi92wKiNOBbGWDwe13W9e/fu8DOBOIEFPU+y + 7HQ6MQFkOwGrqipJktfrbdiwIQw7XICRJKmkpKSOU0OOAUwS+GuxWA4ePBiJRK6//vqPPvpo0qRJ + 7du3v+qqq7hbP/+bnZVfkiTYWJCdKRKJ7Nq1y+VywWsOcx6CZBhjXbp0wYjcoUMHXviFYl2I3w/w + B+MSNTxCkbVi+vTp9913n9frHThw4NNPP43nVtM0vMt4wnn4Vnl5OdJyjB07lv3MuYU4JlBjBwmU + 5s6dO27cuFgs9vjjj48ZM8ZqtSJMnBu1JEn6/PPP33rrLZPJ9PXXXzdv3rysrGzPnj3Dhg176aWX + JEm64IILYrEYrOKMvFWPDhj2I5FI//79vV5vMBh8//33//znP2OdjdqORUVF/fr1u+yyy/Du/POf + /4Qu4J///CeX7VOpVJ8+fRhjkUgkFovBFAanKZ/Pl5eXx1VXyALMl/g8T0Dd9wtmUlgw0Mgnn3yS + SCQ8Hs/YsWO5d1ajRo0ee+yxZDLJZzTMxbFYzOVyNWnSJJFItGzZsk+fPh6PR5bltWvXKoqCN5ox + NnXqVByiqqrb7b755pthw8nPz2/WrNldd9315JNP7t27d+nSpVdffTWiQ3VdHzFiRDwe79u376WX + Xgr3VPRHFMVkMmm1WocPH/7hhx/a7fZ9+/Y1atTI7/djN13XbTZbKpWy2+3hcHjixIkoG/qf//yn + U6dOkF545G2nTp3atWsHgT+RSPDAPIT74xcRi8vrYtPoRBCnnlNRyJIxtmrVqoMHD3bv3r2oqIgx + lh2q0aZNG6/Xm0wmv/3222g0ikEwEAjAjmGz2WRZ3r59O1yHmzdvjiEY+UMwfCBBma7rJSUlaPa5 + 557D4fB8QCZKxhgSLObk5PB4faRyhycbYwyqLP63buWKkVXIEkMb8ix5PJ7HHnvMbDanUqm///3v + lZWV2AdlgAVBQNRsIBBAApNoNApRBP7EhmHgUvTs2ROng8pcaKRx48aIeIFwhZifE3e7COK3wpdH + 3M0S1tEXX3xxwoQJNpvtlltumTNnjiRJeH1cLhdeZxgHkBIjlUpNmjSpoqLC5XLdfPPNubm58PQg + jg+EGKmq+vLLLz/00EOxWGzQoEHjxo3j6iTcNZT3lSRpyZIlTqezRYsW7du3x+2zWq2LFi264447 + EAawdu1aSC90X46SeDwuiuLUqVM1TQsGg9OmTbvggguQTgZpJ7mk4XA4ksmkoiht2rRhtTZ2fJtI + JHgUqNvt9nq90WgUXgyI/ucJaXAfszvA0wPw2eQXWbhw4ezZs1euXIl/k8kkz56MECm/34/KaSwr + UQfcCkRRFEUR8gBSIbvd7sLCwlQq9fXXX9vt9mg06vP53G73unXreBZmzPKsNj2aoigPPvggHBNG + jRrlcDhg9JgyZcqOHTsMw5g8eTIkbVhl4ZReUFCgquqKFSsee+wxxlijRo00TcvNzeUld1htGre1 + a9e+9NJLKGd07bXXIlVPKpVCkmucI3qiaRrSr6mqWllZiehZrAosFgvm7l+9ngRBnCROxdpX1/XX + X3+dMXbTTTdxpymsUSKRyJVXXhkMBhs2bPjQQw/Bl9rv97vdbo/HAyFEkqQxY8YgRKR3797cUQrh + +/B2SCaTOTk5zZo1g0/qkiVLMLLwjPUYuPG7oihWVVXhWOSFhMIJAglC4ZPJJHKM1HFesNHzCQM+ + acgLed5558Ex4/vvv7/nnnuSySSyP4uiGI/HFUVxOp1NmzaFcIWzjsfjLVq0iMfjI0eOhEwyZMgQ + t9sNNRg87lhWCn9FUZLJJPrAk1ESxOkFczkPyoIpVRTFL774YtCgQbFY7KabbkK1VkTzR6NRrICR + utcwDKQX+/TTTz/66CPG2NChQ3k2wtN5YvUcWLfWr18/YMCAQCAwevTo559/HqMiyrxgZLbb7Uij + snLlSsMwGjVqxLXLNTU1NpttxIgRjLGGDRt+9tlnaJkGn6MhEom4XC7DMJYvX47FN0qyHrYbitBD + 5Y9pCKvzG264Yc+ePclkEvcCTSF3mcvlQlBlNBrlRc9wLGPMarXquo60v0cZqjRv3rzRo0e///77 + mHRMJlPv3r0FQThw4MCsWbMYY3gfs1P2I2dgPB6HMIbUz7IsR6NR7jvq9XrD4XDnzp0DgUA8Hp88 + eXI8Htc0jbtFsNooLK/X6/F4xo8fjzQet99+u8/nW716NabUGTNmtGzZEjH9cEbAh1GjRuXl5cmy + PGnSpI0bN7JaV2qEj+KyQPZbv349YyydTj/00ENGbeVWzMUWiwVbMO2azWZ0z2q1Ik4P3h/4RT53 + k7c2QZwWTroAo+t6LBZbvny51Wq96KKLsFiBwRc613POOefyyy/fv3//F1988cknnwSDwdzcXEy3 + Ho8nGo3+3//938GDBzVNGzhwYNu2bdEsRnbYfKPRKFb8DRs2HDt2LAbue+65Z9++fdgTMcSBQADN + 7t+/HyoiURSDwSDMIEgzgiwCUCPhQ91nh6NYbS5L1OPTNC2RSNxxxx0XXnih1+t96aWX3n33XQzN + cK1BNE5lZSXX3HBjznvvvbd06VJd15ERBS1DNIKuixf5gioIPSQ3XOJ3BY8Wg9Rx4MABLHxbtGgx + a9YsURThuokQWzzMGBl4Kdhp06ZBCYoDUQwXOgvi+Dh48GD//v2x5Jo5cya3HvNiiFxfY7FYPB4P + jADYGIlE8vLykMdWFMX9+/eXlZVBweR2u0mG+VW4r9GBAwcCgUC3bt1EUYQJy2QyIfYDsRYw48PF + +rLLLrvkkktg1W/duvWCBQuQrxIRKTDC8xRwLpcrNzcXtxXqAMxEJpPJ6XRCXcinjyP1E55RmUwm + HA6jNoDNZrv22mvhdD169OiOHTvu378fohf2wYFms5mXYxIEAdEmNpvtjTfeCAaDkUjk2muvtVgs + 1113Hdy0ysvLmzRpsnHjRkVRdF3nKaER72oymYYNG9axY8fc3NzFixd/++2306dPj0Qibdq0GTx4 + MFpGCnVWG9bVp0+fRx99FIFGf//732OxGM8dwt09TCaTy+X65ptvYE1q3749q80xzauIYk7npqpU + KpVIJL788ssPPvhg7dq1mqZt3rz51VdfRVXuVCpFKfgI4nRxKgSYzZs319TUtG3bFmlSGWM8Twgq + Q8+dOxcZ3Pv27QsfWfinptPp+fPnI3g0Nzf3H//4B8sqN8nN5ZlMhg9ko0ePPvPMMzVNe/XVV/v2 + 7fvBBx9IklRdXY3cyhs3bhw4cGCzZs0YYxBaEKfo9XqzM8ezn45idYCREeIHrw4eDAZR/uXpp5/G + ln79+lVWVsKqw2prtrjdbuibI5HItm3bPv300z59+vTv3x+p7qdMmQLdGy8EhjNF7CBXxem6Ho/H + yQGX+J0AxzB4JUFESSaTr7766qZNmywWS8+ePd98883vv//+yy+/3Llz5zfffFNeXh4MBuF8wtOp + JxKJzz77zGq1tmvXrnnz5jx7MvexIY4VVVWfeeaZ/fv3i6LYv3//devWrVu37rvvvlu9enV5efnX + X38di8WQEwWpFK6//vp0Or127dqpU6fG43GXywUdzeOPP4519mWXXcYzNNB9+VXMZjPqSCKXV35+ + PmacaDQK63129RJUF8G/L7300llnnQWXgbvuuqtVq1YrVqxAtCS3q0D4j0ajr7zyyhVXXHHWWWdd + dNFFPXr06Ny5MyLmMT9CVEBt5SP1U5blb775JpVKzZ49m//ElVdeOW3atOLiYlEU165d27x588ce + e4zHZ6LoGV52xpjb7fb7/YIgKIqyYsWKIUOGoOWBAwdarVaHw7Fjxw6/34869+edd17//v03btwI + IQTTJf7a7fZHHnnE7/czxvr27fvaa6/Z7fZZs2ZxkQlnzYXnQ4cOjRgxolevXsFg8IMPPli4cCFj + DEVgMB2zWvvw6tWrFUUpKiqC7wZvTVEUdAMJRXCtLBaLLMv//ve///KXv0BA+te//nXTTTdNnTq1 + qqoKcbzkRUkQpwejTubPnz9v3jyss3nZkyNx6aWXMsZKS0uxfIFFIpPJ3HnnnYwx1NLO3n/Pnj34 + EAqFysvLkYnF7XabzeZu3bpdeeWVMFW7XK727dv/61//QmvoCaq4RCKRmpoafMDGQCCwefPms846 + izGG8rrIE9CpUyfGmM1m44HFaAc5jpFpBJ2BLxn+zp07F7PItm3bDMM4rP+HnbsgCGVlZfAB4Ds/ + +uij+fn5jLFOnTrBf9eo9YThvnAYkZ1OJwxEw4cP3717N/qAa8j7o+s6EuozxiZOnGgYBkox1H0T + CeKEM3/+/AULFuAz3h2ECOMDhHn8G4/H+/fvn53HgjHWoEEDxhgcPp955hlUdMEh0Wj07bffxm4z + Z86ER5lR+8oT2Rz9+Kzr+sCBA3FVecZ2xhjWoGazeerUqXznVCoVDodbtGiBfXr27Dl27Fhk9WWM + eb3ep59+GjcFOv6Tf6K/O3CpcdnnzZs3f/78ozlq9erVLpfL4XDcdNNNhmHgsecNYpAHiqLE4/Fg + MIh/R48ebbFYYFcRBGHMmDGY+Dh8Quc5+jD9zZkzJxaL4c2aPn06vtq8efOReogzAofNPm+++WbH + jh1hF7Xb7Xl5ee+88w7uPnZAAjTG2JAhQy666CJIWfDZxgOTTCYxU1dVVQ0aNAhnJMuyzWabM2dO + 9i+ixoCiKEhXAIYNG4ZvVVWFYQSDA2S/5557zjCMrVu34qmWZXn37t1+v//zzz+H0QkWRQTGMMaa + N2+OLv3ideC3hl9n7IkgMf5vOBzOvokEQRwleIP4nLVgwYKjHEWzORVB/K+99prZbL7iiitg0EAU + RzQabdy4MRQ8qMW7evXqG264IRKJaJq2adOmd955JxQK5eXlXX/99R9//PGtt97Kasu2IEliMpmE + 0TyZTMJEDltK69at16xZM2HCBNguMpnMf//7X5Tu0jTt8ssvX7p0KfI4+/1+lA2G1Z6P+4f9/UUg + XfB/hVoQi4laV4yxhx9+uFmzZjk5OV999VV5eTn8MXiZTlYb3NKqVasuXboMGzbs008/nT17NoRA + ZFqDsd4wDNjE8aMIemaM2Ww2zCgE8TsBLjGCIGBqt9lsiUQCwTBYbbjd7srKSkmSEBtmtVrNZjPC + zxhjqVRqw4YNEOY7dOgA48zevXvtdnvdMWlEHaD0pNVqhT8qMuqyWi8g46fxRYjaX7Vq1fDhw81m + 8yeffDJ9+vSvv/6aMdaqVat58+bdddddoiiGw2FkUqEg5l8Fz3ZZWVk0GoWDFmNMkiQkc0MuFp7H + H3Htdrvd4/EkEoloNDpz5syvv/760kvDaxgLAAAgAElEQVQvhdz41FNPXXvttZg9Y7EYfgK+Xp9+ + +um2bds2bNiwcuXKDRs29O3b1+FwwM2JyzY8CefPkWXZMAx0Dy2HQiHoHfr06bNq1aoHH3ywUaNG + iF+/5pprUC/FMAz4AuC1Xbx48YoVK9BIz549P/zww7vuugtPmsvl0nXd5XItWrTohRdeaNWqlWEY + iURi5MiR48ePFwQhEAik02lo/Ww22/33348Ro6ioCEVv4U0Kg4+qqsgwZjKZiouLNU0744wz5s6d + i8D9v/3tbz6fLxgMwr0NeQ5VVW3SpAlj7MCBA6IoYuRBTAvSJ0L/YrFYYCuDtJNKpbBCQAusNjuR + 2+1GNP9JeGQIgvg16pZvjskC84usXr2aMVZcXGwYRiAQwACdrWo6jHQ6vXXr1rfffvudd97ZsmUL + FB5HUpPUjaqqe/bs+eyzz5YtW/bGG29s3ryZlLgEcUKowwJDnDJ++/h8JPgQfeDAgU8++eTll1/+ + 8MMPN27ceKLar+8cqwWGvyNut9vtdufn5//iPoqi8DLEhmFEo1H4mIFUKrV48WI+dy9atMiojTiH + jHHjjTfynbllPhaLQSh6/PHHzWazKIqbNm3iux1mhYAhCFIT7wY3O0AlsXv37n79+jHGXC5Xw4YN + Q6GQ3+83DGPu3LmMMVmWFy5c+OGHH65btw7Bq7xZaP2yHRmqqqoeeOABXqfyu+++4z/HXSGKi4vN + ZvNFF12E9ADcSmMYRigUMgyDMSYIwueff44aa+l0unv37tDrLVy48Msvv2SM5eTkKIqClq+77jrG + mMVigbDEW4Pakf+LFGRGrTMFeToQxAnkhFhgTnr9kFWrVsmyPGjQIMYYcmrVHVgiimLLli1btmyZ + nR34+LJ8WCyWxo0bN27cGMEncCc7jnYIgiD+UHBnv8LCQiihkVn+tHaq3mM2mxs0aIBy9ZlMZt++ + fWVlZagAA+MY1vGsNrqSV67Udd3pdEqSNHjwYEVR7rvvvkgksmzZssGDB8OGjyzGKGcZj8c9Hg9W + 8MgCjJ9r0KABDEHZKfjh4oUdDMNgtQkAWG2mHNj8IX4gD3JpaenChQsDgcDy5cuTyeSGDRu6devG + GAuFQqhqeuGFFzZs2NBisfAqz4hZ5YEoCC8Jh8P5+fkPPfRQNBqdPXu2zWb7z3/+8/e//91isei6 + zg2D8JpDRgFcEFSmZrUOkPiJaDTKH9qpU6d27NjR6XSOHDly/vz5DocjHA5DeKupqWnTpg0i7lat + WnXFFVcwxiKRCG8cVaFVVVVVFU7sqGrtdrsPHDhQXFx88h8TgiCOipPuQrZs2bJUKtWjRw9ez44x + xiPnfg5stRj4YNI9Pv8EDLgImrdYLHa7HYVZjvc8CIIg/iggKRkKBJvNZrPZzP1XiePAZDJBnQ/1 + f25u7pVXXllWVqaqKryOFUXhzmDpdNpisQSDQe5yjLU13JKHDx8Or8vt27djokTEP2o1wiOa/64s + y3BRy2Qy1dXV2AjfM5QuRWZLaEMhuiCUlNWG/sMxTBRFu93O6ymnUqmrr77abrcbhrF9+3aUHOCG + oJKSkmyvbDho8YQ3SB/KGMvJyYHkNnjwYOT1+f7773m+TVabuiaZTCLXjq7roVBIURSbzRaPx6PR + KL9idrvd6XTGYjEkoe7QocMjjzyi67qqqnfddVc8Hi8sLEQWiry8vPbt2+OpHjVqFJ5zt9vtdDpx + rMPhQE4CSC9VVVU8YIykF4L4XXHSBZi5c+e+/PLLl156KawfGJjq0OTxssSJRALZ2bHlWMGBPOgf + G6liPUEQxK8iSRJSnmDMxEBK2ZaOm0QiAVPJqFGjcnNz/X5/eXn5u+++ixxWKCridDpVVY1Go6lU + KhgMer1ehFNaLBbEXaB4GmMsFAppmta6dWsUAUPCTF77BRk+YVGByAHvA9RjYYzZbDa+9Oe5tpB2 + WVVVi8WCIJnsHMEmk8nv9/MJNC8vr7q6Gg5vHTt2RF5jh8OBwM5IJBKLxRBMwmoLpvECNeFwGFIQ + 6kpjo91uD4VCZ5xxBmMM9c0Q2YKeG7WJ2jweD2pMORwOl8vFo3pUVYWRCoJTMBgcP358WVkZis8U + FBQcOnQIFRcYY7169erRo4emaX6//6mnnsK1RWiuyWRCzkNJkiDmgZqaGr/fT7EuBPG74qQLMCjz + oqoqCl1hwKrblQuVT2w2m9VqPZrKwb8IhBauO2SM8TTHBEEQRB0YWWH98DbOLhVPHCtYhcMTaezY + sYIgxOPxQYMGTZw48cCBA9zjwGw2f/TRRxdddNH8+fNjsdgzzzzz0EMPbdq0CVNYMBisqqq67777 + UCyla9euvB4apkhBECKRCGY6WEUkSULmjJqaGofDgfQAlZWVWPrDMpPJZBDHApvMsmXLnnrqqTff + fFMURZfLJYrihRde+OSTTxqGgcwcjLFVq1a98sorkiTl5OSg5n00GrXZbKhjU1VVhc+8agI39Tz8 + 8MPTpk1DTh2LxYIUETNmzIjFYiaTqXv37rxXOFZVVbfbbbVaDcPAT6MuJxfAampq4GOGTNPYjmXD + 7NmzUdIAXyEZgKZpdrt9/Pjxbrc7EomMHTt2xIgRW7duRTg+YyyVSr3zzjv9+vXr379/MpksKChI + p9Nerzc3NxeyE0EQvxNOukUinU7DOAulC+LhMOz+4v7JZJL74PL0IKzWMnP0xONxbr2B7V4UxeMz + 5hAEQfzRQGlFlhUUQRw3qDmGz6NHj5Yk6eGHHw6Hw5MmTZo2bVpRUVFBQUF1dfWOHTsYY5IkXXDB + BU6n0+/3T58+ffr06Y0aNWrRooUoisuXL0eZyLZt2z7wwAOMsb179zZu3Njj8YRCoeeff/6ll16C + GQQlleFXtnPnTo/HU1RUBBtC165ds2uPCoJgt9tvvfXWGTNmSJI0YcKE7du333333X379mWM2e32 + 8vLy8vLy+++/v1WrVs2bN1+7du3evXshDi1atAjmGkmSuAmotLSUR7pC+mW17g/l5eX//e9/p06d + WlJScvbZZ6dSKdRqKyoquvPOOzt16gTTE09IaDKZQqEQT7OO3nq9XlYbrOJ0OlHPukGDBul0GrpR + t9udSCS6d+8+YcKE2bNn8+yF2CESiXTu3Hn+/PkjR470+/2vvfbaG2+84fV6mzdvHgwGv/vuO/xQ + 586dIdIkk0le84AgiN8PJ90CA5kBQ5iiKNAk1aHJ49XlGWNwnLVarceh+XM6nVwDJIoiylEd3ykQ + BEH8oUDYNJyLsgfk09ur+gtiXcLhMOSKO+6444svvujduzdiVHbu3LlmzRpIL+eee+64ceNuv/12 + xljTpk1LSkpEUTx48ODy5cs//vjjTCaTTqfHjRv32muvMcaSyWTjxo0DgUAoFGKMwbAjCALi4HnE + qd1uhxjDGPP5fPA6434QhmFomgY/NJjaGGNw4cYHVEtLJpO7d+9+7bXXKioq7HZ7UVHRxo0br732 + WjQCI4/T6YStCUYhZCWG2wXo0qUL3LT8fv/bb7/9wQcfMMaKiooeeuihe++9F5E2rNZHwzAMRVGw + BRE4jDHUcmG1kbSQXtxud7aIyBiz2WzRaPSuu+5SVdXn86FB+JO73e54PD5gwIDVq1f36dMHIfuV + lZXl5eWbN292Op1er3fkyJGTJ0/GIQ6HA0kCTtrTQRDE8SAYP60AcBgLFizQdf32229HOArcUo/1 + N2B+4fnH4OF6JJUeip/wOsQnENjKKREZQfx2FixYIAjCX//6V8YYhgUE2p7ufv2xOCHj8y+iqio8 + f3iDWA2f8GG5noJLjcv+zDPPmEym2267re5DsBbHIViaI9Jjx44de/fuZYz5fL6CgoKioqLsdFiK + olRUVFRUVGzbtq20tLRRo0atWrWKRqM+n4/XcMz+lVgs5nQ6eYYxVhuFbzKZov/P3p2HSVHdewP/ + VVdVV6+z7wyLLCIYIPpiJOqNIhL0GhOXmOB1fb0qiLkxeY2YJ9clLvd1u16JJopEr4lJNHF5VBK3 + xA2voMYYFQUXENkGZpiZnt67urqq6/3j+855OiggOKIN388fPD1Nd0119dQ553fO75yTycTj8UQi + 0dDQgKk1WMYU632lUilsO4NcCTVvHh/TMIznnnsO01dc1504ceLo0aNxEOSGWZaVSqVqa2u7u7vb + 2to+9gr4vp/L5WKx2Jo1a1asWIFsrpEjR06cOBG/KJlM1tXV4RLZto2Oy76+vqamJozM4DgYGNR1 + HR8Wq7RFIhFcCoRM0WgUx1ENj56entbWVhmMi9Sgim3bH3744aZNm5B+hvPRNA07xWEJPqTP4fPu + 2h8MEVWqLGFEBFvV77AU3cruSAxAgai68bZfBHx2uQq6rm9/BWciIpKPGyRn6PIpYSQBiQCapqkG + 9OTJkydPnvzR1+MFkUhk3Lhx48aNwzAIqKytj74LwU9lV4L6RfF4XL0XtTDG2fAAi26pFcxwBHzp + OGdMUKmEg2ABMRlc1Hhb0Qt+C05v9OjRo0ePrvwv/CKcA36d+gtsamoSERW9SEVbAkfbamqKZVk4 + HxxHvRjRi/zjxccvmjBhwoQJEz56woheZHDZIUYvRF8orJOIiIiIiKhqMIAhIiIiIqKqwQCGiIiI + iIiqBgMYIiIiIiKqGgxgiIiIiIioajCAISIiIiKiqsEAhoiIiIiIqgYDGCIiIiIiqhoMYIiIiIiI + qGowgCEiIiIioqrBAIaIiIiIiKoGAxgiIiIiIqoaDGCIiIiIiKhqMIAhIiIiIqKqwQCGiIiIiIiq + BgMYIiIiIiKqGgxgiIiIiIioajCAISIiIiKiqsEAhoiIiIiIqgYDGCIiIiIiqhoMYIiIiIiIqGow + gCEiIiIioqrBAIaIiIiIiKoGAxgiIiIiIqoaDGCIiIiIiKhqMIAhIiIiIqKqwQCGiIiIiIiqBgMY + IiIiIiKqGgxgiIiIiIioajCAISIiIiKiqsEAhoiIiIiIqgYDGCIiIiIiqhoMYIiIiIiIqGowgCEi + IiIioqrBAIaIiIiIiKoGAxgiIiIiIqoaDGCIiIiIiKhqMIAhIiIiIqKqwQCGiIiIiIiqxjYDGNd1 + RUTXdcdx1I+BAAMeIpJQKGTbNh6jcDAMAw9otykWi5FIxPM8lMzlcvnzPqO9BS51IBDwPC8SiRSL + xc/7jIiIqoPruoZhyGDjQURs2w6FQjt7HGOb/2EYOKhlWSKCf/P5PB4Q0d4snU4bhlEulwOBQKlU + CgQCmqYZhuF53ud9anuFTCZTV1fn+34+n9d1XUSKxaJlWbz+u4dhGLjgIpLP533fF5FkMhmPxz/v + UyMi+kJDU8F13VKpFAwGy+WyYRjpdHqnj7Ot//B9X9M0EWloaMhms7FYTEQikcinOWki2jNomlZT + U2PbdiQSiUajeNLzPDSm6bNWV1eH3iVd13O5XDQaRfTC6797eJ6H6CWXyxmGoWmabdt1dXWf93kR + EX3RqapKjZTU1NQkk8mdPY6GrqOPQgCzYMEC0zTD4XC5XC6VSr7v4/cR0d4sHA6nUqlYLJZKpeLx + uOM4lmWVSqXP+7z2FkhhMgzDsqx8Pl8ulyORiBqNoc8aMsfy+XwgEEAKGbOsiYg+IdM0i8ViMBjM + ZDK1tbXZbLa2tvb000/fqYOwtCUiIiIioqqxg+EU0zRbWlqOOeYYpJAREYnIL37xi/r6+hNOOKEy + rZQpTLuTbdu//vWvi8Xiaaedhiw+Xv/dRl3qXC533333eZ531lln7cIkVCKivc1WVVU+n3/44Yd3 + IYVsmwEMJsCISCKRiMVimK3LSfxEJCK+76fTabTYcrmcZVmapum6zknkuwcm8WMIHtELJ/HvTrqu + 44JHo1HXdR3HCYVCnMRPRLRDaCr4vl8sFqPRaCgUSqfT25rPsh3bDGCwzFkoFMrlciJSLBbD4TAn + 8RORiNTU1KRSKWT8m6aJFcnU2oj0WcN8cU3TsIyyiFiWxeu/27iuq8LFSCSC2V+cxE9EtEOu6wYC + AV3X1Xr0ruvW1tbu7HG2OQcGFaHnecFgUP3IfQaISP5x1Xa1oDtbz7sZZvBXVgOf9xntLdTGO7qu + MzGBiOiTU7vGqTZD5c5ynxwrPCIiIiIiqhoMYIiIiIiIqGowgCEiIiIioqrBAIaIiIiIiKoGAxgi + IiIiIqoaDGCIiIiIiKhqMIAhIiIiIqKqsccGMNvZkdr3/coNbTzPKxaLu+WkiPZenuep+65cLn/y + PeNd18Wa8bTLCoUCHvi+/8mvvOd5+XweuzSKSD6f/0xOjkREZBc2ot5ZjuOob5OIqKrtsRvP6bqO + B67rlkol3/cNwzAMIxAI+IM0Tat85ZBIJpPhcBj7mmFvcl3Xh/ZXEFUj3/cdx1E7AJqmuZ0Xu66L + 9lwgEDAMw/f9Uqnkum44HN5Np7tnUVfbdV3P83BtS6XStq5nuVzGTslqu1IRiUQiu+FU9zZqE1LU + R47juK47VJdaVX/BYNAwjGAwuBvCJCKi3WCPDWAq4xO0mSq3qa4MYGRIY5i6ujr1GC0AGWwNDNWv + IKpGiORx0+2wFaXrOtrZuHE0TTNNk22vXabKH13XVTCjdkH+KFVkocdnN5zhXmurKzy0f+fotqsc + c9M0rVQqbb/7gIjoi2+PDWAcxzEMAw0mFaggaFF1eWUMM7TQf2yaJgIYtgCIKu+1Hd53mqYZhlEu + l9GSxuuDweBne4p7LhR6KiDcoa36dPBFeJ6HsWUaWuhQU7H6kF9kXdcr6yD2phHRHmCPDWDQw4RS + G5NePM/zPA9D81slkg1hGOO6Lip7TdM8z0M74DMKk4iqiLoNZXBcdDv3heM4pmmipeU4Dm4lpIDu + vjPe46DEk8GAxPf9bbWVNU3DbBnDMFQJyY6YIYeONtRHjuMgtXIIMwIymUwoFMKojuu6SApgSjMR + 7QH22AAGDR3M/UXTB/kSKjUCNTQijcphmU/Jdd3KrPFSqYS+5CE5OFGVchwnGAxu1XjCkx/7+spu + Bbzmsxsv3RtU9vHDDgulypVORCQQCDB6HHKmaeKvWtO0YDCItEnV8/XpxeNxPMDXhxn8DGCIaA+w + Jzesfd9XOfci4nkeljMyTRNNIlUfD2HDKBQKlctlzJtU029c12UMQ3szNXffcRwRCQaD228Qm6bp + eR4m/WOUgNHLp+F5nrrapVIpn8+bprmdmeKIdnDN8/m8bdvhcJgrKAw5TdPK5XKhUMBo2GcxNSWf + z7uuW1NTIyK6rmNdmSH/LUREu9ke26p2HEd19zqO09/fv3nz5kQiccABB4iIykUZ8j5F27bXrVvX + 1dVlWdaYMWPa2tpEhCtX0l7OMAzHcdavX9/V1SUiw4YNGzFixPbntAwMDGzatKlcLjc1NTU3N1uW + xcUwdpnqQCkUCj09PVu2bPF9PxaL7b///h/7enT0iIjneYlEYmBgoLa2tqOjgx0xQ27z5s1dXV3l + crmlpaW9vX1oo8RNmzatX7/edd0xY8a0t7dzGI2I9hi7oyxDKoJaCOWNN96IRCLt7e2dnZ2oFDs7 + O9va2qZPnz537tzHH38crxwYGFDvKhaL6LhNJpMicv3119fX148ZM2bRokUiks/ny+WybdsyGCqk + 02kk+65bt+6cc85pbGzs6Oj4p3/6p5kzZzY1NcVisbFjx37rW9965JFHRCSbzb7//vttbW0jR458 + 5plncJKpVAqn/W//9m+WZe27774rV64UkUwmoz4Rfpf6XHffffekSZPC4fAhhxwyY8aMf/7nf+7s + 7Jw7d24ymWStT3sPx3GQsKS2Dfnggw/+4z/+Y8yYMePGjTviiCNOPPHEfffdNxaL3XnnndlsVgZv + KxnM+czn8xdddNHIkSOnTJkyc+bM4cOHT5069Z133gkEAmpDGLxRvYW2r1Ao9PX1zZo1a/jw4fvs + s8/06dOnTZs2adKk4cOHP/LIIyjQcCVRrJmm6bru888/P27cuKlTp06ePHnUqFH77bffAw88IIOF + Hl5ZKBTUJjO0HT09PVKxl86qVatOPfXUeDze2dl58MEHH3HEEfvuu28kEhk9evSJJ574+OOPi0gq + lRKRc845p6OjY9SoUeFwuLOz07Ksr33ta3Pnzl2yZIl83I5nmDP2wx/+sL6+ftSoUdOnTz/iiCM6 + Ojr23Xff888/H4uSY64mXr948eLW1tZRo0Y9//zzlZU1/h5uu+22cDh88skn445zXfeNN95obGwc + M2bMnDlzPM/L5XI4jroTr7322tbW1tmzZ+NHz/Nef/31hoaG5ubmtra2pqam9vb2lpaWpqamI488 + 8rTTTvvTn/6ElxUKBXUQFCOfxRdBRHsIf7vuuOOO22+/HfMLkZu7/dd/LLwLC3P5vv/aa68hJyQe + j2OEBCt71tTUBINBlJXd3d2+7yMRC6lfaA/5vt/f3z9u3DgkP0ydOlUdFnNSVePJ9/277rqroaEB + HzMYDE6cOPHggw9ubW1FF5dhGJdeeile+cILL0SjURF5++230+l0sVjEr1u0aFFra2s0Gr3llltw + fH9wIrLruplMRn26dDo9f/58ERkzZsxJJ5102mmnVV5kfBCiPcYdd9yxaNEiPMYtgLvGH7xP/cH7 + N5PJLFu2TEQ0TRs/fvzs2bPHjx8vIrW1tZqmLViwQL0xk8mUy+W1a9fOmDEDJcP//t//+5BDDolG + o/jxqaeeUieA+xG70O5aubQH2Knyua+vD8XR0UcffdJJJ02cOFHNBrzuuutyuVwqlcLLfN8vlUp/ + /OMf4/F4bW2tiMyZM2fEiBEiMnLkSHzvruuqL24vhEuNy3777bffcccd2399Op32fT+RSNi2XSgU + 7r//fgw/mqZZV1c3bty4Aw44YNSoUWp45JJLLsEbS6XSP//zP+PJ+vp6ERk2bBh+bG9vv/LKK33f + R7tf1TLPPvssRnJw13zpS1868MAD8UUHAoHJkye/8847eGUymfR9/7777sMBly5dis+FQ+HT3Xrr + rcFgcNq0afjzKJVKL730Es4zGAz+/ve/xyvxxnK5nM1m/+M//sOyrGOPPbZUKpXL5WKx+PLLLweD + wcqsxVgsJiKYL9rQ0HD88cd/+OGHuLB4l6pwiWjPg+pD1VmLFi3aYSn6UbsvgEGZWC6X//rXv+q6 + Ho1Gr7/++nfeeWfLli0bN278y1/+8v3vf7+lpQXxTDQaXblyJd44MDBQ+asXL16M0jMcDpum+be/ + /c0fbM34vo+xGt/3f/WrX4kIMrh+9atf+b7f1dWF1/T09PzhD3+YM2fOL37xCzzz5ptvIqR57bXX + 8GGLxeLjjz+OvOH58+ejoAcEV4pqrr355psPPvig53m2bfu+v2rVqhtuuAFJ5H/+85934boRfWFt + K4DB/Ao8j7sylUq9/PLL3/zmN19//XV1ey5duvTLX/6yiAQCgb///e/+YPng+/51112Hu/udd97p + 7+/3fb+vr++rX/0qmm6pVEpFLJhRgxhmN3/8L4hPXj5jlsUDDzywefNm27ZRRm3evBn7Vu233354 + GRqpyWQyk8mg9+e4447DkXO53Lnnnisi++6779q1az3PK5fLuVzus/+UX0Q7G8D4vo9r7vs+BhzQ + YbdgwQI03H3fz+fzAwMDTzzxxLx58+68807XdW3bTqfTJ510Ei77mjVrent7lyxZct5556HHTURW + r17tum42m/V9P5PJ9Pf3NzU1IVy54YYbHMfJ5XKYTnb11VcjbGhpafnggw98308kEr7v/+EPf8Ch + Xn311crKulgslsvlBQsWBAKB4cOHq87Bl19+ORqNmqZpmuZBBx2E2ExVwblc7uqrrxaRo446SpUJ + 6MIQkeuuu25gYKC/v//dd999+umnr7vuunHjxuG/vvrVr7711lv+YFjV09MzRN8VEX3hVGUA43ne + yy+/jGjhN7/5Dfpa1CvXr1//zW9+E500hx56aLlcRvSSTCZVCHHccceh4wphzA9+8APf93O5HI6D + SmLdunXDhg1DDvfy5csrh2X6+/txJugJ830/kUgsWbIE/WHomnIc59133x0+fLiInHzyyRhpQTGt + qgrV3sJB/MEhJvwvXowsOBH50Y9+tAvXjegLazsjMOrWUMVFb2+vP9gu8Qe7AJ588kmMhf7hD3/w + B+/cvr4+tJsXLFhQ+d5nnnnGNM1QKHTvvffmcjnc0eyj3anyeWBgAMWpGrXOZDKnnXYaxlhQZKH4 + cl33tttuQ5f/pk2b8Lxt2z09Pe3t7SLyf//v/8UR8O3shQHkzgYwiOqxvktjYyPmZ7700kv4XzWq + 7ziO4zh4oP7r9NNPF5GOjg78mM/nHcdBnC8id911l4rnfd//1re+hRDlN7/5DY6gety6u7vvvfde + vOuiiy5Sb/n9739fU1OjadoLL7xQWVnbtu153k033SQiEydOVJ/lueeeU8kFmqZdeOGFeF7d+Fdd + dZWIzJo1S/1B/vWvf0V9fc899wwMDKDSxJ/N22+/feKJJ+Joxx57rIp5du17IaKqMCQBzO6bz6d2 + ElD51qZpYpOBQqGAdOrhw4c/+uijmqbFYrFXX3317bffjsfjCHJqamo8z+vv73/sscdE5PLLL6+p + qdF1/cEHH+zv71cHx6D573//+66urlKp9OMf/3jSpElI0u3v7xeRhoYGzEixLCsUCvm+HwwGGxoa + cIR0Op1Op0ul0imnnJJIJKZMmXLjjTei1yoSiaArKxqNFotFHCSbzeLMXdfN5XK2bUejUYRGIlIs + FmtqauLxeGtr6267zkSfL+yaV7kIb1NTUyqVqq2t7e3ttW0bt0NdXZ3rupFIZNWqVclk0rIs3ODJ + ZFLTtK985SvJZDKXy6E7edKkSYcddpjruvfccw+6frFAuXBzkk+mVCrFYjG1FJWIpFKpWCw2ZcoU + TLTA3Axd17GGL1q606ZNQ3dSMBi0LKulpeXkk08WkTvvvBOvR9nIOX47ZJomluyfP39+IBDwPG/O + nDnTpk2TwRmemLSJzVt1XUeoIwiljr4AACAASURBVCL5fD6ZTOL627ataRpSDw4++GARicfjXV1d + iA0KhcIbb7zx6KOPDhs2rL29/bTTTsNUltbWVs/zkslka2vrMcccM378eE3TfvnLX7799tuappVK + pWKxiJgWcYVUrPiHVoKIxGKxcrmcSCSkIve7qanJ9/1f//rXjz76qIj09/fjrsdHUGud4QhYEbRc + LmPQT0SCwaDv+6NHj37ooYemTJlimuaLL76I4alisbjbvhoiqlK7I4CpbGFUbu9QKBRQwobDYVSB + aPfceeedzc3NjuPMnz8fjaH6+npN03Rdv+uuu1ACXnTRReedd57v+xs3bnzxxReDwSAOi+M8+OCD + gUAgHA5feumlmzZtqqurKxQKjY2NW002RRsoEokUi0WUmJZlRSKRo48+evny5a7r/uY3vxk5ciTe + VS6Xg8FgMBh0HEft/mYYBrJ4dV2vqakJhUL9/f2hUKilpSWfz69YsSKdTmcyGVRURHsJZNurhH7P + 89B0bm5uVrskbdy4EflmRx55JNo06XR69erV6BUeNmxYTU2NZVm+7+fz+bq6uvHjx7uuu2TJErVH + LVpLWN6DdkgtvYhlkWtrazEZQ0ROO+201tZW3/fRjO7u7kahetJJJ9XW1mJ2NUrIAw44QNO0NWvW + bNy40fM8fJtsbu4QIpN8Pv/KK69gKZqf//zniUSiUChYlmVZVjqdzuVymqbhMdbcE5FoNIphmWAw + GAqFXNdNp9MismbNmhEjRmQymWHDhqH+ikQiixcv1jStq6sL4zPFYhFDar7v4xarq6v7+c9/7vt+ + Op1WqRCWZSEcVZvGQGVljflpyFvTNM00zXA4vGDBAl3Xk8nktddei2o6EAgUi0WVLo6lAkqlEh74 + vt/c3Iw6UURc10U8tmnTpu9///ulUsm27aVLl/q+H41GkaO4G78iIqoyu3sEprJM1DQtn8+jnwlP + YpnUo48++sMPPxSRjo6OyiVWCoUClh077rjjyuXyd7/7XRRwd911F2pQ/FgoFFauXGkYxtixYzVN + 6+joEBHTNHO5XDgcVusdqddjR0sRMQyjUCjMnz///fff9zzvgQcemDRpEvaiFhHP89ByQocljoNN + jkUEgzyZTKaxsbFYLG7YsOHee++dMWOGiNx8882HHXbYZ3txib4YPM+r3LAFyffoe8Yk8lKp5Hle + d3c3Mu9N05wwYQKej8fjzc3NmqbV1tb+z//8TyAQwIpJkUgEo7UiUigUkF2jdv3DoMHn9HGrhmma + at02bMLzwgsvHH/88W+++eaECROuvfbaYrHY09ODDpoNGzaEw2E0mm3bRu9MMBgsFov77LOPZVmB + QGDVqlXq4Lz+n0QgEIhEIq+++mqpVDrggAM8z2toaAiHw4VCIZvN1tTUYGwfe7Y4joPUZRFBjh9y + LAOBQE1NTT6fX7hw4fr16+Px+PHHH29ZFoY7Nm7ciLccffTRIhKJRGKxmO/7hmFks1n8AahRuOXL + l4sI8h3wDPoL1Alrg0SkVCoh1BGRnp4e27aLxeLxxx+PpcZeeeWV//zP/8QdirEXhCuVR0Btu3Hj + xpqamubmZtV96bpuR0fHd7/7XRFB1alpWiqV0nUdoRoR0cf63AIYTdOi0WgsFqtMP9A0zXXduro6 + FJSPPPIIRmB833ccZ+nSpRjCnjdvnmVZBx544KxZs0Tkj3/8I5YOQ4GYSCQwKD9p0qRIJIJ4o1gs + ovcoHo9jpRQZ3OFbRLAEs2EYCxcuvPvuu3t6ehYuXHjcccdhZB/5KtihMpfLofBFZxWOUy6X8ZrV + q1cPHz48FArtt99+5557biwWe/3113/wgx/g+ER7PDSk/MH0ehFBxpfv+01NTblczjRNXdd//etf + P/zwwyJy5ZVXokBAiDJ27Fhd11Op1GuvvVa5e1JXVxcWPReRbDaLDmOUDGpggbYPWUBnnnlmc3Nz + R0fH4Ycf/sILL8yfP//JJ5/E4rxtbW3pdDoYDG7evLlQKNTU1KA06+3tFRGMFTQ3N9u2bVnW+vXr + VY4QWsC0HbZtBwKBjRs3ogbcf//9KxMQ1AVEcIixi6amJk3TcrncwMCAruuhUKinp2f16tV33333 + 1KlTX3vtNRG5+uqrkeOHavTFF18UkZaWFnxlCIcCgUA+n4/FYrFYLJfLTZ06VUQ8z1uzZo0MbkIg + IoFAQE3ahMrwQyUd+L7f0tLS2NiIdy1YsKC2tlbX9fnz569Zs2bTpk2GYeCUNE1DijhKANyktbW1 + 2FgzHA7jmFg3ORqNBoPB5ubm559/Pp/PI91UJZsREX3UkFX8SDNQP2J3YTzG+vd40nXdUCiEQQ+M + mFf216q5JSNGjIhGo5itaFkWkoBvvfXWdDo9adKkAw44wDAMz/POOussEQmHw7fccotlWQhIbNsu + l8uxWAyzgTFCgugFQQ6yy/AjGknofLJt+8EHH0wmk/F4HHNVVWYaahpMOZLBVprjOLFYrL+/H5uF + o1xOp9PoVItEItls9pprrlm/fr0K0jzPY28l7fEKhQL2cXccR3VYIDNERH7xi1/8+Mc/dl33+OOP + v+SSS9DBjEFUwzAuvvhiEbn55pv/8pe/4ObK5XJz585F409ESqUSOg5M08Qz3ArmEyqXy+Fw2HXd + /v5+wzBc173xxhufeOIJ9QI1yGyaZiaTCYfD5XI5Ho9jtpLneWhTOo6DjiEZ3HXkc/k4VQTzLTds + 2NDe3u77fkdHB5KiK3c7wS2AGTIYxJDBFDLP895777329vbx48fPmTNn1apVkUjkmWeemTdvXigU + QocdFrcQkY6ODvSyWZaFTIFIJIK8tWg0ikBC1/UVK1ZIxU3keZ5lWYZhYHKtDO7zEwqFcDIYTdU0 + zbZt3JiIshYsWIA8haOPPhr5DqrqV1NiVDJYsVgMBoOqm8P3fdVPMXLkyHQ6nUqlIpGI7/sYKSIi + 2pYhC2B6enpmz549ffr0Y445ZurUqUcfffSxxx47Y8aMo4466nvf+95RRx2FtHhVPoZCIc/zVFYD + SjFUrtlstqurK5fLfelLXwoGg4VCob6+ft26dX/84x89z8OIue/7tm1/+9vfrqmpKRaLixYtwgh7 + d3c3erCy2eyHH37oeR5KQxHJZDIYalcTE7EyvYhYllUsFpuamm688UYRyefzc+bMQYow0lRCoVA+ + n0cqv+u6KH9xzihnXdetra096KCDUqlUMplcu3btwoULhw0b9tBDD40ePRpVhQwOr/sVCygN1fUn + +iJQE3bRZEHWPu6UZDKZSCR+85vfXHnllTU1NbNnz7733nvRmC6Xy2pvqO9+97tf+9rXROTEE088 + 7LDDpk+fXltb+6c//QmrMIlIU1NTY2OjDPYQl8tlTiLfIWzHYRjGjTfemMlkcrncc889d9pppxWL + xRtuuGHGjBlYZww9Ow0NDWrRyEKhgC9RRHRdR2+U7/vDhw9Hf5Dq5aHtKBQKmqZ96UtfWrduXWtr + 69KlSzFojyQ9DNFj9st///d/Y4a9bdtYNqa9vR19cw0NDZZlIQnz3HPPPfLII03TRJpAMBiMRqPp + dNo0zffff19EEKjU19fjrsFEUNd18YV6njd69GgR8TxvYGAA+QVIMbAsCxnRlmVhlg4CKmzXpj6R + 7/umaabT6bPOOmv27Nmu665Zs+baa6+VwbjlY+Fu1TQNQa+machl2LJlywcffFAsFg877DAkXOC3 + f4ZfCRFVuSELYN5///0nn3zyf/7nf5YuXfraa6+98cYbS5YsefbZZ5955pkXX3zxmWeeSafT2WwW + FaFlWa7r1tfXx2IxPIOSER05hmHk8/nOzs6JEyeKSDgc9jzvySefRIn897//fd68eeedd9555533 + wx/+EFP9+vv7n3nmGU3Tmpub99lnH4zIb968Wdf1RCKhaVo6nUY/ogwOrIsI+pDy+bxlWTU1NX19 + fQceeOAf/vAH5OifcMIJK1as0HUdA+sqEMI8VyTfoxAXkWw2q2ayZrPZESNGfOMb33jsscfa2to8 + z7v88ssre9rQMmC3Je2p1IwLJIWiQVNfX7948eIzzjgjkUiccsop9913XzgcDgaDjY2N6NFH//GU + KVP+9Kc//eAHPygWixs3bly6dOnUqVPffvvtr3/966FQKBaLYbEmTK0REaTaf76f94svm82qEFFE + AoHAYYcddu21155//vlr1qx59tlne3t7VfO0ubkZhW1XV1c0GlXLPGKatYiEw+GamhosNpXP55nC + t0O4gLFYrLa2tqenBxG7aZrFYjEcDquVLdLp9Hnnnfe9733v0UcftSwLwy+ZTMZxnC9/+ctr167t + 6uqaOXOm7/u//e1vsQADEpjx9qOOOsrzPCzZjF62dDqNPDQRQXS6bt06hENYx0zX9VKphKFR13Wj + 0Wg2m62trS2Xy7ZtNzQ0DAwM1NXVoY8A46hq/ATbT4vIzTffHI1GQ6HQNddcs2TJErV/9EepT+p5 + Hqa44C5uaWkpl8uNjY1InMOv4CAMEW3HkFU8RxxxxNKlS1esWPHSSy+98cYbr7zyyrJly5YvX75y + 5crFixcvX768pqYGcQW2cEZXEFZvlIqO23w+/9BDD4nIxo0bv/rVr2IEPJfLXXbZZagvn332WcxU + Wbx48c9//nNsThyPx2+66SY1NoItXFauXKkWo8S0SMwADoVCoVAol8shjwLdimhvBQKB73znO9dc + c00kEkkkEuedd9769esbGhpQJaCHTG1fjY5J1OixWAztg2Kx2NDQkMvl6uvrp0yZMmvWrMbGxsWL + F9u2rRJdPrqeAdGeAX/SyB9D0wT5mSJy9913z5kzR9O0c845Z+HChXg9OllDoVBtbS1mkCOH8+ab + b8amt5s2bXr55ZfHjx//zjvv2LY9depUHBb7V8pgPidtn9r3UCouXVtb2xVXXCEi4XD4oYceUsXR + Pvvsgzbx+vXrRaSxsRHfYCaT2bx5czgcxlRANIgrpyrRtuACZrPZyZMni8hLL72Uz+ez2Sx6srLZ + LJYwbmhoQNaADP5hq/WI+/v74/F4Y2Pj/Pnz29vb+/v7L7vssg0bNmCCWTabLZfLw4YNQ6Tx/PPP + Y8wN8Sq+/VQqZRjGm2++icgB/YOmaba3tyPCwVeJpAOkS+Dkk8lkNBpFHa0Ws5HBWntgYKCtre2m + m24qFov5fP7qq69eu3bttq4DBvpEBEt6qtGnu+++G5/xwAMPlMEpPQyMiWg7hqyAKBQKU6dOHT9+ + /MSJE6dMmTJixIiDDz540qRJEyZMmDhx4qRJkxKJBBYjQvGHTiBMkcfbUacWCoWbbroJKSKnn346 + hilWrVrV29sbDAavvvrqn//857/73e+wd9jdd9/9k5/8ZNy4cY7jvPzyyxs3bhSRRCJx2mmniYjr + uqeffnpra2s+ny8Wi2q0GoMhpmki+V5ERowYgWkziFv+/d//ffbs2aFQaNmyZRdeeGE+n49EIij0 + a2pqUGqrnAqcPzKDZbA/CYueYcVn7D/jum7lkAuGcYbq4hN9ceAGiUajana+iPz5z3++4IILHMeZ + O3fuwoULkU8vIpWdtciPr6ury2azmUwmm81OmDChqampVCr19PRg1vKpp56KN4bDYTUnjamYO4TS + BmWUruu1tbXFYjGdTre0tIiI67qvvfYaloUUkebm5tbW1ubm5iVLlojIli1bRGTDhg0NDQ2LFy/G + unBTpkwRkb6+vtraWi5SskOoF+LxOJamFJGLLroINU6hUAgGg+FwGMOVIpLL5UqlEoJ5Xdej0Sjm + fGYyGdu2Z8yYccEFF5TL5ffff/9HP/oRJtLEYrFAIHD44YeLSH19/TXXXIMIATu9iAhmNPm+f8MN + NwQCgVgsNmrUKJzJmDFjbNsOhUJvv/22DM6zz+fzwWCwVCr19vYahtHZ2YkKGgsA4o3ohayvry8U + CnPmzDn++ONFZNmyZffcc8+2rgM2G8DjQCAQCoVwBa6//npMrzrjjDOKxSJm0Wy17QERUaUhC2DQ + GzcwMIBWCypCFHkYCEYmGNI/ZHDqZ7FYzGQymUwmEolYltXX17do0aLXX3+9v7//uuuui0ajOOx/ + /dd/1dXV1dbWnnvuuWefffYpp5xy7rnnHn/88Wedddb5559/6aWXosjD0E1DQ8PZZ5/d3NxcLpfv + vffeZcuWYf1H0zQRBaFfR/UwDQwMdHV1WZaF1Y1wtrfffvuYMWOCweAjjzxy8cUXF4vF2tpa1C5Y + ikAGe6rQMggEAghUDMPAgjOYLrl8+XLMtFHLsMjgLhlDdeWJvlDQDsNfOAKMDz744F//9V8LhcK+ + ++6LHSqQ/Z9IJDzPy+Vy6D/GVDT0F8Tj8Xg8vnr1ahEZGBi49dZbcaOdeOKJqgMYifvCZXw/ARTF + aDuqPN6amprHHnsMmwVPmTKlcsXbefPm9fb2Pvfcc2vXrkUhPHz48FQq9eSTT9q2fcEFF4TD4VKp + hEanapLStiBdWUTOOeeceDze1NS0cOHCF198MZvNIo+6u7sbS5CjW62hoQHBvK7rvb29SO6Kx+MY + 9r/44otnzJgRDAbvv//+W2+9VQ2CfeMb35g5c2Y6nV6yZAmG1GzbxnoM8Xg8GAz+6le/WrVqle/7 + Z5999qRJk/Cupqammpoa27bvvffeTZs2ScV4HfYDcF334IMPVpM2VeVVKpXwGLfkddddp2laoVDY + ajWzSqlUCuEuJlmJyFtvvXX99de/9957yWTyZz/7WUtLi2VZ6KSoHDYkItrKUDajU6mUWmxxq6In + lUqFw2HVpkHiVj6fN00T6xq/++67d9xxx6xZs37yk5/ouj558uRLLrkEL+jr6/v973/vOM6cOXOw + Lbea8oiDH3300ejHve222xBFNDU1/fSnP0XK2aGHHnrttdemUqlsNtvc3FwqldLp9G9/+9vp06df + eOGFnue1tbVhiwM1vx+tq4cffviggw4KBAK33XbbddddVygUMM0RMRiCHyQKIwt/7dq1H3zwAWb8 + I/Pt8ssvX7p0qeM43/jGN6LR6FYj70R7nsrxSZUzuXjxYsQYs2bNeuuttz744IMVK1b89a9/7erq + +utf/xoMBrFbhYiUy+WNGzdi7rKIjB07VkSeeOKJ66+/vlgs/u53v2toaFDtJwxjOo7DSfw7hMVR + nn766Q0bNuByJZPJV1999bLLLkP/0fTp0z3PQ6zi+/68efM0Tctmsz/96U/j8TgybC+88MLe3t76 + +vr/83/+jwymJKGvirYPawpnMpn29varrrqqr68vEonMnDlz4cKF2IOytbUVycwY30gmk1ioUwYX + IlcbO5qmGQwGb775Zkzfv/jii5PJZG9vL26Zq666Stf1lpaWf/mXf7n77rsRW6KSuvbaa+fOnYu0 + 7QsuuEAlIMTj8XPOOUdE/v73v99zzz35fL6/vx9JB4sWLcJ2k0ceeST6C1RqKFLXsPwm/gb22Wef + O+64Q0SQQPGxamtrHcfJ5/OZTOatt9667777Lr744iuvvDIajY4dO/aCCy7AX1ptbS1rSSLaAX+7 + 7rjjjttvvx2rgiBhY1uvxLrJeJzNZjHhxPd9jDKr9zqOs2TJksq5H6gyZTDJQdO0yy67rFQqJRIJ + HO22227DC5AojPLX930sCwYof0XkpZdeQrnvOM6///u/4+AYqh41atShhx6KjdhExLKsK664Aqf0 + 6quvYkBm2bJleGZgYMD3/ffeew8pFqZp3nrrrfi9uBSFQkF9QN/3bdvG8P1Xv/rV8847b/78+ZiH + Y1lWPB5fs2aNulCohzCMg14ooqpzxx13LFq0CI9xa2N1Qdwd2P8bM8c8z/vmN78pg3ntUrH/Eppi + P/vZz2zb9jzPdV3HcW655RYRGTFixLe//e1zzjlH9RNfddVV2O4J08lyuRx+u9prfC/0yctn3/ef + fPJJEWlpafnOd75z8cUXn3HGGWjdmqb5/e9/33EcNHN938e6C1he2TTNfffd96yzztpnn30MwwgG + g9jKHde/8oveq6jqzPd95DPv8C2V9eBPfvITXHxUhRMmTDj11FOxQ4uIhEKhH//4x+rFM2fOFJH2 + 9nbP81BldHd3+77/y1/+Eq8/6KCD/MFvDZvZx+NxzDg1DOPEE0884YQTRASdaLFYbMWKFTilVCqF + Bxs2bFAZZRMnTpw1a9YxxxyD7sJoNHrGGWfgZaizXn/9dRlcmll9rp6eHrzm0EMPxXGOPvpoVI6e + 573yyisqZRo5DpULmoVCocsvvxxbbaoDqr9GItrzoMGg6qxFixZ9klJ0K0MWwGwHqje02n3ff/TR + R9GlVNmg2W+//U455ZTLL7985cqV+GAoyzZs2HDssceKyAknnJBOp/2K9gpOBoXdU089hYmP//Zv + /4bYA55//vnp06fjt6gRGxHZd999L7vsMsQVqVTqpZdeQjH63HPP+b6PLZDxK1599dW6ujp0+j77 + 7LP4CDhD3/dVBLJu3brzzz9/q+DQNM0TTjjhxRdf3IWLRvRFtq0Axq8oJXCrptPpE044Qd8GTdNu + ueUWrAyL9tktt9yCVp2u67jvhg0b9qtf/QpzAD6Pz/rFtVPl82OPPYbumMqeo3322eeWW26pbFv7 + vl8sFjEI9rvf/W7EiBEoGzH29Ze//GXLli14meqx2gvbmjsbwOBv23EcdZs8/vjjX//617eqMgzD + aG1tnTRp0sMPP6xeiQBmypQpuKFUqLlx40ZsYC8i//mf/4mQEjHD+++/j4mgajZ8KBSKRqPf+973 + Nm7ciMMii1st6L98+fLTTz8d9x3yzUSkvr7+6quvRq+B+tQvvviiruvBYLCvr8/3fYQx6mosWbIE + SwUecsghajHuv/3tb6qnEmFbOBweM2bMd77zndtvv51VJNHeZkgCGM3f7kDtokWLyuXy3LlzS6WS + aZrlcnkXJm8gRUHXdeT7ikg6nbYsS0UUiG1Q1KpftGnTps7OThlMVMB+alhVzLIs9Nf6vq/SrwuF + QjgcdhwHJS/WTUZLqK+vb/PmzVu2bMlkMq2tre3t7Z2dnSoHTH2iLVu2oILP5XLRaDSZTGK9stWr + V48dO7a3t7e5uRnXvTLnGxN7cKju7u6urq4NGza4rtvZ2Tlu3Ljm5mZ1bjt73Yi+sBYtWqRp2rnn + niuDNxFuPdu2kS2j63qxWMTUr0wms63N2pFQutWTruuuWLFi3bp1zc3N+++/Pw5S2QFB8MnLZ/xX + T09PMpns7u5et27diBEjWlpaxowZgwuLvFyUbKoUFZFsNrtq1ar169e3tbUdfPDBKAZFxHVd7Eos + /1iK7iXwkXHZFy5cGAgEzjvvvE/4XlUdICpYt27d2rVrUem0trYOHz4cQx8i0t/f39jYiCoG78Lv + 9SuWDsc5YBZ+sVjMZrPI4MKX+NZbb7333ns1NTX77bdfPB7Hop2+76vlsEVE1cvY7PKNN9748MMP + 6+vrx44d297ejnk46nd5nrfVlCfsmIkNZ5E6jmls+F8sn4MH2MkA9zsW8MTMN97aRHsbFFCq7vjl + L3/p+/4nL0Vhd+SOqznr4XAYZWVNTQ2GUyzLwv/6vq82aUF7pa2tDW9XqbrIUlMr1qtSL5VKYfqK + DA5Ml0qlmpqaTCajaVosFmtqaorH4yNGjAiFQpZlYZzdcRz8IsdxsM90LBbL5/Ou66pVB7BfDXYX + ViP+SAVWq0lWZuR3dHS0t7d/6UtfCgQCqN5QuzBHn/YSuE0woww74oXDYaz+ty1o4qhmje/7uq5P + mTKlo6MjFAqplQOFW758CmhlNjY2trS0jB8/Hm1HtDLRf6Rapa7romTDcEE8Hj/ggAMOOOAAtUAZ + Xla5/QjtEJbdQ8CA9Q90Xcc1HzNmTEdHB1aaUaFCLpcLhUKqxhQRLDOj6zrWK8O6F1igDLENsrVR + P6op8pMmTRo7dqzneTiI7/ulUqlQKKhaFedj27ZlWViS4ZBDDvlf/+t/hcNh3JJYawdbCEQikVAo + hLn7qodC/eVEo9FMJoMFbAYGBurr65PJJI7pum48Hs9kMmpyrGEY2+rUICL6JHZHwxozdNH1EovF + MHiCIAGRQOWawniBWqwM5T5mDRqGgeIPqQsigqT5yrIY7RtEF/F4XP1e1c2DgafKqgKj4SKC6hxT + IVVcqObrx+NxlOMyWHljyzBVgtu2jTUl1WALthyWf8z3JdqD4XbDDu7hcDgajWLTp20tKKTuLyyZ + WjlQibZy5Zgn9ufeHR9jj6OuP4rTUCiEPnhsQ6naoGiSYr9CrMNbKBRQQkYiERSAmGuhhmgwnU/9 + SB9LbSuJ9Y4xA17NhlcVBGbJa5qG70uNY/T399fV1aHFj8EWVVHK4F1jGAaiBRyzXC7n83lsD4An + 8eXia8XvQv8CxkuRFoHvuvLbRKqn53lqW0l1tpU3I7rqsN5DPp+vr6/3fT8cDufz+VAoZBiG7/uq + I0NtbqsWvmMfHxHtrM983B/rqGia5jgOVntUG9v9/zMIBNQIDOrUQCCART+x5BeaQdFoFO8qFAqm + aaq+Q/UA/4VNi0OhUG9vb6lUwvAO/hcvyOVyKl7CUEyxWMTYjohgxRVsMYZiemBgoFwuq23FEd6g + tNV1PRaLqSSKUCiEAXrsIIY1MfF78XGI9ni41yKRiGmauKewoXh5GzzPw2hAOBxGhgwm9IsItp3F + mCcyZdlK3mVY9kBEDMNA9zxKY/Spq5dhSLxYLA4MDKgsI/T3e56Hda7VfsEigt4obmn1SYTD4Zqa + mlKphFUotlpM33Vd7Femnunv73ccB1VPOByuvOb47kqlEobFfN8vFAp4EqsYowMOOdV4l23baguB + crmMFcyQlY0XoIZF1YYcM9SMuCXVliyJRAK5EplMJpFIqOfxdyUi2NxGBgf9sDutDO6s4DgOfkSY + hPQERi9EtAs+84IjGAyivEOhhtytYDCIMksV4sghwaKcKPVEBGm1oVAIg+9q3gveq7oD8SQaQCKC + 9Y5VqgNeiS5DvAATaQzDHyziQgAAIABJREFUUF2PxWIRjSccWfV75XI5xCRoUSFjDxV2sVhUJa/v + +2hpqdNGolqpVEI+BruNaS+BFcnREkIOEvp3tzNHIhAIYLcKESmVSqFQSE3iR9yCH5k89mmo9Y5V + R3g8HsdMfQQtGJcWEWzl0dDQgFyjcDishgjUJAdAS9o0TQYwO5ROpzGCEQwGMXUeOWDYa9L3/UAg + oFIAstlsbW0tZtKruZoigttE7Z6srrxt22roUtWDSFLABgO2bSMdQM10qrybsGsTxnPwL3oNkFyN + FAOkG4TDYRywUCjEYjGcOWa4aZqm6zoqVmzNiT8n1LPIdpOKFQgB9alav5uI6JP7zAMYpFrZtq2K + xa2mACIskcFdHTAdBSPpIhIMBjEIg5JORQLFYjGRSLS3tyPZDEsqY0F9vFgG08DQEaVG2/GLUIxi + IFv9iPVYsJQK6hLTNDFiUzkPB6PeKqfF87xgMKg+FMb3kReHih+jMYxhaC+RSCQaGhosy8JNhCku + 21osBNmYuENlMBkGSfZb5bGoFM3d8iH2QIhbsJhKNBpVeUqArD+Ut3V1db7vo/hyHEf141S+Xs0D + ZPTySSB6Qc4z7ovKP2+EgqiMdF1HdYNbBvUg0sAwgR4TPvP5vKZpOJS6a7CsgqZpOBo6+EqlkgoP + 1BoAGPZE7wBiJKzAgZUA0GOozhB5oRhLQZ8jDoioLBQK5XI5pMahnyISiWCER/061N2qFlarD6n8 + bSKinfWZBzAIElDzoY2CKAXp7Go1VfV61LJIcgiFQuhqQsGn5r2gaG5vb5fB8h3dP3gBOoDVfBW8 + V/VUBYNBLKVSmXmM0hYhFjqM8SRKbYySp1Kp2tpatVQazqGy8EXmGEp2fEZMBlAjM0R7A9yYuKeQ + +76d4Rdd19EpoFo2aDrL4J2OKRm43bjj+6ehOt0RTyKtFwMCIoJ+IrWYCnYIDQ7C6zGqrL4dVa6q + J2lbcDHVYnrIl47FYhjjwpVECpZq9yPRC0OaqJVUAFkZfKIHEOlYasIY+g2lYo6ZWqtGREqlUuW6 + GhgRUpM51eCJDK7GgSPgHNSygZgVg3oWU27wZOXsKRVZhUIh1M74U1FRExZP3yqbjojok/jMA5jK + 9FYVqGwn7RW17FbjFXgjitePfSMKSpTpap/KrX6pOsJHB0MqAwxVE6sncfDKpQI+trZW/VLqM8rg + DMiP/aREezB1++ww6qhsu6hJvfKPdzrbN0NItX3xHVUWUKplKf9YKuJLqVzM+mPLTNoWlV2MH9Ua + XJVVhrqMatFO/Lj90fvKKmarQcutTqByA9ltHUH+MVdzq19d+eeBt6gX4Jwr71N1/moBz4/Whqwc + iWiXsVlARERERERVgwEMERERERFVDQYwRERERERUNRjAEBERERFR1WAAQ0REREREVYMBDBERERER + VQ0GMEREREREVDUYwBARERERUdVgAENERERERFWDAQwREREREVUNBjBERERERFQ1GMAQEREREVHV + YABDRERERERVgwEMERERERFVDQYwRERERERUNRjAEBERERFR1WAAQ0REREREVYMBDBERERERVQ0G + MEREREREVDUYwBARERERUdVgAENERERERFWDAQwREREREVUNBjBERERERFQ1GMAQEREREVHVYABD + RERERERVgwEMERERERFVDQYwRERERERUNRjAEBERERFR1WAAQ0REREREVYMBDBERERERVQ0GMERE + REREVDWMbf2H7/uapjmOEw6HRcQ0Td/3A4GA7/u78fSI6IvIdd1oNOp5nq7rgUDA8zzf94vFYjAY + /LxPba+gaVqpVCqXy4VCQVg+73a41KZpikihUAiHw6VSCd/C531qRERfaI7jiAjaD3gQDAZzudzO + HmebAYymaTjuVs/gXyLamxmGISKu66JA0HUdJRHtHmguNzc3o9BHMGNZFsvn3cZxnEAgYJpmY2Nj + NBo1TRNfyud9XkREX2iWZeFBuVwWEdd1ZbBRsVO2+YZisWhZVjQaDQaDtm2LSDAYRFfrLp4yEe0p + UO5g+AU/og3NEZjdwzTNQqGwZcuWYrGIH/E8y+fdQ9d1VQcnEolcLodxGF5/IqLtU70/aD+g9xON + ip2yzQBGjez8/9cZBn4Te/iIyDRNTdNc1w0MQntuF8og2jWhUKi1tRW9S+qys3zePdQFDwQCLS0t + oVAoFAoJrz8R0Y5gZgqUy2WkcuzC8PU2AxjDMFzXTafTwWAQRbMM5i3swukS0Z4km82GQiHP89Cv + ISKlUsl13cqCiT5TyWRyw4YNnuc5joOBL9d1d2EUnnaButSO43R3d+u6nkwm6+vr1e1AREQfq1Ao + GIaBaAKJXYVCAZ1xO2WbtV2pVNJ1vb6+PhwOp1Ip0zQjkYhpmhwiJ6JYLGYYhqZp5XIZjTbTNA3D + YPmwe2DSUW1tbblcVkGLpmm8/ruHGmkxDKOuri4QCGyVs0BERB8rFAqpIrRcLmuaFgqFhnIODGIj + TdM2btz41FNPbd682bKsUqmkEn+JaK+laVpXV9eSJUu6u7tTqZSu66FQKBgMYkoGfdYcxxk1alQ6 + nS4UCo8//viqVatQAXAVrN0D+ZO2bY8bN663tzccDr/wwgtr167lHDAiou2zLMtxHNu2Pc+rra1t + a2vr6upqbm7e2eNsL+KxbTuTybS1tX3zm99EFplt2yqdjIj2WnfeeWdbW9uhhx4aDodVWinWXv98 + T2zvkUgk+vr6ampqZs2a9Y1vfEOY4rsbqUtdKpX6+vpE5JBDDsG3QERE21HZVCiVSoVCYfPmzUM5 + iV9EQqFQJBJBsjvKa3YvEZGIlMtl3/dramrwOBAIqJkYtHs0NDQ4jlMul9UCvlzJerfBpcZlt207 + EAg0NDR83idFRFQFsMlkMBhE/YXJKbuQPsAZh0REREREVDUYwBARERERUdVgAENERERERFWDAQwR + EREREVUNBjBERERERFQ1GMAQEREREVHVYABDRERERERVgwEMERERERFVDQYwRERERERUNRjAEBER + ERFR1WAAQ0REREREVYMBDBERERERVQ0GMEREREREVDUYwBARERERUdVgAENERERERFVjyAKYbDZb + KBQqn8nlcnjgOI6I+L6fSCQ8z8NjPCkixWKxWCyqd+H5crls2zYeOI6Dd5XL5WQyiQcikslktnM+ + pVIJD9LptPpFO/wU+XzedV2cw/aPT0Qf5fu+7/sffVLdTa7rlkolvMZ1XfUaPN6yZUvlG7PZLB44 + jqNKDNoFKE4HBgZQLKMwrLz+qsD0fV89LpVKKHvVC1D2qrL0o98j0a4plUqV97jneVuVJOpHNAOI + aC83ZAFMLBYLh8MiMjAwgGei0aiI2LaNmk/TtIaGBl3Xfd/P5/Oe5+F5y7Isy0omk7ZtZ7PZYDCY + TCY1TQuFQiISCARERNf1QqEQCATq6uocxwkEAgMDA/F4HLXyxzJNM5vNlkqlmpoaEVGx07Yg+opE + IoZhBINBdf5E9GkgpInH477v27bteZ5pmq7rFotFPIPSAKFLS0uLiDiOg4DHMAwRKRaLwWAwGAxu + /xam7cCVrK+vj0ajxWJR0zQRQSGMmMQ0TREpFouu6+Ixvild123bLpfLuq6Xy2XP8zZt2mRZFl6c + z+dt28bBiT4NwzDUHxJiFU3THMdBtOx5nuohraur+7xOkoi+OIay4nEcJxgMogzq7+8PBoPxeBxx + iOd5gUDA87xsNmuaJmIDz/OSySQKo5qaGsQqMlg8ZbPZ9957r7+/f+zYsaNHjw6Hw5s2bero6EB0 + UV9fn81mtxNjeJ4Xi8Vs29Y0zTAMXdfXrVs3YcKEbb0e0ZeIFAoF0zRt247FYr7vo6Ynok9I3TII + XdA+DgQCmqYlk8lVq1YFg8HOzs54PF5TU2Oapud5uq7X1taKSLlcLhQK0WgUt7nneYlE4vXXX58x + Y0blkWlnoVju7e1tbm5es2ZNV1eX67oTJkxobm5GcRcIBHRdFxHHcQzDKBQKKLpfffXV7u7uESNG + tLe3t7S0BAKB5ubmcrmsaZplWSjVP+fPRnsETdPUDe55Hh6jHBAR13UjkYiI5HK5SCTCooCIhmwE + Jp/Pn3nmmR0dHSeddJJt242NjfF43HXdhoaGtra2hoaGjo6OsWPHTpky5cQTT7z44otXrFih6zoi + EIyuqCST559/fvr06c3NzdOmTZs1a9bEiRMNw5g2bRp6YhKJhIhs2bIlFottpxQrlUq9vb3Lli27 + 7LLLpk6dWldXd+yxx25/6HndunUiUi6XFy5cOHz48IkTJ65evXqorg/R3qDylvQHlcvlww47rK2t + rb29/fDDD582bVpnZ+e0adPuuuuuvr4+9LaiKAgEAtFotL+//+mnn77lllu+9rWvjR49+rjjjnv3 + 3XelIoeEdlapVMrn86eeempjY+PEiRP/5V/+5Zhjjhk1atSoUaP+9Kc/hUKhYDCYz+cty4pGoz09 + PRs2bPj2t78diUS+8pWvnHvuuV/+8pdbW1snTJjw2GOPYXxGjbSHQiFm99EQQr8hwmnbtpH0iJ4O + EXEch9ELEckQBjCRSCSVSm3evHn16tUqJRo9eT09Pel0OpfL9fT0rF+//umnn77pppsOP/zw+++/ + 3zTNVCqF0Y9QKFQsFr/3ve8de+yxL7zwAsZAvvKVr2AOzOuvvz569OjLLrusoaGhu7u7paVlO/lj + ONrBBx88Y8aMX//616+99pqIeJ63naHn9evXjxw5UkT+/ve///CHPzQM45133onFYkN1fYj2ZsuX + L+/p6Zk4ceLpp58+ZsyYYDD4zjvvzJ8//5577sHggO/7asbLJZdcMnPmzCuuuOK9995LpVKFQgGJ + oOzs32WmaeZyuWXLlmUymf333//ggw/+yle+out6b2/vWWeddfbZZ3ueF4/HkVTW1tZ29913P/nk + k7quT5gwYf/9929tbQ0Gg5s2bTrjjDMWL14cCATi8bgMTnRESEO0y1zXreyeQPQiIhgGdBwHvZxS + kStBRHu5oRyBQe6B4ziq3Y+ZLZFI5Mwzz3zllVdWrlx53333nXPOOb7v9/f3//jHP5bBoiqdTpum + +cMf/vAXv/iFbdvjx49/8803BwYGXn755XK5/PTTT7e2ttbW1l5zzTU33ngj6s5QKKQmm35Ub29v + Z2fn17/+9Xnz5s2YMaOxsXGrNQa2MmLECBFZu3btCSecoOt6X1+fYRiqRUVEn0RlK0QbFAgELrro + It/333777RtvvHH16tVvvvlmKBRKJBIPP/ww+js0TSuVSmjHGIZxxBFHnH322f/6r/+KTodEIuH7 + vsonoV1gGMZ11103MDDw9ttvP/DAA6+88sr7778vIv39/evXr8drHMfBAiojRoyYP3/+q6+++tZb + bz3zzDPd3d1PPfVUOp1OJBKXXnop8mxR1DPPlj69ytFa/Dn5vl8oFJBL5rpuIBBADY6Ozs/7fIno + 8zdkAUwwGNR13TTNdDqtlhOpq6sLBAKu69bV1U2YMGGfffaZPXv2VVdddfLJJ0cikQ8//HDjxo2R + SKRUKsVisZUrV/72t7+1LGvmzJlLliyZPHlyNpvVNG1gYGDGjBnLly/v7OwUkfnz5xuGgb7Y7fTI + Njc3L1my5KmnnvrRj350wAEH5HI5tRzZx0JX4o033tjf328YRl1dneu6nMdPtLNUDIPQRdf1QCBw + xRVX5HI5TdNaWlpSqdTIkSPPOOMMEVm2bFlfXx96IrBEh6Zp119//XPPPYdx2mQyidU7NE1jh8Iu + y2Qy9fX1559/fuUk/ubm5osvvlhEnn322Z6eHhEJh8OWZYVCoXnz5p1//vn77bcfJvF3d3cfccQR + V155ZSwWe+utt9avX4+l5AzDYPRCn55hGIFAoHINQ8dxUqnUD37wgyOPPDIajUYikS9/+cs/+9nP + RARrSBDRXm7IAhjDMFAvNjQ0YFaoWvsYvXqu6yaTSdd1W1tbx48fn8/nOzs716xZEwgEkIFw4YUX + ZjKZYrE4f/58zBONxWLlchnZI9FodMGCBXhw4YUXikgqlcL48scqlUqoWUOhkG3btm13dnZuJ4c+ + Go3ecMMN99xzj4i88sormC3DnHuiT0nNzTVNExP6HccJh8OdnZ2GYZTL5ZaWFtM0UXpEIpFcLldb + W4vVyTCWWy6X0b/AlM5dhlFrXdczmQwWfiwWi/F4vKGhwTCMcDiMwhad3FgXrrW1VUQymUwoFGpo + aBCRtrY2xJCpVMr3fcuyEHluP5uXaIdQRFTO43ccJ5vN/vnPfz755JOfe+6522+/vb+//4orrvjz + n/+8ncwLItp7DOVGlqFQKJ/Pi4jneZWriqkFOuvq6pDv3t3dXV9fv3Hjxo6ODrwmn88//fTTIvL1 + r3/9oIMOksHRFaxyg5U9jzrqqJEjR9q2fd999+m6HgwGtxNgmKaJYs73fV3X0X2LwhHPF4tF/Aqk + T6xcufKSSy4plUr//d//PXz4cBxEreJaue+B67pohxHRViqbIJVPIgGsv7/f9/3Gxkbf95944gnX + dc8880wZXPlKRHK5HIY9Q6GQah/LYFcCOxR2GS5dNptFJOM4jmVZmUzmoYcecl33W9/6Vk1NTS6X + C4fDvu9jVFxEtmzZEgqFXNdF8t6LL76IGHL//fdH6i/Kds6B+STUFmciks1mEQoiMrdtG18QLjv+ + y/M8lWKANcdlsFrEkgxSsWEaqIPgOOr2UauW48dcLrfVugvqLQq2REPFhxcXCgUcAb+68r/kH+/N + rfYOcl1XjZ3iXb7ve56Xz+dTqZR6l+u6ahM2/KF2dna+8cYbF1100T/90z/NnTv35ptvTqVSjz/+ + OMsBIpKhDWA+ViwWK5VKhmGgXVIsFt96663f/va3AwMDhx9+OGaeFAqFTZs2oWadPHkyHpTLZRR/ + pmkahoFE+WnTpnmel8lk1q5dGw6HdyF7oVQq6bqeTCYty3Jd1/O8ESNGdHd3n3322SJy6qmnzp49 + G6fa0NDQ29srgzvV4O1YlJkBDNFOQZujublZ07Q//vGPxx133EsvvTRs2LCLLrooEAggAVVEyuVy + 5Wx+GiooKtUQlqZpDz744Jlnnvm3v/1t4sSJ11xzDSbxY7BaRLA3FwbH8N2tX7/++eefz2azM2fO + jEaj6J/CN8Ussk8CQSCuWywWi8VijuNEo1HP85AmULmCv+u6uq6rueyWZWmalkgkdF0vFoumaWJN + 4UAggDSEYrGotkwxDEOFlAg2sMWK2pYUy5Rns9lkMolnTNPEpCYZ/E6xJZplWYVCAR0QmOAqgzEY + /sWHyufz6XRapV3oul4ZjWAbA6wPYVmW7/vpdFrX9UgkgsXTEcYggbyhoeGNN96IxWK4LMFgsFgs + 6rq+ZcuWESNGxONxwzAYwBCR7IYAJpvNBgKBzZs3b968+f7773/ggQcmT56MhW5++tOfBoNB13XD + 4fC7776byWR0XR8/frwMhhmVU/pQMk6ePFlEAoEAllXdBaZposEkIpZloYa46qqrXnnllYMOOuia + a64Jh8NbtmyJx+OJRCIejyeTSSRUiIjv+9jojRu3Ee0Uz/PmzZvX2Nioadrpp5/+xBNPfP/733/3 + 3XcnTZqEZQZFJJ/Px+NxTdOYKvYZSSQS55xzjqZp8Xh89uzZjzzyyGWXXbZ8+fLW1lZd1x3HCYVC + 4XC4u7tb07S6urrKXvlLL710w4YNjY2Nc+fOlYreffokNm/ejAfPP//8sGHDsJ3OuHHjOjs7Gxsb + TznllP/6r//CJqGhUKhcLqOKQeq153nlcrlcLtfX14uIZVm5XA6Rieu6am5nMBjEwjbZbHZgYABx + jsqDUN0EyOgWkVgshkmqGAUSEUQ4CH7y+TzyCRHbaJqGf0UkEomUy2V1ZDxTW1sbCoWQo4j8bWwJ + bds2qnjkQbiuq2labW1tsVhUf0L4jcOHD8fEfXQgIo4KBAKWZfX29ra0tDz99NOZTObAAw/kHBgi + kqHdyHJbotHo/fff//jjj3uel8vl6uvrg8HgLbfccsQRR2SzWaxjgymkvu+Hw+H/x955h0lRZX// + VFd3VeeengQzwJAEFlEkiCBgRkCFNSBgwATq6hpYBAOsIkZUTLyrkhRUxIQCrhgw4SKCBAEJAyM5 + Tu6Zzt1V1XXfP77P1DM/lVFcDLOczx88TU911a107j0ZNQAgxWBrQRESIkLrGNM0YWr6gRj9JaTT + aZvN5na7k8kkCjLOmDFjxowZdrv95ZdfRth3QUEBmtIoioIiSPC/I2M1k8mwAsMwR4QQwrLu4+X6 + 4IMP2rVrd+WVV2JZVr8gB1pb/lFD/R9GlmW/3w+Zput6PB5/6KGHAoHAP/7xDyJSFEXXdYfD0bRp + UyJKp9Oqqu7fv79FixZ33XXX3LlzfT7f3XffPXjwYKprWwzp/ceeVKOgoKCA6i4pAimj0WhVVRX+ + +uabbxLR66+/vmbNGl3XI5GI2+1Gn1BUwUE9DGtvUBWISJZlTEaqquKtgXZKdTHSWOun0+lkMon7 + jqIaGAkRSZKkKApmUo/HA9Ohpmnw8KRSqZqaGgyeiPB4YCTwpaCtJPQrmAWt/mwwPsJBZHl1EomE + EMLhcFiOI7vd7na7q6qqYrGYy+VKJpNIQPV6vdg5EQkhiouLH3nkkRNOOOGKK66wAk0ZhjmW+c09 + MESE9NBIJKJpmsPhqKmpmTdv3tChQ6kugjYSiQSDQb/fb2kmVr1Uq6IipslIJILeMsFgEELzSAej + qirsPTD/rF+/furUqaZpTp48+fjjj4/H46lUKhqN2my2wsLCVCqFEaqqannMVVXlxm0Mc0QoivL8 + 888j+H7ZsmWjR4/euXPnbbfdNmHCBGg1WDPhLWPt5agDg30gEHj66adLS0s3b968YMGCUaNGud3u + CRMmDB069NChQ7FYzLK+m6aJBW7z5s1HjRr15JNP2u32Bx988O9//zvCnCwreDweP1Ir0jGIFVsF + 5cHpdD777LNlZWUbNmz4/PPP27dv73K5iouLb7jhBofDkZOTA+2FiNLpdCqVwmcUGU8mk/XjLa2E + JSTS4EYjnAGhXESkqiq0F8MwrJuraVp5eTlcLgjroroYaas1k9PptLQXTMFWv2ls7HQ6bTYbwqqT + ySSGlE6noREh3EsIgZA5m83m9Xp9Ph9C5jAMBMXl5uZa2VaY9FHUNJPJJJPJioqKTp06denS5b33 + 3guHw6y9MAxDv4MCY7fbS0tL77jjDiFEaWkpRO3IkSN37txJRJCqgUDgL3/5CwTowYMHrRBeqyGm + Vb+orKwMtpz8/HxUaD3S8YRCISLSNA3FzV577bWtW7c6HI6vv/76hBNOuPrqq7t163bqqad6vd5D + hw5ddtll/fr1Q3UBh8NhjYdzYBjmiEBACxE5HI7TTjvtmWeemTBhgtvtnj59enFxsbUZL4V/U6xO + Gk2bNu3fv/+zzz579dVXG4axcOFCl8tl1TWxFr6GYYwcOXL27NkOh+ONN9646aabPB5PLBbD4hL7 + 5FiyX4LVzARZHIZhBIPB7Ozsjh07nnTSSV988QV8LEuXLsX2uq5XV1fHYjFVVb1ebzqdjkQiNput + trYWgQNwU5imaamdaMiDeQ1BaFBO4vE4dkVEdrvd5/Ohp4qiKAgdJCJZluFM03Ud+lImk0G7NiIK + h8M4kMfjQdIOBomjaJqm67rT6YQyY7PZYOBD6DXcR0SUTCbj8Xg0GsWjpSgK2rzATQTlxyqnnslk + 4OIzDGPDhg0nnnhit27d1qxZU1hYiLQZhmGY3zwUCmYbRNkGg8HXX399xIgR+/btu/fee2fPnu1y + uaLRqM/na926NcTc0qVLb7nlluzsbIg2K1jLbrdHIpF169ZlMpmsrKyCgoJfET9GRKgHimOVlZXt + 2bOHiFRV/fe//22a5tatW71eryzLmC1Wr17tdrsPHDhAdY326rvFGYb5hcBgjzRfRVEcDsewYcMe + f/xxIlq3bl23bt1cLhcWRnDIsJH16OJ2u61OoGgYitLJ99xzz/Tp0/Pz86dOnTpp0iTE9MI8n0gk + xo8f//LLL2dlZc2ZM2fQoEGQxlaaBHbFCUu/BExV0EBQgwsByfC3hEKhM88889NPPy0tLQ2Hw4FA + QFVVyxexdu3aBQsW7Ny50+fz9ejR44ILLmjatCkap1hWvHXr1i1ZsmTNmjXJZLJ9+/ZZWVn33nsv + IrGdTifepl27dq1YsWLdunUbN27s2bNnr169+vfvj2oNSIY5dOjQl19+uXbt2tGjR9vt9r17986c + OdPj8XTt2tUwDKfTee211yId3zqv5cuXr1q1qnnz5ueeey7m1lgstmbNmiVLlhQXF7du3Xrw4MF9 + +/Z1uVymaWIYqVTKbrdHo9ElS5Z8/PHHoVDolFNOOe+887BDKD+yLCeTSV3Xd+3a1bt37169ev37 + 3/+2ShFw1TuGYYjqdcD9SWbMmDFt2jQhhKZpELsNbDxgwAAiatmyJTzd8CYTkSzLN910E1oH6Lp+ + ySWXQObOnTu3pqYGHmchRN++fSGvv/vuO0TMo1caKhcLIT7//HOM+eKLL7Z+1QAYs2mao0ePliSp + SZMm2FUsFoO3HRrLkiVLPvjgg+XLl3/44YefffbZZ5999v/+3/9DpO/rr7++ZMkShCxjDBhYw8dl + mP95ZsyYMXPmTHyGWGj4fYQ0sMA7BeFw1VVXVVZWCiHi8Xj9bQzDWLx4MV75rVu3/ngnzBHJ51Ao + hA9Wqbd0Oh2NRmGzv+qqq4QQSFGAiPvnP/9JRHa7/bXXXsOXKLeAnUQiEWv7YxBcB1z2adOmzZgx + o+Htk8kkZpCvvvoKKh/KAVu0bNmSiE4++WQhRCwWQ83iSCQyadIkpCRRXTGxnj17rlu3TghRVlaG + 37755puYOqHVYOMNGzYIIXRdx4v57bffWkoCcLvdU6ZMEUIYhoHDff/99/jTwoULe/fujV2dcMIJ + qHXeoUOH0tJS8X+/M9yVAAAgAElEQVRnwO7duzscjhtvvBFnV1xcfPvtt2MkTqcTGu+wYcPwvicS + Cfx2y5Ytp556Kl5/WANVVR0yZAh+uHLlSuw8HA7n5+dDnY5EIihrhorP/+XtYxjmjwVyyZpQZs6c + +bNS9MccNQ8MHL5wU6B5MyqiEJHH44H9BuJp6tSpn3zyCabMbdu2ybLs8/lqampmzpx5/PHHOxyO + f/7zny+99FJeXh5MNVVVVbm5ubFYbPLkySiEgh7eiqLAe3O4IaEVDAJ8kSmIci4ejwdWXkmS2rRp + c9xxx1n9XtDfrWXLlrfffrvT6ezRo8dxxx2HvdWvaHm0LhrD/I8h6qzLRKTrOizEWOel02m/369p + WjQazcnJ+eyzzyRJUlW1VatWCAtBtVaqc5BqmmbVnEVqHL5HKIskSTDWIs6E62o0jK7ryIcmIrTV + Qlmqb775BpKtS5cukUgEyowsyytXrpw+fToR3X777f3796c6AWitjyF4EQ7ERRd+FhQFTiaTgUAA + 8QhVVVV4bjVNe/fdd8vLyyVJOu2004gIhciIaMGCBajV+Y9//OPqq68uKSkZPXr0hg0b7rvvvsWL + F6PkTFlZ2d133y2E6N+//y233OJ2uzdu3Lh169bCwkIrxIuILrnkkr1793bt2vXBBx/0+/2vvfba + rFmzxo8ff8EFF7Ru3Ro93PAS2Wy2a6+9NhwOt2zZctCgQYWFhaeddtpbb71VUlKyZcsWuIyIKJVK + 7dixo7i4WNf1m2++GfP+Aw888N577zmdzqlTp/bo0WPx4sVoPTl16tSJEyfKsoxKyuedd96+ffsU + RXnkkUd69Oixa9euxx9//N1338X8i6oe8Xj8nXfeqaqqKigoGDFiRJcuXaDG9OzZE6oOwzDHOg3r + N7/cwmea5qBBg4ioqKhI13X4W7AcsdvtN9xwA/StaDQKZQvfd+nSBd+ghv2ECRMwquHDh8PYgyPu + 378f3S2JCOk0qNXYwMhN07R8PmPHjnW73Xl5eTDxVlRUYBt484HlYNF1ffv27TjWgQMHGr4+DHNs + 8rMeGESxCyEMw9i5c+eqVavwPV7br7/++swzz8RbBnNyVVWV9VskYwghLA8MjLKGYcCCiy541vbH + rEX2l8vnVCpVW1v75ZdfVlRUYLNUKrVz587u3bsTUX5+/tKlS7EH3EdY67Ozs5944omNGzcWFxdv + 3rx58+bNmzZt+vDDD+PxuJXP8Puc6Z+NI/XAANM0V6xYQURer3fWrFm7du365JNPxo8fj4e8R48e + paWlcIYIIUKhEJbyo0ePFnUOtP/85z/YeOvWrYlEIhaLbdq0Cdrjhx9+iCnPuimIAxRCTJgwweFw + tGjRYt26dXhZYrEYbvGQIUPgHkkmk+vXr4d5zuVyPfLII4iPEEJEIpE2bdoQ0ciRI/EN3taxY8fK + stytW7dkMplKpVasWJGbm0tE7777Lrx8iUTi7rvvJqI2bdpgJMlkEiXX3G73Rx99JOpe3lAoNGLE + CFgoNm/ejKM8/vjjsiyrqooazUSkKApC4/7L28cwzB/LUfHAHDUFJpPJXHDBBUTUsWNH60tkjMiy + DBEs6oUxXH755RDE99xzjyWyq6qqbrvtNsup0rFjx7/+9a9FRUXoWSlJ0q233lpeXi7qoiAaDmA4 + 4YQTkKeIaGMiKiwsVBSlsLAQayZEQcRiMesEhRDRaHTLli0YQHFxccPXh2GOTX5WgUGfByFEIpH4 + +uuviUhV1aFDhz766KODBg2yUlzGjRuH7S0lJBqNjhkzxu12W8lm6EmiKIrH43nttddghrDizX4Q + eHZMcUQhZO+//z4RBYPB4cOH33jjjcOHD8fl9fl8Y8aMgTUnFothbWrVgfxxMpLH49myZYuoCyWy + gpSOKY5UgYnFYmjAsmLFCugbyBiBtmC324cMGbJnzx7EUmJiQpyC3W5ftmyZEKKqqiqTydTU1CAC + 7a233sIY0BLN6XQOHjxY1Hsd6gd6tWvXLisra8CAARgzZs+xY8f6/X7k7oNdu3ZhSNdddx1GYgE9 + RJZlTJdI0Icr5plnnsE2kyZNkiSpadOmuD4wTa5Zs8bKwMF59enTh4g6d+6Mq2cF1y1atAhFfSwF + JhKJZDKZcDiMH+K4sViMQ0kZprHz5wohQ1YoESUSidLSUtRehLBDzh/iE7xebyKRcLvdDz744Jdf + fpnJZB577LFLLrmkR48eyWQyJyfn8ccf79q16z333BMKhcrKyrZu3Wodom3btkOGDMnPz7dauIjD + tyCora0NhUKGYaDDDBH5/f5Dhw5RXTkUqismhunE4XBgt1ZnA6thAsMwvxxRl9xihRvhbc3Kypo/ + f/78+fObNm0aj8dzcnKeeuqpSy+91DCMcDick5ODIhlerzcajWJxk0qlEAKKmkuSJIXDYRRctiov + cRWsX4JhGDk5OYFAoKamZsGCBbquBwIBxOg+88wzo0aNIqJUKoUyU4ZhWFXjrYqL+IxSWqgflUwm + VVXl4L1fgqUHmqaJCdGqR4xSXQ888ADSYIgIlYU//fTTvLy8UCg0bdq0qVOnFhQU7N+/3+/3465t + 2bJl2LBhRFRYWHjllVfOmzfv/fffdzqdjzzyyIgRIwKBAFRQIUQkEqmoqEin0/v27bv00kvdbjd6 + 2yPjH68P8viFEMiiGTx4sMfjSSaTCNT0+/2XX375Sy+9VFVV9fbbbw8fPtztdr/11lvV1dV+v/+i + iy7CsD/77DMhRCKRuPjii2VZhvlS0zTMtuXl5a1btyai1atX5+TkdOrUierCtlOplCRJOTk5eM0R + 65hOp2HK9Pv96LApyzIkCcMwDB3FKmSKorzxxhvz588XQkiSFIlEFEXx+XxYhVil5VEwnojatm27 + Z88e1FJ0uVzWlOlwOK677rohQ4bU1NRs27YtkUg4HI4XXnjho48+SiaTZ599dvv27QcOHNivX79T + TjklPz//cOPJysras2ePVa6koqLC2hjpOkSEyHuIWiJyuVxCiKqqqk6dOqGZJpdLZpgjBe+7pWA4 + HI7WrVvrur527dpDhw4h0SU/P//EE0+0KqJaFZaxnHryySdnzpwJrynV1YfFZytPA++mJElcr+yX + YLPZTj311E2bNtXW1h48eDAUCjmdzo4dO3bs2DGRSBw8eDArKwuqSzqdRkPDZDKJPujWTpDZiKRE + qit3i8QGLgzVMFjEW89qs2bNHnjggREjRixfvrxfv36BQGD8+PH//ve/UYLMaiJZXV1tmub8+fMN + w/D7/ZFIBEXAAoFALBaLxWJoW/ncc89JkrRo0aJ0Oj1u3Lhx48YtXLjwoosuSqVSiqKEw+FoNGqa + 5r59+yyDIGY9WZZzcnL27duHWDUoqJIkZWdnK4oC0x7cdB06dOjWrduXX3758ssvX3HFFbquP/fc + c7Is9+vXr1WrVnhDoX5EIpEPPvhA1/VgMFhTU0NEkAY7duw48cQTEYKB6DjrmYFaUlFRQURYPBCR + qqqhUMjtdjudTmypaRpayXEaKsMwdBQVGCuPFg4WqwRkPB5XFMVutycSCcSzoqiILMuKopSXlzdp + 0gQaBarj2+32UCgUCAT8fn/Lli0RiDJo0KBvvvlm6NChfr+/pKSkpKRk2rRpzZs3//777w+XPIp9 + omyOy+XCjHvo0KG8vDyHw1FaWurz+bxeL/KMrXr5LpcrLy8Pe7ByXhmG+eXA8orPuq4rigJPZrdu + 3Xr16lV/S6ujNnQSIoKggGKDdngIH0VjPrfbbWkvWMTAIfA7nlxjRdM0VVULCwtbtGhx4oknElFl + ZWVeXl4ymXS73SiyTHV1riA80RY9lUrBmWYVjMrNzUXsrsfjicfj1r1jGsDpdGKqwuWqrKzEbHjq + qaeOHj166tSpH3/88WOPPXbPPfdY9rW8vDzTNJ1O57vvvotFvNPpFEJAK+jYsaPX60WCflZW1ty5 + cz/99NM777xz48aNXq/34osvnjJlyrhx4wzDaNasGXL0hwwZcv311zscDjSIlGUZ97GoqAiDhPnA + 6XTidSMiTNB4T/v37//JJ58sW7YsHA6XlZWtWLHCNM3bbruNiCRJMk2zqKjowIEDbrd77ty5Ho9H + UZR4PI4OM1VVVb169XK5XFDkhBCIoIMHxjrl7OxsNGrDSiA7O9s0TUziDodDURRs9us6KDAM8z/G + UVNgMP9lMhmsSFCCzDAMq+WZ1WabiDAdJpNJ6BVWvLXdbse0WlNTAwkohICaceKJJ+7fv3/p0qUL + FiyYO3duOBxesGBBA1IMdkGEPWC2yM7OLiwsTCaTDofD6i6cyWRQjB9jxllYypgVq8YwzC/Ecrei + CBK+rP8qoZ2iw+FAU7za2loE02NdgsWWZQSBOuTz+RB7gy571q40TbPb7ZYAYQ4HdA+sSuHFgtBD + +x3TNPFf2OCxLLbb7dZ1FkLANo8tLeMOlrzsfvlZcOXj8TgefkyFKM45efLkt956q6ysbPLkyeee + e2737t3RjQcRZalUqqCgoGvXrvX9kJih0FKJ6hpNnnvuuatWrVq+fPmll15qs9nefvvtcePGybIs + SVKrVq2Ki4v37Nlz8skn457CmYa9oeUadgWlKBwOYwx4MTH4AQMGTJ48ubq6esaMGfF43DTNjh07 + nnnmmfC/aZrWqlWrDz/8UNO0vn37okYoBomgUDScCQaDMGLu378/FothMDh0RUUF1Bt0ucFMbb3d + eAIhUlh7YRiGiI6aIMAchvkM0hnVVCElIbURPE1EQghN01wuVyqVwsaQXESUl5cXjUaDwaDdbk+n + 01Aq7HY79KKzzjprypQpVVVVn3766UknnYQinj99YjabNSXn5eVlZ2dXVlZSnbc6kUjAvivLMqQz + LFL1/TlWVg/DML8cK8DDMpRipWIYRiKRICKXy4XEZSuIxTRNqw28zWbD+hjWBE3TrNdclmWPx4Oe + 8ZAkbrebczB+CUi1h2aCy2v5siAqkb2A2sper/cHRm5JkrBwdDgcuIkAYhm7ZRoAdcBlWbZ0e5Sp + sNlsQoi5c+e6XK5IJHLNNddUVlYiTR8tVpxO5/3332+lkmKGRRsAtIXVNC0QCASDwVgspqpq3759 + b7/9dtM0N23alEgkJElKpVJnn322LMsbNmxYtWoV1Wkv8GzUH5XNZkOxgQ4dOuAQ1ixMRCeccMJZ + Z50VCAQmTpw4ffp0h8MxcuRIIgqHw0TkdDpPPvlkSZISicScOXOISAiBnFIicrvdNpsN7TKLiori + 8fgrr7wCOyZ+HgqFFixYkE6nbTZbKpWCvQMni2xdPIGyLDeQ+MowzDHFUbZkQNJh5qvfrt7SBPAB + 7mAi8ng82Lh+IDtS96zwa9Qfq38Iu93er18/atDyZ/3EWt9YsWFE5Ha7VVXFfIwt66suVrrOr7gC + DMMA6w3CIga9mOpvYC2R8bL/4HW2xAi+r7+eVlXVEilsjv0lIHMAUtdareJfCEBJkurfnfrS2wJb + /ngz9oD9LLjamGUQFyCEwH/D4XC/fv2GDBmiKMqWLVvQP9Q0zQ4dOjz44IOpVOr999+fMGECCn+h + aM+GDRs2b96MEL4tW7Y8+eSTe/bs8Xq9SHpZtmwZEbVo0cLtdkNXmTBhQn5+fiQSuf7661euXIkh + ORyO3bt3L1y4EMODgQ+UlpYiOEIIoaoqLAiGYdx5553hcDgYDIbDYV3XR44cmU6nc3NzoZ4NGzYM + rRT+8Y9/zJkzx+VywToZDoeXLVuGLpmZTGbMmDF4eS+77DIhRGVl5UcffXTiiSd+9tlnSLyBPgz9 + h/7vAuAHRkaGYY5leO5nGIZhmN8QWZZR4NhqwOp0OuHlKCgoqKysnD59eosWLSRJevHFFz/55BMo + PFddddWIESOI6LHHHmvRosXAgQNPP/10v9+PzpJE5Pf79+/ff+edd5588snNmze/4YYbbDYbesXc + f//9sVgM+9E07amnnvL5fDt37uzdu/eZZ545YMCA1q1bt2vXbuTIkWgbgOxQIvJ6vfDIoXGkYRiG + YcCDV1BQ0Lp165qaGkVRrr/+ekVRVFWNx+N2uz0ajXo8noceeqhnz56pVGrkyJGdOnXq3r17hw4d + srKyhgwZgqMoivLXv/4VLTjff/99m83Wvn37888/v6qq6o477tB1Xdd1mDXRFPsPul0MwzQCWIFh + GIZhmN8Qm82GrE5N03w+n91u13UdOkxtbW1eXp6u63PmzIGGM2DAgKqqKrvdXlhY+MQTT0yZMqWo + qMg0zc8++2zNmjVCiN69e3fu3BkdVHJzcy+88MJUKlVZWfnaa68pitKuXbu5c+decsklXq9XkqTS + 0tKWLVtedNFF3377be/evb1e76pVqz755JNQKHTcccedccYZKEGmaRq0HaTaG4ahKEogEEAcODLW + WrRogdrNkiQNHjwYPh+Px5NIJOCFO+mkk+bNm3f99dcT0fbt2zdt2hQKhex2e7du3dq3b09Epmk2 + adJkzZo15513nizLgUBACHHKKafMmzfvnHPOgdcllUoh+AIKHsMwzE8iNRxROnPmTNM0b7rpJiTq + cfUPhmGICGWOb7jhBqrLo7BKCTG/Gyyf/yhwqXHZp0+fbrPZbrzxxga2h0MjFAo1bdoUvgXUvbTb + 7aqqotiXtTFepfrFqU3T3L59ezQazcvLa9myJRLAUCbO6XSiNPmhQ4e2bNly/PHHJxKJ9u3bo1Uc + qulY+4lGo4ZhpFKpnTt3durUKRgMIsYskUiYpunxeJDEgoo7aM+C74komUyi+LJVZgN6CyIShRDh + cBj1BpFfqmna3r17A4FA8+bNEfeFmmNEhOpk6XR67dq155xzjjXCaDSKAPJ4PP6DmEaGYf6XgJSz + 5qxZs2YJIRqWoj+G0zwYhmEY5jdEkiRVVQsKClAtBhqIx+OBAdFqiITyYjAEYF5HLS+Xy3Xccceh + kSsRQWmhuiJyKCberFmzZs2aQSHBfpxOZygUys7OhuaABj6xWMztdufm5lp1d5xOp6UqWPXorFoa + aC+r6/oPStqgtjI6ySKVFKUC0+m0y+VCaYH8/Hxk6hNRIpGAqnPw4MFmzZplMhmn03n66adDTUK1 + bp/Ph2YvXq8X3WAYhmEOB5vrGIZhGOa3JRaLUV0eP4KydF2XJAlLeapzXCAhvqqqSpblVCrl8/lc + LldNTQ268QSDQavPUiQSsbr0WKXhoH6gmQ8RQalAt3vk5Xu93voFM+DxICJd1+PxeCqVsv6EPaBU + GtVVBcSBUEINY3Y4HKFQCBUFUT3MKsrctGnTvLw8ZNGgMHpFRUWzZs0weCLC4VRVRacXqlcSDbFk + v/VNYRim8cIKDMMwDMP8ttRfjmN9r2laOp2GYhAOh1EmTlXVSCSSm5sbDodR7DiZTAaDQatKWDQa + RXY7utQTkd1uDwQCsVgMfZ+prsFrIpFwOBw2my0SiSiKAtVI1/VkMonP1g7h2PF4PNAiMKRoNEp1 + NevgY4H7CLXF3G53KBTCCLOzs5GQ4/F40KWa6ipHo4YYvkkmk/n5+URUWVlp1RpFiTOPxxOLxWKx + GPqlQi/6yVJ4DMMwgBUYhmEYhvltgQMEneYTiQSW+6qq2u32SCSCKDKs5n0+XzqdRoK7oiiI3YIr + A6FZDocDQWLpdDoajcIZAteK0+k8dOgQmsmqqopYNZ/Ph/4qRIQ+sKqqouQX/op9ouUa1blZLFeM + pfBomob2AxhndnY2RqhpmsfjwXgURYF3xerDhpJiVlnkZDKZl5dnFS6Dd4iIPB6P1+vFgNPpNHQq + hmGYw8E5MAzDMAzze5CdnU3/t5cO1TUVpTp3BxJmqF43s/p/rf8ZXe1/cIjCwkJ8kGXZaqVCdZk2 + Vh+VH3dRsw6HrH1rVD9o41Z/JPiJVb0Dg8EPrUZDP9i5tRMreg2eFiurp/6hGYZhDgd7YBiGYRiG + YRiGaTSwAsMwDMMwDMMwTKOBFRiGYRiGYRiGYRoNrMAwDMMwDMMwDNNoYAWGYRiGYRiGYZhGAysw + DMMwDMMwDMM0GliBYRiGYRiGYRim0cAKDMMwDMMwDMMwjQZWYBiGYRiGYRiGaTSwAsMwDMMwDMMw + TKOBFRiGYRiGYRiGYRoNrMAwDMMwDMMwDNNoYAWGYRiGYRiGYZhGAyswDMMwDMMwDMM0GliBYRiG + YRiGYRim0cAKDMMwDMMwDMMwjQZWYBiGYRiGYRiGaTSwAsMwDMMwDMMwTKOBFRiGYRiGYRiGYRoN + rMAwDMMwDMMwDNNoYAWGYRiGYRiGYZhGAyswDMMwDMMwDMM0GliBYRiGYRiGYRim0cAKDMMwDMMw + DMMwjQZWYBiGYRiGYRiGaTSwAsMwDMMwDMMwTKOBFRiGYRiGYRiGYRoNrMAwDMMwDMMwDNNoYAWG + YRiGYRiGYZhGAyswDMMwDMMwDMM0GliBYRiGYRiGYRim0WBv+M9CCKfTKYSw2+26rttstkwm8/uM + jGGYPy26rvt8Pny22Wz41zAMSZL+0HEdK8iyjH8TiQQRORwO0zRZPv9uyLJsmqbD4SCiVCplvQt8 + /RmGYRpGCGEtG/CNqqrRaPRI93NYBcYwDLvdHo1GJUnCogTCmmEYxmazpdPpcDjsdDplWbbb7Xb7 + z1hDmKNIIpHIZDIOh8Pv9wshMpmM3W4XQkCxYX5rMAEbhiHLst/vx1wpy7Lb7f6jh8YwDNM4MAwj + k8mkUql0Om0pM7+cw645sBxxOBw2m03XdbvdbpqmrutOp/O/Gi/DMI0fr9eL5Zpl1xBCGIbBZo7f + B7fbHYvFNE0TQkiSBHGNz3/00I4J6l/2TCZjmqYkSay9MAzD/CzQKSBC7Xa7zWbzeDy/wn19WAUm + k8nIsuz1eiGUYduTZZld5AzDhEIhp9OZSqWwYrbZbBARLB9+H2RZhmkpnU5j9WwYhmEYiqL80UM7 + JtA0DVOvECIej6uqCvMhP/8MwzANgxBcSZJM0ySiVCoVjUZTqdSR7qehqI9MJpNMJmVZTqfTiqIo + ipJOp1VV/fWjZhjmfwKfz+d2uxH6r+u6aZocQvZ7EovFvF5vbm5uNBq1ls4ul+uPHtexgsvlSqVS + DodDkiS/34/XATfljx4awzBMI8AwDCGEw+Hw+XyBQOBXhG8cds0hy7JhGLquI1DESriBwsQwzLFM + OBymukAaZJAjH0AI8UcP7ZjA6/WapllZWRmPx/ENTEssn38fbDabZcurrq5OpVKmaeKm/LEDYxiG + +ZMjSVImk7HZbNAshBCJRAKLiiOiIaOp3W73+XyqqqZSKVVVEbTwK/JsGIb5H8PpdBqGEYlEkAYD + yYBCWH/00I4V4vG41+t1uVyJRMJutyuKwh6A3w1cak3TDMPIzc2VZTkej/t8Pn7+GYZhGqZ+yIau + 64lEwjCMX5FgLx3OYooqZLNnz66pqSksLCwvL4d5iS2sDMMEAoHdu3d36NChvLwcwUtQYAzD+KOH + dkyQyWQ8Hk88Hrfb7VlZWQcPHiwsLKyuruYqZL8PmUwmJyfn0KFDzZo1q62tNQwDt4OvP8MwTMMg + cd80TWSpNGnSpKSkpHXr1pdddtmR7aeBAxAR5PLll1+u67rVauC/HTvDMI2cmTNn5ubmXnLJJUQE + saBpGmeQ/87MnDnTNM1hw4axfP6dwaXGZZ8+fbrdbr/mmmv+6EExDMM0DrBgsOasWbNmRSKRI90J + z3YMwzAMwzAMwzQaWIFhGIZhGIZhGKbRwAoMwzAMwzAMwzCNBlZgGIZhGIZhGIZpNLACwzAMwzAM + wzBMo4EVGIZhGIZhGIZhGg2swDAMwzAMwzAM02hgBYZhGIZhGIZhmEYDKzAMwzAMwzAMwzQaWIFh + GIZhGIZhGKbRwAoMwzAMwzAMwzCNBlZgGIZhGIZhGIZpNLACwzAMwzAMwzBMo4EVGIZhGIZhGIZh + Gg2swDAMwzAMwzAM02g4agpMMpnMZDJCCCLCv8A0TfybyWTwjWEYDe9K13Vsk06n8XMisn6eyWQ0 + TTMMQ9d161iRSMTa4M9MOp0momg0SnVnVFtbS0SpVIqIYrGYdb6JRML6VSgUqr+TWCxGRJqmWbvC + bn8SIYRhGNggHA7jS+soDHN0EXWYpokPP/grXluIC6r3XuOveFAjkQi2wXvB/PfgRlRXV1OdtCEi + 3CNrGwiWmpoayIeamhrrTxAd6XQaktkS0fiX5clvgXWb8FJYQh5TA+6OEMKS6slkEh/wTmGCoLq7 + nEwmrdknk8ngM15G3ETr7cO/mHTw2XpI6s9K+G8mkzEMo/7kjmFY39TW1sbj8frjYRiGOSocNQXG + 5XKl0+lUKmWtUUAsFkskEjabDbMj/d8ly4/JZDIOh8NutxORLMs2m42IEomEJUNlWVYUxW63OxwO + IpIkiYj8fr8sy/UPerTO62iB8UPfsNvtlhaXlZWVSqWwAvB6vfg+Ho+73W580HU9OzubiGKxGC6d + 1+slIkVRiEhV1YaPK0mS3W7H9QwEAlAycVUZ5rcDLybVqTSpVCocDkuS5HA4DMNwOp2yLGuaJsty + Op3Gsy1JEp5nv9+fSqVcLpfT6YzH41g28QLovwGSJycnh4ggOYkonU5LkhQOh7HEhGBxOBw2m80w + jGAwWFVVRUTJZDIQCBCRqqqQJBDRmUwGu2V58lsghKiurk4kEngp8PwbhuFyuWpra7Oysoiouro6 + EAhg1sAMqGlafWMfJl9JkhRFwX5kWZZlGZ/xJMiyrOs63r5YLIY/ud3u2tpafJYkyTCMSCSCWQlG + N2yD+UWW5erqaiGE3W63FgAYcFZWlsfjiUQimLAYhmGOFkdz4hFCuFwuSZLqG+T8fj/mPIi2TCbT + 8JpbluVwOByNRlOplK7rWLtAUFLdYsg6XFVVVSaT2bVrF76xPBWYif9UYPwYmMvlMgwD800mk3E6 + nU6nE5ulUql0Ou3xeDBJeDwe63y9Xi9We0QUjUZxMbHbBi4promlUmqaBiXzNztRhvkhQgin0xkI + BCAZ7HY7lhqv5HMAACAASURBVERY0wgh8GwbhpFKpWDBxRuB1RuWTcx/g9/vr66uNk0zmUxiuUl1 + VqdAIODxeCyx4HK5dF2HxMjNzcU31pqViFKpFKSKLMtQbP6EBqPGTjKZdLlcOTk51tTg8/nS6TTe + naysLNyC3NzcyspKzLB4m2RZhlqiKIosy263W9f18vJyWZaFELFYDJoJEWmaBh0DZgVd1zVN83q9 + 0H+cTmdWVpaliuAQwOPxEFE6ndY0zWazhcPhSCSSk5ODycjtdjscDtgZKyoq8JP65kWGYZijwlFT + YIQQkGuhUAgCNBKJpFKpVCoFuYY58mf3E41GhwwZ4vf7x4wZY61dKisrsRPDMEzThE88Fovt3LnT + breffPLJmzZtIiLMprD1Hq3zOlrAVOl0OhFNZ01LMJ6FQiGEZ/j9fiwjcOLJZFJRlHQ6DbNWOp2G + sVOWZUmSMFdZ8QA/Cbw3iqIkEolwOLx//36Xy/Xbny5zTCPVQf/X6FBWVvbee+8tWLCgoqKi/kOL + zzabzel04oGPxWIHDx5csmTJd999V1paevDgQbbg/jcYhpGTkwP7fSwW+/rrr5ctW7Zx40ZVVcPh + cFVVVSwWkyQpnU7ruu5wOKDbUJ0FBKI1Ho+Hw2Hooog4gjj6ExqMGjtOpxPTpc1mQ9Q0EamqCvU+ + nU7n5OTgZuXl5UHt1zQNBgI4PLFlPB53OBxNmjSpra2VJMnr9cZisaysLGgpiqIkk0nMpw6HQ1EU + OEURpJ1KpazNiMjv9+O/mHR0Xcf3gUDA7/fv2bNn8eLFy5Ytw1yGKTg/Px+n4/F4Gp6nGIZhjpSj + mQMDb4mqqrIsv//++2effXZeXt4tt9yiaZplooO32orW/TE+nw9rfSJKpVKRSCSZTObl5eEb0zSt + 0BSbzYY44JqaGqhMMCxhfj1a53W08Pl8iUSitrb2iiuuKCgoyMnJCQaDTqfzpJNOGj58eHFxsaqq + mJCcTqemafPmzfvLX/7SsmXL2tpaeFo0TVNVFWcKh348HhdCWPEAP4lhGF9++eXTTz89fPjwYDDY + pUuXZcuWWdeQYY46P3i6oMD0798/Ly+vWbNmI0aMuOaaa5o0adK6desFCxaYpul0OvFUYzU8derU + U089tbCwsHnz5pdcckmvXr0KCwsnTpxYVlbGC6BfDQwl55xzTnZ2ts/nu/DCCy+88MJu3boVFRW9 + /fbbubm5ubm5yJeDkSUUCkGqZGdna5omSdLtt9/etm3bjh07btmyBdqpqqqmacbj8Z9Na2R+BV27 + dvV6vV6vt6io6C9/+Uu7du169+79+OOPFxcXYwNVVb/88suioqJmzZq1adOmbdu2TZo0adWqVbdu + 3c4777y77rrrlVdegVWR6txoiIBo1qxZkyZNJk2ahKAJ6EjYTFGUQ4cOtWrVql27dl27doWhLRwO + W1mpQghN09LpNHw1q1atOv300yVJOumkky666KIzzjgDXqMuXbpceumlW7duzWQySGlLpVI/G/DM + MAzzyzlqCgwcx8lkEkLqvffe+/bbb5PJ5OzZsw3DgIkOQhDO8cPtx8orhYHHCq/CbyVJstlsCOr1 + eDySJCFozcqEsXZytM7rKOJwOLKysoQQlZWV8FOl0+mSkpK3334bcr+6utrhcITDYST5lJSUIDwA + 3hiPxwOHfm1trd/v9/v9mJwazim67bbbzjrrrDvvvHPlypVCCLfbXVBQwAtB5ncDCsynn35aVVXV + p0+fYcOGSZIUDAYrKyuHDBkyZcoUqyAHEcXj8QMHDmzbts3v9w8fPvzCCy+E9Jg3b95JJ51UP7mO + OSKcTmdNTc3y5cuJ6KSTTho2bFibNm0ymcz+/fsfeOCBu+66SwiBTIlMJmOz2eC5JSJE+n3++ecL + Fy6MRqNVVVVFRUVQNeGBsVLsmKOIJEnbtm2Lx+PxeLysrGz37t07duwoLi5+7LHHevXqNWfOHHxf + VFRUXV0dCoXKyspqa2urqqoOHDiwefPmL7/8ctq0aWPGjBk0aNDu3buJSFXVrKwsOO0rKiqqq6sN + w5AkCb4aSwVNJpMrV66sqqras2fPtm3bFi1aRESBQMButyeTSYfDgSnY7XZXVlZOmDChV69eJSUl + RBSJRHr16tW9e3ciQtLL4sWLKysrYbKkusAzhmGYo8VRU2DgG3G5XHa73RJ8iHxduHAhEem6jrzP + huMNdF2HAoN4X0VRJEmCm5t+lC0K3zqyQaguJTGTyfw5ixchpRJeo+OPP37ZsmU7duyYPXt27969 + iSgUCo0ePbqmpiYQCMRisc6dO0+cOPGBBx7ABIATfOedd3r06DF06FAkCBGRJElWptBPEo1Gzzrr + rPvuu+/aa68lIsTBsyWM+T0RQrzwwgtCiOXLl7/44ouRSOTLL7+02WwOh2PRokUIlyeidDrtcDiu + uuqqhQsXHjhw4Jlnnlm0aFFtbe24cePS6XRFRcVHH330R59KI8bn882ePbu4uHjdunVvvfXWV199 + VVFRoSjKwYMHv/rqq2g0aq1QkdNvVRzZvHnzLbfccuDAAYidcDiMogtWQj97YH4jZFm+/vrrS0pK + ysrK3n777UGDBqXT6Wg0evfdd+/YsaNp06alpaUQ/jNmzNi6devBgwe/++67L774YvLkyZ07d66p + qfnPf/7Tt2/fjRs3apqGsK5YLIb7hZkok8mgWoYQIhKJuFyuadOmYbbNycmZOnUq1VWgMQzD4XCY + pon7/sgjjzzxxBMul8vlcs2bN08IsXjx4rVr1wohVq9efddddw0aNMgwDCjGOB3OvWQY5mgiGmTG + jBnTpk2D1xh6wuG2tEJpw+Hwyy+/THWZ5fCARyIRbIaoJ/z7k+i63rNnT5vNdu2111rHRVAvIhzw + GR/efvttIrLZbFu2bEF6TDKZbPiM/lgikcjll1+uKEpBQYEQAnmQsVisTZs2RORyuYQQVVVV2Dgc + Dou6U0YdttGjR9vt9vz8fFF3QazLfjhM08Rv3377bXio9u/fj0gAhvnVzJgxY+bMmfgMsYCH6gdP + I0wMeFYzmQy2rKmpEUKMGTMGIigajdZ/IK2MLyFEZWWlEGLTpk1YM917772/y8k1Gn65fAbYoL5Q + vf7664nIbrcjEkwIEYvFRD1Ja5rmNddcY7PZCgsLiUiW5X379kHMQkAdm9S/ktOmTZsxY8bR3b/l + bLzrrrusN0gIceONN+JdWLZsmRBi1apVmDhee+01bFBbW4sPK1euvO6667CTv/71r7it+/btE0LA + LDhy5EhRd6OtJ2fdunX4CWwKiHzGa4gxVFRUCCFWr15NRA6Ho3v37lu2bBH1HiprAJh36u/c2oZh + mGMcTPSWcJg5c+avkKJHzfWvqioqC/n9/rvvvtvj8Zx22mk5OTnz5s2LxWI7duzo2rVrIpFANJRV + Vigej69bt27Dhg0ffvhh586dO3fufOWVV0IVQQyDFQwmhIhEIu+8886aNWtqamp69Ohx1llnZWVl + wSeDHabTaafTuXDhwh07dhQVFQ0dOnTr1q3vvffepk2bRo0a1a9fv3Q6rarqrl27Fi9evG3btmg0 + 2rp16xEjRrRv3940TewqHo/DTfTKK68sXbq0tLS0VatW2dnZZ599du/evX0+n3XKe/bsefXVV7dv + 345VWp8+fQYMGHD88cf/5PUxDAOxFrB7YcBImvR4PE2bNt2zZ09ubi5ybYnou+++++STT4QQd911 + V3l5eZMmTSZOnFhSUmKaZkVFxaRJk+DIGjduHK7S4SqZIiyEiBwOBzKR4vE450MzvxE/SIBBwGf9 + /1oVYIPBIJZiVnFeIorH41ZgpN1uDwaDRNS0aVPUMLRiRJlfAaQfETkcDlFnC+/QoQMRGYZhGIYl + nIUQcJ7Lsvzcc8+9/fbbHo/n9ddf79+/P6r0Op1OK4ebiEQ9Kzvzk2iahsuVyWTgxLBKXMCLbjnG + U6mU0+m0Xg3EFRNRRUVFfn7+hRdeOHPmzGAwuHTp0j59+iBLHvokEem6buV/9urVq2fPnhs2bFi/ + fv0nn3yydOnS0047rXnz5hiMzWaDcuJ2u2FZwPBeeeUVfHjooYfuvvvudDr9/PPPjx07FoMJh8PI + Rx09erQkSZlMZsqUKccddxwRwTkTi8WsKVLXdcSKQwKgOMTveMkZhvlfp2H95ogsfNFo1DCMjRs3 + Imtl+fLlr7/+OhHl5eXdeOON2Ab7iUajlu0K6xUUfCSibt26+Xw+l8t12WWXWWanVCp14MCBvn37 + WsNG4m///v0h9L///ntsmUwmr7nmGpfLdeKJJ77xxhvW9h999BEMQitWrECZAXzv8/maNGkyY8YM + y3WDczzuuOOCwaAVttuqVavhw4cLIUKhkBDCMIySkpKsrCxLE4PW8a9//etnVcarrrqKiAoLC3Vd + tzxRfr/f5XINHToU36RSqZdeegl7xkVYtWpVs2bNrNPxeDxNmjQholgsFg6HYa4+HLCxLVy4EBPJ + tm3bfnaQDNMwh/PANAA6RYDKyso+ffoQ0aWXXiqE0DTNegGthxmRk0KIN998E4/uxo0bj/Z5NG5+ + uXzGVcU9SqfTMJOHQqHevXsrioK7IIQoKysTQqCKvRBi+/btEJVTpkwRQhBRbm7u/v37UV4SP8lk + Mg141P9X+RUeGNTW+8GX8XgcNfFFnb9L1DkeIer/9re/1d9+6tSpLpfL4XB89dVXQohPP/0Uqs7c + uXPFj1wcpmlOmzYNU+TDDz9sfY+36aabbhJ1fhUhRCKRiEaj8LM9+uijpaWlLpdLVdVWrVoJIeqH + NtTW1sKy0KVLFzwwqLttXRbsE32oUXXzZy8OwzDHFEfFA3M0+8B4vV6bzfbwww9LkpSfn9+jR48L + LrigsLCwsrJy5syZ5eXlVJem4vV6E4nE7Nmzx4wZE4/H+/Xr9+GHHz777LOTJ08uLi6GekP1Gq7Z + 7fauXbsuX768WbNmTzzxxIoVK+6///7mzZt/8cUXVl8UxPWihFcymdy7d+/ll1+ek5MzatSoO+64 + o0OHDqZp7t+/v0+fPul0un///l9//fV7773Xtm3b8vLyf/7zn1bujc1mmzNnzo4dO2pqasaPH79+ + /fp33nnn/PPPh50pGAwidfWpp56CHJ8zZ87y5csffPDBJ5988nDuFyIyDAMOEJTGz87Ohs6WSCQu + vPDCTCaTTCYnT54MjxNs0jBRI0jslFNOeeCBB84880yn0xkMBh988MH77rvvoYce8ng8Vqcdhvkz + k52djZd0yZIl55xzTnFxcbt27aZMmUJEyIfBX2OxGPr3wV1533333XrrraZpPvnkk506dfqDz6HR + AhEBEacois/nW7Zs2W233bZixYqsrKynnnqKiGKxWJMmTVBgSlXV3bt3X3fddZlM5pZbbrn55ptr + amokSaqqqkI5RCyLa2trLb860zCKoqAQSyKRQA8WeL2spFCrGk1ubm46nUaZFq/XG4lEKisriSiR + SLz33nvJZFLX9fbt2xORw+HIzs5uQP4PGzYMPpnPP//c+hKzDF43y0Hqcrk+/vjjQ4cOEdHQoUOb + Nm16zjnnCCH27NmzatUqqwUZEe3duxcPQ58+fWBHQw8Aa2/o6aQoCoIp8KXV9pRhGObo0LB+88st + fDDz7927l4g8Hs/9998PleDJJ5/EgebNm5dIJFKpFGK0hBBI/Ojbt6+oi5dNJBJLliyBm/vmm28W + dUapl19+GYbAN954Q9RFaZumed1116mqarPZduzYgWFkMpnrr78enuu8vLySkhIE3INzzz2XiE4/ + /XRL/wuFQhCvc+bMwfiFED169FAUZeDAgclk0moZKeql7qAMtKqqjzzyCE4cm1nWrB9j2ZXPPPNM + DO/KK688//zziUhRlO7du69fv97afzQaffrpp7FEqKmpQax5WVnZo48+qqoquluC8vJy8aPEg5+8 + NeyBYY4iR+qByWQyw4YNy83NxYucn58/atQo632BY9OyT2/durVjx46QG1h+bd++PRwON/B+HZsc + kYccka7or2X1oXr88cf3798v6l18IQRqjYwfP56IioqKrNZbRCRJ0sGDB61jWWVUjjWO1APTsJMc + LVyEEOjWhS9xg+AnEUJ89913o0aNgq5y3XXXQaqvXLkSmx3OA2OaZkFBgSzL2dnZom6mICJZlv/2 + t79h2tV1HT6fPn36eL3ePn36oFrDJ5984vV63W730KFD658FKvTY7fbp06f/YPyWELCuD7tfGIb5 + MX8uDwzWJQsWLCCiVCp1+eWXo5rN4MGDMVnOmDFDURT0prTZbB988MGuXbuIaOzYsShvkkqlXC5X + //790f45kUigaL0kSc8884zD4ejWrdtFF10k6jzgoVBo+PDh6XRakiQcQtTFokDB+OKLL9q3b492 + wiie8+mnnxLRBRdcIIRA8Ra73d6/f38i+uabb9LpdFFR0d69e3v27Kmq6scff7x582av14uICyJy + u90VFRXhcFhV1TZt2qTT6Q8++GDr1q1UZ+BsoLqXZSRDoTYiWrVq1Ycffohv+vbtm5+f73a7Ycdy + u90whRIRKiYTEbqPIZA9FovF4/FkMolr9eesusYwFqi3i4B7IqqoqHjzzTdffvllWHwRN2+z2fDM + 19bWola4y+VC6aQ77riD+0gcFSD38VmSpLvvvnvhwoVlZWUIl41EIkhxWbp06YwZM4joo48+QoNL + uI4tyQmhWt91xjSA3W5HJRtd13G5YPOCWx7XEAXBrEQv9HVdtGjRwIEDjz/++NNPP/2ll14yDKNv + 37733nsvJlxMJQhg/knQQMy6R1ZtANM0HQ4Hfo4Xc/fu3V9//XUsFrv55psx7Z577rktWrTIZDLz + 58+HCwgJnOXl5ZIkCSFyc3OtaVSSJESLEZFhGJijHQ4HXuo/Z2MDhmEaNUdNgUHQ11tvvZWXl3f6 + 6ad36NBBlmWPx5OVldWvXz9VVZctW7Z//354z+PxuBVJ1atXL4h1KCGYF4koHo9DRhuGUVpaqihK + s2bNnE4ncgcNw8jKyoL8RassXdehyWCV07NnzxNOOMGaHpLJ5OrVq7Ozsz0ez9y5c2+66aabbrrp + 4osvvuKKK1avXq0oyrZt23AiLVu2POOMM6LRqCzLPXr06Nu376ZNm7DAymQy+fn5gUBAkqQrr7yS + iFasWHHKKafceOON0Wi0srKy4T4VWBwQUTQabdGixauvvrpo0aIxY8aEw+FZs2adddZZGzZsQM1o + 9DmGo6aqqspy+FRVVRFRMBi02Wzww2Ce4IUd8ycnk8nMmzdv7969iURi/fr1l112WTwev+2220aP + Hh2Px7G+QU89IurVq9f27dtramo2bdo0ffr0Vq1aLVmypFmzZlxG+b/BZrMZhvHMM8+k0+lEIvHV + V19dffXVOTk5t99++6233lpTU2Oapt/vl2W5pKRkxIgRoVBo2rRpSIpwOp02m02WZRhWdF1HmCsE + Gi9PfxZc21gsNnny5HPOOWfw4MGXXnrpoEGDhg0bdsEFFwwZMuSss87q16/f/PnziSgajSLX3zTN + srKyLVu2lJSUIIF+2rRpM2fObNOmDUpdQ72vrq5u4NBIWGrbti3+q+u6LMtCCOgwmFzcbvfzzz9P + RMFg8IILLtB1HRM6wpu9Xu97771HdUHdiqKg92VNTQ2mHit+DNUdSkpKnn322eeff37Pnj1U93ig + i8BvdX0Zhjn2OGq5E4qifP/992vXrjUM49ChQ//4xz/27duXl5fndDoPHToEw+qMGTMefPBBh8Oh + KMqBAwdUVQ2FQihmX1BQkMlk0GYLMcGwCKLnQCQSSaVSAwcOTKfTkKeJREKW5Wg06vP5kJQCBcZy + dEiStG/fvqKiIhQ/8fv96XQ6FAoR0ebNmzdv3kx1VmFI6srKSlVVE4mEqqpDhgx56aWX7rzzzlAo + tHnz5p49ew4cOPCFF15o2rSpYRiqqmqadu+99zocjokTJyaTyVmzZs2aNWv8+PGPPPJIw5cIIp6I + nE7nqaeeSkQDBw4cN25c69at9+7d27Vr10gkAmUJbRkkSUKIM+xz+G0kErHqBaFyP1d3Yf7kSJJU + W1vrdrtTqVSXLl3mzZuXm5v73HPPffbZZ1u2bDnllFOICAp5OBwOBAJ4/du2bdu2bdsLL7ywefPm + sASfd955f/SpNEpQBRGfU6lUOp3u27dv165ds7Kypk6dumTJkvLy8mAwuGfPnlatWv3rX/+CXJ01 + a9aCBQvKy8sDgQA2KC8vv/baa30+35gxY8477zzs0yqxxRwOKzmkpKRk2bJlqqpiToRsdzgcuq57 + vd7du3dnMhnLIalpWufOnSdMmJCfn9+qVauWLVsiTwY+jUwmk5WVhQ7Ihzvu7t27McF17tyZiBRF + qd9AjOpCJ2pqaubMmeP3+3VdnzJlSnl5eVZWVjgcLisrMwwDCatDhw7F1NyxY0foJKtXr0YZbqqr + hAnz4oEDB8aMGeP1eps3b96qVSv4Yahe9VGGYZj/nqOmwBiG8dRTT8F3/P333+/cudMwDKfTiUA3 + IsrOzp4yZcrEiRPhbt63bx8EqGEY6A0PxUBRlHg8jm2EEB6PR1XVVCpls9m2bt0KHYPqlSFGXqAs + y0iGscZjmibKdgkhkskk+m3JspzJZJ555pmOHTvm5OQ4nc5wOJydnX3o0CGUdrXb7bIsm6Y5cuTI + fv36zZ49G+V3lixZcvLJJ1dWVsZiMVVVMQ3cd999/fv3f+GFFxYvXhwKhWbNmrVt2zYE0f0YTdOc + TqdhGOl0WpblVCoFhU1V1Uwm8+GHH/br18/lcr366qu33HJLIpHIycmx2WxCiIqKCpSRxeCJCLMd + ogK4sCzTKNA0DTGQwWAQhbwnTJjwwgsv1NbWLlu2rHPnzk6nMxqN+v1+LJLwzgohJEnyeDzXXnvt + /Pnz58yZM3v27D/6VBolKAcCe7miKDCFuN3uG2644bnnntM07c0335w0aVKrVq2I6ODBg6i5sm3b + tkQiYS2vY7GYoijffvutLMs333wzFt92u52brP8SQqGQ3++fMmXKgw8+qKpqaWlpkyZNotEowvCg + kAQCAdM0ZVlOJBJI3+/Tpw8KYCIkG0GYKIIMC1fD7pevv/4aE3HLli0tEyFsYYZhoKZ5TU3N6tWr + Q6GQx+PRNO3RRx8losLCwkOHDkmS5Ha7NU1buXLlgQMHOnXqpGlap06dMBmtXbsWU7Y1flmW4Zwh + olgshmk6Go0Gg8FEIgE/3u9ztRmG+Z/niBUYGFqQgoNIWXyfSqVmzpypKMqFF1547bXXlpeXI3tE + CKGq6oIFCxBd9sYbb1x22WVutxsGISKqrq7OysqCZgJZqSgKZDc8KlBmbDYb/NFQe5LJpKIoUGxE + XW4i+sBEo1H0FYajHBoREXXv3h3TQDQaPeOMM5xOJzpPZzIZZAxj48rKyry8vFQqlZWVNWnSpFtv + vfWOO+544403qqqq3nnnHZQBgBpjGEbPnj179uz57bffjh8//tNPP0UHcRQhsIrzoK4/SrKgnQXC + AxA4Z7PZmjdvXl1d7fP5NE1bv369aZpOpzORSMDKZbfbbTabtZ4jIgzPimCGu7+BNQR+5fP5MDXi + aoh6TZGtm9hAPxmG+SUgQIXqTM5CCDyreDENwwgGg1jxICNOVdXVq1djPQ1tXJZlRO0TEawYTqez + bdu2kUiEiJCtYfWaENyB5JdhGIamaVZfDiyCUS4SF3zTpk1UpzdOnDhxzJgxkI3IC9c0raqqatSo + UZqmzZ8/3+129+7d2zKjcBXEXwI6myEkj4gwTRwOeNdh1EOXHpjziAgzCF4QxPVBYaB6vVYQm5BK + pSZOnIj8UnRusfafSqUCgUBWVlYsFgsGg1OmTHG73S1btpw0aRIRwbEfj8eDwWBpaentt99ORNOn + T//Xv/6lKIrdbm/fvv2uXbvWr1+/dOnSM844AxoRXnxFUWpqamw2m2maqLoWDAaFEOx+YRjm6HLE + Ew+SAi0bHjJVnE7nW2+9Bb/Eww8/XFRUJIRwuVzWgrhLly5vvfVWZWXl4sWLR44cSUQtW7aEr2Pm + zJn33XcfTEGGYXz00UdoBgx3djQaVVW1e/fua9euXbp0aSKRkCQJ6bw2m+2ZZ54xTdPr9dbW1mKh + r2ma1+tNpVJI5ccqH4JV1/XCwsKDBw+++OKLt9xyC7xDLpcLh7BShPPy8kpLS3Nzc/1+v6Zpubm5 + Dz/88LvvvmsYxurVqy+99NKqqqrc3FzM9NXV1aghdumll65duzYej2/bts3r9UIlwxWzju5wOCor + K2EHxTRgXdWNGzeiaEGLFi2gekWjUY/H43A4kNmPlBifz2ez2b777jun0xmPxyVJUlU1mUw24IcR + Qtjt9mg0ijoEqqrCMYWcS6g08AthTXmkzwPD1Afrp/pp4i6XCw+w1+vFEgqG/I0bN+Il7datGwQF + bCLl5eU5OTmaptntdrRwjcfjX331FRHZ7XbYAqzDmaYJ68Yfca6NCbvdHggE6sd64d0vKyuDoeS0 + 004jIsiBTp06oVJIOp32+Xz4yYoVK5DqcPLJJ8NRg1rArED+RrhcLuR8yrKMyQIRzrBwUV2MWSaT + QVAZEbndbrxKsixv2bLlnXfe2b17NxFNmjQpOzsbzn9JkrKysiKRyIEDB4jINM09e/agyPJdd901 + cOBAmLqs93HHjh0dO3bcu3fvc889N2XKFKfTmclknn766YEDBzocjjvvvHPJkiUFBQWJRALPSTQa + 7dixYyAQqKmp0TQNEx9Cy6huNmQYhvnvOeKJv36xERj+4e6YPXu2w+Ho1atX+/btUT2MiGw2Wzwe + T6fT7du379evHxGtWLGiuLgYvV/gXXnooYdefvllj8ezb9++e+6555JLLiGi/Pz8UCiEIvcej+fi + iy8momQyeeONN1ZUVCDmqk2bNt988w3Vq6yCnBYsoQKBgMvlQuIghGZeXt6rr74qhNi3b9+IESN2 + 7NjhGJzOHwAAIABJREFUdrthZFq9evWqVaskSYrFYoZhzJkzZ926dXCPENHKlSsRt5afn2/pA48+ + +ui2bdssY/C2bdsgr71eLwaAgyIdn4hQTiAvLw/Khq7re/fuhcvlySefvO222xDQ3KlTJ2gaTZs2 + 1TSttrYWBk6rEBlWG59//jkUNlmW/X4/Ujl/EhzX5/NhCkwmk9u3b4cND5cLbi6Px6MoilXpn2F+ + NZZXBMH3RFReXo4qf6lUChbf77//Hh1giOjcc8+FHxWP8TfffBMOh9EPvkmTJolE4sUXX0T9wIED + B7rd7vpLcKssLNMwsVgMifsoBCLLciQS2bVr16BBgwzDaN26dd++feF2ttvtMOh4vd6cnBxFUeCH + gfYI+ZZIJGKxmNW+veEoJubXkUwma2pqsrKy8GrApW+pi4g7wGen04mWYpqm7dmzZ/369QsWLJgw + YQLcKZ07dx43bhwR4T4icgxGtEwm4/f7n3vuOSLCPGupRlQ3hTVr1mzw4MGYAd99910iMk1zwIAB + Q4cO1XV906ZNXbp0eeWVV9xut67raF+2YcMG1CtDjVAMEm/r73kBGYb5H6fhKss/2WcARd/T6bTV + nXfVqlXY26JFi4QQtbW1P+hMEg6HUTKYiMaPHy+EMAzjnXfegZ7TvHlzKwLqoosuateunc/nGzx4 + MKLCdF2PxWJdunTBZAnjH+bRv//979AWNm3aJOqayVxxxRVut7tr1644NJqrWO3Dxo4dS0SyLCuK + 0rlzZysn+KyzzkKXifLy8nbt2hGR3+/v06eP3++32Ww2m61JkyZWS5ndu3djLm/evPkpp5yC/pWK + olx++eVWIXzr4qDJl6groj9gwABMDIj1d7vdmDNUVb344ovxq2g0+sILL2BgiURC13WUJtu+fTs0 + OiJq27btddddF4vFGm6OAV+TlVqgqmowGETe/xNPPIGBWZ15Gm5WwDAWDfSBsR5IPMyJRGL58uVE + lJ+ff/XVV48dO/b8889H+e9gMHjffffV/62mad27d3c6nV26dBk7duyYMWM6dOhQUFBAREVFRXv2 + 7Kk/Bjh2juVGE0fUB2bx4sVE1LRp00suuWTixIkDBgxAUFN+fv4tt9xiGEZlZWUkEqmqqqr/q6qq + KtT/LS4uhkTCXUDv3d/w3P7cHGkfmCMlmUyiB8ttt92GLkkWmObQFmnt2rWWf8bn8/1/9u473okq + /x//mZkkk57cxr0XuEgVAQtFRLFhW+yIgh17b6uubf3q+tFd117BjpWiWAAVCyqIWLCACCigIEi/ + NfemTpJJZn5/vH73PLIoV0EWyPJ6/sEj5CaTySQ557xPeZ+NJhIrinLzzTfX19fbtv3DDz/g6blc + rqKiwul0XnrppcjLj9zNl1xyiSz/5S8a96xbt87pdFZWVg4aNAh9fLZtr1mzZtiwYUIIt9sdCoXK + ysqOOOKIM844o1u3bqjRSkpKZs2ahUMZhoFnERHZ23EfGIwIu1wudK6sWLECDZRwOHzooYcKIZBo + GI1vrLjQdf3QQw+tqakRQnz00UeJRMIwjJNOOunBBx/s0KHD2rVrMQ/kggsueOaZZ9Dt2q1bN8xn + QM/ftGnTBg0ahJUwZWVlPXv2HDNmzNlnn22apuyX8ng82WwWSUI7duzY0NCQTqcx9RaLT9Bqf/fd + d/fdd1/Lsr7//vv33nsvGAwOHDiwb9++iKYCgcA555zTs2dPwzC+/fZbjKeffPLJCxYsCIVC2HGl + pqZm8ODBmLq2YMGCxYsXa5p2/fXXP/bYY4iyZBJMBDaIWCzLwlJ+ucZRCIFVASeffPIHH3wwefJk + DGf5/f7q6mpMWI9GoxhsyeVy3bt3v/fee3HASCTy/PPPY/4bsqv9Jsz6MAwDL2eaJsKwLfjciX4X + UpwX3oOBViFEY2PjK6+88sADD8ycORPzMO+7776rr75aFKzCSqfTu+66ayaTWbZs2QMPPPDQQw/9 + +OOPGzZsOPTQQz/99NNddtlFHhbfYXQubLu3V7RyuZzP58OcscmTJz/wwAPTp0+PRCLdu3e/8cYb + //nPf2qaFgqFAoFAWVlZQ0ODZVlIsBsOhzHIjFJaCLF69WpRkDOX26v/N2DNEjIolJSUCCFM00Qo + gnoqGAxi4ajc4yUejyeTSb/f36dPnxEjRtx9993ffvvtnXfeWV5ens1me/fubbduYYmM/9lsVlXV + d9991+12p9Ppc845B1kZ0AEhhLAsCx9uWVnZwQcfXFdXt2zZsqamJk3T1q9f37Fjx6lTp06dOrWk + pCQajWKbtddee23VqlWmaVZVVQ0YMADdf0IIZNxue5sBIqLNorTdln366acty0LfDDKNIPbASlz5 + MKxgqa2traqqEkI0NzdjSZ98DFbG27atKEokEkHPnxACt+vr65cuXXrQQQfhVfB0NN9xD8amUX1O + nz59r732wgwxHCSZTGKefTqdxlQr27YjkYjc3gsD8dhiBfPK0KCPx+OWZZWUlJSXl6OcxQNwI51O + L1++PJ1O77///olEIhgMYhAf70UIkc1m165dixcNh8PIcoZ5w3jdXC5nWZYMaRDGYHklHoA0Muiv + amhowDRlpJqNxWLhcNjlctm2vXbtWsR+zc3NJSUl69atQ2XWqVMnXHB700uZcT7yAbiB2XG/no5c + ePJEbXj66acVRbnwwgtFa+4Hub4C/Q5YLIevE763H3/8MRa9WJa11157dezYsTDLhWj9jZimuW7d + unnz5oVCoVgsVllZOWDAAExqwm8TRZYMYLbfNdj+fl0+b+qC4AH19fXZbHbu3LmxWKy0tLRPnz7V + 1dW5XA7Ds0KIhoaGQCCAjwOFoWmaGE7HokEEQrZtR6NRzG6yW3ej2qngUuOqPvnkk6qqXnTRRVv3 + JVCrIlFNYb8YctVgjp9orUNF63owuSULtnkprG7S6bRpmviv/Kpgnufq1au7d+8ei8Xkckr0vuEx + eJvJZNLn86FDDUM99fX17dq1w+PnzZs3d+7c6upqTDscOHCgLBDi8TjSeGzd60NExQvlgyyInnnm + Gdu2N7cU3exF/HJjeNE6p9bj8SAHcVVVFcpc9BgBasGKigr8SQiBzCeqqnq93tLS0tra2pKSksGD + B8vMKiUlJXKyLIpgdOImk8lEIjF06FCUp0gFls/nUZi6XC55OQzDKCsrk5Ur8u2IghWEfr/f7/f7 + fD6PxyMX0xuGgdtYdlJSUjJw4EDcj7eM9haiFwQzXbt2xUCYPEhhVID1Qlg6mc1msRw/EAhgvX4g + EEAqgnQ6jYWVSIeAPT2R5hIHqampQeJ/pHPp0KFDOp2urq7WdX39+vXt27dvYx0tcgbINQnYDc22 + bWRQwEJeTCRwOp2MXujPQ0tatC7oF0KUlJTk8/lDDjlEFGTnkwM12ItJDlQ6nc6qqqqTTjoJzalY + LIZwKJVKIYBBarLC73wbATwBPhFsKtW+fXskiyvMI4JVLijcCuFDTCaT2LwSn5FMe4htSbbd29iZ + yKnFhfUIMviJ1hnImUxGVsdoB8ieKSTrDwQCWP7k9Xr9fj/yW6KPDEtA8Tl2794dnXSyEyGRSMhu + RLwiUoqFQiEhhGEYbrcb0Us+n8/n87vttttee+2FoA7dDTLfj4ygCgMkIqI/abN7zuTC3Fwup2ka + Uo1h1pYQIhAIFM4oyOVycpyksJzF7F7kRW3Xrh3miSG9mGgdlxBCYL1pIBDAq+i6XllZKVpbSH6/ + H/1MQoh8Ph+Px5GSJZfLIVTAtDQsrBdCRKNRl8tlGAbybonWzMLybOVG4NhZDO/Isiy8BUx3xiMx + bw0dWjKlGKIUtMawZAUBCWogr9eLlP9CCF3X5f6b6H5GJCYKepTlyzU0NBRefww0If9sJpNp3749 + lktuCjbfSKVSmUzG5XJVVFTgBtp8qOrkIn4usqQ/D10GmPeI0U70HOMbjtX5aEBbloUOXbSkkUMC + 0yyz2SzyEAaDQTy3rKwM5YNobTejYYeVMNvx/RYFtClxnfHp4DZ+8sgLh8sot0tHuYdCGBkRRetc + 3I0+Ml7/rU4mp5b1qRyHMU0TE7Px5Xc4HJicLIRAkGPbtqym8/m8x+Np164dKkHELfl8HmvufT5f + fX095griAbITAUsl0+k0ksrgI8Zh0Skp82cgrPL5fPjJI/Uzaig5yIOvmRzoIyL68zZ7BEbOCpO9 + dyizcFvTNNndUvgY8VuTPRDSyPvlLvUy5sFKX3nkwufKNCnozd3odQtfWp4wuo5w8F//tfBV5C5v + hadX+EjU5XJMfKPH/DoZMQ4rzxD/xUWTB5E3cHB5EPSJyivsdDplxzbuLBzv+k3yKhU+6zf7TXfC + qSD03yC/SOjjEL8amZTbvOBXKQN78Z8/IjxMfuF/PQuFa2D+uMKrJz8OXD2Z4UP8arv0wkIYZMeH + fIr8gGhrkTWUrCV/XQWoqorxExnbyLId//317wX3yChFCCHngP0mzAUQBYMworUObSMh8kaNBPlf + /lSJaCtigUJEREREREWDAQwRERERERUNBjBERERERFQ0GMAQEREREVHRYABDRERERERFgwEMERER + EREVDQYwRERERERUNBjAEBERERFR0WAAQ0RERERERYMBDBERERERFQ0GMEREREREVDQYwBARERER + UdFgAENEREREREWDAQwRERERERUNBjBERERERFQ0GMAQEREREVHRYABDRERERERFgwEMEREREREV + DQYwRERERERUNBjAEBERERFR0WAAQ0RERERERYMBDBERERERFQ0GMEREREREVDQYwBARERERUdFg + AENEREREREWDAQwRERERERUNBjBERERERFQ0GMAQEREREVHRYABDRERERERFgwEMEREREREVDQYw + RERERERUNBjAEBERERFR0WAAQ0RERERERYMBDBERERERFQ0GMEREREREVDQYwBARERERUdFgAENE + REREREWDAQwRERERERUNBjBERERERFQ02gpgTNPM5/PhcDiZTDocDiFEPp/fVidGRDuuVCoVDoej + 0ahlWaqqZjIZp9Np2/b2Pq+dSCKRsCwrl8uZpul0OlOplKqyQ2obUVU1lUo5nU7TNHO5nGVZiURi + e58UEVERsG3b6XRmMhlVVS3Likaj4XA4lUpt7nGUttsczzzzTDQa7dq1a319vdPpTKfTmqb9idMm + ov8FwWAwEomUlpY2Nzfrum7btq7r+Xw+k8ls71PbKSiKEgqFUCDbtp1IJHBje5/XTkRRlHw+7/f7 + ccPtdkejUX4ERERt03Vd07RMJqMoSiaTKSkpQXPi9NNP36zjODb1B8MwXC5XJpNJp9PZbDabzSqK + omkaAxgiymaziUQiGAzatq0oiqqquVwOhcb2PrWdgqIoqVQqkUh4vd58Pp/P5wOBQCwW4/XfNrLZ + bDAYbGlpMQxD07RUKmVZFmNIIqLflUqlPB4PCkzbtnO5XCKR8Pv9m3ucTQYwHo9HCOH1esvLy4cN + G6ZpmsvlyuVymEtGRDuzsWPHduzY8cQTT3S73aqqogxCP/T2PrWdRSKRmDhxosPhOPXUU1H0I5jc + 3ue1U5CXOpFIvP766263++STT96CCpiIaGeDuQMOh0NRFMuy0un05MmT0+n05h5nk9GIbdv5fD4S + iViWpes6Zlfjxf7UiRNR8WtpafH7/Yhe8vm8qqpOp9PpdLJ82Gb8fr9hGNlsFu3mTCaj6zqv/7aB + dV+6rvv9/kQigblkvPhERL8LHZ2IMjRNc7vdiURiC5YRbjKAURTF4XAEAgFd1+Wdqqqyh4+Iqqur + TdNEv4acPIO5ZNv71HYifr/f7/ejDkD0wuu/baBfTwiRz+crKipQ9fLiExH9EWgwoPGgqqrb7Q4E + Apt7kE0WuCiRsQbGNE3kWmH0QkRCiEwmk0gkCmf8m6ZpGMZ2PKWdTSaTicVimUzGMAx8EMwSuc3g + Utu2bRiG/CC290kRERUBwzBM05T/RR6aLShCNzkCg2kJ6FJFV5NlWZZlMYYhomw2K3s0crkc5o+5 + XC4uYt42MALgcDgsy3K5XPl83uFwcBH5NoNkNvl83uVyWZblcDiQhY+DMEREbfN4PKiq0HhQFCWX + y2Wz2c09zu+syNd1HXESthpgAENEQghVVX0+H26jg8M0TZfLxfJh20ADWtf1dDrtcrlkbxav/7aB + 2te2bZfLlU6n8RNgik4ioj8CDQa5RMXn821B7xu7i4iIiIiIqGgwgCEiIiIioqLBAIaIiIiIiIoG + AxgiIiIiIioaDGCIiIiIiKhoMIAhIiIiIqKiwQCGiIiIiIiKxlYLYJLJpBDCNM1EIiHvxM6a2WwW + N7BvsRAinU63cSjbttPptGVZMtc+tj3GNjdyt2l5ELl/p9wZp/Acdhy5XM6yLNH6FqLRaC6XE62n + XUju6lC4s0/bF+13pdNpvJw8lG3bOB/I5/PcTJq2Ltu28fU2TbNwn3jLsnK5HO6R9+P7GY/H5e1Y + LFb4LJQetGUMw2hubsZt/NJRDmBPUlFQ2qD8jEajhU+PxWLytiw38On8yaJpZ5DJZDb6/stiv/B+ + UVD4b4plWalUCrdt225paWn78fLBsVgMn7u8Z8eBb1RhKSErR1mt4+ePB8jSQz43m81u2S6ulmXl + 83nso7fRZ5FOpw3DkIf93Y+GiLal39nI8o/zeDxCCGzInclkcrmc2+3GJjVyf25sFy2EcLvdbRxK + URQ8AIWUpml4FuTzecuynE5nLpfDYV0ul2iNjtxut8Ph8Pv9W+t9bUUOhwObl8disZKSklAoJITI + ZDJyH8B8Pp/P5z0ej9PpzOfzKJGxUZrL5XI4HPLBf1w6nW5pafF4PHi5aDSqKEowGBStdYNt29j8 + jruw0VYn91V0Op24kc/nbdtWVRU/arlDbjabxa8+EAjIp3u9Xnwt8S1tu9ygNmSzWY/Hg1JaFOyD + 2dTUVFZWhjtRkAohUH6ixLBtGxGOLFQty4rFYsFg0LKsdDrt9/vlh0ub4nA4ZAErG+WKopimmcvl + HA6HvIZtX0z8fLxerxAiFot5vd5wOIwf0aaeous6+gRR7OdyOfk12HGoqiqE0DQNFyefz6PoUBQl + k8lompZKpbBzrqZphe83lUrZtu3xeOS3d3MpioJXl/L5fDKZ9Hg8KHDky/F7TrRD2WojMPl8PpVK + oddE13Vd11EooNNCUZR0Oq0oCprmbRxH9u1ls1mHw6HrusPhQA+fLNHwGBQuaNmgyHO73XKQYUcj + B4UURUFTIJfLyQ6nbDarqqrb7fb5fLlcLpfLxeNxj8eDigqdQIVR3B/ndrurqqpCoRAaIqFQCNUY + yKoUGMPQn7TRN0rCCK0QIpvNmqaJwsEwDPyoVVVFN0c8Hsc4AH7I+M5nMhkUI1vWw0qioO2FaMTh + cJimqShKWVmZZVmydMpkMhgBw6WOx+OKoui67vP5VFVFYYWSCiGox+OxLIvlxu8qvESFvxFN09Bj + VTgm08aIlqqqsop0Op2yg6ANst7EfzOZzB951jaGCQKqqmqahogCoUsikVAUxeFwBINBFBr5fB5f + ZsMwEML5fD7DMLZsGBABIYZfAKVTMBjM5XIYqnI6nYZhINTcuu+aiP6MrTYC43Q6HQ4HhkcKW9ua + phmG4fF4dF1HuZnP51E8/eZxTNN0uVzompJ9KplMxuVyoQ6QIZDD4bAsCwW6oigo9VATpNNpNP13 + HDJocTgcDofDMAxcEJTFLpercPxaUZRwOByLxdxut9PpLCkpwf1b1smEAhoNDtM0Zf+37HaSgzBE + f5JshMlvFO7x+XxoK6D3Fy1m/Cjy+Xxzc7PH4/H5fIFAAFF9YWen/Jmg6Ni2b+h/hKIoqVRKURTZ + +462Gkat8UGgp1nXddM0s9msz+eT98fj8XA4LI+maVo2m5VlMv1x+DmoqopvsqqqpmlmMhlc6sbG + Rq/X20blhd9CKpVC9JjJZFDrtfGKqA3dbjfGzXbA4RchhNvtRmBm2zbend4KD0DTAlENYmav14vq + XlVVDM4kEonNnXyRz+fR3pAtFkwna25uLi8vl6UNAnXWkkQ7lK3WGpA9JYgoTNO0LAvFK4oGjJNg + TlQbgzC6rqMXFg9es2aNaZqhUEiW+IUaGhrwL0Z4bNvGK+5o0QtgYY8QQlEUVPwoENPpNIpRybIs + wzCCwaDL5TIMI5VKFS6e2SyYM+Z0OjVNQ1VX2OxAJInFMOzepj9vo28RfsWWZUWjUTkX1LZtv99f + OCWpvLzc5/PhS47WiaZpsqcZLQzROs+Etoyu6/jhx2IxjId7vd6ysjJ0YGezWbSDEcb4fL5kMonL + 7nQ6S0tL0+m0pmnRaNS2bXRX4QOSgzbUNlnMFs5ZSqfTTqezcGzhdysvlOdYzYIhstra2k092DRN + r9craxAhRCKRKFxauYNA2CCEUBTF5/NtNFPatm2UCYhVAoGA1+vFU3DpstmsZVlbMHUcfYKF32F0 + MrZr105V1VQqha4WhFUMYIh2KFutQYBiFyvtsBIGcw/Wr18v+4dyuRzGedvotzMM46STTvL7/dde + e62iKDU1NehwKnwMhhFM0/z5558VRRkwYMDXX3+NAQ232y0LnR0KimCv14uKBF1HonVdEC4IuuJQ + yaGfDNUP5uNtWYyBieyWZS1atOiLL75Yu3YtalBZn6FQtlptvXdMOyOlFf6LRptlWYFAwLbt2tra + Tz755O233547dy4WH6NBjAdjmpmu6w0NDegEwdQO/BVT4bfHe/pfgPADP/D6+vpFixYtXLhw2bJl + aPu6XC6UsZZlNTU14SlyDnAkEqmrq5s/f/63336LsWL8NZlM2rat63rhsiX6TYUFrPx1YDGnaO2Z + 0jQNxXUb9Rd+NU6nc/To0U6nc9CgQbFYrKqqalOPdzqdixcvDgaDXq938uTJQgj0i23N97Y1aJrm + crmSySQuBep3+Vcs35edmAg2MJURD0Cv3xa8biQSEUK43W5FUdauXTt37txvvvlm+fLldXV1Qgg5 + Ex7zuv/keySirWurBTAoPlRVRct70qRJ++yzTyAQuP/++1EkoWMPZXcbDXGPx9PS0mKaJlozqVQq + m83KTikUInLcoLGxUQixbt06uTzRsiyXy7UDLuJXFCWbzTY0NJx77rndunXr1q1b+/btO3To0KVL + l2uuuWbatGm1tbWYv4G5GVOmTAmFQn6/f/369bLfdMsWEf7rX/+qrq7u16/f/vvvX1NTc+qpp86d + Oxd9rngAKobCdifRltnoW4QAJp/PH3PMMYFAoLq6+phjjhk+fPjAgQMPPfTQp556KhQKuVwuNFbw + M1+/fv0hhxzSvn37zp0719TUVFdXd+7cubKyslOnTmedddZ2e2NFzu12x+PxY489tqKiokePHvvs + s89+++2366677rHHHi+//LKmaVgL7nA4qqqq8HF4PJ5ly5bdeuutAwYMqKqqOuCAAwYMGOD1env1 + 6jV+/Ph8Ph8IBNAVwuxwv0tOcpZjL9ls1jCM4cOH77LLLnvvvfeHH34ohNiwYYNpmm3UX+FwGFMb + GhoaMFYQCAQKE8RtJJvN9uzZU9f1TCYTi8Wi0eiOOVyGMSK3243ZiQ888EDXrl2dTmdlZWU4HK6s + rDz++OOfffbZH374QQgRCAQw9LRgwYJwOKzr+qxZs+Ra2c1SWlq6YsWK6667brfddqupqRk4cOCB + Bx64zz779O7d++mnn0afYyKRcDqd4XB4B8zeRrQz22oBjFxuK4RIJBLffPPN3LlzE4nEQw89VF9f + L1q7V9EEb2MI2zRNdKsgIvJ6vS6Xa6MExOhAwr94cDgcdjgcWN2xY06Fsm3b5/NVVFTU1tauWLFi + /fr1DQ0N69evX7du3dNPP33ccccNHjz4iy++EK1BWkNDQzwed7vdFRUVyGeKxGub+7r33HPP6NGj + 6+vr99xzzyOOOMLhcLz66qunnHJKOp3GND88TMYwW/VN005no6+QzHT3/vvvu93u/ffff8iQIXvt + tZfH45k/f/5VV111zz33GIaBwgHdrmVlZT/88ENdXV0kEmlqakL3f319fSQSQf80bQGMZc2ePbux + sfGggw66+OKL+/XrJ4RIJpPnnHPOP//5T9G6WFk+BdHLPffc88svvwwYMOCiiy468sgjnU5nJBJJ + JpPoVUF24B1zWcUOZaPoBQOM6XR62rRpq1evXrZs2bx585LJZHV1tdPp3CiBdSEs+dB1Xa4lSyaT + hXlZNpLL5TKZTCqVwlNCoVAgENgBA06v16uqKio41PjxeDyfz9fX1yuKks/n33777QsuuOCwww57 + /vnnRUGuQqTrRJ6PLctS+Pnnn7/00kvr1q3r37//CSec0L1795aWlkgkcuWVV95yyy2IJzEzgt9z + oh3KVgtgZFJjIYRpmmPGjBGtrZnJkycnk0l0Hcn0nXgkujSwlAUwtCJax9xFazoyzKHSdV1uZYB+ + EXS6YCimcICicMKVnB2B+Acz8uXDCl8LORlFwSA+Yi3btmOx2Ea7Hwgh5HSL380QryiKYRiGYaDk + DYVCzc3NX3/99XPPPde3b18hxKpVq8aOHZvJZHw+n23bPXv2vP3226+88kpVVUOhkGmaPp9v7Nix + /fv3P+KII3BMXAoU+vKUCiu/WbNm3XTTTfX19WPGjJkzZ87777+/fv36rl27rlmzZv/990dmITxS + Joki2oqwGNfj8Tz//PPr1q377LPPpkyZMnfu3K+++gqr41599VV0/wshkEwZPyVd18eMGTN9+vSv + v/76zTffnD59+hdffHH++edv7zdUrBwORygUevbZZ+vr6z/55JPRo0d/++23K1aswEjsp59+isLN + 4/FgqDybzV5zzTWTJk0qKyt77733vv7666eeeurNN99MpVITJ04cNGgQCtVUKsXcsn8caivkovD5 + fA888ACqm3A4fNttt+ExuVyuMFBH01m0rhKRO6qhwMeyddu2MeUJxbgcY4nH45jOjePIzX88Ho/4 + E1KzAAAgAElEQVTsC5OV70YLLPGiqGLwL2ZboX7B7Y3eF8gcaziyXNwis9tls1n5+EQiUVh/4VSR + BCgej9u2PWfOnNmzZ0+cOPGUU04RQtTX15933nkyJ2FFRcUll1xyyy23IPDDnd98883w4cMrKipW + r15deDV+E6ra4cOHv/vuu/PmzXv55Zd//PHHn376qV+/ftls9s4774zFYvF4HENY7OAj2rHYbXrq + qaeeeOIJFDooNNt+PMKG++67z+fzYSWo3+8fMGBAPp/HwhVECMgo0tLSgmlgCA9s20a+YDToL7/8 + ctu2scBdHr+5uRkngxto/TidzqVLlyKCsm1b3kCgsmHDBvy3oaFBvhHckLdxNNu2MasbotFoJpMp + fIphGHiDgBMzTRNDGUgEuakrgwdblnXUUUc5HI4uXbrIw/7000+VlZUI6gpfrqmpCQfH0xOJxM03 + 3yyEqKmpwWVMJBL4E+C9y3MwDOPUU08NhUKDBg3CaeNQGIV3u93vv/8+egFt28Y7JfqDnnrqqaef + fhq38d1r+yuE5gh+7LZtJ5PJSy+9FN0ZdXV18jtv23ZzczMaCvjlomTAEQp/fWRvTvmMkkGWb8h6 + b9v2VVddhQlLWB2HERXbtr/77jt8OlOnTkURIaHYkXbOogNXCZf9iSeeeOqpp373KbhQ6CzDNezW + rZvb7Ub07vF4pkyZIq8/rjmGH+3WohsfHyqy2267Tdf1Ll26yB8FKqDa2lrbtltaWuSntmbNGiGE + 1+sdN24c7kHNG41G0WVgGEYkErFtO5fL4U/4d926dfJMcObyo5cf+oYNG7AD8kb342eL64PfPvbf + lIeS7xSbX6PakrXkfffdh8615cuXyws4bNgwIYSiKAsXLpQ1nSw6ECnZto2lPqqqLlu2TF66TcG7 + k+9L1oZvv/02kiU8+eST8pzbOA4RbRaUFbLOevrpp/9IKbqRrZZGGUzT1DTt+eefTyaTxx57rGVZ + H3zwwbx58+bOnYuwBKUSzjsUChmGsWbNmhdffHHOnDmhUOj4448/5phjUKCjpwfNeiRijkQib775 + 5qeffiqEGDRoUJcuXdq1ayd3vMKR0+n0zJkzf/jhh169eh100EFNTU0ffvjh9OnT77zzzs6dO6dS + KayxGTNmzMKFCy3L2m233S6//PJ27dpFIpHS0lKv15tMJr1eb2Nj4wcffPDJJ5/88ssvpaWlffv2 + HTJkyG677YZMSoqiYMxn0qRJM2fOxNL8nj17nnfeeR07dvzNK5NKpfx+P3Il67rudrvRH6YoSo8e + PQYMGDB9+vTy8nJ0R2UymZUrV7766qtVVVUnnHBCu3bt0un0U089NXv2bDQ17r///kAgkM/n//a3 + v8kMNqJgVSjahZMmTVIU5ZhjjlEUBX11kUikd+/eHTp0WLdu3fjx4w899FD0tO2AyzrpfwlSXWHP + xHw+7/V6y8vLsbg/EAggqxJ+7JZl+Xy+RCLR1NRUVVXl8Xjq6+tLSkr8fj/Kge39VoqSpmmJRAKr + jDDGkkgkXC5XdXV1JpPBUgosIBRCZLPZm266KZ/Pd+/e/YADDihMZYv0ymjnYeH1lu1PtRPC4kYk + AnY4HDNmzPj5559dLtff//73m266yTCMMWPGnHDCCUII27ZxzWUC/R9//PH111+vq6tLp9P77bff + kUceaRgGcmdhZ2SHw4EPNxgMjhs3bv369V999VXnzp0PP/zwXr16tWvXrr6+3rbtVCrl9XpDodB3 + 3303Z86ceDx+ww03xOPxV1999bPPPhs8ePBll10Wj8cxBLRkyZJHHnnk888/33ffffv06XPuuefa + to3fIBbcq6q6YMGC7777bt68eaZp7rbbbhUVFddccw0yiQkhnE5nfX39V1999dZbb2HPlvLy8v33 + 33/YsGHI6Y9NNj0ej72Jid+hUKiurq6ysrKxsXHIkCGffPJJS0sL0t7Yth2Px0ePHu1yuYYNG9aj + Rw8hxKOPPrpkyRIhhK7rL730kmVZwWDwxBNP7N69+28eH+uIcLbIBYp0yT179rRt2zRNTLLYggTN + RPRf13Z8s7kjMKlU6quvvkIbetq0aW+++aYQwu/3n3rqqXbrAIvsKclms48++qis/xCH9O3bF1N1 + Tz75ZNu2Y7EYelCWLFkyePBgIQTKGiFEMBg85phjcHv+/Pk4t1gsdvHFF6uq2qVLl/fff18edvz4 + 8Ti9jz76CK2okpISREqBQGDu3LmF7yKZTA4ZMgTLb+So8cknn4yuL1Teq1atEkK4XC7ED6hpHnzw + wTYuDs4QVRTKR1yHlpYWPP3ss8+2W3u/JkyYgLYa+tUWL17cpUsX0brQORAI4Lr9/PPP6D0qHPxJ + JpOmac6cORNn/v333+PMcfLpdPrSSy8VQnTo0MH+zw7U3/18iWBzR2Ds1m7OlpaWlpaWZDJ58MEH + CyHOOOMM2YeKByAvFhbXFvaeYtOS/8Z7KV6bWz6j7C0c40URetFFF9kFZUgmkwmHw06n8/LLL08m + k5lMJplMYpha9lgjfRw61zcaotkZbMEIjPwyYyBl8ODBbrd7wIABtm3vv//+Qgin07l+/Xrbtg3D + wGeBL/yMGTOQ8BrlOeY1dOvWrV27dtihWB48kUhcdtlleJhc1jhy5EjcM2nSJEwksyzr0Ucf1TQt + EAi89957u+yyC3Ymveqqq3C01atXX3jhhU6n0+12Y2FJeXn5qFGjMIQiB0VvuOGGwraEpmlVVVWI + lCAej/fr169wkqHT6bzkkktwBfC1we1NjcAsXrwYh2pubj7qqKNKS0uRBw/v95tvvsFhp06diqf3 + 7NmzsrJSthD8fr/X650wYUIbn4thGHV1dfKlcc3Hjh2LYP6LL77AVA6bgzBEW8+ONQKDkXGPx/PE + E0+Yptm9e/chQ4bout6hQ4fm5mYsg5EFmaZpuVzu7bff/utf/6rr+uDBgx988MEffvhhzZo1d999 + N0oQzHPFhgOJRGLo0KGrV6/Wdf3uu+/ef//9X3vttddff/2dd97Bgj/MoVJVNRAIoIBes2bN6aef + LoQ45ZRTOnbsOGjQINM0GxoaDj/8cCHEWWedNWLECEVRnn322alTpx5wwAFNTU26rqMP5qWXXpo1 + a5YQ4p577tl7772bm5uXLl3apUuX8vJyIUQymdR1/dZbbw0EAuFw+JprrunXr99XX30VjUb79+/f + 9iWSu1lbloW+n59//vmuu+7CJOMbbrjBMIxQKGRZ1oYNG7DUUtd1wzC6det2/fXXT5069YMPPggG + gw899JDT6WxoaOjatato7UZCD182m8WGPPPmzcNmDp06dUK0g64sIUTnzp2dTidmCNitXV82t7Ok + /xr03AshQqHQrFmz7r333k8//bRHjx7//ve/nU4nvnvpdNrn85WVlblcrkgkcv/99/fo0aN///5d + u3bt2LEjdoDd3u+jWKHYUVU1kUhgzfeMGTMeeuihd955p0OHDpdffrkQQtO0uro6n8/n8/mQrnev + vfZavnz5G2+8MWnSJFVVu3fvfsIJJxxwwAG77rqrHEAWv8rcQL9mWZbcednr9a5cufKLL75wu93o + Sxo5cuTnn3+uKMojjzxy9913u91uDHRkMploNHrYYYepqlpSUvLcc89VVlYuWrRozJgxP//8M3J2 + yV1Hbdu+9tprkTvrlltu2XffffP5/OjRo6dPny6E8Hq9qCCEEOl0OhwO27Ydj8evvfbaVatWHXXU + UTU1NYceemg0Gg2FQv/3f//33HPPCSHGjBnTsWPHX3755fLLLx83btxBBx10wQUXYHTo22+/ffDB + B4UQl1122YknnhiJRNasWbNy5UqM3mDFyFtvvTV//nyfzzd69OhOnTqZpjlnzpzddtsNuXZknu42 + rhs2IFJV9bnnnvvss8/i8fjEiRM1TcMoFvayRFYDHOrWW2+dMWMGIpZRo0b17du3oaHhoIMOauMl + 3G633GU7Ho8Hg8FUKjVt2rRcLhcMBgcOHIg92YQQuVyOK76IdiBtxzeb1cOHWa0olW6//XbEIf/+ + 97/xQpMmTbJtG5s54oA9e/YUQhx33HGmaaLbxrKsr7/+un379kKIk08+WXZ4jBkzxul0+v3+N954 + w7IsOZMbvU0Oh2Pp0qV2ay/OhRde6Ha7sQgHbXRM8I3H46NGjfL7/X369JGLXn766SeXy+V2u0eP + Ho0OoVgstt9++3Xr1q1z5854TDabTaVSqVQqmUxicEM2+m+//XY8Bm+2jZ5I5FQxTXPIkCHo00JW + H4wC7bvvvvPnz0f/VjqdzmazL7zwQmlpaTAYxBNxkBtuuMHn85WXl9u2jT4h+Ubs1g5s3G/b9rnn + novCHbOoc7kcroNlWY8//jgmuNfX18ttQzn8Qn/c5o7AmKZ5xhlnCCGcTmd5eXlVVdVZZ52FnV5k + 7z5+WStXrhStI41CCL/fX1ZWdu+99xZ+1Qk2aw0Mblx99dUOh8PtdrtcLqfTedttt6FDXXZn2Lb9 + 9ddfY5ONv/zlL8FgEEW6nGV6+OGHR6NR2Q1fuG5w57G5IzDy14Ev+S233IJupqamplgsVl9fj+1Z + ysrK7P9ctnHGGWd4PB6PxyMXc9q2/dNPP+29995CiO7du+MewzB+/PFHfEDXXHONbduNjY1YQTp6 + 9GjUMg8//LA884cffhjVkK7r06ZNswsqr1mzZjmdzmAw+P7778sRiTvuuMPhcHTq1GnFihV42I03 + 3iiECAaDX3/9td06OoEfqRzNGDp0qMvl6t+/v/zxptNp+Rg5amRvegTmrLPOGjZsWGlpqaZpZWVl + ciwF13Pp0qVI7/bee+/Jg2ANTDgcnj9//u9+jvgE8US5Eubvf/+7EEJRlP/3//6ffAyHX4i2oq0y + ArM1d7Z2uVxPP/20ZVmVlZWnnXYa8nedeuqpqAsfffTRbDYrk5XNmjULBS6mw1ZUVAghUqlU3759 + dV33er2lpaVYuZ7L5caPH2+aZqdOnYYNG2ZZFtac1NXV/eUvfxFC5PN5NMfRy4hZZ8lkcvbs2eXl + 5ZigFYlENE0bN25cMpk888wzsemBEKKysnLkyJHpdHrx4sW4lIFAYPfdd9+wYcOqVaveeustNLlQ + haDLByNCPXv2dLlcL7zwwrx580TrTlsb7R9cyO/3I+0SMqoJIWbMmPH++++jp3PIkCElJSXoYNZ1 + HRmTI5EIUqDI/JLIFoDXwgQz9Bvhr26327Zt2c5Apk632428Z6ZplpSU2LZtGEZ5eTmuFS6LXJi0 + Fb8MRIWQ5bxbt26maTY2NtbW1r722mvPPfccOqTD4TCmSgohOnfu/Morr4wdO/ahhx468cQTsbXi + ww8/fPXVV2+UKIn+OE3TkslkNBqNRqOqquJqm6Z5++23v/POO5lMpn379shdK4Soq6vDarqZM2f2 + 7t175syZtm1///331113nRDi008/ffzxx5FbVrDc+GNQQ9m2rSjKhg0bXn755WAweNJJJ6FpXlFR + cdJJJ2Wz2aampvfffx/f84aGhnQ6PWHCBF3XL7nkEiz4xGbQXbt2PfDAA7EqDMm+3G73K6+8gpGE + m266SQhRVlaGfRhPPfVUxCqoX5CprEOHDhiHueeeew4++GDDMHRdT6VSmBmBXKBId4nnHnTQQV6v + d/Xq1bZtJ5PJXC639957q6oaj8e//fbbVatW4bAY2EEtoyhKhw4dstnsihUrPvvsMyQu03Ud0RSm + t6E2b+O6vfTSS9OmTcPMBUymQECFv2IJDUa3cE86ncZoSSaTKSkpQV3ZxiCP0+nM5/PoNMQo1vPP + P4/Rp3PPPfeCCy7AYzKZTBt7PxDRdrHVAhj8vO+7776ysrKuXbv26NEDBV+nTp0OO+ww27Y///zz + 5uZmxB6ZTOaXX34RQpSVlR122GFCiGQyiQkkTqezpKQknU7X1dU5HA6/329Z1pIlS5xO53777adp + GjIwNjU1VVZW+nw+FGGod2VqSE3TBg8evO+++yIHsRCitLT0iy++cLlcuq5PmjTpzDPPHDFixBln + nHHOOedMmTJFVdXFixd7vV5FUXK53HHHHYfl/iNGjDj66KPfeustlJiY+ZbP5/1+//HHH68oysqV + Kw844IBTTz21sbGx7eT6snGATa87der0/PPPz5gx48orr1QU5e677z7jjDMwb03OzcD4SXV1dTKZ + dDgcmLpmGEa7du2i0ajT6WxsbMR8M1x/TdMURZG58MvLy1EnYdQbr6soitfrxTKDYDCYzWYxD2Fr + fQ2IfhO6IZYvXx6LxebOnTtq1KhsNnvxxRefccYZWCaLry6mVh599NGnnXbaueee+8YbbyxatOjo + o49ev379iy++OHXq1O39PoqYz+cLhULI1V5XVzdv3rzTTz/d5/OddtppJ554ohAiFothKb+u69gr + 0OPxTJ48GQ3cHj163H777UOGDMlms7KLGodlDPO7ZBebpmkLFy78+eefo9EoBjG8Xm9DQ8Nf//pX + jHvce++96AirqKjAevRUKrXnnntidoNt2yixscFiMpmsqKhA8PDJJ584HI6ePXvKaU5yV3vskoxW + PrK/INdfS0vLueee6/f70R2GWGL16tXZbBYTFkaNGnX00Ueffvrp//jHP7Bd5tq1a30+n2VZ++yz + DzI4X3nllSeeeOJrr722du1aIQTCEo/HE41GMeiaTqdHjhx5/vnnz5w5szAlNAZPFEVpY4PIadOm + zZkz58ILL6ysrHz88ccPPfTQDz/8UFEUl8uVz+cNw3C5XKjaDMPQNM3tdqdSKYfDgepY07TCxDa/ + hnoTDQ8hxJNPPnneeefV1dWNGjXqiSee6Ny5Mx6m63obvZNEtF1sSQBjGIbcJsW2bbn5FDYWaGpq + MgzjpptuuuCCCy688MKrrrrqp59+wozV+++/H50lmqYtWrRI07SmpqZ27dqJ1v0r5WYsWF4i/4v2 + elVVVS6XQwMdeUuQ0QVzWNGXk06n/X4/RuexsTT6V4QQ6ERJp9OLFi2aMGHCBx98MHHixClTpmBW + 24YNGzBVzOFwHHfccc888wye+957740cOfLEE09sbGzM5/M4eSHEXXfddd5556Gnavr06bvuuisq + dZluXwiB3MqoRUzTRM2B4Y6OHTseeOCBhx566MMPP4x8AAsWLDjqqKPWrVsnH4/xFuSZEUL4fL5c + LufxeBoaGkKhUDqdxpqcdDrtcDhcLhc+FBlHhUIhmZ9toxoCr4hZfKj8uMcwbUVIzyr7LPHzx+18 + Pj9gwIBnn30Ws/9feeWVDRs2iNbRS1VVGxsbMWbo9XoNw+jateuzzz6LH9277767Xd7O/4ympiZV + VTOZTFlZWd++fSdMmHDmmWeWl5e/++67S5cuRRtOVdV27dqht+Wiiy6qrq6WQ9wej+fKK6+0bdvr + 9S5YsACDvXJ3LGoDillN0+Lx+AsvvCCEKCsre/jhhy+55JILLrjgpptuGjdunGmasVjs008/bWho + wKBBU1MTFjf26dNHVgQoqxsbG2V3HgKPNWvW2LYt++yamprwqeVyOSyV8fv9SMmgaZrstJI7gGFy + hMPhkCvjp0yZMmHChPfee+/NN99EAky8hUgk4nK5OnXqNHv27E6dOuVyuYULF55//vl9+/b95Zdf + stksvkihUKh3796TJ09GPfjmm28edthhI0aMaGxsbG5uRvUq5w5omoaFrKJ1801Uan369Bk4cOAt + t9xSW1s7aNCgfD5/5plnTp48GW8KzQ+5XFYIgQEZfDOTyaTL5ZLV8W9yuVy4hoqijB8/HpPSb7jh + hueee05ePXzD7da9d4hoB7HZAQz2XUZKQYQQKByFEI888khpaamqqosWLbrnnnvGjRs3duzYxx9/ + fN26dUKIXC731FNPCSHi8bjD4cAMMSGEqqoNDQ1yWBnHj0ajyNIohMAkK3SxIH6IxWKIUhobG71e + L2ID7FOp63oymczn8xiwRtcgYh40p2pqam699dbvvvvu7bffnj179rJlyz755JMFCxa8/vrrLpfL + 6/WmUinLsi644IJZs2bdddddGBOfOnVqjx490NODgkzTtMcff3zevHnHHntsS0uLqqpvvPEG8hYg + GUAul8PcucK9O2W1sWbNGsQqlmW1b9/+5ZdfTiQSDofj888/dzqdLS0tlZWVeLDb7UZgFo/HNU0z + DKNLly7xeNztdudyOcMwsDpTvk2Px4MCt1evXul0OhAIIEUb5upg7CWVSiHLEPJdIvZjNyptFel0 + Gl2kDocD1T++WuhqxS/d6XReccUV+MZOnz7dLtjerqqqSrZI0BAJh8P77befz+fjCMwWQ/cNsh2i + /Yr+jn/84x/ICP/222+jh8UwjF133VUI0bFjR5RauVwOuVJQ2ggh0ul0JpPB5CXZsKY2II04LuAr + r7yiqmpTU9NLL700duzY8ePHP/fccw888IBo3fh19OjRGGzBnAUhRCKRwCCJ0+nEPb169crn8926 + dcOkAEzPTqVSPXr0UFV17dq1yFqWzWaRN1nX9draWp/Ph34xHN/v91dXVyMGwGAIjqyq6oABA6ZM + mbJkyZLvv/8ewyArV6585513unXrVlpaihpnr732WrBgwV133YUvjM/n69KlC9awIS6qrKwcPnz4 + 4sWLb7rpJr/f73Q6p0+f3qtXr/LycrlfqmgdkMGWbniPGNuRZQJObNKkSdjgcs6cObgHlwLTCvCO + sGGAZVlutxtTv1wuVxtTyCKRCLY0GD9+/KhRozRNu/nmm++55x7ZU4kp39h2hlMViHYomx3AyLm8 + sVgMhRQmOxmGMWHChEgkcs455zz++OMvvfTS5MmTX3vttSlTpowZM+aSSy7x+XzxePyjjz5Co0Tu + 57By5UosgIlGo4ZhYJ/gYDCoaVp9fb1oTZlVVla2cOHCTCbT3NwcDAbRreLxeLCzCs4EvS/YZhib + SyiKgnz5QohevXp5vd41a9a43e7ddtvt8MMP32effWpqag444ICePXv26NEDPVuyHN9zzz0vv/zy + RYsWIUVPLBb78MMPMbUXF8GyrH79+r3++uvr1q3bfffda2trZ8+ejXPG0NB/XGhVTSQS8XgcdVhF + RUWHDh2wq0w+n0eGaK/X++GHHzqdznA4/MMPP6iq6nQ6o9Eo4iUU60KI5uZmXdez2azD4fB4PBg6 + x+iQ3OnZtu1+/fq53e54PL548WLM9F23bh1ahx999FEymTzssMOwiw7eL1YpbNG3iOj/Z7euiBVC + qKqK1pLb7UYbAt805Nzr2LEj1g3Pnz8/k8lgDBYHyWaz6LYApHNNJpNsKG8xGYrYrdmfcDGRMUXX + 9eXLl+NOlCodOnTYsGFDPB5fv369ruuRSETuNFJZWWlZ1i677ILJY4XbxlMbsIoSWW0CgcCrr746 + bty4CRMmPPbYYy+99NL48eNffPFFDJJMmzZNCKEoCpZzCCFWrlwpa8zm5uZkMrls2TKHw9HY2IgJ + xpqmIdfWW2+9lUqlsFYETflkMhmJRJAaW7SuxsQ2a+l0ura2Np1OY7oy5gv07t1bVdV58+YNHTp0 + 11137dat2yGHHLLvvvtiVxl8kTCg2tLSEg6Hb7zxxs8///yRRx5ZvXp1ZWXluHHjYrEY5gvYth2J + RLp163bXXXd9+eWXZ555ZiqVamxs/Pjjj9F9iZo0kUjIbdwSiQSCMQzOVFdXo07PZDLt2rULh8PB + YHDMmDFY04XNbbHDZktLi6Io2Wy2ffv22C0AzRIZF/2m0tLSZDI5Z86cUaNGBQKBCy644M4778RQ + GGbo4TwdDofT6Ww7YRoRbWNbMoUMSTmQZB19J5lMZsKECaqqapp2/fXXX3TRRaNGjRo+fPiIESNO + OOGEk08++eKLL0b745prrsEAxa677oqJvO+++24+n4/FYqFQyOPxzJw5c+HChbFYrKSkRG7j1aFD + h6ampu+++w6NeyEEpp898MAD6BfB4AxG21GhyiQnGJwRQlRUVHTu3Bn7W2F8JpvN6rre2NiInSVR + PbvdboQBmBpbUVFx/fXX4yAzZ84sKyvDzsGxWEzmRC4vL7/vvvswv2vJkiWZTAaxB04eR8vlcn6/ + PxAIoE5avXq1EALtNpfL9cEHHwghmpubq6qqhBDJZBKbZmKrLxTB6MkuLy9fu3atqqoul8u2bazV + wUiOaB1xQkdUp06djjrqKEVRnnjiCSye6dChgxBi9uzZa9euNU0Ts0GEEFj5I1qX3xBtMXRn4DZC + F7R10NuK7yoClRkzZvh8Pk3T+vfvj7SBQoh4PG6aps/nw88cLapoNIolc/vss8/2el/FLh6PY7WA + oihIvIvO73feeQf9QX369MGgNz6+iy++OJ/PP/nkk2hilpaWooSZOXOmYRilpaVVVVUYrxatg9vU + hlQqhV2eR48eXVpaOnTo0OHDh48cOfKUU045//zzR40adcopp5x11llnnXWWEGLhwoXIDTN06FDs + //j8888LITDkFQ6HlyxZ8vHHH2MZCWJ7bCoqhOjSpcsPP/wghHC73X6/3+1247lCiLKyMvSvZbPZ + Hj16oKdPzqfAqL6maX369MH2LzfffLOcs40vjMvlwsp4VHz4kZqmGQ6Hr7zyyr59+9bV1X388ceo + 4zDOU1paGo1Gm5ube/fufeuttwohKioqXnjhBV3XE4mE3K8TAQxWvSIvqBACq3RQz+q6XldXp6pq + LBbbc889M5mMz+fbZZddMNOsc+fOwWAQc8bQGBBCLFmyBNlB2gg8MHh10UUXVVRUJBKJhx56KJVK + oWXi9/tRQMnqFSdJRDuILflBYocs0To9FKPeY8eOVRTlkEMOQRM8n8+3tLRgQUhJSUnfvn0HDx5c + UlLy008/RSKRVCp1zDHHYBH5dddd98wzzwSDwSVLllx55ZXHH3885jZEIhFsEGGa5hVXXIE16yec + cMLatWvXrFnz8ccf77vvvrNnz0bpJnuqdF1H/66u69iyTQiBYYrS0tJ77703k8ksXrz4igKu38EA + ACAASURBVCuu+Pnnn+VU+xUrVnz44YeiNan0Pffcg8GWlpYWXdfffvttzAfr168ftgHOZrN33XXX + 4sWLm5ubsY7w5ZdfxvJBp9NZONCMiW2idT2MEKKlpSWVSpWVlTU1NVmWtXDhwkcfffTiiy9G86Jj + x47RaNTn8zU1NaXTacRmhmGgLYgNiV0u17hx4yKRCAZhhBAul0uOd4vWbi1N0y688EJFUebOnXv/ + /ff7/f6WlpZoNIpdn/fee++DDz4YictEQf4Doj8JuY8Qb2OR2MqVK7/66is0AhobGz0ez9KlS88/ + /3x0cA4YMEDOJMHAKdabJZNJbEhy++23L1++vLq6Ggk/aAtgEGzWrFmRSETXdZfL5fP5li9f/q9/ + /QuLsw888EDEkCgQzj77bHQ533LLLRgEVlX1o48+Gj16dCwWu+mmmzDojZlRMvMhbQom+n755ZeJ + RCISiVxzzTXoPhOti4iQmfqII45At+D48eORXhmjFp999tmjjz7qcrl++umnhx56aMiQIRgxKy0t + lRvJX3/99UKIFStWXHbZZV9++WUul/vkk0+OOOKIW265BbOj6+vr8UiXy7V69WqslkT/I3r68N9z + zjlnzz33NE3zmWeeQT6u2tparLF89913V69e7fF4VFV97LHHxo4di/QAQojly5d/9913SP+At6yq + 6qWXXvr++++HQqGSkpJEIrFixYpAINDQ0HDggQcqioLhpkQigY4zJB3F1WhqakJdnE6n6+vrI5HI + xIkTd9999/r6+srKyv79+6N+r62tRS3f2Nhomia6IGtqanK5nM/nmz59+rp16zC1bFOfSz6ff+aZ + Z5YtW5bL5U455ZTVq1cvX7584cKF8+fPX7Bgwfz585uamnK5HNoknEJGtGNpO8vyb+4zYJomlmRg + QYhlWV9++SWONm3aNOzNDOjewG05f/2vf/0rCqYJEyYIIdCpgz+Fw+Gzzz67Z8+ewWDwtNNOk3sX + NDY2du/eHSVjeXm5HBS++uqrcWPVqlUYTLdte+TIkZWVlb169cJzZXJ3uPHGG2W0M2jQoKFDh+L2 + vvvuizO3LKt79+4Oh6NDhw7HHHNMdXU1Oqjat2//yy+/4JTq6uqEEB6PZ8CAAUceeaScRHHWWWfJ + ty9fF5Pc8C5s2z7++OPxYLwddCAJIUKh0DHHHIOn5HI51BxYMSmT8S9dulRWDwMHDjznnHNs25Zl + PS643K0cvddXXnklsqsddthhw4cPR8qEmpqaTz75RCb+l09hqnv6gza1D4zcwgJLcm3bbmlpmTFj + Br60p5122u2333788cfX1NTouu7xeG677Ta7ddYZfr9Tp051OBy77rrrHXfccf7555eXl6NdMmzY + MG5VtJHN2qfrlVdeEUL4fL5TTjnliiuuGDlyJC5sOBz+xz/+gYufzWbRXWKa5tixY7GOokOHDtdd + d93IkSNR+AwdOhQDZYWf9X//ve5YNncfGDj44IOFEAMGDIjH4wgL0ccnH2AYRp8+fQqr5rlz54qC + hG+oa6qqqs4888xgMFheXl64g8qxxx6L2g3lPAZSrrnmGhwQXxXU2pMmTRKt46KyImhoaMADli9f + juTmQojS0tJTTjmld+/eqGpfe+01PPiiiy5COLTffvsNGjQItzVN++6772zbbmlpsW17l112wREO + OeQQbPsmhNhtt92am5vlPkI4f0wDw7nZto3dPLFPpdzBtqKiQtO0vffeGzub2ba9YMECzHh87733 + UHnhdbEmB0858cQTV65cualPJJfLjRgxQvzn6ApGYFDFjxkzRrZhCvfnIaI/Y6vsA7OFAUzhY1au + XHnvvfcKIXbZZZdoNIo5qbJ4kttW1tbWlpaWCiF69+4tt7WaOnUq9oZH6TZs2LDa2to99thDCHHV + VVdlMhkZBkSj0f322w99Py6Xa/fdd58wYcKcOXNQrC9btgznZhjGiBEjXC7XkUceiXvkEZLJJHp2 + 3333XbwE+Hy+Tp06yS0pbdu+/fbb99xzT/wJBdlZZ52FFPi46KtXrz7ttNM6deokWpddCiHuuOMO + 5IHBu8a2noUXCiHfqFGjZEYmRC/t27c/7bTTXnnlFdQfWAj08ssv42G//PILrjk+gtdffx1LhgB7 + U8ql0liOiZeTxe5tt92GWkSGah9//LFt2/iMMI3NLtjqjuh3bSqAkV9FeX8ikZg/fz5aXYUjhO3b + t3/kkUfQFpFNKMuysPmSTFqKgce77rorGo22vVfmTuiPBzCWZc2aNaumpqawlSaE6N+//5133ikf + hkJAbuk7ceJErL0WQqBlfMMNNyxfvlw+HqVrYafVTmILNrLE9C0hxCOPPCJb6vKbn0ql8PX+29/+ + 5nK5QqHQQw89hL8uWrSof//+cpjrpJNO+uyzz/7+979jtzQcCmeSyWTuuusu+RFXVlbecccdGGFz + u90TJ060bRtzASZOnIhZUtiaGeMYdus+yE1NTdFo9Nprr0UliAhK1/Vhw4YtW7bMMIx4PP7UU0/t + tdde4XBYTvfq06fPokWLGhoaZOfFzTffjPQbiqIEAoFAIDBq1Kiff/7ZLqiX8eqF1yoSifz73/9G + +IT6V1XV8vLyoUOHPvjgg/j64fjz5s1zuVyBQKBwI0vTNGfPnt2nTx+csxDi888/39TnEo/HR4wY + gS3aMDKJEFFObRgzZox8MKIjIvrztkoAo9htrtvGxpSXXHIJcoZYloVl8ShWYrEYpqtiLhMWbAgh + DMPAisNcLudwONCfhz9hPTr+TaVSSFX0ww8/tLS07L///njRTCaj6zoWCOJ96rqOxf1NTU0rVqzA + VjOFLySESKVSckssTOb2eDyRSEQ23GXqFfRmNTU11dbWWpbVsWNHDAFhPY+u6/X19dhrZc2aNclk + co899tA0LZPJYM5b4XyJ2tralStXut3ufv36CSHs1uhOLpmVc4jT6TSynWACHpYs48Eul6uhoQFh + CRY967oej8cDgQDeoHwXyWTS7XY3NTWlUqkff/xxv/32k6NJuKTy9PBffGq4/fLLL1dWVnbt2hW5 + 7fHRCCGam5tLSkrwSHlxiNr29NNPK4py4YUXitZflvzuYVkaFlbhO4Ybn376qZxy2atXr+rqarQV + 8N3DDyQWiwWDwRUrVixevBgJlLp169auXTuPx4O1Mdv3Xe9ofl0+b2qaPj6dDRs2NDU1/fLLLyi6 + 99hjj/bt23u9XjnRv3C5M55i2/bSpUu/+uqrLl269OvXD0vAsScV0pkYhuF2u3e2cgOXGpf9ySef + VFX1oosuavsp+IaL1goOKfvlTwYVKNadIzkNMvwiZZxt242NjT/++OPAgQMty5ILxuQmxdiPGB1Y + Ho/ns88+q6mp2WWXXXAcHL+wisTtDRs2VFdXy9oHD5PniTmcS5YsSafTZWVlNTU1SE6Dvdew30s6 + nV67di12gezQoQOWwMl6BFMwkJhUCNG9e/dgMIizRcmA15IFCPIW4ppEo1HsAoepjE6nc926ddXV + 1dg9MxAIJBIJTLfDl1C0ZgbCW0ulUvX19atXrx44cKBcGbspyNqMnegKr4+8YmiNbOmXhYg2hqJJ + 1lnPPPOMbdu/W4puZLMDGK5jI6I2AhjaZlg+by9bEMAQERFslQCGtR0RERERERUNBjBERERERFQ0 + GMAQEREREVHRYABDRERERERFgwEMEREREREVDQYwRERERERUNBjAEBERERFR0WAAQ0RERERERYMB + DBERERERFQ0GMEREREREVDQYwBARERERUdFgAENEREREREWDAQwRERERERUNBjBERERERFQ0GMAQ + EREREVHRYABDRERERERFgwEMEREREREVDQYwRERERERUNBjAEBERERFR0WAAQ0RERERERYMBDBER + ERERFQ0GMEREREREVDQYwBARERERUdFgAENEREREREWDAQwRERERERUNBjBERERERFQ0GMAQERER + EVHRYABDRERERERFgwEMEREREREVDQYwRERERERUNBjAEBERERFR0WAAQ0RERERERYMBDBERERER + FQ0GMEREREREVDQYwBARERERUdFgAENEREREREWDAQwRERERERUNBjBERERERFQ0GMAQEREREVHR + 2GQAk8vlhBCapmWzWflfVWXAQ0TC7Xan02ncRuHgcDhwg7aZTCbj9Xrz+TxKZsuytvcZ7SxwqVVV + zefzXq83k8ls7zMiIioOuVzO4XCI1saDECKdTrvd7s09jmOTf3A4cFBd14UQ+DeVSuEGEe3MYrGY + w+GwLEtVVdM0VVVVFMXhcOTz+e19ajuFeDweDodt206lUpqmCSEymYyu67z+24bD4cAFF0KkUinb + toUQLS0tgUBge58aEdEODU2FXC5nmqbL5bIsy+FwxGKxzT7Opv5g27aiKEKI0tLSRCLh9/uFEF6v + 98+cNBH9b1AUJRgMptNpr9fr8/lwZz6fR2Oa/tvC4TB6lzRNSyaTPp8P0Quv/7aRz+cRvSSTSYfD + oShKOp0Oh8Pb+7yIiHZ0sqqSIyXBYLClpWVzj6Og6+jXEMA8/PDDTqfT4/FYlmWapm3beD0i2pl5 + PJ5oNOr3+6PRaCAQyGazuq6bprm9z2tngSlMDodD1/VUKmVZltfrlaMx9N+GmWOpVEpVVUwh4yxr + IqI/yOl0ZjIZl8sVj8dDoVAikQiFQqNGjdqsg7C0JSIiIiKiovE7wylOp7Ndu3ZHHXUUppAREQkh + HnvssZKSkuHDhxdOK+UUpm0pnU6/+OKLmUzmzDPPxCw+Xv9tRl7qZDL58ssv5/P5c845ZwsWoRIR + 7Ww2qqpSqdSUKVO2YArZJgMYLIARQkQiEb/fj9W6XMRPREII27ZjsRhabMlkUtd1RVE0TeMi8m0D + i/gxBI/ohYv4tyVN03DBfT5fLpfLZrNut5uL+ImIfheaCrZtZzIZn8/ndrtjsdim1rO0YZMBDNKc + ud3uZDIphMhkMh6Ph4v4iUgIEQwGo9EoZvw7nU5kJJO5Eem/DevFFUVBGmUhhK7rvP7bTC6Xk+Gi + 1+vF6i8u4ici+l25XE5VVU3TZD76XC4XCoU29zibXAODijCfz7tcLvlf7jNAROI/s7bLhO5sPW9j + WMFfWA1s7zPaWciNdzRN48QEIqI/Tu4aJ9sMhTvL/XGs8IiIiIiIqGgwgCEiIiIioqLBAIaIiIiI + iIoGAxgiIiIiIioaDGCIiIiIiKhoMIAhIiIiIqKiwQCGiIiIiIiKBgMYIiIiIiIqGgxgiIiIiIio + aDCAISIiIiKiosEAhoiIiIiIigYDGCIiIiIiKhoMYIiIiIiIqGgwgCEiIiIioqLBAIaIiIiIiIrG + fz2AyefzQohUKiWEME1TCGEYRjablf8VQnz//ferVq2aMWPGTz/9JIRoaWnBs4QQeKQQIhKJrFy5 + 8r333lu4cGFzc7MQIp1OCyEsy8KhkslkNpu1bRt3ZrPZadOmyZcWQti2nU6nM5lMNpvFYQ3DMAxD + ngkOaJpmNBqV5y+f3tLSghuZTEa+qVwuV/g2iXZy+AFKlmWZppnJZIQQuVxuw4YNX3755RtvvLFq + 1ap4PC4flsvl8ENOpVLyp2SapiwiDMOwbbvw4LIYEQWlBG0KyrFEIiGEQGH7xRdfLFy4EIUePgt8 + BKL1Q8SfhBALFiz49ttv33jjjdWrV+ODkIdtbGwUQqBApv8G27bx6ZimOX/+/LfffnvhwoW5XC6f + z+OXYtu2/MnYth2NRj/44IM5c+b8+OOPuBMfOsjabdmyZR988MHcuXNXrlyZyfx/7L13fBVV/v9/ + pt65Pe0mISSUAAEBBSkiAjZ0FVxEBEXWBbGDdbGvrouy6No+KHaafaXYFUQsKBYERRRUiPRADJCe + W6fP74/XL+eb1YVdXZSNvJ9/5DGZO3fu3Jl73u/zrsfgPwM+lFoOT65V+SdC8fEfDGuhAaFSU6kU + Dmh5JGlJgiAOIL+4ASNJEmNMVVXGmKIo2FZVtba21vO80aNHd+rU6cQTT+zQocNJJ53UvXt3URR7 + 9ep1+umnv/HGG7W1tXjjnDlzunXr1qtXr+HDh/fq1SsnJ6egoODiiy9mjImiGI/HPc8LBoOO43zy + ySczZ848+eSTfT7fxIkTN27cqGkarsRxHE3TfD7fs88+261bt1Ao1KlTp27dunXo0KGgoCAcDnfs + 2DEWiymKMmPGjM6dO/t8vj/96U+BQCCZTDY0NGRlZTHG0ul0IpE4+uijo9Hok08+mUwm0+m0ruuS + JHH5ThAEEJo56qijYrFYly5dBg4ceNZZZx133HHt2rVbtGhRfX09Y0ySJMx7HMe54447CgsLo9Fo + aWlpLBYLBALZ2dndu3ePxWKdOnUqKip65ZVXGGN+v19V1WQymUwmISWI/YBbdNZZZ0Wj0Q4dOgwf + PnzQoEH9+vXr3r37U089FQ6HWbM1whiDwZnJZL766qv+/fsfd9xx/fv3P+uss9q3b3/cccfNmzcv + mUzCtszLy9u9e3d2dvbB+2a/WVzXhX6ZOXNmly5dVFUdMGDAyJEje/XqpShKcXHxUUcd9be//c00 + TUmSdF3fsmXLuHHjcnNzhw0bdswxx3Tv3l1RlL59+37++ees2cj85ptvRowYIQhCWVnZ6NGj+/fv + X1paevbZZ2/btk3X9XA4zIeSrutt2rQRBCEnJ6d9+/aKonTq1Km0tDQ/P7+4uPi+++5btmxZfn5+ + u3btevXqVVFRAUXPGPP7/YyxYDA4bty47OzsAQMGZDIZURRZ8++KIAjigCD/Cp/heZ4sy7quw5aQ + JCmZTL755pvnn3++oigQan379o1Go99+++3evXv37t27c+fOo446asSIEZZl/f3vf7/33nszmYzj + OF27do1EIt988011dfVXX30Fg8F1XVVVm5qaLrroohdffDESicDnZJomJk+sRbRH1/WmpqaqqirD + MERRhJ8pFAolk0nbtnVdr6uru/322++9995AIDBz5sxzzz23X79+OEk6nQ4EArfccsuaNWtCoVCf + Pn1g1QDbtmGhEQTREkEQvv76a8Mw+vTp079//xdffLGiooIxNn78+Pvvv//SSy+VJCkYDFqWFQwG + a2pq9u7d6/P5KisrCwsLm5qaIpHIjh07GGN1dXWMsXA4jOBMIBAIhUIH96u1FizLqqure+utt3Jy + coYMGdK2bdvVq1fv2LFj+/btl1566ffff3/dddfl5+cbhqGqqqZpVVVVPp/vyCOPxNtPOumk7du3 + b9269fPPP6+oqIjFYiNHjoTpUlBQcHC/2m+Vurq6TCYzfPjwb7/9FntisVj37t2///77jRs31tbW + 7tmzp7Cw0OfzMcaSyeQpp5yyfft2z/MURRk4cODmzZurq6u//PJLhFygfMvLy7/77jufzzdgwIDc + 3Nxvv/1206ZNr7/++uuvv75u3bo2bdrEYrGGhgbXdWOxWDKZjEQiDQ0NMH4aGhp4bkJTU1OfPn1G + jRo1d+7cXbt2vfXWW+ecc040GjUMQxAEVVWfeuqpDz74QNf1G264we/3Q/sHAoGDcysJgvgt8osb + MJ7nYfYPHwx45ZVXzjvvPFVVfT7f9OnTr7vuuqampmg06rpubW3tp59+umzZsry8PMbYd999d/fd + d6fT6Z49ey5YsKBHjx5IHVm5cuXHH39s27bP54PZoGlaly5dTjzxxF69elmW9cgjjyCvzHVdSZK4 + aaFpWiqVMgwjKytryZIlPXv2rK+vdxwnEonU19crigIhO3/+/PPOO48xNm7cuFWrVuXl5aVSqWAw + +PTTT8+cOVMQhCeffHLgwIGMMexv+U0JguBgUDzxxBPDhg3LyspKJpOPPfbY5s2b+/XrF4/Hn376 + 6UsvvZQfLIriH//4x379+nmeV1NTU1RUlEgkIpFIKpV67rnnNmzYYFkWwqQtfQoH7bu1Hvx+v6Io + c+fOHT58eFZWFuaUe/bs6devX11d3bvvvnvLLbcwxjzPgw1TVFQ0bNgwVVXbt29fXl4O6V1RUdGp + U6fa2toFCxaMHDmyTZs2tm23FOzEgaKxsVHX9fbt2zPG8vPzR48e/eijjyYSCZ/Ph/yFPXv2zJkz + xzCMVCrl9/v/7//+b9u2bYyxl19+ecCAAUVFRYyxLVu2/OMf/4jFYrZtIzDSu3fvZ599dsCAAdFo + lDHW0NDw3XffDRkyxLbt559//q677rJtOzs7GzljyWQyGAxOmDDhvvvuq6+vD4fDhmG0adMmmUzq + uh6Lxf7617++9tprNTU1N910U8+ePQcNGgRrqqKi4sYbb6yurp48efLYsWNN07RtGyr+IN5SgiB+ + a3j7ZdasWY899pjneSgvcRxn/8f/GMuykDKLv7Ztb9mypX///oIghEKhd955BweYpom0BM/zYKJ4 + nue67ttvvy3LMmPs6aefxglxKsdx0uk09qRSKV3Xse04jmEYS5cuZYxpmrZp0yZcQzweRzg+mUw+ + 8MADjLFgMLh69WrDMPChLamsrPQ8b9iwYbBMLr/8cuyvrq4uLS1ljI0cOdJxHNu2GxsbkcDGbxFB + HArMmjVr9uzZ2IZYMAzD8zzXdV3X5Ychr9K2bcMwGhsb+U7TNMePH89FUGNjo2VZXLy4rouz1dXV + 8TPDX3DxxRdXVVXhMMuyqqurvWYhcwjyk+QzryPiAjadTp9zzjmapkWj0UwmE4/HuaD2PI8xFo1G + 77nnHrwrmUx6nnfhhRcyxn73u9+1PPMhKPpwq/HFH3vssVmzZh3wjzj99NPxCB555JFMJlNfX89f + wufysk9d1zt27JiXl1dcXKzrOn/QGDgcDEy8pampCQckk8nDDjssEon0798fgU3P8yzLymQysixL + knT++efz35Vpmlzt4uRz5sxhjMmyPGLECM/zKisrHccZMWIEY6yoqKimpsayLHxuS7FAEMQhDgQI + ly2zZ8/+GVL0F3eeeS0qPpHTtXTp0s8//9zzvCuvvHLo0KGwTyRJ8vv9siwnk0lN0+BeTaVS27Zt + kyQpLy/PsixYC0iX9zzP7/fX1dWZphkIBARBME0TubYIuTDGIDGxoWlaMBj0+/0+nw8bjLHc3FxV + VWVZtm07Ho8jYsMYa9u2bSqVevjhhxVFCYfDs2fPRhrxNddcs2PHjpKSkkceeUQURVEUo9FoOBzG + pE1RFJ6oRhCHMly+sOYyGNu2uf+1qanJtu3Bgwdj7DPGgsGgLMuiKKLkFzaP53k5OTkocbnppps+ + /fTT4uLihx9+uE2bNul02jRNWZZjsRhrUW5O7Afc23Q6rWkaItJ+v3/AgAHIqs1kMuFwWJIky7Ig + P7Ozsw3DQP5SfX19MBhMpVJ1dXWiKJaVlVVXV+O0VVVVlDp7wPnwww9ff/310tLSjh07XnbZZZqm + ZWdnp1KppqamdDqNG47sAwwQXddra2s1TYOyY4xhv+M4mUwGBgm0Kurpsa2qajAYbNeuXTwe79Ch + g2EYsiyn02kMRngfQqGQ1xyQQeQTWd9ozjFhwoTTTz/dtu033nhj7ty5bdu2XbRo0dtvv80Ye/jh + h/Py8mRZNgzDdV1d13lHHIIgiP+eX6mIH389zxNFcf78+YyxrKysyZMns2a1CnvAMAwkhPDSlO7d + uxuGUVtbO336dF3XI5GIruuKokiSZBhGbm5uy8YAvMYGrVQQ8MGrOAzRkmQymclkUqkUb88iy3Ik + ErFtG50AKisrg8FgaWnpY489lkgkLMu6+OKLX3/99VdeeUWW5euuu65t27a6rnNzhbdOIkVOED9G + EIRAIIAyfVSsCYLw8ssv27Y9evRo+HpxJMaRJEmBQCAej2P766+/vvvuu7Ozs2fOnOl5HqZoqqpy + 5wj8EcR+wG23LAtJPplMBg3innnmGcbYlClTWhbiY246fPhwQRCefvrppUuX5uTkuK777bffvvrq + q67rjh07Nj8/H1K6qKiImpcccFavXi1J0rZt22699VbGWDqddl03GAxGo1GoSM/zoFWhdAYMGBCL + xbZs2XLvvfei2SbOI0mS53mKovj9ftd1E4kEnHTIBzNNs7y8fNmyZR07duzevTsyDhzHsSwLrj3o + ZbwF9f2apsmy7DhOMBj0+Xyu6951112MsVAoNHny5PLy8gkTJhiGMXny5FGjRu3atYs1632/30/Z + ngRBHEB+cQNGFEX4R3Vdh9dn5cqVoVAoKyurpKTEtm1Zlj3Pg29GkiSISzj/6urqhgwZcuqpp8qy + vGPHjnbt2k2dOhUClDEGTSwIgmVZLV2woijibLwiBXlijLFIJMIY8zzP5/MFAoFwOAxhjbdzW6u4 + uBgXPHr06N///veMsY0bN44cOTKVSvXv3/+qq65CSSJv2BIKhaBFqMsKQQBuXfBGGn6/H7HTL774 + YsyYMcuWLevWrdvcuXMxdnRd511ZcTxKX/x+/4033sgYGzRoEJJqMKlCh1bbtjOZDO+AROyLVCql + qqpt2+hY5fP5Pv300+HDh5eXl+fk5Fx33XWMMfSXR8Wgz+e77bbbwuGwKIrDhw8fO3bs66+/PmDA + AMbYAw88MHjwYMuywuGwrut4y8H+fr81PvvsMwyHQYMGMcZg/yMkAkcAkg5QBSoIwjXXXFNTUxMI + BKZOnTpy5Mgvv/wyFArxUAnOiUeWk5ODRGufz/fCCy8MGzZMluWuXbvedttt8A6Ew2EMQNM0Xddt + bGyMRCKojEokEoZhoOUma27sWVhY+Oijj6ILzmGHHaaqamFh4bRp00zTLCkpwdWyf+7mTBAE8d9z + wIr4YYrwmtp4PA5rgTUnLWialk6nGxoaNE1LJpNDhw5ljCGcYlkWgic84wtyGY6f+fPnn3LKKWvX + rrUsa9q0aYsWLbr55pvHjx8PEcwYg8a1LEtRFM/z4O4VBMFxHNgYoigqiuK6riiKsiw3NTUhzez2 + 228vKioKh8NNTU0+ny8UCl100UU5OTm4bMR5Hn744cWLF0NJO47zxhtvQGqbpomTCWf9ogAAIABJ + REFU4xPRSh8eLII4ZGnZxwLDGX9t277ooos++eSTHTt2YGxec801f//731VVRcqKbdu84zljDKMb + 7QqXL18uiuJ9993nui6GPGs2YxhjPHpD7AfcW7/ff+211z7//PN79uyBQLv88ssffvhh1hyiYS26 + khQVFS1ZsqR///7BYHDRokWLFi1ijD355JMTJ06EePc8T9O0+vp6LjOJfeG6LnxtLVt+886ciIbh + t51IJMLh8FdffaUoimmaBQUFMNGRHYBc68bGxkAg0PJUQ4YMmT9//gUXXMAYW7169cCBA6+66qo/ + //nPhYWFfMUYn8+HlIQuXboYhrF79+7CwkI0Axg3bhwUGcYvYizYKC8vv+aaazzPy8rKQv7CSSed + dOqpp0Lhuq6bnZ09duzYxx9/fP369Ywx9NvIzc31PM+yLFyt3+8nK5cgiAPLAdP9sizX1NSgdVhD + QwMSElKplCiKOTk51dXV+fn5iqLU1tZiot+mTRu8kQtxmAQQ33gpKyvLsqysrKwPPvjg9ttvf/DB + Bx3HqaiouOyyy9auXXv//ff/jOsUBCEWi6mqmkqlXnvtNd4XUlVV0zRvuOEGiHhZlpG4n5eXN3bs + 2IULF2ZlZXXv3j0UCiFHHMrDtm3IaJ/Px6dWBEGwfzZmVFUNhUI7d+7kYZZ58+Z169Zt5MiR6N6L + 4cMHEbJTIpHIpEmTDMO49dZb27dvT+u9/GxgRqbT6ZqaGt6NOh6PL1q0qHPnzpMmTeLWo6qqeBy2 + bT/22GOMsVQqBQuTMTZ9+vTu3bsfddRRjDFBEJLJZE5OTk1NDYqRiH0hiiJSufAv6jM1TYP6gzWe + yWT8fj/PCzBNs2PHjowxv9/PUythNvD2/VCamUxGUZRzzjknOzv7xhtvXLduHWPswQcffPvtt2fP + nj1kyBA472BqOo5TWFi4atWqoqKiqqoqdDDr379/x44dQ6EQr0OTZRmrC6xevXrjxo3I52SMxWKx + du3anXzyydwnyBjLycm54IILpkyZ4nleMBg86aSTBEHA99V1PSsrq6VaJwiCOCAcMANm27ZtF154 + oaqqqK2PxWK1tbWQcfn5+TU1Ne+99x4K7hljqVTq+++/Z4yhKaRpmo7joNoPNfEQi7ZtY8ri9/vv + uuuu008/ferUqe+++64kSQ888MBnn322YsWKn+p/NU0T5SuSJN14442xWAxhGZ7hhkYCgiAEg0FR + FCsqKhYuXBgKherq6j766KP33nvv5JNP/n+3T5ZZCxsMYagDdUsJovXyg5bimUzm0UcfffTRRxlj + 77777muvvfbwww9fddVVq1evnjt3riRJGIOoEgau695555319fUdOnS48MILW8ZniJ8KYtSBQODB + Bx985plnXNd95513nnjiiUWLFk2ZMmXt2rUzZ84Mh8OyLMN3E4/Hp0yZsmDBglgstnLlyrVr155/ + /vmapm3dunXkyJFLly7t0KGDpmmhUIj7rYj9gNyEeDx+//33L1u2LBgMIpMZi4/5/f5UKqUoygUX + XHDOOeeg2w1jrKamxnEcQRBQ84liTt7nJpPJYB0kn8+HxOlTTjnld7/73cyZM++9996qqqry8vJj + jz327bffHjJkiKqqCJRJkvTKK68UFhbW1NR8+OGHM2fO/Oijj3r37j137ly0mMPQwzUzxo455phx + 48ah+jQej/t8vqFDhyKcwtV0Op1+9NFHg8FgMplMpVL33HPPtGnT9u7d26ZNG7gkoMe5ZicIgjgA + 7L9J2X/epvOjjz6CiwXzDMzjFUWBwNI0ra6uznEcXdfbtm3LGCsrK2v5dnR1BLxNajweN00zlUrx + vp+e57344ouQhsFg8N577/X+uaMlsoQXL14MBbB58+YfXzyKDn0+38aNGz3P03U9kUjgJWQVYxs1 + jkcccQRjLCsrC98IyWNohM+PzGQyyAA+ZNu5Eoca/2EbZU4qleJ/wdSpUyGCPvnkE+zhfZZRKvP+ + ++8zxlRVffDBB3nf2F/uG7VGflIbZd5UFwlL2EZ9EWNsy5YtLftavvHGG9j/6aefVlRUeJ5XU1Mz + btw4SZKwUiHe/v3333vNbZcPKX5eG2XDMCZOnCgIAg+hIFsPBkl2dvbf//53LAlw6qmnIqhlmiae + y76aI3Pq6uqQfe153oYNG0499VR8SufOnZuamjB2+KIF6Fq+Z88ez/NQ2hSNRuPxOBZJwwlxhZMn + T/ZadMo2DANd0ZuamhzHQXPn2267DQfzvPH33nuPfxw+mtooEwTB+d9qozx48OBVq1Zt2bLlyy+/ + XL169ddff41Ff7dt27Z58+aVK1fm5OQgUJ6XlxcMBisrKzdt2sSaa/tQJsgYQ8QGgjscDiuKYhgG + +n7W1tY6jjNq1KjXXnstFoulUql33nnnp14n/FiCIIiiCOWB6pempiZ0CEWwPh6Pq6o6ffr09evX + a5r2zjvvoGearuvXXnttMBhErzMcjL4CgiBQ+IU4xPFatE3ne1zXDQQCvCaNMZZOp0eNGhUKhQKB + wKeffup5nq7rLde5c1132rRpcAaPGTMGuTeURv/f4LoullRHeg9KI84//3yk+77zzjto3ojntXjx + YlVV27Vrd/TRR7dr1w7FG88///z48eM9zzNNc9WqVY7jFBUVpdNpei7/CZlMRlXVqVOnfvPNNxs2 + bPjss8/Ky8tXrVr17bfffvvtt19//fWyZcsmTJiAkAWWeWWMLV26FFoG1fA8KyGdTqP9DLKg0+k0 + OsVBMR122GFLly4tKSnx+/1btmzZtGkTGtXwLANZljOZTEFBged5U6ZMYYyJovjVV18FAgHU3vDL + hkWEHhsolEIGdSQSEUUxOzv7xRdfvOOOOxhjDz300DPPPIPrh2GMrxAIBFKpFLWqIwjiwHLADJhk + Mtm1a9dOnTp169btqKOO6tatW9euXTt27Ni+ffvS0tIjjzyysbERqbojRoxIpVLpdPqee+5hjIVC + oT179vDz8FVc0OyYMRaJRKB38/LyIJ1POOEEZF1jjYKfBDyIyFcxDCORSECyR6PR3NxciGYkFq9b + t+6uu+5SFOXmm2/u16/frbfeilUsFy5cuGzZMlmW/X4/MpVZi/pIgiA4XnNQlDWbH3BYBAKB9u3b + p9NpXdfLy8t5EiayVjRNW7Jkyfvvv+953sSJE1Evl0wmqczsZ5NIJLCWCGtulihJkiRJHTt2RGOV + JUuWIB/Jtm1RFFevXm2a5pgxYzA/VhQlEok4jjNlyhT49T/66KOWbXyJfws0RVFRUffu3du0adOj + R4/OnTu3a9eua9eupaWlPXr06N+/P+9JfeKJJ+Ix3XnnndhAZ074yBKJhKIoiqJgEVLW7DiQJCmZ + TCIB7Pvvv7/mmmsymUxxcXFVVRWuATETdNEIBoOWZSFZGueEPoXXwPM8URRVVUWXM/Sj4/0A8Ney + rNra2rvvvtuyrBNOOOGKK64YMmTIySefHAgE1qxZM23aNN7dwfM83ruMIAjigHDADJhQKIQ28/gX + niE0cKyvr2eMZWVlQYKPHz8e61u99NJLS5cuZc1ZZ5id8KqSnJwcLO8gSRL0rm3bTU1NkiRhAa+a + mpqysrKfep2yLCOA7jU3U8biX6x5aoUiGU3TJk2aZJpmWVnZTTfd1NDQEIvFZs+eHQgEqqur//rX + v1ZUVLDmjDKcGU0n/9v7SBC/Fbj14jhOTU0Na+6NgcnWJ598Isuy67odOnTgk2B0RvY8b8aMGdhz + ++23Y4M8uP8NyO+FfHYcBzfcdd01a9YwxgRBOPzww1lzgJoxVlBQIEnSyy+/jMwiLFuJxYLhV0Ld + i23bZMD8J2QyGVgarusiXzoQCEiSFIlEYAfywn0cP3jw4DFjxjDGPvvss4ULF1ZWVrIWLS78fr+i + KIlEAgutYAUzxlhTU1MoFII+bdu27Z49ewRBqKqqgg6FesrJyUmlUvAJ4uNWrlzJGJNluV+/frBX + kdKGUFtdXV0mk4F7Dp05WYslB2bNmrVhwwbG2HPPPZdMJoPB4Lx58+CGQKyJMRaPx0OhEKymX+t+ + EwTx2+eAGTCO44iiGA6HPc9LpVLwDEG35eXlwYeEepiysrLrr7/etu3Gxsbhw4dPmzYNOhJ2RSaT + eeKJJwYOHHjvvfcahjF37tzJkycvX74cnXCi0Wh9ff0NN9wAWfyHP/zhZ1yq67q4MOTy8gvj2S+R + SOSBBx5YtWqVIAhPPPEEpD9aP5944omapn322WevvPIKt9aAqqpUoUgQLUHaieM427Ztw5wJM60N + Gzb89a9/RXfy0aNHo6VvXV1dJBJBP8MPPvjA5/MNHjw4Ly+vsbGRMRaNRvmKFsTPoLKy8osvvuD9 + 31Op1O7du8844wys4z5q1CjLstDtt6GhAX3Gdu7c+be//S0SiSCtd/fu3bfddpthGJFI5LTTTjNN + E/4mvtYHsS/8fj/WLIJm5CYBYwzeNKw4iQQt1Hz+5S9/8fl8nudNmjTp2muv3bFjB7/PNTU1q1ev + Pv/88ydPnlxXVyfL8sCBAx944AGMI9gJ8+fPxyqljDE8LATWtm7dGgwGYX/6/f4VK1agn6ckST16 + 9OBLpQFZlsPhsN/vh2pLpVKweVBGtX79+r/85S+6rs+ZM6eoqCgUCvl8vnA4/NRTTymKoqrqNddc + 09TUxAtjyNYlCOJAsv8SmZ9UJPqTuOWWW6D8EGUuLS0944wzCgsLsVOW5enTp5umyR2x4XD4rLPO + GjhwIFYIZowNGjQIp0omk57n6bp+4403BoNBvg4Xa04Yi8ViDzzwwI+du1u3buVv9zwvnU7ja37z + zTeI+UyfPp3vr62t5S9BEK9cudJrUVsZj8cP1M0hiP9x9lXEz+u5HcfBaIrH4+gcGIvFhg0bdt11 + 140dOxYBAUmS/vznP6fTabxF13Vsv/zyy4i+3nPPPZZl4Zy8jJjg/CT5jIrBvLy8MWPGTJo06fe/ + /z0ShGRZRq6R53mpVAp3u6qq6pRTToGc7Nat2+TJk8eNGwfJrGna3XffjXOiPvsA6oXWws8r4v/P + wWh6+umnYfBnZWUhVnP88cf36NGDMYYBcvLJJ8PshFEqSVL//v1PPvlk9F9mjImiiHp6cMstt0Db + XnTRRRdeeOHQoUPxxvbt28+bN89rLrXHt8MZ/vjHP3qeh1ZjOEldXZ3neZs3b+7bt6/f7x8zZkx5 + eTnuBv89HH/88bjIxx9/3PM8y7JQ7k8QBOEdoCL+g2bAeJ63YsUKiDneIBUh8t69e99www3r1q3z + PG/BggVdunRhjAmCgPWhcdgDDzzwg7PV1NRMnDgR55FlOTs7G00nGWOFhYX33HMPbzV2xx13YOWW + NWvWYI+u6xDKnuc1NjZiwe/DDz8cDWH4+bGBJmaMsSuvvHLbtm3wq+ElktHEIcK+DBivxRyItzxC + JgkmNCi0YIz17duXz4MTiQS3T2pqaqZPnw7XxsKFC70Wk8VDcKK8f/5z+ZxKpd566y1kMSGUjQeR + n5+PM3gtmrzBjNm6desll1wSCoW4Bz0QCJSVlS1evBiHYUVgj7qQ/TIGTENDg+d51dXV6B+D4cOz + yLp163bFFVe8++67nuel0+kBAwbwghNES3Jzc/v06bN8+XKcsL6+vqmpacaMGXl5eThJXl4erKBB + gwbByLFtu+UwREXo1VdfjT2ZTIaPccuyHnroIehldBFMJpP8vaZpfvrpp/jZoFIUxg9XsgRBHOIc + EAPm/89H3xezZ892XXfSpEl82d0DlSWFJVN0Xa+pqbEsa/PmzTU1NR07diwtLY3FYrydF8ImmUxm + /fr1GzduzMvL6927dzQazcnJkSSprq4uNzcXQe0fLHLX1NTk9/tVVUXvZvix0um0z+dDNySsGpZI + JJCeyxirr69XFAW+YZ6soihKZWVlcXExa14jmTUv/JJMJjEJqKmpCQQC+AiCOBSYPXu2IAgXX3wx + a17egecmeZ6HAcXFRSKR8Pl8X3/99ZYtWzzPy87OLigo6NixYzQaTaVSgUAAS2Hwk2Mx+KamJky4 + aRW8ffFT5XNNTc3evXsrKiqSyWQ0Gm3fvn00Gi0qKnJdV9d1LNKF2w7hZtv2pk2bEonE3r17GWNH + HHGEqqpFRUWmaabTaVTzY3nEX+f7/u+AW43b/vjjj4uieMkllxzYj4CGYs29vAzD+Oyzz2pra7t3 + 7x4MBrOysqLRqCRJcP9ZlrVnz57vv/++oaEBo6m0tLRz585YUqnlabdt21ZXV7dnz57a2lq/39+n + Tx+UkmIJgUAgkMlkHMcJhUJ8QWos0IS6Fz7McQf40md8Q9d1dDljjDmO07JdZ8sxThDEoQwkCddZ + c+bM8Tzvp0rRg9b2F8tWappWUlJimmZ+fj4araKGHoYBUn7xJY877rghQ4ZkMplAICAIArrj5+bm + 4gDTNLlgxdJgvCUrKlYxqYKG5h1UsOwxvyRN0wKBAM5j27bf70fbtOLiYkhnVVUxl4LO8DwPlkws + FvM8z7Ztx3GoURJxiMMbCfLJNEZZnz59jjjiiJb1+plMJhgM2rbNR41hGGiL5LoupjuWZeHtaFl2 + CM6VDwjxeDwSieTk5ITD4U6dOgmCYNs2j4ZhDStsQ4qGQiG8pXv37rquoxVVSxuVC1gIVeLAwr1j + 6FKtKEooFDrttNOqqqqKiopaHoklMhVFKSkpKSkp8TwPelPTNAxAwzAwvgzDUBSltLS0Xbt2cFzy + wQirA48S487zPE3TYIG0XEMWPwAskoaVT3ft2hWNRiORCDrd8YMRneNmGGvh2iAIgvjvOWhF56lU + CsEQ5O/6/X64eYLBYCgUQvKJ3+/3+/2SJAUCAcuysHKLIAholRMIBNLpNCrpkS3Gu7WGQiEen0I1 + Id7lui5vswOpCnspnU43NDTAE6yqajKZ9Pv9juNomobzm6YJLzLmUnV1dYyxcDjsOA46rqBnC1kv + xCEOFg7n//KZMbIrMWEyDCOVSkmSxMcgPwwTZcaY53l8rmzbNhpvkPXys0E+DyrF/X6/pmkQVrt3 + 72aMqaoaDofxCPx+P6aePp9P13UsAMILzZFlhMeUTqdd19U0jZorHHAwNBKJhKqqwWBQVVW0skC4 + zGuuzGSMybKcSqX4I4CfLhAI8DXN0OuPMebz+WDSiKKILsyMsVQq1djYiKXMGGOO46B9qGEYUL6M + Maw04LpuY2Mj9B1XhY2NjSUlJfh1aZpm23YymUylUrh4WZahQBFEQhsPgiCIA8JBi8DwFsme58Gu + aLkKpKIo6XQaQQ+4bbBHFEWfz4f1hrnHCGKa54khAgPxitkPJDWvn2GMpVKpTCaTl5fHz49TCYIQ + j8dxbXAk4zx4FXGeUCiEyI/ruvhEfoV8OQuCODRpuVol38NtD3QaxMjly01wFz7KkTHu0NWQmzGs + 2X/8K3+d3wzxeFzTNLiKGGOGYWAu26ZNG/R/h7sdSbaiKAqC8AN3DJ8NG4ZRX18fjUYDgYDrujws + QxxALMuC9oE60zSNW+9I9kOuFwYIkhS85iIWWZbx7BBnw1/WvEQBRhasEUmSeCQNjx4fymOneNCa + pqHXGZIGYc9omsbTCDOZjCAIWG+a/x6i0aht2/n5+ZlMJhKJuK5LDgiCIA4gB82AEQQhkUggusLt + h9ra2kgkAvEXCAQQM0GiLRbzZow5jsMNDCxLjBQU5KLIsgzfEmI7P5jxZDIZHBAMBrm1Y5qm3++H + deT3+03T5KaI11y5mJ2d7TiOYRiwZ5D2bVkWN4fg8aIIDHGIw8MvKA+QZRnJKjwJk8dVGGOYSKGe + j7fcYM3hF9d14biNRqOYT+OcB+eLtXIwg9R1PZPJZGdny7IsSRIaJyIgg8Na5oOh8a4oipgxwxmP + skMu6HAAT1IiDhSapsXjcZ/PB6OiZcGJz+eDkcBTs/CkJEnCOkt8DMJHgNbMjDF0WObWJswJ3sSM + q1fLsrAODD9G13X47Pi1YUNV1aampkAggKgdCkqRaA03hCiK3JqCKUXjlyCIA8VBM2Bc1+Xl8rIs + w72H5vToHC8IAiYxrNl1lEqlWs5ysE4wa3YNep4H5xOC6YqicDdSy3mPoiiI9iAdgjXrbNgzjuOE + w2FeNAzLCp/OozHJZDInJ6dlwhhfwRp6giAOWfiggyOANefZY4x7zetdICOUD1LEMF3XRVWMIAhI + nYd/F0IAc+WD9sVaOUjt45lCqVQqEolAuCFfCKsD80djGAbvSoLKQFR45+bmGoYBQZfJZEKhEK/Y + Jg4syMuCWuGpX7BheKO/ZDIJlx8vL8GzgMEDHWrbttgML8RnzdUssHnwifgZtFxYEzYSH6Q8koNI + qaIoPIAjiiIWs+aKEqAND/dcEARBHCgOmuLhc30+v+EvcZkoCEJLk2Bfbb549hc2uK3C5TLfA3HM + DY8f61183A9aHv1A8sJc+XExImlxguAD9sejlb/04/QkDEyU77fcA8hu+e/hNx9iCpNjbLd0imPK + y34kzVpOQPmzo9ZwvwItHwS34bnShIXPfqSPWg4ZfgZ+TEuN1vKNLUdly20c3zJ+IknSj711P9DX + /NNJMxIE8UtAMwOCIAiCIAiCIFoNZMAQBEEQBEEQBNFqIAOGIAiCIAiCIIhWAxkwBEEQBEEQBEG0 + GsiAIQiCIAiCIAii1UAGDEEQBEEQBEEQrQYyYAiCIAiCIAiCaDWQAUMQBEEQBEEQRKuBDBiCIAiC + IAiCIFoNZMAQBEEQBEEQBNFqIAOGIAiCIAiCIIhWAxkwBEEQBEEQBEG0GsiAIQiCIAiCIAii1UAG + DEEQBEEQBEEQrQYyYAiCIAiCIAiCaDWQAUMQBEEQBEEQRKuBDBiCIAiCIAiCIFoNZMAQBEEQBEEQ + BNFqIAOGIAiCIAiCIIhWAxkwBEEQBEEQBEG0GsiAIQiCIAiCIAii1UAGDEEQBEEQBEEQrQYyYAiC + IAiCIAiCaDWQAUMQBEEQBEEQRKuBDBiCIAiCIAiCIFoNZMAQBEEQBEEQBNFqIAOGIAiCIAiCIIhW + AxkwBEEQBEEQBEG0GsiAIQiCIAiCIAii1UAGDEEQBEEQBEEQrQYyYAiCIAiCIAiCaDXsz4CxbVuS + JFEUGWOe52HPr3RdBEH8DyPLciaTwTbEgiRJlmUd1Is6hIBA1nXd7/cbhgEpLQjCwb6uQwXcalEU + DcPw+/26rrPmh0IQBEHsB8uyJEliLWyKTCYjy/JPPc8+3+B5niiKXCJDXquqaprmz7legiB+Q4ii + qCgKtrmUEEWR5MOvgyRJkiRB4kMTMMYEQaD7/+ugqio2cPPxIFzXdRznYF4WQRDE/zxcZ/HJg6Io + cMP9JPZpwDiOI8uybduO47iua5omPokLboIgDlnS6bTnea7riqLYchrNBRPxi+K6LmNMFEXXdSVJ + EgTB8zxBEEg+/2p4nidJEh8FjDG6/wRBEP853PXjum46nf7Jb9//y5ZlqaoqimIwGMQeKE6CIA5l + ZFlWVZXnLDmOY9u2IAg/IwpM/AxgsSDeAhuG/z3Yl3ZIwE1H13UNw4CDTxAEuv8EQRD7x7Ztz/P4 + bEEQhGAw+DPSB/Y528CpTdP0+Xy2bcuyrOu667qBQOBnXzRBEL8NDMOQZTmdTgcCAYq9HBR0XVcU + RVEUx3GQUcYY+xlReOLngRvuOI6iKJ7nGYahaRqVIREEQeyflpFqz/PS6TQ8QT/1PPurgREEQVGU + SCSi63ooFNI07WdeLEEQvy2ys7N9Ph9qlwOBAErmDvZFHUI4jpPJZGKxmKqqhmGoqmpZlmVZ5GD6 + dUin07AeDcOIxWKmaWYyGUVRyIwnCIL4T3BdVxCEdDqt63o4HP4Z+bf7NGC4J6m2tvbVV1+1LMtx + HF3XfT7fz79egiB+E+i6bhjG8uXLE4mEKIqpVEoURcuy/H7/wb60QwIExnft2qWqam1tLdpFmqZJ + KUy/DqIoqqqKqv29e/eaphmPx2VZ/hlORIIgiEMKuHtc1w0Gg67rhsPhioqKn2Fc7NOAMU1TURS/ + 3y+KYlNTk23bwWBQVVXqFEkQRCQSicfjhmGkUimfzycIgt/vx6z6YF/aIQFue7t27RRF0XU9nU5r + mmaaJtUg/ToYhuF5nq7rmqaVlJRYluV5XiqVoggMQRDE/gmFQqIoptNp0zSRQeDz+SKRyE89zz61 + HaI5nudZlnX55ZdblgWDiRJFCIKYPXu2pml//OMfGWMQC6ZpUgumX5nZs2cbhjFp0iSSz78yuNW4 + 7Y8//rgoipdccsnBviiCIIjWASYMXGfNmTPnZ4SvSdsRBEEQBEEQBNFqIAOGIAiCIAiCIIhWAxkw + BEEQBEEQBEG0GsiAIQiCIAiCIAii1UAGDEEQBEEQBEEQrQYyYAiCIAiCIAiCaDWQAUMQBEEQBEEQ + RKuBDBiCIAiCIAiCIFoNZMAQBEEQBEEQBNFqIAOGIAiCIAiCIIhWAxkwBEEQBEEQBEG0GsiAIQiC + IAiCIAii1UAGDEEQBEEQBEEQrQYyYAiCIAiCIAiCaDWQAUMQBEEQBEEQRKvhVzJgXNdNp9N8Gxu2 + bdu2jT2WZXmeh/2GYTDGUqkUY8xxHP4S9uD4hoYGHImX0uk0NkzTbPkpyWSSMRaPx/knYgN7+Ks/ + +Gh+Ng4/Ld6CfzOZDHbu3buXMdbU1PRf3yeCaN14nveDsYOdiUQC27Zt8xGN8cjHkWVZGICe5zmO + 84OxzAWIYRh8GzJB1/Vf8jv9FsCttiwL27iluHvYhqRljHmeh2fEWtxzxlhdXR02Wkpj/rx+1S/T + OsEtBS1/wxzP86AK8XtOJBK4vVx/GYYBBQRc18Wwcl23sbGRMeY4Tsuz4eCKigrWPKZavt0wDK4Q + TdM0TRMfxxizbZs/U/5TwXjkA7nlwfX19S2/I1fxfGDibJlMhr/EN/htaWpq4kOe63qCIIh98Ysb + MBCLoigGAgHGWCqVampq4nJN1/V0Oi2KoqIogiBAlvl8Ptu2g8Hgrl27XNekULvQAAAgAElEQVRV + FMWyLMdxVFXF8YIgZGdn40iI7EAgIAgCY0wQBNd1bdsWBMEwDHyoz+djjNm2LcsyY8wwjEgkkslk + QqFQQ0NDJBIRBKGmpsY0TRwpyzLOhkt1XZdL81AoxBhTVdV1XSgbxlhubq7ruqJI4SyC+CEwacLh + sOd5uq47jqMoim3b8D4kEgm/3+84TiaTwX7GmCAIkiQpisIYq6+vF0VR1/VAIIDJk8/nw7hmjKmq + yhjTNO3gfb9WA+68ZVmyLIuiuHv37mAwyJptG1EUuQjFAY7jBAKBTCaTSqUMw8jNzcV5ampqdF0P + BoPJZBKymk9GiX1h2zZ+z/B2JRIJ/ntOpVJQiIIgQBXi9xwOh/HzjkQihmHE43GfzxcKhXBwPB4X + RRFPUBTFrKwsbnaCRCIBbdW+fXvGmGmakiT5/X68mslkfD4fV4iqqqqq6vP5amtrDcOABoRNi6Ea + DAZxcDgcxtfx+Xyu6zqOI8tyTk4OYwyOCah7fIooii3Vvd/vF0WxtrYWLzU2NtbX1yuKAiWuKIqq + qtXV1a7rBoPBRCLBXRsEQRA/5hefc0MsMsY8z8tkMsFgMDs7W9M0y7IkSQqFQpiL6Lqu6zqfwUBW + lpSUQITBPIBk9/l8ENPQBKIocusCSsJxHNd1BUFQFAWTJ+hXREgSiYTP5/M8z+/319XVRaPRzZs3 + f/jhh7W1tXBi1dbWQm0wxoLBoKZpoijiKzDGTNOEJxLKw7ZtXdch7jFF+6XvJ0H8j4N5MGv2KGM8 + Yn9jY+Nnn322evXq6upqwzAURcE8WBRFOF8lSUJwFXGAFStWbNu2rbq6GlOiUCjEp2imacK7QbPn + /wRZliVJYoxlMhnTNDHvxEu483DYW5aFO4wHAcErCMLKlSt37tyJ4/Pz8/kDZYzpuk6+m3+LLMtQ + bVlZWYyxvLw827ahaHRdX7t27ZIlS9avX48baxgGFF9tbS2SF0RRhOXgeR6eVyQSwcBBUKW2tlaW + ZWwjfBGJREzT3Lt374oVKz766COYDbAlHMfx+/2macbj8fr6emg3HJCXl4d/Gxoa4FOABodZUltb + C6MLlyeKIiwcXLMsyzg4nU6n02l8wUgkomkaXISMMdd18/LydF2vqanJysrKycnxPA+/zEAgkEwm + 8/Pz8UHhcBgmH0EQxL/kF1c8iUSCe1VFUbRte9myZcXFxcXFxYWFhfn5+cXFxR06dOjZs+fw4cOv + vvrqBQsWQIhblrVp06ZIJJJKpSABM5mM4ziSJE2fPr1z5849evR46KGHcE7WbOQwxiRJUlW1trZW + FEVJkgRB8Pv98+fPP+GEE84888xwOMzD01999dWpp55aVlZ2wgkndO/evaCgoKCg4Oyzz66srEyn + 04ixnHbaaV26dInFYgUFBcFg8Igjjhg9evSkSZNWrlxZX1/v9/thtEAxwAQiiEMWbr2w5tgLzJjB + gwcXFha2adPmuOOOO/roo4uLi48++uh58+ZhfiwIgqZphmFompadnV1fX3/DDTeoqnr22Wf379// + sMMO69mz5xdffIEhibGGSQ9rNpMOzrdtPUB4MsYikQgEYywWW7JkiaZpRx111CuvvAIvPhxAjY2N + hmHk5eW9//77xx57bDAYPPHEEzt27BiLxZ577jnWHPIKBoPpdJrHq4n9kMlkZFn2PM/n89XU1MTj + cVmWx44dm5WVlZeXN2jQoDPPPLNv376SJJWUlJx11lnvvvsuYywWizmOc8UVV3Tv3r1du3Z+v799 + +/Y9e/bs0qXLtGnTli1bxhgLhUKZTCYvL89xnLy8PMYYrIUrr7yyY8eOhYWFQ4cOPeGEE4qLi7t1 + 6/b444+n02lJkkzTFEUxEolEo9F//OMfnTp16t279yeffMKVY3Z2digUampquv/++9u2bXvGGWcw + xvLy8jRNe//990tKSjp16nTppZfil4DwDn4GpmnOnz+/c+fOEydObGxsRCRn9erVxx9/fG5ubjQa + LSkp6dq165FHHpmXl3fkkUfecsstzz//PMxmhIw8z9M0Dec8OE+LIIhWgbdfZs2a9dhjj8HrgyTa + /R+/H1zXTaVSnud99NFH+Gge1sA2zI9IJDJhwoQdO3bgXfX19Z7nNTY22radyWRwniOPPBLvOv74 + 43GYZVm4Qn6R+JtOpxsaGjzPu/DCC0VRfOqpp3bv3o2v895773G927Zt2wEDBhQWFjLGAoHArl27 + +GWfd955OAZJazwKryjK2LFj8Y3+yztDEK2OWbNmzZ49G9v48SMlrCWO49i2jSRS+I+7d+8+YcKE + Tp06wfeck5Nz6623IkSDtyBVBgNckqRzzz130KBBwWAQg+6LL77wPE/XdRyMlJWWG4caP1U+IxcX + B1dUVPTu3ZsxFg6Hn332WdM0f3AbX3311UAgkJubKwjCueeeW1BQIIpibm7uM888Y9s2RB9k8iEI + bjXu5GOPPTZr1qz9H48fLdSZ53kLFy7kui87O/uII44YOHBgaWkplGAwGLz77rurqqrwEWeeeSbG + C3+VMaZpWm5u7lVXXVVfX4/hU1dXhzG4Zs2aTp06Ia0rFAodc8wxxcXF3MDo27fvt99+63meYRi4 + /iVLluDMq1evRtqYYRj8xzBz5kzGWNeuXdPpdCaTsSxrw4YNMC00TVu6dCkOQ+EofmBXXHGFpmkj + RoxALY3jOJ988glMnWg0im8dCoXy8/NZc47GeeedV19fn0wm8RbcrkP210UQv3kgrLjOmj179r+V + oj/m1wj9oyoRee2MMSR+BAKBGTNm1NTUfP/999u2bVuxYsWUKVNKSkri8fizzz573HHHrVu3jjVX + JUajUUmSIAFfffXVL7/8UlGUUCj0wQcffPHFF5jreJ6Hz4I7FnF2QRCysrIsy1q8eLHruscdd1xh + YSGi21OmTEFK7ooVK3bs2LFq1aqqqqq33nrrxhtvDAQCjuPE43HLsnbs2MEY69Wr1/r167du3bp8 + +fIrr7wSaWyvv/76+++/j+9omuaePXs8SiEjDm1aDgGhGVEUr732Ws/zvvnmm3vvvXfLli3r1q3T + NK2+vv6dd95B/pjXXNb/+OOPr1u3rqSk5N1333322Wc//vjjDRs25OTk+Hy+o446Crn7/CMQjeHZ + UMT+kWW5sbFRUZR0Ov3Xv/71q6++YoyhqhDpu7Zto2Q8k8lceOGFhmGUlZW5rvvMM89UVFSMGzeu + rq7u5ptv3r17N5/CshbNUYh94Xme67rZ2dnV1dUvvfTS2LFj/X6/LMtz58796quv1q1bt3LlyvLy + 8ng8/uqrr5522mmxWAxzfcdxdF03TbNz5867du367rvvXnjhheuvv97zvLq6uieffNJxHFgmOTk5 + qBvp16/f1q1b6+vrFyxYsGPHjtdff33Xrl2JRGLSpEnFxcVffPHFgAEDqqqqVFVFdZn3zzFMURRV + VcU54YNgjBUWFvp8Pk3TZFluaGhASarnebfccguaBPC4KKxcbh0xxlCSihjL9ddfX1VVtXXr1o8+ + +mjZsmXTpk3r3LmzYRhPP/30kUceWVlZKUkSqn1qa2upto0giP3wixswqByFgwd/VVUNBALpdDoa + jebl5eXk5MRisQEDBtxzzz0ff/zxmWee6XleRUXF5MmTGWOIidfV1TmO09DQkE6nFy9ezBhDvq+q + qnPmzPE8D7X7+DhZllFg47ouZjafffbZ3r17e/bsWVRUxBgLBAKmaX733XfpdPrcc8899thjoQwE + QTjllFP+9Kc/ZWdnC4Lg8/kkSSooKPD5fA0NDXl5eaWlpccee+xtt9323HPPZWVlZTKZd999F5aS + pmm4VII4xOE2DEwXzGmmTp2aSqUEQcjPz29qamrfvv2ECRMYY2vWrOFBUcZYIpG44YYbXNe96KKL + BgwYgFYc+fn57733HpKaFixYwJorAbjdQo6Df0tjYyOcQXDMP/roo08//XR2djZyxpA4hOkpgl2L + Fi2C1H3llVdYc0nSE0880b59+8rKyueee44XCrIWHaWIfYFayng8Hg6Hx48f7/P5MpnM6tWrzz// + /Hbt2uEYmJEjR45cuHDhhAkTeKcKPJF4PF5UVFRWVjZs2LDbb7/9L3/5C2MskUgsX748k8nAzJAk + aeLEiZFIRFGUxYsXn3DCCZFIJDc31zRNv9//6KOP3nrrrYwxRVEeeOABwzAkSUIRC/Qdimq4ssYo + g6W6ffv2lo0EWXN7nq+++mrevHm4SMdxeNdQDElenY+2EIIglJWV5eTklJaW9uzZs6ys7Prrr1+4 + cOHYsWMVRamoqJg2bRo+AklxVMRPEMR++MUNGMggaDs4dTzPa2pqkmUZkRB0VmGMiaJYXFy8aNGi + 7Ozs7Ozszz///LXXXmOM6bqem5srSVJ2drZhGE888YQsy1OnTm3btq1pmgsWLOCtJFlzZjyv5vc8 + L5lMrlixgjE2duxYXIZpmpWVlegCCVnsOA6kvK7rqH1E4b4oig0NDaqq8s4qhmH4/f4+ffqg3CWR + SKiqGo/HYThRLjhB/AAEYRhjvOAe06ni4mJUNrdp08bn86G1BnrLhkKhMWPGYEoEv29ZWdlxxx23 + d+/ep556yrZt3mQZZb68+yqxL7KysiRJQuOTzz///KabbmKMzZw5s3fv3rzBFCadaK54zz33MMYm + TpyIonP0X1FVddCgQT6fb8aMGZC6VVVVrFm8E/vHNM1IJHLnnXfiR3vdddf17t1bFEX0eYMGUVUV + cTBJknCHNU2DloGW5I2whw0bxhjLzs7evn07gjnxeLy6unrRokXxePykk0467bTT8vPzFUXJZDKI + ljDGzj333MGDBzc0NMyYMWP79u2suYGn53k86oIeD4wxQRBUVcVvw+/3IyKUTCZ56x1U9fztb39b + vXo16lRlWeb+Su5VxAZPNEX+gizLgUBAUZSePXs+//zzSCt9/vnnX3vttUgkApuNIjAEQeyHA2bA + 8GUEGGPozYXAdCgU4g4bvArZhEbJrNmqgaSDv/aRRx5B0cv7778fj8c1TeMNIh9++GFI+csvv3zc + uHGMsaampjfeeMN1XQg7tDDiZSrINIOL6MQTT2TNtk2PHj2Q0jZ//vy3334bMlpVVU3TdF3HBUPZ + K4qCs0HTIH0F1oumaaFQKJFIRCIRXB73URHEoQk3V36wEzOkuro6z/Nyc3M9z1u6dKlt22PGjGHN + nlpBENauXatpGtphMcYkSeIvtW3bNhQKff7557Isy7KM/ShHbplURvxLkOGjaVo6nZ44caIkSZMn + Tx49ejRKE9G11rKsVCrl8/mqq6s3bdqkKErv3r1hWPLZ87BhwzzPq6mp2bp1K2OsTZs2jFbt+A/g + PWaWLFmCIpPrr78eUQ6kbMH1xjMIfvB22AaMMTjmwuFweXk5UgO6devGGEun05FI5OWXX2aMBQKB + iy66iL8X+guugWAweOWVV6Kw/r333mOMoUoNB2iahutBwQwv90e/UF5nn0gkoK9ff/11/DbOPvts + 1vwzSKVS+LWwZssWTUH/pXcP31oQhIceesg0TUEQPvroI+6eIIcgQRD74YAZMFi3Aa2K4YZBAglr + XgENojmTyaDv8L7OY5rmiSeeiKbJ5eXlkUiErxGxc+fOF154Ac4n13UnTJiAdIiFCxfyCAnqArlE + Zozt3bu3srKyoKCga9euuDbo8rvuuqugoIAxNm7cuPHjx+/cuRNWk2VZwWBwz549BQUFtbW1qVSq + uro6Eonw5IqGhoYFCxbEYjFd13v06BEOh2E+NTQ0oFKZIIgfAAdwLBYTBOGNN94YMWLEp59+2rZt + 27lz56KTlWEYiUSirKwMwxOJ9TU1NZIkoTmsZVnJZFLX9crKSsYYT7DBHOugfbFWAto6RaPRa6+9 + dsOGDSUlJXfddVcgEOAha6w6IggC1iWEEwcSkpe4KIrSrl07vGX37t28QIIMyH+L53nQel9++aUo + ij169MC6Osjd4v2CMWWHooE2sSzL7/cbhsFXDsX9nzZtmmEY0Wj0qKOOwghijH366adQcPDWASjl + YDAIC6R///4YjDt27OCJA/AUIJeM9/6CSsU6P+l0mq+SicoZxljXrl2vuOKKZDK5c+fOW2+9NRwO + p9PpYDCIEfqTKC0txc/v66+/Rh9R9s/rchIEQfyAA2bAIFUsGo2iuzwStCAxEXqGWPT7/Vj7bF/n + 8TyvoKAgKytLFMU1a9bgjeFw2HGc8vLyr7/+mjE2ZcqUSCRSVlZ2+OGHRyKRxYsXY8lLrBYMHxLe + 6Lrum2++CaMICiMej/v9fl3Xr7/++qFDh4qiWF9f/9JLL/Xq1Wvx4sWIazPGsrOzGxsb8/Ly8K5w + OIwVLXft2vXMM8/ce++9NTU1HTp0GD16NGvuoE8QxL5wHOeyyy5DS6vx48cvXbr0qquuKi8v1zQN + kiGVSoXDYVSpiaK4fv36mpqaWCzGGAsEAvF4/O233w4Gg8jqRGUd2pfxKA3xb5kzZ86iRYsYY0uX + LsUevsgvXxFYluWKigpRFF3XjcViWK6HV7kUFBTAXNy7dy9fGpiaKPxbYDPAp2aaZr9+/X5QOGSa + ZiqVQhCMH8kYkyQJewoKCqqrq7/++us333yzbdu2mzZtKikpufbaaxEEw4yft7dBiSY/AzYQeOnY + sSO2N2zYgOgKFo7kV8LjHtyAQZUpjFvWwl5t27btLbfckpubq6rq9OnT165d27JP2k+itLQUwmHV + qlV8J7VRJghiPxwwA8bv96N9DXqb8OUpGWOapjU2Nqqqykv69hMahnCER1bTNORxMcZc10VJTGlp + aZ8+fXDyyy+/HN0bX375ZVEUNU3jibye5/l8vlQqhfyxc845ByfBhaED0lNPPfXKK6/EYjG/39/Y + 2Dh+/Pj+/ftv3LgRl5GVlZVOp5EttmnTprPPPvukk04aOHDgddddp2laQUHBjBkzsA4Xt3nIE0wQ + /xLP87BYLWMMKShLlix56qmnUP3CGMvJycFy73fccYfrurfeeivvt15XV4eW5alUCkvjYWaDtSwZ + 5cr/Z2zfvv2SSy5JJpNPPvlkx44dI5FIJpPh2bCSJPHFryorK0VRxHKHcELxuSwmwYIgmKa5f0lO + tIQHPbCIczAYROV9JpNxXReGQTAY9Pl8UCJcgYqi6Pf7VVVdu3ZtQUHBwIEDTzvttLq6uqKiooce + eghF+Ti4oaEBZZwFBQWu6+I8yOJ2XRd1p9x1KAgC162GYeA5/qCWCXYp1l7z+XyqqmLE8cIY0zQL + CwsfeeQRjMcLLrhAVVXLsngK2U8ilUrxKzQMgzpDEASxfw6YAbNz586TTz558ODBI0eOHDly5Bln + nHH00Uefe+65Q4cO/d3vfnfSSSehMj6RSNTV1SH1a1/E43EUk/Tu3Rs5DIlEIplMPvvss4qiTJky + hS/cO2rUKERInnrqqaqqqpYOGyiMVCr1ySef5ObmDh48mDWnsSEjJTc3VxTFwYMHb9++fcyYMRDK + 69evP+uss7788ku8PRAIBINBrBC8dOnS999///vvv5dl+Q9/+MOSJUtGjRqF9We4vCZ1ThD/ElVV + H3nkEcRIP/zww6uvvnrr1q1XXnnleeedV1tbi4Ctz+dzXXfMmDGHHXYYY2z8+PFdunQpKyvr3Lnz + W2+9hVx/0zRRVo46YEykaNz9WxobG08//fRQKHTSSSedddZZmCmi+DsrK4u3UsTa6ocddhif6fKV + CvEvNiRJCgQCfIpJXeD+LYho8Y41mzdv5mnJoijKssyV1xNPPHH//fevW7cOvTQZY7wHMUr8GWOe + 551zzjkjRoxgzV5CvAqHGmb/CIPgGSFQyRiTZZk3FguHw/AdIEkbr+J4PFBYrTBgYOTwXtvIeTMM + I5PJjB07dtSoUYyxHTt2oEUBXJk/Cc/z0AunZ8+erLkdM3UhIwhiPxwwA6ampmbTpk1r1659++23 + ly9f/tZbb61evfrzzz9fvnz5unXrtm/fjjkK1OF+VqxHDhhmJGhMXF9fHw6Hn3zySZSZfvDBB1df + ffVVV1117bXXXnbZZShQ+e6777DIHc7Mm+ps2LCBMYZMM8aYIAjxeBwqpK6uLp1O5+TkSJI0b968 + ZcuW9ejRwzTN8vLyGTNmCIKAyZaqqul0um3bts8888yqVat27NhRUVExb968vn37smaL6P/dTfHX + WFeHIFodyERijCmKMmTIkPvvv//mm28OBALPPPMMCseRqe84TllZ2YYNGy644IJ0Or1t27bq6urS + 0tI1a9ZgJfJgMMhndTghggYH99v97/PWW29t3rw5mUzG4/FRo0b9/ve/P/7444cMGbJx48bGxsab + b765b9++Dz74oK7rqVQKHn1k60G+wemDZWR8Pp8gCAUFBZhfovb64H67/33wo+3UqRPCL+vXr//B + AbBMNm3adNddd11zzTUvvPAC8iQZY2hM3Ldv3/r6+qamJtS3zJgxA901kWBmmmYwGOzQoYOqqjt3 + 7pQkCdYILBZZlqH1JEmqrKxEU7LDDz8cCgvrCjDGkM7A/rn4BC/BglIURVXVTCaD4axpmt/vb2ho + uO+++1BjM3Xq1DVr1sDt+JNAwwDbtnv06GEYBm999jNuNUEQhwgHLHe5T58+b775Zn5+vud5DQ0N + WVlZ8AOhi1ddXV04HIbnJjs7ez8567Ztv/rqq5iRwBkD7Thv3rxAIJDJZF566SXW7NBijHF/z5Il + S0aMGAG/IFrOm6b5/PPPM8bQr4w1Ow4ZY7W1tbCO0ul0IBBIpVKDBg1auXIlKvLnz5//yCOPoH8A + 2prl5OSMHj06Ho9nZWVBtqbTacuyUN6DNj5YDvlA3U+C+C0BX6+u61gCT1GUs88+++6775Zledas + WXfccUc0Go3H43A06Lo+b968efPmbd26FfkwkUikqqpK1/WhQ4e2rLuAs8O2bfId7J94PK6qajgc + /vjjjxljfr8fnZHhv6+qqqqurq6srNQ0TdO0VCqFqfP69euHDRuGNlk4z4YNG9BTrlOnTnxh4oP3 + tVoT+Il26tRpw4YNdXV1GzduPOyww7juwKvZ2dmwFVmzsy+ZTGJQ7N27F0GVxx577IgjjmCMXXDB + Be+8807nzp35yQcMGLBixQrTNJcvXz506NAf6CPLshRFeeutt6B/+/Xrh/35+fl4pnv27PnBOMIi + 1JZloTgNwGXQMoGwTZs2M2fOROuzq6666thjj/2pNwelWYyxY445Jh6Po/iNIAhiPxwwA0YQhKOP + Ppq3UETcGRKzsbGxQ4cO2LZtG6GYfREIBO64445MJuP3+88880zGWHZ29scff7xx40bP8+68886O + HTsiz8HzPHR7vP3228vLy2fNmnXLLbeUlJRYlgUDxufzQSwiZQId6NGkJScnB1fIc+gRph85cuSH + H34I12/v3r2x+AxjDItmhkIhnvuL5TgdxxFFEXrFNE3KxSeIfwksDT5A6uvre/XqhWqKiooKRDIx + aebWSDqdbteuHXZ+++2369at8zzvlFNOQa9zTdNc18W6TFTs+28ZMWJEbm5uYWEhY0xRlJqamsLC + wqqqqlGj/j/2zjs8imr942d3Z7bvphJAekCQJh1CCeWKgBRRMIAoTQQUURQVlR9iQ66oV0ERBC6K + oig2RLqIQEIvoQSQIj1AerbX2T2/P77PnmfkQq5wUYi+nz/ybGZnZ86cOeV9z1vOvdFodOzYsffe + e6+QGlNSUipVqpSfn5+Tk4NVG4zqHo/n4MGDCMyoUaMGBkOq/N8Dphufz9euXTvkCv/000+nTp0q + 4t2RaRPxMBqNRhxHzmVZlqFt6vX6unXrvvjii6+99tr58+cnT56MrV2RfLlVq1aw5Hz66afNmzfH + 5IXoU+EJtnz5crhzp6am4haJiYn4eVFREXQS6DNYGggGg5xz5PDEeh+0WUVRkHMM2dJGjhy5bNmy + 5cuX5+TkbNu27WrrZ+rUqdiNrWvXrlgWdDqdNpuNFiYIgrgS13N00Gg0IhoEsxqED/hY47OwaGN/ + LkyBXq8X41RBQcGzzz57/PhxrVY7bdq05ORkmHEWL16s0+mSkpKeffbZQYMGDRgwoH///g8++OCA + AQMGDRr07LPP4o6LFi3CEi9jLBqNbt++3ePxtGjRAinFDAaD2FNCDItWq9XlciHTC6w3Ho/H7/fX + rVuXMebz+bByCdUL8Ze4l5i8xSoUaS8EgS3b8TkcDqPHhUIhJCfEZ0TB/fTTT1hlaNasGTw/4TEi + JC2z2Yx+6vV6Fy5ciE43btw4FutriG9m5Lr5O6hcuXL//v3bt2/fvn371q1b9+rVq0WLFn369IGX + UaNGjdLT0+vXr4+9RA0Gw4QJE0Kh0OrVq5F9kTEGjyYsCb322msYAIPBIGUu+T2cP38eH5599tlK + lSoFAoFZs2ZlZ2czxrDhvd1uVxQlMTERykMwGEQ/SkhIQHYy7NOi1Wo9Hs+kSZM6duwYDoeXLFny + 0Ucf4cqyLPfo0WPo0KGMsU8//fTQoUMs5gAmdndZvHjxxo0bGWODBw/u0KEDvq1ZsyauMHfu3HA4 + jIAZ+AcGg8GFCxcyxlJTU5FWByYjuCcgkko4Ub/++uss1oslSRJBLFqtFrmYmWrDN7F3UH5+/ksv + vXTy5EnG2IsvvlizZk2dTsc5j4uLI48GgiDK4A+f+D0eD/awYzFHaigDgUAAon9JScmFCxfOnDnz + 0UcfdevWbfbs2Yyx9PT0wYMH2+12eJ7MmTMnEolMnDgRG9iVlJQICYYxdueddyKV6qxZs+ACwRiL + RqNLlizRaDS9e/eORqPx8fEej0ckL9q9e3evXr0WLFgAAz0C8T///PNly5YFg8GBAweKpKJIq8I5 + F46/jLwmCOIKiB330EfQMXNzc/fv3w9PGK1Wm5SUtHXr1tdffx2pydLS0pKTk0OhEMQdpP7T6/UQ + pILB4OzZs99++21FUebMmXNjn+6vBxROu90uyzK2BIGT7bBhw7CJ+yj83XQAACAASURBVNChQyOR + SCAQ0Ol0jz/+eH5+fuXKlWEbDwQCBoNBJPwlyqB69eqYtqpXrz58+PCKFSt6PJ727du/8cYbWBor + Li6ORqOFhYVYIxPzIxwNbDab2Wx2u93YODIUCs2YMYMxVqFChbFjx27btg3dzel0Tpw4EQk5u3Tp + 8vHHH2PmRbqLd9999+GHH4Ye8t5777HYBKrVap944gnG2KlTp2bOnFlYWOj3+81mc0FBwdSpU+E6 + 0adPH4PBAHcDt9vtcrlkWYZDtXAIT01NXbJkSWFhIfae9ng8YkET26FianY6nVDSDh8+PGvWrCFD + hrz22muMsZ49e/bo0YOp9h0i4x5BEGXBy2Tu3Llz5szBEhHnPBKJlH3+ZcHGukgzwjlH/KIYmwwG + g/gsy7JOp3vhhRdyc3Pxw9LS0hkzZmCcPXv2LC5YWlrKOXe73bBic84nTZqEK2zatIlz7vV6OefI + ZbR3796SkhLOOXYBR6LJnTt3MsYsFovVam3btu0999xjMpkgYNWrV+/YsWOcc2wHjj2G69ati1vj + dtdWDwTxl2Hu3Lnz5s3DZ3QHuJoIgsEgNH+fz7dlyxb09IyMjGnTpvXu3Vt4yLz44ovonoWFhfjh + q6++yhirUqXKoEGDHnvsMXjqx8XFTZkyBashhOB/H5/xFj755BPOucPh8Pv9nHO8uC+++IIxZrfb + q1ev/vTTT8P9LD4+fsaMGdgbBKdd233LO3hkVPucOXPmzp1b9vmYODjngUCAc/7000+rE9U0atRo + 9OjRTZs2RX7OuLi4+fPniw6FbGNiDsIVFEURtpcuXbpEIhG8jpKSEtheGGNQR++4447u3bsLT4Ga + NWtu374dczHyj3HOCwsLEXmv1+vj4uKGDh3asWPHxMREaCCPP/44Lu7xeDwez7Zt2zAju91up9PJ + OcdF8vPzOedQQmRZ7tKlCwrs9XoReYVfYbcDtRBiNpunT59+8OBBnI8HDwQClwwpBEH8ZUDvFnPH + vHnz/uso+p/8GQoMksdzzrFd2po1a8xmM7QFYLFY6tSp079//ylTpmzduhW/ghISCATatWvHGOvf + v784CDBccs7dbvf69esx1w4aNAhTBfKPmUwmUXgk3UetnT59Gvt5sVh4MSaSxx9//MiRI5xzjMuc + c6R8adKkSX5+fjQahe5EEH9zylBgEOMLpxHOuc/ng6uMyE0EUTgpKWnhwoWc83AMXGTu3LlYbxZK + Ts2aNd966y3R3wnBdVFgbDbb/Pnz1QexcsQ5X758OQIkGGOSJFWoUGH+/PlOpxO347G1pL8hV6vA + cM5hP0EuCs756dOnMbWJfSGxJSVj7B//+MeXX34JrSAQCNx9992MscaNGzudTsxu6CylpaUtW7ZE + oMvMmTOxQsc59/l8eXl5w4YNQ9ymenFw5MiROC0ajQqdCmsH27dvHzVqFDomY0z8EI+mKEo4HMbr + 3rdvH74SV0BRAb6VZblnz55iTNi2bRu6MwJmJEnS6/X16tUbOHDga6+9tn37dtF01ZciCOKvynVR + YP7wHZQ55yI2F7by7t27X7x40efzQY7BVioY3RRFgTWmoKAgJSUFobpbtmzhnBcUFLDYjl2MsWAw + iO0gkDbgH//4B+ccsYkwau/YscNgMAwfPpzFAm+wxyX2oqlcufLJkycLCwt//fXXkydPwjienp4e + FxeHtDzQrxRFgWqEkjDGxE2vYbNhgvg7EAgEREQ+un+tWrXC4fDu3bsvXLgA75GUlJTGjRuLJH74 + ANeR0aNHZ2RkHD58+Pz58waDoWHDhsizxGJplG7ck/0F4Zw7nU5R/+FwGLkW4uPji4qKOnXqdOHC + hRMnTuzZs6dOnTpt27ZlsbeArRKx4zulu/09QCWAllJSUmI2m7ds2RKJRPLz8y9evAj/gqpVq7Zu + 3drj8SBMFHX7zTffwJ1P1DOPbVO2a9cuBNZzzgOBAKY5k8lkMplmzpy5cOHCbdu2hUIht9vduHHj + GjVqiJ9rNBrsUhoMBpOTk30+X5s2bdq0aTNnzpxt27bl5eXp9fr69etXrVoVESnotpj+br31VmSv + cTqdBoMhEAiYzWaHw2G1Wk+dOtWkSRPOOWx0siyXlpaaTKa0tLRTp04hSwQmUxE843a7bTYbbEFw + fmOMqUNrCIIgLssfPkaIvGRww4Vrr91uR8xiIBDA3g7YXQtjlt/vxyIQj3k4lJaWVqxYEQOf3+83 + Go3Y+Uts11VYWFihQgW/3x8XFwfX+blz5yKaxev16nQ6o9GI4GC/349FIMaYoiht27Zt27YtEpRF + o1G/32+327F+jKshtavRaHQ6nbIsQ4Mi7YUgrkQ0GhX+KuFwWK/XQ+5p3rx5Wlqa+kz0TYvFIjIE + MsaCwWBCQkL79u3/88qkvfwR2Gw26CQYokXeBRilGWP16tVr0KABYwwyNI/tcoidRkwmE4mbvxOP + xyPLssFggKsYEo4lJCTY7XZsLIYwMKvVKrZN45zD8wrRmNh+FP0Le5Rhd0vOuclkwqKm2+1G2Ccm + OCy3OZ1OBKWI1TfkcZZlGYH7jDGHw2GxWNq0aSPLMpQWMWmaTCYR+Yk9DLRaLfReJL8Rug0aA7SX + UChks9nQNqC9iPgW5PJhsXzomKPxXEj+IUlSSUlJ2XteEwTxd+YPD+KH9iL2SDEajYjnY4xhdy2Y + XPR6vU6nQ1IU5EtxuVxYcMJ2k4qiiG81Gg1GOr/fj+kWgyPylgSDQbfbvW/fPqPRWLVqVZG81e/3 + h8Nhk8kUiUSQTgCLYUiRFAwGtVqt2FUG5WGMWSwW3CIuLs5sNgeDwWvYZpgg/j5ADmaMIcMVDvr9 + fuH47vf7/X6/oigWiwWLEQaDAVmJwuGw2H4bTvD47Ha73W73n/0kfw845zCDA8ZYJBLB64DTL4RO + n89nMpmcTqder4eZGrnpGWNlZ8YnGGOKomAtz2AwhMNhp9MJQR+WEFmWsd2KmARxGmNMo9HodDqH + wwGVAB3q4sWLuKzJZJIkCSH1BQUF2BDWbrfDMoaNR6GuxMXFGQwG/FtSUsIYQ4xoQUGBTqezWq0e + jwclwS2QGBCdEbYa5BwrKipCnw0Gg5FIBL0SDaCoqIjF/B2w1oBUHNFoNBKJ4BxYlhhj8B5hqryF + 2Dwaye5wDhQkgiCIy/KHKzDRaBRZkjE84SDGPsyOMHcgHacsy1iLxXbFjLHz589jgScSicBoA49h + pL4RG7Fh5QlLv9Fo1GAwLFu27Msvv6xduzZ2awmHw1arVaPRBINBjNcYxLHBi81mMxgMxcXFkiTZ + 7XaseFmtVrfbjd1sGGMoqizL6ugdgiAuQfj0i+35IBsh5QZjDC4uWL9njIVCoUgkgv6O1EaMsXA4 + jGBfiFA2m0045RPXF0VRkG5RlmVESnDOExISIJvqdDoMyGazWVGUuLg45PP1+XyhUAgbsZNF+r8i + SRJqyeFwyLIMu4TI3wXnauxzD/0hEAjAPoMTLBaLUAzcbne1atWwHocT4uLiioqKUlJSGGPx8fHo + VgaDQVGU+Pj4QCCAq6FzwayBedPv96ekpKAYWq0WPRfvHZ+h2Ig93FgsWJTFsu/AfIelRvWeaZhz + GWNoTjqdDj8Xk6nZbMYSIdQhoczgIGJQKQsZQRBl8Ifb/cU+j0zleXXJlinwRsBnjJvCV6RKlSpM + NbaK04Q0g2viW4zmONKtWzdxfZ1Oh6FQrA0zxmCbVktF8FtTA2czlJYGU4K4Ki7prereB4RvzH/+ + FiMA1qdxRAhzxPVFKJyihvGahKsti70OHIcbrQhHhNhK/E6gn6PlizlFrQGKVF3qXwmDBou9F3Xc + kU6nE3oFUyVHvuylMPHhIC6Ci4sXihU68a+YIq/kJSgmazwOzhdz7iXPiJPVk6m6KsS9yPZCEMR/ + hTaAIwiCIAiCIAii3EAKDEEQBEEQBEEQ5QZSYAiCIAiCIAiCKDeQAkMQBEEQBEEQRLmBFBiCIAiC + IAiCIMoNpMAQBEEQBEEQBFFuIAWGIAiCIAiCIIhyAykwBEEQBEEQBEGUG0iBIQiCIAiCIAii3EAK + DEEQBEEQBEEQ5QZSYAiCIAiCIAiCKDeQAkMQBEEQBEEQRLmBFBiCIAiCIAiCIMoNpMAQBEEQBEEQ + BFFuIAWGIAiCIAiCIIhyAykwBEEQBEEQBEGUG0iBIQiCIAiCIAii3EAKDEEQBEEQBEEQ5QZSYAiC + IAiCIAiCKDeQAkMQBEEQBEEQRLmBFBiCIAiCIAiCIMoNpMAQBEEQBEEQBFFuIAWGIAiCIAiCIIhy + AykwBEEQBEEQBEGUG0iBIQiCIAiCIAii3EAKDEEQBEEQBEEQ5QZSYAiCIAiCIAiCKDeQAkMQBEEQ + BEEQRLmBFBiCIAiCIAiCIMoNpMAQBEEQBEEQBFFuIAWGIAiCIAiCIIhyAykwBEEQBEEQBEGUG0iB + IQiCIAiCIAii3EAKDEEQBEEQBEEQ5QZSYAiCIAiCIAiCKDeQAkMQBEEQBEEQRLmBFBiCIAiCIAiC + IMoNpMAQBEEQBEEQBFFuIAWGIAiCIAiCIIhyg4ZzftkvQqGQLMv//ve/tVptIBBQFMVisUSj0T+5 + fARBEARBEARB/AWQJEmr1fp8PkmSgsFgQkJCaWmp3W4fNmzY1V3nSl/o9XrGmN/v12q18fHx4XA4 + EomEQiGDwfC/lp0gCIIgCIIgiL8ZHo9HlmXOuV6vlyTJYDAEg0GXy3W117miAsM512g0jLHk5OTe + vXtbrdb/qbwEQRAEQRAEQfztiUajGo3G5/MFAoGff/45GAxe7RWuqMBAewmHwy6Xy2g0MsYCgUA0 + GjWbzf9LiQmCIAiCIAiC+Nui1WoZY9Ap3G631+u92itcUYFRFEWSJL1ezzmXJIkxBjWGwmAIgiAI + giAIgrhaFEURmoVOpzObzVqt9hriU66owABZlhVFiUajfr9flmUWi40hCIIgCIIgCIL4/Qg9IhKJ + MMY4516vF25fV8UVFRidTheNRpErQKvV6vV6KDChUOhay0wQBEEQBEEQxN8UnU6Hv4qi6HQ6aBkm + k+lqr1NWDEwkEhEqEbIth0IhssAQBEEQBEEQBHG1hMNhBMAIFSMcDl+DC1lZG1lKkhSJRBD0gtvA + ZY0gCIIgCIIgCOKqkGUZzmNCpzCZTIqiXO11ylJgCIIgCIIgCIIgbipIgSEIgiAIgiAIotxACgxB + EARBEARBEOUGUmAIgiAIgiAIgig3kAJDEARBEARBEES5gRQYgiAIgiAIgiDKDaTAEARBEARBEARR + brieCozP52OxLS8LCwtxMBKJ4LjD4WCMKYqC9M9XAt9i85lLjofDYfFVSUkJY8zlcuEg57zsy/5O + vF4vYywUCjHGAoEADvr9fsZYaWkpY8ztdqvP9/v9Ho9HXWaUh8We95KDlwU1dslj/gWIRqN4NPHi + OOc4Il4W2ob4FlUNLqnqP59AIBAKhdQvF38DgYAofzAYZLGnQIPxeDw487o0yP+dcDgcCoVQ8ute + JDzy//6mxCiB2lM3g6sqDFqX6FCiCxPsCv2RMeZyucRBv9+P0U+8gkgkgsEWf1lsePT7/WhOeGV/ + GmJ4/K+N5JLn/cuMq1cFtlYQHV90tCuBAY1zjr6Dk2/OqnO5XBAz8Ja9Xm84HMaThsNhPEg4HL5S + O8FUe8mjoW2rwTzOrnVQ+hPAk7LYhIXPeC4xMl9zJ0X7EVObkPE457iXegYkiD+Z66bAKIoCIQn9 + vEKFCvis0+nMZjNjLD4+njEmSZLob5cFg5FWq8UYKsYXnU4nyzKLdcXExETGmN1ul2VZURSfz4c9 + N4PB4LWNtpjFLRaLoih6vZ4xptFooBeZTCbGWEJCQjQatdlseFin08kYM5lMVqsVu3xqtdpAIIDy + BAKBuLg4HFQURafTlXFrjLmKorjd7lAoJLb4Ke+IPVbx+FqtVqPR4KBOp0Pdon7EtyaTiXOOujUa + jTeu7Mzn8xmNRr1erygK5xwNEnvHcs51Oh3aeTAYDAaDRqMRfxljVquVMaZuujeKcDjs8/lkWZZl + +boLIugveGSj0RiNRh0Oh1oV/52gAiORCEYJSBXocVcL9vGFiIZGhY5MgMv2R8aY3W5HY+aci5o3 + mUzBYBCDsM1m45wnJibi/WIcxr5j4XD4z6nkSCTidrsVRREStslkKmOcjEajGFtCoRCmjL/GoHq1 + cM7FBAQN32w2l1EVBoPB4/H4/X6j0RgIBNAr/3M98WbAbrdDzBBztyzLOp0OoxxGA1mW0aR5DPFz + jUaj1WplWcZ0wxgLh8No236/HyJ7cXExxnOHw2EymW74kH5ZtFptMBiMRqOYsHBQlmWPx2O1WvHI + VqvV6/VegxqDVy9JktPptFqtZrMZLQodH2pMNBo1m82ioxHEn8Z1U2A0Gg26ulj88Pl8kiRFo1Gn + 04m5EMfLlubRPXBBo9GIAQXakRhGMS2FQiGMMpIkWSwWvV6v1WoNBgNUiKstv91uZ6qRizGm1+uj + 0ahOp0Oxz507p9VqxWAXFxfndrv9fr+iKC6XC0sdkOd0Op0QDlA8CL5XAnuRSpJks9muTXS7CeGc + Q12BJCGOo3rD4bBGo9HpdEajEY8fCARcLlc0GsV7Zzd6UQdlCAaDiqLgVULOZr+1xtjtdjERRiIR + sUpnNptvrALGGIPqwlR98zoCySYvL8/hcGi1Wq1Wa7FYRJv//RgMBoPBoNfr0Z3j4uJkWb4Ggcnj + 8Xg8HnRYs9kMhfPmFDhuCGX3R865qHbOOT6g5UMuLC4uxvlerzcYDGK4w2Cr1+v/hK4aDodtNpsk + SWjJ6GhljKtoAJxzvV6fkJDAGDMajX/D9iDLMurK6/UajUZMvuJt/ieKolitVsjBWGIvLS2FMnBT + obbko3jC6C1JEsY9tZ4WjRGJRLDWqdVqURt4TCwdooWYTCaj0VhcXJyUlCRJUjgcxvIrLnuzodPp + 1J3a7/fDkUSWZY1G43a7UQ9Go/EaZgG9Xl9cXCxJEppEMBjEfIeq45zbbDYx2F73WYYgyua6KTA6 + nQ4rZHFxcRhQIEZoNBq73W4wGDQaDfQK2EmudB0MIpFIRGjz6JxarVaIjBB59Xq9WtJVLwBc24qR + z+eDRSUUCpWWlmK+DwaDBoMhFApVrFgxGo3a7Xav1wvFDPqGJEkY3SAK+P1+v98P5ScYDMLxrIwV + L4gCoVBILR+XreOVC8QrUIv7eXl5RqMRNg3YB3BOQUGBJEl2u720tBQVzhiDCetGgfkA8pmwCOG9 + 4K+YzPR6PZTb4uJik8kEQRyPdmO9DoLBIHwsPR4PKvw66lTog5UqVYqPj1dXyzVw4cIFWLdQvGsz + XlmtVpvNhjJoNBrIKDeh4HWjuFJ/1Gg0JSUlUEexku31evGtxWJhMUkxOTlZuMsajUaLxYLhDkM6 + fv6HgrZx8eJF9CytVuvz+cpWmLG6xBgrKSkRv/qjy3mzUVRUBK8BiOmosZSUlCudjx4kSVIkEsEQ + B/XvZgP+ERhgYQCHYRxLYIyxYDCIZ4FfA2RunU6HH6pNMZi+UT9qB0XOeWZm5qFDh1wuVyAQuDnN + C8FgEOu2+Nfv92u1WpPJ5Ha7cRBP7ff7XS7XfzrI/R6SkpJwHY/Ho74mHCjy8vK8Xi9WOsp2riGI + 6871HNCFp0pJSQnWeDjnLpcLA0pubm5BQQHn3Gg0li1YYG0ekyKUGUw8kiSdO3cOQwzmWlBUVMQY + 0+v1+IlwZrsqYAbFZ7FoV1xcvGvXrlWrVp05c0av1zscDnRUrHAwxjweD3xkbTaboihnzpzJzs7e + unVrfn4+Fq6wJlHGCqXNZoOIaTAYSktLMbBegwXpZgNrvey3ET67d++GNeDgwYOyLKPChwwZUqtW + rZSUFLfbnZSUBHURQ+ENDIM5duyYXq/X6XTr1q2Li4sLBoP5+fl4L/CY0mg0ImDg/fff12g0zZs3 + x4s2Go14NEj5NwRMYJs2bTIYDBUrVjx16hScCq7jLbxer/B+DAQCWIe7hut07txZluVRo0ahPv/r + +HBZAoGA2gVckqRrMAf9hblSfzQajRUrVjx06JDH48Ggl5iYKEmS3+/HEgyqMRQKdenSpXLlygMG + DDh//rwwk8ID8NoEo6sCw+yoUaMsFkuvXr3QxcQ4/J/4fD64tWClCf3xpg1j+ONITk5WFMXhcFit + 1mPHjmFV7vPPP7/S+bC/RaPRl19+GWbk3Nzcm9CFDBZF4R4GNzk4UKCpQOBmjNlsNr1eL0YDLIaK + 68Drm8U0N4vFEo1GV65c2b179woVKnTq1KlRo0a1a9fesGGD1Wq9CS14GCrD4TDmJpPJZDAYtFqt + zWbD7Ik5SKfTJSQkXIMFye12BwIBr9er1+vfffddtB9FUUpKSlBjffr0sVqtDz/8cCgUogUj4k/m + uikw0EzgiGWz2aC179+//4cffhg5cmRKSkq1atWWLFkSCASwPlpWmbTawsLC4cOHp6amxsXFValS + pWbNmomJiQMGDJg4ceLKlSsZY8nJycFg0Ov1tmzZsl27dm+//bbT6cSVsUZ4teWHpRUz3N69e8eM + GaPRaFJSUtLT0wcMGFC3bt2qVaseOHDA7/cHg0GLxYJB0Gq1xsfHFxcXv/766/Xr169Zs2aHDh3u + vPPOhg0bTpgwIS8vD0MG1sAuy+LFiytVqtS5c+eCggKz2Yyp4i8ge2m1WvVwD/lp7dq1mBSbNGni + dDoxwm7atCkYDHbu3BlKIMZKMS7fqPLn5OQgGKN69eperxdqgCRJQsOEv7UkSaFQaOXKlZIk1a9f + 32w2C0Mc+62w+Cdjs9m8Xu+2bds455UrVzYajV6v9/qa+LEOxxiDQZJdU7s9ceLE8ePHI5FIixYt + TCaTx+O5tpduNBqNRqMQTYS8ToAr9Ue9Xm+xWOrUqYN3J+rfZDIhhiQajSJe//Dhwz6fr0WLFlWq + VNFoNGJFX6/X/wlhMPHx8bm5uWvWrGGMtWzZkjHm8/lgI7os0F769+8fFxf3xhtvlJSUwDnqjy7n + zYbD4YCPgE6nO3bsGA6iAi8L5PtAIJCdnS3L8u233161atWbcD4SRYKObbFY/H7/tGnTatWq9fDD + D+OpRWofSAXityKUkaksk4j5YYzt27fvueeey8rKYowNHDiwUaNGLperUqVK7KZ0IcNzhUIhYQAP + hULLly9PTk6uWbNmYWGhwWAIBoMirPdqrw9vOrPZrCjK5s2b9Xp906ZNJUnCYsfx48dzcnIYY40b + N9br9VhKJog/jeu2QgzLLBbOoT+MGDHi008/1ev1GBeMRmOnTp1kWS5bqkPkWVxc3LFjx7BsHI1G + z5w5Y7Vav/vuu0gksm7dur17977yyitarTYSiezbty8SiSCmE6aba/MTgMMSevvkyZNXrVrFGOvV + q1fVqlV37969Z8+egoKC/v37Z2VlNWjQoLCwMDExMRqNyrJ8/Pjxp556CmpVkyZNkpKSzp49++uv + v3711Ve1a9d+7rnnULwrzbVHjhwpKSkJhUIWiwXLhF6vt4yJuRyBJRnhdh8MBtetW+f3+3v06IGU + DGaz+fz58wUFBYyxbt26+f1+TEUsprogVcMNYfPmzYyxypUrV69eXcSXw0UBjvUsFiN+4cKF3Nxc + s9nct29fFlvJw9LgjQ0i55xv376dMdahQ4dbbrnl+l7c7/ejL/v9fijeLpcL6xdXxb59+7A+mpaW + Fg6H8cZDodC1VR20X4R3+/3+MhYO/oZctj+GQqFu3bohEomp4qb8fr/JZEJnjEajO3fudLvdkiSl + p6fjCJKdoGsIt88/FEwHOp2uY8eOCFku+/z8/Pzdu3djQrmBI8mNRbg36/X6DRs2MMZSU1PLcCHD + 7ONwOLZu3arT6bp27YqW8OeV+HcDV2Txr8/nW7lyJWYToV1DJjEYDC6XSx3jLsBYAdcyGDFmzJhx + 6NChevXq7du3Dz7wiqIgp8VNaGEIBoNmsxnhLjjidDqzsrJcLpfVaoWaAb+ycDh8Da6ekiQFAgGj + 0eh0OjMzM0Oh0H333cdiKyBZWVmo1Q4dOjDGkpOTr+vDEcR/4bpZYLxeLxI3McY4516v9+TJk926 + dXvuuedatWqF5Y3U1FS4dpShw2CMOHny5I4dOxhjkyZN2r1796FDhz755JOWLVsmJycXFxd//vnn + iDo9d+7cpEmTJk6cOGjQIL1eD78y+PZcbflFUiyDwcA5X7BgQUlJyYoVK2bOnLl79+633norHA47 + HI41a9aUlpZWqFABy88lJSUffvjhypUrW7ZsuX///n379q1fv37r1q233nqroig//vijwWAQcsBl + SU5OfvPNN9955x2TyXTDl+2vL1gcEga3cDh89OhRzvl9990nJoONGzdCEe3evbvwSyktLf2viu4f + zc8//8wYq1+/PsL0WcyvT6fT4QNUrEgksnfvXpfL5XK5unfvHo1GLRYLnlft5XhDcLlcUMMaN26M + I9fRKGEymb777rv27dt37dr1/PnzCEG5huvs2LEjFAopitKyZUvRba9hpROvIy4uzmQy+Xy+L7/8 + cuDAgXXq1LmGIv1VuWx/NBgMd9xxhzhHOD1iOBK+rwcOHIAk16ZNG8aYVquFiQOS4p8j2G3ZsgUZ + zJs3b64oCnSwK50Mn8YhQ4ZMmzatf//+OHjDu+QNAcqeVqv96aefGGNNmzYtI6zFYrF4PB4k5wgE + At27d0dc359Y3t8LkoYZDAa4niYlJXXs2PG555576qmnUlJSIpGI1WrNycnp1q1bgwYNfD6fSH9/ + SS4yJC7jnFut1vPnzy9atEiv10+ePBlWa+jMLJZQ9IY97RXAUIkOCI+GChUqVK9e/fnnn588ebIk + ST6fLz4+Xpbla1vYhU8vY2zPnj2BQMBqtTZr1gwWLa1Wm52d7XQ6o9FoixYtkAntuj4cQfw3eJnM + nTt3zpw5SJYHEb+Mk30+Hz54vV71yXXr1tXr9XfccQcugmSOvD0VnAAAIABJREFUZRAIBD7++GPG + mEajycrKwiIK5zw3N5cxZjabb7nlFnEX5E3GYgz8tnERHMEHJHgVRy4LyoZiezwe8S8uuHfvXkSZ + T506VZTf4/GcOHECo9vatWvxvAj+69u3b3Jycv/+/TnniGzBdeAiJR5TlBC/crvdqEaxKQpGClFI + p9OJS6FC1OA0h8MhqkI8AucccdLiUihDfn4+/sWNxDX9fj8G9Gg0ikKieEjhgrLhUtgmRVwTtxZH + ioqKcB1UwtKlSxljer3+0KFD4l5jxowxGAxmsxkR5ziIdyo+iAviPYraQ+gFbopy4grqJAEotnj1 + ojyi8sVNcQ5ewYULFzAxzJkzR1SjeNhIJCJ+xTl/9NFHGWPJycni2U+cOIFL4d9z587hX3F3JGwQ + 1SVqAwUWZcPjo/LVJ4RCIbQEeCeLb2F2EO/r66+/Rh9HJ+Kqlibeo7prRCIRXE20VfWV8Swej0cc + T0tLk2W5devWXNV9roS616NH4IJVqlTR6XT9+vXDo3m9XrwCPKx4HBTJ6XSK5q1+fQiaUt+uV69e + jLEePXqIx1GDn1+8eFFUqXgd6ocVmYtE7QUCAWTpwKvEdQKBQHFxsbr+URvInSCKin+j0Sj6HQqM + uzudTgw76voR/+IWOB+FRJFEJ8U5KGo4HBZHUJNoKpzzkpIScQW/3w9xVqPR5OTk8FhLwE3xQzwv + ftuyZUu9Xp+eni5enHgu/BC+teKNIB22qDc8wiXjD44Hg0FxKXxAGRwOB6pRtPBmzZoxxjp37owH + hN4rGoaofDymuCaeBYUR7QTRmDhH3c5x67y8PHVrEU0LFxF1gsdxuVzoznhrVwIXKS4uVndnp9OJ + BoCL4CCuI8Y9/CvGNJyDFy2uI/p1KBTCcXXl48oif+bs2bMvKRjqEH99Pl8wGJw+fTrmNcRnqt8d + boRril6vnvHVnx0OB9KaIxhJ3SpwHfGv6IDideDiuNElw6DoDngXMP+Kf9XXmTZtGmNMq9WiteBk + UWxRb2I8nzdvHmPMaDSihXg8HkR58digLdq56Fb4V9SPSEHEVROZmIzEOeq2Lbob6kfdkMQTRaNR + NGw4eeJbCCpiRv7PQRiPLC7o8/lQDPVoGQ6HxTSqfhDxCKi0yZMnIw1gfn4+Vi4456mpqYyxfv36 + iQpRj/O4QigUEqIajuPMsoVJ4i/PJR1n3rx5c+fOvdqLXM8gY7U7KWMMOrrL5SotLQ2FQu3atYPt + pezIZs65wWD4/vvvkbkvLS0NUq/L5apSpQqWV7t06cIYC4VCixcv9ng8HTt2bN68eUlJSWJi4unT + pxcsWCDL8pQpU1wu1/Lly0+dOrV///569eqNHj26evXqV7qvLMsIArbb7TCY+P1+xMMFAoFDhw7J + shwKhRo3bmwwGBAharFYLl68GIlE4GGMxDhms/nAgQPLli2TJGns2LGhUCg+Pt7pdNpsNiz2iNVl + WZa3bduWmZlps9nGjh3r8/ngom0ymXJzc5csWZKTk1NaWlqrVi29Xt+/f/+mTZvCRYdzLhxeIbUg + U/PcuXOLioqaNWvWs2dPvAKMep9++qnBYOjatWtqairMHWazuaioaOvWrT/88MPZs2dvvfXW1NTU + unXr3n333RqNBqs1H3zwQV5eXtOmTfv164ewdSx5vvPOO263e/DgwY0bN4bDtKIop06d2rJly+HD + h48cOeJwOFq2bPnQQw81atSIMQYDdHx8/I4dOzQaTVxcXOXKlZFCPhqNbt++PRQK9enTB8b96dOn + y7LcpEkTrArjpcDK/9lnnxUUFKSkpAwbNiwvLw9OyUjpCC+RCxcu3HLLLYqibNmy5dSpUxs3biwp + KalRo0aFChW6du3aokULg8Gg0+mKi4s3bdqUmZmZn59/7ty59PT0nj17pqenY2LDK1i7di3nnDHW + s2fPc+fOHT58+KuvvrJYLA0aNEhLS2vVqhUyruh0ukAgAG/DTp06Ie2moigY1sPh8Ndff71hw4YL + Fy7Ur1+/Z8+enTp1wvgOlwyxAQ7qUJIkk8nk9Xq1Wu3mzZs///zzvLy85ORku91ev379hx56qKSk + BDZ6+PkcP378559//umnn6xWa2pqateuXdu2bQtjEd7+5s2btVptjRo1mjZtymKZ7mw2m8fj2bhx + 48GDB3/88cc2bdqkpqaOGjWqtLQ0ISEBmiT2gohEInv27MnKysrOztZoNNWrV09JSRkyZEiNGjW+ + ++67X3755fDhw5itZ82aVVhYmJ6eDh/Ry/YvtFg8Jio5GAw6HA6IOAMGDIB3aHZ29ubNm9PS0rp3 + 7w5PfUTNaTSaf//731ar1Wq19u7dW7gPSZI0ffr0UCg0aNCgatWqGY3Gr7766sCBA+vWrWOMHT9+ + /LXXXtPpdB06dGjfvj3WIGHoCwaDaEImkwkSwMKFC3fv3v3rr78mJSXVrVu3cePGd999t8FgEHmN + oC5u3LjxyJEj69evb9q0acuWLdPS0m699VaYbRVFMRqNe/fuXbp0qdVqHTduXDAYXLt27Y4dO7Zu + 3TphwgT0rwoVKmzfvn3Lli1btmxJSUkZOXJkq1atGGMWiwXCRHx8vMfj2bBhw65du/bs2XPrrbe2 + adPmnnvuQTVe4tKDDRlgKjGbzairXbt2rVu37syZM0VFRV27dkUXwLo70sVKkgSvV0mS0E/j4uLC + 4TAa9tatWzdt2rR///7bbrvtrrvuatKkyYULFxDHD+8+LG0YjcaDBw8eOXJk6dKlGo3m9ttv79mz + Z6NGjfCWUWmLFy/Oy8urVq1aRkbGgQMHli5dum/fvgkTJqSnp4tsfiI+AY0HLkwo/w8//HDHHXc0 + b968SZMmCM5u3769RqPx+Xxarfb48ePLli0zm82jR492Op3ffPNNZmZm9+7de/TokZycPGPGjEgk + kp6e3rp16xMnTqxcuVKr1Y4ZM+aXX36pX7++qECPxzNv3rxwONy5c+d27dqFQiFJkoqKiubMmXPu + 3LnTp0937tz53nvvFT9Bhq5QKOR2uz/++OOTJ0/CWbFGjRrt2rWDL81/gggB4WOzc+fOvXv35uXl + ZWdnS5KUlpZWvXr1e+65x2Aw4BUYDIajR49mZWXt27cvJyenU6dOVapUGTNmDGRHvEHG2OzZsz0e + T6tWrTAnotqhgQSDwfvuu69Zs2Zo9jApM8Zuv/12NANEiXDON2/evHPnzh9//LFWrVp33HFHRkbG + ihUrIpFIz5494UGEXqB+HAxZwWDwjTfekCSpf//+devWxY3MZvOZM2cWL15ss9nGjRunbq65ubmf + fPLJr7/+mp+fX7t27SpVqtx3331Vq1ZFwBWGcY1G88033+Tk5OzcubNatWrp6ekDBw5EC0fNw0sC + W5HAwxwx60uXLj1y5EjLli1btWpls9lycnJWrly5ePFizIPvvfdecXFxWlpar169kG0I04FOpyss + LLRYLPv27fvpp59wPpZQnU5n9+7dO3bsKDaVOnXq1E8//XTu3LmsrKyuXbumpKQ88MADsH5ATjAa + jdnZ2YcPHw4EAsOHD8/Ly9u6deuGDRuaN28+cuTIaDRaWlqK1MyRSOT8+fNVqlRBjZ0/f/6HH37Y + tm2bw+G45ZZbEhMTBw4cmJycjBM0Gs0nn3xSWFjYp0+f2267bc+ePfPmzXM6nRUrVrzzzju7dOmC + oFy8o/37969evdpoNN5///3YJyc+Pv7NN9+UZTktLa1t27bZ2dmZmZmbNm2y2+3du3cfPHiwJEkY + /7FIp9PptmzZcvr06W+//bZOnTqtWrXq2bNnTk6O2+1u166d8D/My8s7d+6cwWDo1KkTErSKOP69 + e/fu2rVr+/btfr+/YcOGw4cPR658jAxGo5FzLjxaL9tfCOJ3UbZ+c1UWmEAgoF7shP69ZcsWTFQ7 + d+4US7xlgBtVq1bNbre3a9eOc15aWopfLVmyhDGGoEzOuYgYmzlzJnIoc84fe+wxxlhCQsL27dsx + MQtzeceOHQsLC8u+O4/ZH4LBIGRNrHZ06dJFp9OlpKRw1RpDUVHR7t27cfEhQ4bg4MGDB7t3767T + 6Z555hmuWgi8xP6Dy44bNw46iTBfOJ3OTz75BDOB2vHs559/xq+wjqWuLuxVUlBQEB8fHx8f/+qr + rxYVFXHOI5FIcXHxnj17tFptcnLysmXLxNr25s2bU1JSrFarOvXta6+9Jgrm8/mqVaum1+vfeOMN + sdCrKMr+/ftTUlI0Gs3mzZvFu37qqafEIA7Z1GAwJCQknDx5Em8Tj1avXj0WWxRHyc+ePYtbL1y4 + MBwOFxUVYUufUaNGoSRiqWnz5s2JiYl6vX758uV4Os450h9xzuG+yDk/cODA/fffL55IPN0TTzyB + n8yZMwdjOmMMGc8YY6mpqd98843P5xNP+sQTTyByccGCBdBGRB6bdu3a/fLLL2JVCVZBi8WycOFC + HlvU55x/9dVX+KEsy5BaqlWr9tlnn6nfPgxfotWJRtWjRw+UUKj6rVq14qqFNI/H8+GHH+Ir4dzf + smXLgwcPqtdlb7vtNsZY7969uWqd/uDBg127dmWx5JiQLZ588kkeW7oG2dnZd955J4vJlCKl9Tff + fOP1enEFAL9qi8Xy4Ycfqo0Gl0WsEGPtU9iIVq9eXa1aNXzGSzEajUuWLBE/dDgcUHGnTJmC5Wfk + QMvJycEqw9q1axVFURQFER2MMRFPZbfbp02bhl1ihGUPjRz+D1lZWVCqxePEx8c//fTTKHBxcTF+ + OGHChEue2mq1ZmRkwOAmlj+ffvpps9lcqVKl7du349Xb7Xb0i6lTp3o8nvHjx1/izvHrr78iSTGu + sH///i5duqDOUSqEJouS468wpnHVevDJkycHDx6My0LNwwgzadIkmEpES0D7HDBggKjkaDR64cKF + 9u3b4+d2ux09aMCAATiyb98+rrLkQNpTv7X69esfPXoUVYG/kPv79u07ffp00Zz27t17iVlMbQc4 + dOhQ+/bthZyEKI4BAwagA65Zs0b0oPHjxyMOJzMzU/T3f/3rX5zz06dPI4vghx9+6Ha7v//+e7w1 + WCOFpToUCr300ktWq7VWrVq//vorCrNw4UJJkmrUqCFedPPmzXfs2OFwOLxeLxre119/DeESIFhi + 2bJlV2r5GC68Xm8oFHryySfVS2no5tWqVVPbHl977TV8i/AMPFq7du2E2TwajbrdbnT/5557jqum + mOzsbHgN/fTTT6IAQ4YMMRqNKSkp6k5aWFj4/PPPiw6OVgqPO4vFMn36dLEDmzB2iSV5p9N54MAB + rHZlZmbCTIqKnTFjBoYXNBUUddGiRWj2avV7w4YN6MuwgeTn5/fs2RNtHvtKWSyWiRMn8tiGieoq + VVsj/X5/06ZNDQZD37598e3LL7+Mx0FJAJaB1L1AWH6GDRsmmr14pw8++OC5c+cwCEyePFnd1MHw + 4cOPHj0KgQHt6uGHH8bggzUU8Oqrr+J2l5gpkDvxo48+MhgMaAZCoN+4caMYrBDYI8vy1KlTu3bt + qtVqUUidTle9evUpU6bgslh1GjNmjF6vxxaWnHO32y2SeX7wwQcTJ05U1398fDxmLtHw3G73pEmT + 1G2PMTZo0CA89fTp08U0AZcKrVZ79OhRtRF1+vTpItgGD1WzZs3s7Gyusiqjxv6r3Z74C3NdLDDX + U4FRgwUqzvlLL72EVo7fqg2pV2L//v1o/W+//bY4mJubm5SUJGwjnPPPPvsMssuhQ4dwjs/nq127 + ttFoTExMFDn+HnvsMeEKf4lvwGXBNhT4DIeZ6dOnoxsvWrRI7QXk9/tzc3MbNGiAWXngwIGLFi1K + Tk6WZfnZZ58VnVPtw6CuH875rbfeikKKE7Zt24Zhd9iwYR9//PH69evff//9MWPGQPWCp4TaaUR4 + GohKwwQvmD17tvrZFUXJzc1FSHdaWtqbb765c+fOefPmjR07Fj/EGoy42o8//shVwtkbb7yBQTY/ + Px/tz+PxNG7cuEGDBl9++WVWVtbs2bN79+6NYUtMnyUlJYcPH9ZqtXq9Hg4MkFe+/fZb3OXo0aNw + nIPIBa8k4U/i9/shTPfr10+MnpgUxWMWFxfv27cPMofFYhk5cuQXX3yxfv36d955Z+zYsdu2bYN1 + fsKECXXr1n3ppZcyMzO/+OKL559/Hu3k2WefFZcqLS1t06YNcivFxcWZzeZ+/fpNmjSpatWqmOan + TJkiTv7uu+/wCFu3bhUHFy9ejNl31KhRq1ev/vrrryEs9uzZEydgvoE0o35ZHo+nU6dOjLHq1avP + nDnzyJEjy5cvf/HFF2fMmIETvF6v3+8fP348OsLs2bPXr18/a9YsyDGvvPKKeIS8vDy8BfwWjeTI + kSN16tSRZblRo0Zr1qzZs2dPeno6diqEAwNusWzZMjHDTZo0afny5StWrHjuuecmTJgAd7hZs2Z1 + 6dLFarXKsrxgwYJ33333vffeO3bsGC8T4XbIYwLE6NGjGWPYV8Rqtfbr1++FF15o164dSn7vvfei + qSiKcuDAATzyypUrxQV9Pt+CBQtQTuFfMW/ePASay7I8a9asBQsWLFq0SMimatFByGSyLFeqVKlh + w4YLFizYtm3bv/71r+eee27FihU8Jqy7XK62bduikl944YXly5fn5OTAJMIYGzt2rJi/vV4v1k0w + YlSsWHH8+PEjRozABlNYrdRqtT169Pi///u/hg0b4qHmz58vnmjv3r0Wi8VgMHTv3n3dunU///xz + v379GGN6vV7IeZCGIQ2o/Ta3bNkCycZsNi9atOinn37avn17vXr1kPrv448/FlV3/vx5iBfvv/8+ + LlJUVHT8+HEs96Smps6aNSs7O/vNN9+EnQqcP39e3H3MmDF4d9OnT9+3b9/ChQsRBIX5Atc8c+aM + +C0SUU6ePPmRRx7hv/WC4yr3kmPHjlWuXBn6wKJFi3bv3v3CCy8ImdJut8PojZOFGqDVaitVqjRi + xIjnn39+165dnPMVK1bgLUDpEmsleK2iux09ehSNDXGVLpcLAj1jbNq0aXv27Pn3v/+Nf9955x38 + KhqN7tq1C7X3wAMPfPXVV4cPH3711VeHDx9etnc0pMM+ffpAju/cufP06dOzsrLef//9J5988v33 + 38dq1MmTJ9PT07Gbx4svvvjtt99u2rQJtS3LMsx6WApEMRhj69evVy+QQVeUJCk3N1e0TNgJId+H + QiGfz4ehG2981qxZq1evnj9/flpaGobE+Pj4JUuW4AWpHSyFnhmNRt99991LJla8xz59+mg0mttu + uw0HI5HIrl270NQfeuihpUuXZmdnz549+6GHHlLPyCJCqU+fPsuWLduzZ0+fPn0YYwaD4fTp0+Lu + cMcKBoOQJVCw3NxcvEcsw3HOV61a9cADD+CCL7300meffTZv3rydO3eKIvGYVxiOLFmyZPDgwdCW + x40bt3Dhwjlz5uzevRvP2717d8aYTqebMmXK999/v3btWngOM8YmT54cCoWwCzPnvF69ehUrVsRX + RqOxT58+b7311n+OjWJ5NCsrC7PDwIED16xZs2bNmjfffHPo0KGY8eH0BVUBVK5cuW3bthkZGRMn + TsSRxMREodaKTgH3dbSTZcuWoclVrFhRq9WmpqYOHTpUrEpg9YpzXlBQ4HQ6MdVKkvTGG2+sWrVq + 5syZLVu2FDrbrl27cC9oSiaTSSS2gQsDSmWz2ebPn79u3bq33noLCiTei1Bg1F6LxN+Tm0uBUYti + cDDAya1atZIkqWnTpr+/TLNnz8a2U9u3by8qKsrPz1+3bl21atUg2a9Zs0ZRlFAo9Nhjj9lstoSE + BMimkHKEj1anTp0wdfn9/nHjxmH0P3v2bBn3LS0tVbu285g9BF13zJgx/HKOnt99953FYqlZsyYG + uKpVqy5btgwnqJe0xcIz/o1EIgUFBZgqvvjiC865w+EIBoNjx45ljN1+++24FyJDLhs7hPVm8e/U + qVNRTkzwwWAQgwXcAFq0aIHTPB4Plr1NJlNmZib/7SAiYjxmzJgBMQhWNTjXcc47depkMBjatGnD + Vb7px48fR/1jzEWq06SkJFwfLQG6ikajOXLkiHiW4cOHQ9sUj3bXXXdhEx4eG+xEYapWrSpen9p/ + GuOp2+1u0KABi4mtomx+vx96Dh7twoULcG5WFKW4uNjn82GXgClTpggRCkYVAMUGki7S8phMJrHI + FwwGR44cieAosVr2yy+/4LewEwKokdiaQNQ5dAa1z/eBAwcYY1ar9ZNPPkGTEFYX2Ao454sWLYI4 + qBbFMFV37dpVHEGFm81mMffv3bsXAgFsMmjJO3fuRGm3bNkCr4zjx4/jSFJS0tGjR1Fgr9eLAsB7 + 2+l0wpOnadOm6mCzspcnRCSVOK1BgwaVK1dmjN111115eXnYcs7tdkOxh8cpXtzrr7+OUqmjdOB8 + aLFYRPPGVzBhoRMh9AgSm1peEePVyy+/jG6oHhxgrhFlfvrppyEBQMl3u91QJJo3b67RaGrVqoV/ + o9Ho8ePHsfwpSdKwYcNgnPH7/fXq1ZMkyWw22+32FStWYGRYvXq1LMsJCQnQynCwQYMGNpvtvvvu + E6PHwYMHGWN6vR5KsqhwETWExelDhw517drVaDRWrlz54sWLsCE7HA4R0DVixAgeW08RxpOcnBxx + Qag6VatWFeFbkUjkyJEjWq02JSVFqN+c848//thqtYoxhHMeDAYffPBBNEIRUYChBhtQvP/+++L4 + JdGSgrNnz952221Is45yIrgoPz8fYhBeNETYs2fPYuvShISE/v37o7qwCyfn/IEHHoDxEwv8yJV3 + Sa8MBALt2rVjjGVkZGAImj17NrYh2r59uyht//79ZVlGDCdAd2vatOn58+fxLOj+/9UCOXToUHTe + oUOHqosh1mIcDserr76KV7N3717RXIuLixF8X7NmTfHDN998kzEWFxeXl5enbq533nmnJEnwX0Dx + 4OrDGPvggw/ENSdNmqTT6RITEzdt2iR+W1xcnJ6errafwHAnQuC4KkisU6dO8fHxmA5EtJjX64XS + +9JLL0HN8Hg8jz/+OGOsSZMmMN1AsFYH9hQVFdWuXRujkwhRy8nJgQ0TYVoYoHAXEZyJkixfvpwx + ptVqc3NzYR4PhUIIaGGM4a0hpgsBOWK9hquiZD/66COoc7m5uaI58ZhxxmKxHDhwQG1DwEJJfHy8 + uA7WBbABQ0ZGhqgoSCw4TW1nc7lcI0aMYIy1adNG3XhE38frwzkmk6lNmzarVq3isbkDEyhjDJno + OeeFhYXYhEBY+znno0aNgnYnSdL8+fNxcYwqjLFHHnlE3E7YXvbv3y8WF3Jzc9u2bQuzmJipQ6FQ + vXr17HZ7x44dcSQYDC5cuJAxZjAYvvvuOxyMRqP333+/2Wzu1auXuuWIbznxd+XmUmDcbrdY0RTW + XmzmaDabYeMGZbfacDg8evRoSIr9+vW78847q1SpAgdKvV6/bt06dKFAIIDcSj179hQT8MaNG9H9 + HnzwQcx8kPKffPJJnU6HMOsy7isKhqHk7NmzEJtuueWWMWPGiPFFhAMWFBScOHGiVq1auKkwmz76 + 6KPCmw6DJjZE5zHLA77C4r3BYNi5c6cYLJCI2Wq1YqlbXecYvkUdqgPoIbSZzeZmzZqpHwrxOZIk + PfLIIyJybuLEiQkJCUhLzX8b/IfxOhQKwQsOaicGXHyVmJio0WjGjRvHYxOecAoSRZ07dy7WYvfs + 2cNjY/EzzzzDGEtMTBTzFue8WrVqYmjDLaC/McaEiHPw4EHYPf75z3/iiPCWgS9ZQUEBfL4h+r/x + xhuQPMTG4TzWVSCFi8rknOfl5eF28+bNg6knEAgI0796Xgd4rrvvvluIMi1atJAkCbYCVFTnzp0T + ExNbt24NvwuE537//fdGo1FsAq3WRUUKCo/Hk5mZiQlp0qRJUPxwpnB5OnfunFgjxM8hp44YMSIx + MfGee+4Rlx01ahRjrEaNGqLZQMWVZRkqHDh8+DBEhA0bNqAMiDAxGo14fK4K7uexGF8ltqHnu+++ + C0cs8Sz8yoinxvmnTp3Cw7788svibSLbREZGBqqaxzoj/Jq6dOmCvoPgWrfbLVzghMxdWFiIzvjK + K6/gheKm6mQMIktHSUnJlClTIG/BuQgNT/3SoZCjkfDfum+NHz8eBRDnQ5C6RFD2+/0wAteuXfvE + iROi20K+NxgMMBrwmL3aYDAcP34cY1cgEDh37hy2Yjx+/DhXCf0ikBp3h57PGDt8+LDaLFNSUtK8 + eXOj0YgseXjwRx99VK/X22w2cdqCBQuQj3Xz5s3CHwY3EsMa/j169KjVatVqtU8++aQ6JvvJJ59M + TEwUPqLRaBSNkMXsGwKhlquj+cPh8Jw5c2DmWrNmDd67iM43Go2SJGEewVdfffUVLj5+/Hh1afE4 + t912m9lsRmFQPzDPTpgwAX6egUBg3rx50EvPnz+PB0EswejRo1HCvLw8v9//yCOPVKxYUQhqnPOO + HTuiKwlTmLBU8CvgdrszMzOhRXTr1o3HAseFmoQ7btq0CQ1ADHclJSVok8KD0ePxoAZ69+7NGENm + BXFyNBqtUKGCLMvjx48XM5rQV/fu3YsesXXrVig/L774Io8tluHk1q1bS5LUuHFjrkp7gEuhtFgR + UBQFjzNx4sRoNCr6FDIfMsa+/fZbIazffvvtSUlJNpvt8OHDag9DEak/depUvHq8Hbyyc+fO4VJH + jx69bI4EcRATR3x8vIi5Lygo6Natm06na9u27WUD3FEPogCKosD4ULduXWhZOG3v3r2MsYoVK6q9 + qfHVE088gbnJ4XBA3li2bBnq5P/+7/94rGGHQiGxnisWW3EFn8/XunVrvV5fqVKls2fPOp1O0bvV + S10w0fTu3Vu9JFpaWjpr1iwkUN68eTMK/MUXX6BJYzzHAhkkpUqVKn355Zc8tjpTVFSEhRv4RCBx + NtSkd999l8dGabS91q1bV6lSRUgXkUjk7NmziPuFB1ryFHTgAAAgAElEQVQkErl48WLNmjVNJpNY + 9UN1YV3jrrvuEr8VX5EL2d+Zm0uBwaCmzhzCOV+7di2G402bNqnXPMq4jtfrRXcFWDusWLHirFmz + IBBjDC0sLEQ08BdffCFGIgTA1KhRQ52NxOfzNW7c2G63p6enlyFgRVR5hzjnhw8fxuxrtVo///xz + MSyqlwxh2K1aterUqVMLCgoQGGAymSRJEv4GIjxDpNzhsVFp5MiRjLGkpCScifEC7iKwNU2bNu3w + 4cM8Jq5x1cqlOp0LfGQh8D399NMiWQpXaXSrV68WgwVkfdC/f3/YqXhMAsDQhkXTxx9/nKtc4Pbt + 24dfrVq1Sp3GBAXYuHHjkiVL3nvvPThBpaamiqIqioLVtWHDholiHz16FEOtaLWBQABl0+v1J0+e + 5Jzn5eUhOPXOO+/kKsMF3q+YvSKRCHyQkJ6O/1bZA6LqSktLs7Kyli5d+tFHH2FB1Gw2Cxcjr9c7 + btw4g8FQtWpV9buGfo7Hf+mll1CZp0+fRrW///77KMyBAwewH1HlypXvu+++Pn36PProo926dYOW + 27JlS2FIUSMsbEeOHBGBTx07dtyyZQv/bTIr2ANNJlPDhg379+/fq1evESNG3H333SjGM888I9o8 + 7FEZGRlwgywqKmrdurUQ+AYOHDh48OC+fft27twZcgze8pEjR3DOiBEjRKYmUWAhjmzatAnzdGZm + 5iUGgSsh+tclz8IY279/v/oKoVAIOcSqV6/OY7nIUC3CRw6v+ODBg/DUWrduHefc4XC43e4tW7ag + B23dujUUS1QF3fWSJgFpAMVAj8vIyDh16lRUlbaOcz5w4EDE/QsxVzg3wt0Im5ni5PHjx0OQQmG8 + Xi9eH0774IMPxGXPnDnzxBNP2Gw2hLRyzi9evFi1alXUyciRI++5555+/foNGTJERBxBRoRiI0Yq + 4HA4IFV36NBBXc+KojidzrZt26Kzixpo0KCBsCUi3xd66MCBAy+ximCzS8bY+vXrcURIw02bNh0w + YEDv3r379u07dOhQrOCMHDkSp+Xn5zdu3NhkMt13332c87y8PFRFbm6uuLhaG8zNzUWO5vvvvx8t + TSR5++GHH3DHrKwsuOvwmA9bzZo1ocqK5uf3+0+fPo1w8FmzZvGY31GLFi00Gs3999/vdDrh/ZuU + lIRsJXjdcEc0mUzp6en9+/fv16/fAw88cO+998IcgZgohHmMHj1ar9dj+HrmmWdQ2v/qP4Z1dJ1O + t23bNrWeLHQYt9sNp8q4uDiv16t2l3W5XJMnT0YSFOhLFy9ehKvSK6+8ovZ5xiit1Wp/+OEHseiG + W9erV0/I5aNGjcLKBee8tLQUhT979mxhYSGOv/XWW16vF3I5V429wgCCfQ4YYzt27FD3l3fffRc1 + g2uiOUHXQi97++234YtYUlIicuvhphaLpW/fvoMHD27Tps0jjzyC9mC320tLS4WlKxAICEMQRt1A + INCoUSODwTBw4EDRqPLy8mw2myzL06ZNE2VDNjNoFEJ+EkMTRukJEyagO586dYpzPmjQICgJ0KxE + jy4oKHjkkUdMJlOdOnVEPT/55JMYuEpKSiARqcccJCwRJcHnhx56CIqE0Wh89dVXsYIjmlNpaem+ + ffskSbLZbPPmzYPHXTgcxuAMFz5ZloWZ/ZFHHjEYDAjWhe2rqKjIaDTqdLrFixeLW/t8PqyfSpK0 + Z88eVOOYMWMw/oRCITw7KCoqgqvYq6++ipR0PBaQrNVqz5w5A2kE3cdisdSvXz8jI2PQoEEZGRmQ + Z+Lj45966ilxwWg0SgEwxM2lwHBVgkIh6//zn/9EHJjD4VDHZJfRduGsnJKSMnjw4I8++ignJ+fE + iRNOp1NtyC4uLoaLM2NMeLW6XK4GDRpIkjR48GBMDAi4FFsZ/J7awUCcmZkJ+a9t27aQrlBgMehE + o1EkIY2Pj//4448jkQiGV+EAyhiDWf+SXKLqgPjbb79do9EMGDBAbZZxu90imhkxlzk5OeqIQ/FB + fC4tLRVuS5s3b8ZBDKmzZs3CAFdYWIiFH9RMt27dWCxK0mazwQ9eRAP/+uuvEOu/+uorrkq5+69/ + /QtjFlc1vmPHjvXt2xdDMDKYYVgcNGgQjwW15+fnQzKYMWOGcH5FojbG2MGDB30+H+a5BQsW6HQ6 + u93+9ddfOxyOzz77DM8F9x7Mpup8spjPhJ72/PPPY3JCJagzfqIw48aNS0xMxICOn0ANFrUaDAaR + pQepIXFfSI3Hjx+HuLxw4ULIFnDT0mq1cHF2uVxw6sBpGo0GD4jsk4yxBx54QHhZICEv7iusf6FQ + aNq0aUglBOvE+PHj1QlDW7ZsCVHearWqczzA82Hx4sVohxcvXoQ0OX/+fPwQO1qKaHI1ZrPZbDbj + 8RFdo9Pp4KggqgWZLYSfDCKM0RLgN6io8oNflkgs56x45PHjx2s0msTERP7bbM4+nw8mkbvvvpvH + FBV0h9WrV4uZz+12z5kzB4FVubm5QoR6++23GWMGgwGbr/PfalaoarVPpsPhwCwrAi0WLFjAY6ZF + r9eLHjR8+HCussxEIhGPx9OtWzej0VivXj0ec41r2LCh0WgURkXcceHChUgcB6cgocSmpqbqdDrh + kYhmLBJVqTfdw1aMasOIsEShMEKa/OKLL2CbFbmeRWjBxIkT8eBwktRoNPPnz8c1t23bhtHsww8/ + 5DGPFziv/vOf/0R7huwejUY7dOig0WhghIHLrshJkJCQIDKP5+bmopfhmly1dH3JgIangOQty/Kc + OXOEBRsV/s4776Ddot9hRGrYsKHZbIY1A4i4JhFcd+LECZHRpFevXna7vVGjRjh5yJAhjLGuXbsK + mV4EX+l0OnxQ53X49NNPRcbno0eP1qxZEz3UZrMlJSXt2bPnEp/eSwiFQqjh2rVr44g6FTtGNofD + geCf+++/H8fVqlHz5s21Wi0cIznnyFug1WqzsrLUg4lQw1wuF96joihI6AdNkseslIyxsWPHisf/ + T59S3FdkN7kkAYZw0IpEImoXuIyMDMZYmzZtRCIcznleXh40ZLQls9kMrzDcd8OGDUikiQFZRFwg + 1afValW7P4gKEbUNQ43JZMK6IWaTQ4cOYRxYunQp+uYlGZxFw8P4IPLxfP/992LE+OWXX6C+jhw5 + Uv1yIfHDhezWW29FkXw+X506dex2uzBCiklKPQQhcJ/HIpEKCwsrV64MzUGv19epU2fdunVqV/MV + K1bodDq9Xo/1LK7ycBkwYAAmXFGTCMC7//77hXkWkpLRaISZV4zSTzzxhE6ns9ls6IOBQABtvnfv + 3mLGxJI0loQYYzt27IjGcgg9/fTTaM/FxcU4/6677hKOauJFi+lm9uzZQvzgqtzKxN+WmyuNMnIa + ojtpNJpwOGw2m9euXavVatPS0mw2m1ar9fv9aNxISazX64PBoCRJiANDIkI48JSUlDz22GO33367 + 6ACYAJDQHV7jOp3ObDa3atUKv3W5XCdOnGCMde7cWeRz9Pl8q1atstvtPp+vVatWSHN82fIjB6Ki + KOvXr0fE3vDhw2fMmAFtRBSYxVLBIq6mV69ew4cPD4VC8CSZPn36/v37xdQSVaWejEQiOp0uFApB + PD179iwidpB2E85F2Mt8x44dixYteuutt4qKiuAp53A44uLiOOe4TjAY1Ov1qElFUSwWCyxdSP8q + bscYg0NLs2bNEKSBbJWMsRUrVnz//fdTp049ePCg2+2eOHFixYoVMzIy/H6/3W5H3Krf77/rrrvw + IKh/CFiNGjUKh8MQTQ4cONCjR4/8/HyEFTZt2tRoNLZr107EIVSqVElRFFSI3+//xz/+AdVLp9Nh + 8T4lJaVGjRoiL0qDBg0ikQhErsLCQggZH330EdYa4+LigrEdMLGhJFQmhG3ARZgxJvJco/49Hk9i + YqLD4WjTpk1BQUHt2rXbtGnTt2/f+vXrt2zZ0uVyIRob3vNWqxWpdeAcj9kLCbKR2ltRlPbt2yNK + Z+3atZIkxcfHw2Zos9mOHz9ut9vtdvvixYvRsLG7K+dco9FUrFgRwzqySIfDYTw1XqXb7bbZbC+8 + 8EJ6evqbb765ffv2QCDwySefRKPRmTNn4u3n5eV5vd6hQ4c+9NBDuCz2WcPE0KhRI1xq586dPp8P + +ZfRJbOzsxljLpdr2bJllStXlmXZ4/FoNBok3uWqzTq8Xq/BYMDrczqdcXFxWq0WG9FiZzeLxYJJ + sX379uFwODk5Gemt0d3MZjM6CGNMnTcWrQi9CZlV165dazAYunTpgpEIjTYajWZmZiLrjgicRf6i + QCDQoUMHrIbCrIexonr16lWqVEFWa0VRVq1aZTKZmjVrZjab0UrVWXfU44/BYIhEInFxcd9+++2n + n3764osvejwe+FtWqVKle/fuiEHCr+DDhhbl/H/23js8qnLbH39nZk8vmVQScugt9CI1gIBgAQQE + D11FuuXokQMoKGLBghU9KAhRPKICoqJSpEhvIUAooQZCSAIhbTKZ3vbMvN8/Ps+sZxvKPff34165 + nr3+yDOZ2fvd66171c9yOi0WS1VVFTCUxo8fj8OhrKwMYtOIESOwyDGAubm5GCjgWUNTKiwsRMQ8 + RHBY2TEIGzZsgJqtVCrtdrvJZAKftHhwYgSDQSxyhUIBBUalUrVp00atVjscDqvVivHcsWMHBqFv + 3744peHQ4JwPGDBAo9G43W7cHolExo0bV1FRgYVqNptDoRC8W/fddx944DGV9ZFHHhk+fLhOp0tK + SqqpqVEqlV6vF1jbkHJ2796NU2j48OFYEpFIBH/JfBAIBGifIsdMFMVhw4ZhC2PhBYPBTZs2eTye + rl27QlHnnFdUVBQVFfl8Phpq7HRs2G3btqHUZt26ddGCIAj9+/fftGkTlOG1a9d+/fXXKpVq8eLF + hE1fXV0dDoefeOIJab9ggPP7/Z06dcK2ZYw1bdr02LFjP/zww6xZszBr3bt3v3z5shSXrBZdvnyZ + MeZwOGCk93q9ZrMZ0wH49UgkAv1Qo9HAwBSJREwmE/aU0+kEmjk2RSQSQb6cwWBo1aqVSqXyer3o + 6W+//aZQKHCoAib4ypUriIO6//77I5GIUqlE5VmPx9OvXz+LxQJUeovF4vf7165dm5KSYrPZMjIy + tFot3hrkfHY4HEDL1ev1sC51794dYwI+TSbTDz/8oNPppFkTfr+/Tp06J06c+OSTT9566y24y/Be + 0+l0FosF3vhwOHz48OHq6uq6desCTR7vnbS0NFQHDgQCsNpotVq8ScHVoUOHUHf14Ycfxo7z+/1U + iaFDhw4KhUJ6CODkpJMBy2/Hjh1ms9ntdt9zzz3YzuFwWKfTVVdXWyyW1q1bq1QqvJvi4+OtVmtp + aem+ffsUCgUmS6vVlpWVXb16NRAIPPLII5DMSKCXlo7A+ysajeIUUqlUZ8+eXbZs2dtvv+3xeAoK + CkaMGJGfn49yDh6P58iRI3hpZmZmlpWVpaWleb1eq9UqiiKiKOH15Zy73W4s73vvvRc1D1Qq1a5d + u3DmAJSSOAGO6KBBgyBTnTlzBrM8depUTBzkS8QS09kSDAbxLlizZg1jbPDgwQhD9Xq9586dC4fD + Y8eOffLJJ2ECAHQTsPIQkUiqKcmKMsn0/4turd/8t0LI8GtlZSXSvpFgoFarn376abrs2rVr0iQ8 + sjSQ0g9nt8lkKi4uxjfRaBQOU0o+4ZzDTA5LFVT59evXY3PC0gAfLuccYR6JiYlSpI7rCfysWLGC + MZaenj5v3jzpr+RJRywKWWvwdidEkXA4jGBck8lEhlKv1xuUFGtDZ1E8hDF24cIFqSlCisYDGVqn + 08Ekc7MQBb/fj5CbDh06RCIRjFsgECgqKqLxj8ZqX4Yl0JOFhYUzZsxgjKWmpi5YsIA47NGjR1pa + Gkx9oiii7wUFBRSlhok+deoUuvDOO+/g3pKSku+//578KsQhlL06derQN9FoFD4uJLPCsBoMBiEv + MsZmzZqFkJjRo0fn5eXRjXg0ZpbGbeHChXgVkTsOMhZ58F0uV8uWLePi4oYOHYroBYfDUVZWxhgT + BGHFihVk4cvKykJYc35+Po9Fx+G5wHV94IEH6GKYu6RB/5iyu+++m7KJ8BMZ/+DWp30EWxphyMLm + jdAI1HJlsZx7tE+DQwPidrultmo4DaZMmZKYmKjX63ksBmzp0qWMsfj4eOli83q9xBhWV48ePQwG + Q1xcHKVgcUlGKfmCMG5vvvmmdEK5ZDtLnxIIBJxOJ0XPY/SKi4thmyBorOrqathxH3/8cXjheMzb + MGTIEGRR00g6nU4ENjDG5s+fT+lYdrsddvEXXniBCsLegjC5LpcL3QeykFKpRDCe3+/funUrko52 + 795NEe2494UXXoiLi9NqtTk5OfB4/PTTT2Dp1KlTdGJwztu3b88YI7cMWvj+++/xCs/Ozsb3L730 + EsaElgchhkvHlseqE0pR+KDQ4jyX5mOgapZOp1Or1fi+pqZmzpw5qampiYmJfr8fM/WPf/wDnNOU + IW1AFEXsekDZwqjPGLNarcA657GQMArxJ8fC3/72N8BJO53OkAROvVYiAY+FlOCUAA+1yuHhiS++ + +CLdBSOLSqU6efIkTldpfcmmTZsKgvDggw+SZ9vj8eAWhUJx8OBByMQE7kcBotf3SxoAXFVVhQZh + mRZF8dy5c6NHj8aBv23btluEKEPfSExMfP7558npQRQMBkVR3Lx5M1yvBw8eJLM9ZvmFF16Ap7S8 + vBy3IyhrwIAByN/AwBJ65Jw5c3isPub69esh9+PNKIoikq+Q70TZgNiYiFfs2LEj1Yjkv08JA0ul + paUWi8VgMNBZBKfEJ598gjW8adMmqduBICsLCwsHDhxoMBg0Gg1qA3DOP/74Y7BdVVUlXeeEfEOT + S24uaXIjvV+k4z9s2DC1Wg2AgRvOC6aSEvxGjRqlUqkaNGgg7c7mzZuhMx86dIjGAW9YpBTqdLqT + J09iP65btw7mUYrKvnXESq2KqFeuXGnTpo0UKxwDCOfMpEmTpHWcOeeLFi2CjQzpLg6HY/369TgE + yLt1/XsWE4ogC8bYRx99BDY2b94MTf7AgQNSOAG/348obmiq4DMUCiFf7quvvqJeoEEARodj5VOl + UxmRAKjKJNOdFUImlWBw8fr16+Pj4+H6dLlcAJHExaIoSt++nHOn04kMNhQfIGRhki2AcYRoS1h8 + GWOffvop5bJD80lPT+e/R+hCRBY28K2TjJHTQknq0ldX9Pegn6jCkZCQcPr0aTiCqYb6XXfdxRgb + M2aMVGbFB7fbTZn0s2fPZozBoolXPoQVKYcQOo1GI5J/pITXGxWCgIyFqC1RFJ1O5/79+w0GA6RY + ggSBhsAlZ3dBQQEMXf/85z8hsQWDQWS7wjSO6w8cOICTUaVS/fzzz+jLE088gWQPGA4h8QBC5y9/ + +Qsmy+VyuVyutm3bWq3WUaNGQaYMBoNQHhhjCFLnErc4YwzIYFAkKChOuvZCsbI/nHO73T5v3jyC + GJLGZZECs3TpUgiLyHXBUK9atQqmJgSAIbwYnvGkpCRpzlJ5efmSJUsALbV582a0D5OqxWJZtmyZ + GCvRDWkG5VZRNp6kN/57oY34pzdxrYA3zrnBYADCEkkzjLF69eohv6i6utrj8UjrrNPiQcTIuHHj + 6BHgHyo3QJmoCDSQstAC0lj1en1OTo50h0pl4qNHj+Kl/t133yGnn8c0fL/fX1VVhTBuBFldr3Wj + qR9//BFTduTIEamYvnfvXnhWkVuMdzYqbOINilE6d+4c1q3FYsGChEAPH2xcXNz69ev576N0alEt + QMJAIFBRUXHu3Dm4jAgLmDKS161bhwHB39OnT2PxSLG5oP+gHhw9Oj8/HwLuihUraLg456jWUrdu + XVoViD/UarVbtmyx2WyEbEZ6JiD1KAhTGlD64osvwmOD4HWauzVr1kBYlELSw1GA4qGYIATD1KlT + h0qPh0KhkpKSkSNHopuE6MA5hzQsjd2SPhFc+f3+evXqCYIwevRoOI3pJymagvQvla+hsvQejwe5 + hVCi9u/fb7PZsACee+45jUaTnJxMDJC4b7PZsNS///57HjvBQqHQoUOHcAggpzkjIwN6C83IDfsF + kr7XpPHPoVDo448/xlKsrKy8RVTMjh07cBkAPzjnSPOTBkdt3LgRJmpASEHgC4fDZ8+eZYxpNJqB + AwcSQglgaYYNGwZ+ampqdu7cSS6gH3/8kdYVMv1orHw+35IlSwwGgyAIW7dulWoCixYtQiY9IAQQ + oEvz6/f7ocFySXr9ypUr8eIQRfGTTz7BptZqtS6XC6OBk0GqzFANK1LdP/nkE+jP27dvD4fDNTU1 + tLyl5zD/fUoeeh2JRGBIGjZsGBDG8DicYxSMVytn7Pr5BecwtoJnp9MJF0d8fDyQQjEakUikqKhI + rVZrtVrKTeec4/CXrslaQryUCBqHEFyqqqrWrFljNpv1ej2pQPBFJyUlvffee9QmAixxCMOsA0IC + FWJNb/aexSt4+/bteL0ePXoU0Vzz58+XTgrN12uvvQYh5K233qIwYCoecOrUKWQTwbim1+s7dOhA + uwCmW4TM3XD8ZX3mP5nuLAUGJBX6Yd2HWQW/EmAiXS89pLgEFQo4GFwiOUkfvWzZMmwqVICBXN6l + Sxe9Xj9o0CDaFaIoVlRU4OX3zjvv3EKa4ZzD826xWNRq9datW/Pz848fP75r167Dhw/n5eXhRCPQ + +lWrViFoYeHChahrhu8Rc5WUlASjiPT8khqxrly5Arj9IUOGeDweDNpnn33266+/4hE2m+3atWuI + L2/dujWNA0n5OBQo1RWDNnTo0IKCgtLS0vnz50Ps0Gg0er2eMvx27dq1YsUKDBr8Uffccw+L1U7m + MZM8WhswYEBRUdHFixcJGQkKDI+9dEeNGoVXPtBdi4qKJk6ciNzZbt260ZiUlpZiCmhOOecIYklO + Ts7Ozq6FKtagQQPK7pg3bx69QQkglUsOPmlMP87uffv2YTnl5eX961//gidh4cKF4D8rKwtYvSi2 + hSp4pFXyWLVNVE+j9zcMhCaTCXZoCLuoc0/gtnizrly5ElXnBg0aRJNlt9vz8vLOnz9PKxAWbvyK + iaisrFywYAEwprHS5s+fj5qbmzdvjkajUDmo+MDixYtJSwcsQU1NDeYFErNGo/niiy+w9SKRyJkz + Z1DBulu3bngKVlRZWdnRo0e9Xi/W0qeffoqB6tq1K+CPAoHAqVOnlixZgi0QCoVgvjWZTIsXL8a7 + CtXrKioqcK/FYgEnlBFH2SbkQHvsscfAD5BtgDSwd+9eBMr37NkTflfSaZOTkzt27FhTU3PkyJEZ + M2ZAFoSyQQAMnHOES2m12o8//vi/fDuuW7fu3XffRY15zrnP5wOkQUJCAnRa6GNQ3fv27YtFGIlE + du7c2apVK6PRmJGRgekDFBiCNB5++GECp3I4HFu3bkWYX05ODp4biURsNlv79u0NBsOgQYNIA0Qt + C5VK1bVr19LS0nAMljo7O5tEPVAtxzWFjrBYTcNoNOrxeFauXJmWlmYwGO6//35M97Vr14qLizFu + n332Gdqx2+2vvPIKhPsnn3zS4XDY7faVK1empKRQ4A1J8JFIBNnzer2ekNbgOdyyZcvVq1cx7Jcu + XcK9n3/+OZcYm2FWwGe/308o5zxmO1AqlfPmzQPAY1ZWVpMmTSjshCp0oWohY2zEiBEej4c0PfSF + qqPu37+fTl273Y4wKhaLy6chxUF6s35VVFSsX78e6m4wGPz666+zsrKuXLmCZG54hzQazV133VXL + QF6L7HY7FCej0fjaa69hhZw7d27btm0E+0ZgFbD1YGYrKioaNmxYr169OnXqVFdXU48wXz169HC7 + 3fn5+a+99hoihNVqtU6ng9dUFEWHw9GhQ4fExMTBgwfTsiFQhCFDhhQUFNjt9tWrV8PQDiIzDY/V + CJL2JRQKISTVZDJNmzbN7/fv3r370UcfxUwlJSXVr1+fFLOlS5du3boV7yDgKJKky2OJN7m5uXhu + ZmYmZhP8nz179uuvv6aH8piAAacuIjIqKyux0qggI1xneEFPnz4dPhact9efCTiR8vPzwfyKFSug + 8dJZDcZ69epFiUC7du1q2rSp1WqNi4ujzH6fz9exY8e6desOHjyY7BS3xnX45z//uXLlSvr3zJkz + 8IEAsQZs0EylpKQcO3YMZ2lBQUHPnj0Rq4yBhdgAEIK//vWvtKdu+J7FewQI2jS2OTk5OFQHDRp0 + 9erVS5cubdq0qVOnTowxQRB0Ot2PP/4YjNXegfmVYF3xuGHDhgmCEB8f/+2339JeKCsr27dv3/WK + PZlQbzE+Mv256c5SYMBNRUWFwWCwWq0qlSouLg4ni9FoFAQhOTm5FigHYQtiV3g8ng8//BC35OTk + oDIUWQKQnI2NgTCtxo0bk0h06dIlbL8vv/wyGqtWgXcq9j/gvG5BkyZNYpKK41RRHv8uWLAA8ihi + RZCVDpvNwIED//a3v8EIlJycnJGRgYwFLkF/x5uD6tCVlJTAU/zFF1+QktO6dWvGWHp6er9+/TIz + MxFLYLVai4qKpOF21/th7XY7gRcR3XvvvX369BEEoWHDhpSqCJQ2o9HYs2dP1LGCiLxx40aSKioq + Kmq1hqTkHj161KlTp27dunQow7Cn0WisVqtOp0PINV7AKLiGy1auXIl2zp075/f7EbQD5TYtLa0W + OKbD4Zg8eTIGtlWrVlzi2aOIIDLxSk25Xbt2RXVOxpjVaq1Tpw7ivw8fPux2u9euXQt0fMZYfHw8 + BDjIyoyxMWPGQPA6ceIEYughHDRs2DAzMxOlxHU63dSpUwkvlbpQt25dqZp65cqVtLQ0NGK1Wh98 + 8EF45CwWywcffIBzXLqkeczahywmxljr1q1HjhwJlxqLyaNEv/zyi1qtNhgMSPO47777OnbsiCtP + nDgB3tauXQv+8VaDCZ9zjgLb2F+tWrW65557cDc3C4AAACAASURBVNmkSZOofUAzUfo41iT7fZlw + QiozmUxt27adN28efsLL0mq1tmvXDquUUvOlYSF+v99ut/fv3x+NgId7770XSFmCIHTs2BFlTGhI + aVFhhyJJoGvXrjqdDh5Xu90OafjQoUNUeLtjx46PPvroDbY655xzURQBuYEkvc6dO8P2bDKZpGUl + w+EwYkWQUzF06FBMKN7f586d4zHpoaKiAqoOavjQO5vMolLYViq28+WXX1IAod1uf+ihh8C/yWTq + 0qULsrNUKtVTTz0FUUlq/ifPBv7C7cYYa9u2bZcuXVq1aoUj8f7773e73bRtEbwuCMK5c+dcLhcE + YjiasDYo7bBHjx6NGjVSq9VANkPsZTQazc3NhXRoNBqNRuOIESMwJkjFwVO++eYbPB3RLPT0YKwU + j3Qv49+ff/4ZfnUWU03NZnPnzp0bNGiQkJAwYMAAt9sNuba6uhqLYcWKFTzmjqZhfPbZZxljDRo0 + kML38VgavUKhqFu3Lor3SVWOW/QrMTGRoFy6dOmCFoYOHYqs+qSkJKPRWFRURKFcN6OZM2dibJFg + Q+WVpTBZ06dPh7nHbDb37dsX5cU0Gk39+vULCgqkjggc3fCWg0aPHg2GW7ZsyWPvC5vNhl+xqiE4 + lpWVmUwmHDJGoxG2fL1ej/z7unXrwvBB0OQ85uGkkTx79iwYYIzRrL355pvAdaC4slAohDMkMTGx + f//+KFCDf0tKSggXJBqNApMTlJaW9vjjj2M1vvjii5hBHPhY/7S5QqEQ4LD0ej3yOWnnUgHWxMTE + sWPH3kyBId8Rxlkan4YxHDduHBZbRkZGu3btWrdujQlq27YtfGg4z6urq7G6SAi7dcSUw+FAtF5S + UlLnzp3btWsHD2r79u13795NY478FrIetm/fHkmzCQkJiG1BMAIiXLDjoBTd7D2LAURQK5yBcJgD + 1wFdg3dOr9dbrdbhw4ejWSix2Mgo3jV+/Hjpmt+yZQultWRkZPTv3x/WQIPBQDDxINJeZAXmP5nu + LAWGc+50On/++WcIMZQrhjWt0What26NrU580/mI720229y5c7FdKyoqallcSOxzOBytW7cWBAHe + c1wG/wmih6WB+Kgcn5qaSlkWN2OequfiCKajWavVarVakml4zN5w+PBhiFx4JwE9ZsqUKfv27SNY + D7oFO5ZkXyCY6XS6Y8eO4ZtgMDh16lTCH4N68+yzzyJpmzqOD9K3Pj4vX76cjp4uXbp89NFHXq8X + ktA777xDJ2lWVla7du2od4yx/v37FxYWAqOMx+xhy5cvJx9It27dFi9eXF1dDaEWgT2c8+rq6hMn + TlDMriAIkyZNOnXq1BdffIGDuE2bNuAcFWBSUlKo+5WVlY0aNdLpdAi/gZpHZ/3UqVOhewCdMxrD + jKrldcFUSnHtoIKS6N+mTRsgiXHOq6qqYF/UarV4SZw9exb51lifCKOiyhLHjx9HaiamKSMjA+FS + NAt2ux3vAITt+Xw+ac7DXXfdpVarCdVKo9E89NBDiKLmEmealP8dO3YMGjSI5gWuCVp1wPgCA8XF + xVSAHJSamkpxKU6nc+7cuQqFIikpCWteGrwxY8YM2pgw9vfu3ZsiDCHwFRYWDhgwQKVSYWErFIoe + PXpAIgmHw2632+FwjB8/HsI6Yww5A8AUxi0ffPABydkUIwFMYSwJxEUwxlDQmjCsAIhHhnav14u3 + 5o8//ojBFAQhIyPjpZdeikajWKLSdCCPx+N2ux977LHk5GSlUikIArJpb0bz5s3LzMzE0yEiDB48 + GLFnUqqurp41axbWOYlEq1atkqLccs5/+eUXvV6PXAgek7FqamqaN29uMpmQyERoUdu2bcNuPXDg + gNQ363A4/v73v5NEiEHu0aMHwuQikoI8/PcBcj6f7/Tp05MnT1YoFDAoMMYaNGiwe/durEwK34L1 + NDk5WVqSj3P+6quv4rkJCQl169Z94YUXkJ5uMpleeeUVLC2yI5w5c6ZVq1ZqtdpkMsHckJCQQCBX + Ho/nxRdfjI+Pp6fQwUsfpLJ+JIbiuGjRIjhFBUFo2bLlnDlz7HY7huLVV1+lEwARLBaLhWJrKQkk + FAohHO6hhx6irDACpYTglZKSQqVIKSnrZv2yWCwA5wVNmDABfjYWw6WYNm0amro1qhI6/tZbbyES + lTGGLTZhwoQLFy44nc7q6mp08IUXXsB4MsbS0tLS09Off/556S5GZ5cvX44zWavVdurU6d133+Wc + A3IA6hlKuOJdo9FoKAEGnGzevFkQBEKGeOSRR0pKSoYNG8YYy8zMhLxb63Uvhb612Wzjxo3T6XTY + 8pmZmd98801ubi52JSFuu93u6dOnoy4t0ZNPPllWVibNcQ0Gg06nE65+k8mENuPi4u6///6NGzdS + tUpiSXrsv/TSS9hK0PA556i69umnn1osFuzrevXq3SKEzOfzocYOrCE8Vu0KEYahUOjll1+GjofE + +oYNGyI0gCIyOOebNm0Cz9nZ2WRiu8V64JyPHDkyOTnZZDKBSbVaPXnyZModBbfJycmJiYmjRo36 + 9ttv8eLWarU6nW7YsGFUdxu0ZcsWrVZL5w+/yXuWc15SUoJGoDnjS5fLVVhYiAlCIOLw4cNLS0th + Q+ncuTPaFEWxtLRUrVbr9frPP/+cTFQ4DI8fPw6njVKpxO5ITU2lHE7ql5RuPUQy/YnpjlNgQJSU + gsVNVUQoklsq2UvNKjwmQt3QFy9d62TLxDlbU1NzvekLJ7X0rlu7+KXIhvQlTih66eKVQC9+m812 + 7ty5H374YfXq1RcvXpTWB5S2I01d5RI8APqVHPThcLiqqurEiRN4pcFWjdZwDeJVIjEsael0eDye + PXv2XL58Gecmwoi5pJYCMeZwOC5evFhUVFRWVkb4xdTZQCBgt9tramry8vJQOK9W/IA0VcPr9V68 + eDE/P186aKWlpTk5OWQ2kw5+TU0NXvZBST0cqgsBPAP4fyAzob80/tHfl0mNxIrhgEO0k5eXd/bs + WYwbvpdmI+zfv5+QZznnTqdz69atJN9wzi9dugRWy8vLXS5XXl7emTNnaBXRXdJhx1zjOPb7/QTe + sGvXrmPHjuXl5dH1MJnXSubBXYRQXFhYmJubizA/LG/Y+YhgMKuurv7tt98KCgpIDpDOFF7A0kmh + XYnA6GPHjp09exZ1QqT7MRwOUwHBjRs3UgZaVVWV1PbvdDoLCwsPHjxYVlZms9kosQrIthQIx6/b + dMFgEExeuHABYVq45ujRowTAAEs/TTowD8rLy3Nzc0tKSnCeYEZoYCFaYdJramouXbqUl5dXy+xX + i0j9AOIqgCKwVcPhMHK36GIMXW5uLvwJeDr2u/TkocQV4oo+Y3NRYphUY5cqe3TxlStXdu3aBb2a + 0IHpWXiK1FCCcb569SpA1aV9x0lIjOH8ocMKC4BC0S5dunT8+HEey3mIRqNS7BMMOKYA2tTGjRsP + HjyI3DmsHEwfvw69WvpBCi6PEoq4Fz7qgoKCo0eP2u12BBbyWPUVjBWeHgqF6CCNRCKA6ccASpPB + aPnhLqRMEFe19vUN+0XX43FY6k6n88iRI4C1Ra4Xl2QB3YJgAjh//nxubi6WhNQFHYrhg3POT506 + deDAAbvdThMHBdIfq1KP6KmDBw/abLbrA5ZoLUmTp2uVQA2Hw5cvX/7ll194DDAXv6Idp9NJDaJQ + TCRWXgwz6/V6y8vLcb5FYiVT6fqamhrpgFRUVJw4ceL06dNRSQ2QaKxeZGlpKe3rM2fOHDp06PTp + 036/32azAf5YCiscleSjIqaD5rG6ulo6nhcuXLh48aI0ekpKOLExpFI5gdYVgQaBz6Kiotzc3OLi + 4us1k2g0CvxG/Esdv3UIGdopLy/PyckpKCiQPheNuN1uWDEWLlyIfh0/fnz//v10JvPfi2QOh4NW + y83es9LzCi8FMhiBysvLN2zYQC3T9ST2EI428cBjhwzN5sGDB48dO4bjq1biMayusuoi052lwEQl + xWtJSgvH6l7RIqYcxFrHPcG0Y6MCLqPWiwGvf+xzqbmLhDO/308qDZ0dtfSoW/cX3YSMhe5Qzgnt + 5FpwQGRUBgNSpypaoGOdDj4SNIkraUAFYufAKqQHStbnEqkIJwiNkhQ0hk6xWv9KczDAKo8VmiSe + azVLx5b00EQ70pAYwqCjuaC+RGLARLVSeKmwoFTBGz9+vCAI9evXJ6cQl6hM9CziNhAIUIPSY5EM + jeSnjkpKAeB9I533aDQqNadRRUVSLaShgPTQWvo2tWm322stb2nw5A2njEuWNMkH/Pf6pzSImcfK + 8/HYksDKl+bd8pgYKq2ah3bAP2E3YdYoQ5fHBG4sXeoLlCIyXVN3cOXOnTs1Gs3o0aPpJ7pRKvdI + OUECmDQUkKzm9E2tNyjapBRhnDB0PZ08GCup0nU9UT5GKFbzhN9obYQkpScQTC91I+DR9CLnMVkQ + nkPpXpBakXEjmWAcDof0QKNjE8lFUnG/lq2HSzagdMnhV2ndbgwdCTR4nHT2cQ2Ccmud85gF+jIU + q86OJ0oNN7TXpAcaRQpxyQ6iv+Rjp5NTuiSu1wqk418rrJRL9AFaSNe/s1CJnEtQ9cgycrN+SYtv + 8t9PhPRxt15v0iHisVMU9gsemzLKNZL6hegWDKPUKEBhcvSGQkBdNAY7SdYuqfYCPYq2IfoLVVYq + 60t1ZrRTy8tEr2MaATKcSRmWfsZlZJiTtkkSdiQSkY6k1IBF28EvqQEKS5aUc+mQ8hs5QyiKqRYb + lFYqjQ2hfUQWWNojyFOnZqUnvxTL63qSjgzOCqk6jTPkm2++MZvNRqMR5hVpES1S+HlMLpK+LqXq + aK33LHlxqTX8C02mliEY4wYhpBZ8pXSWyfdFhMZpGUtnEEMnKzAy3RYFRsFjaWo3pOXLl0ej0See + eEIURbVaHY1GKd5DJpluL33xxRdTpkxRq9W//vrrgAEDPB7P9VUXZbpzCIVrampq4uPjjxw50rt3 + 7y5dumzZsgURgKh3xGLIvPK5IZNMMsl0awoGg6hPpVKpnnvuuY8//rhevXpFRUXy+SnTn4xCoZBG + oyGdAgHzSBn990neFTLdEXThwgXAEL3yyitIR0aptT+aL5luTGKsQohGowG47SeffLJ9+3aVSkUB + 0DLJJJNMMv23CJ5JIC4yxu666y75PSiTTDck4b++RCaZ/ufJ4/G8+eabaWlpd999NwpyI1Pwj+ZL + phuTWq3mnLtcrri4OKPR2LVr1549e2LioMBIs95lkkkmmWT6L4mAT+Pj40eNGjV27NguXboQHoxM + MskkJVmBkemOoPbt23fq1AlRzkDXiUajUsA0me40UigUpKUAu8/n8+l0Oun3Mskkk0wy/ZuE4DEE + kk2fPp3AHmWSSabrSY70kOmOIJVK5XK53G43YckrlcpAIPDHciXTzQi5cxaLBRAUKKFgMBhu6HuR + 9RmZZJJJpv+SEC2mVCo9Hg+0F6CZyySTTNeTrMDIdEeQz+ezWCyoSwD4SBaTkmW6M4nw2ZDxAjTS + 6y+THTIyySSTTP8OIbEQlYg457AK/dFMySTTHUpyCJlMdwTB2gRIUxzifr9fzoG5Y0mhUMBXJgiC + 3++H40WpVNbSOWXVRSaZZJLp3ySFQuFyuYxGI2qSouap/CqUSaYbkqzAyHRHUCQSUSqVUGMAEk/p + jDLdmQQARExTOBzWarWhUAhvXJCsvcgkk0wy/fukUCiQsh8Oh5EMo9FoZO1FJpluSH/aEDJRFPEB + ZaFQuArFECORCH5CwTg5xvR/mcLhMBXUoy8h+Pp8PsYY51ylUsnxY3cCBYNB6b+oPIXPUqxkTJ9G + o1FK6N9RYGiWsT1vG9/XEeqfst/3CCcAPstYpTLJJNMdQqijpdPpZEh6mWS6Gf1p9wbiW1D2VRAE + SFRarVaj0UCfgRymVCpljML/TQqHw4IgaLVahUIRDodRWdnlcikUikgkAlNTTU0NY0yGILsTCIhw + jDG/32+32wGSc8Ncl/9vhGVQU1MTiUS0Wi0MCqQj3RYqKysLBoNqtZq8QwguZ7Eim4wxv98vx5rL + JJNMMskk0/8V+tMqMIyxSCQiiiIq60m/V6vVQH0VBAGiks1m+4N4/I8jkk2R/C0Iglqthg7pdrth + szcajYwxj8djt9v/QFZlYhJZX6/Xx8fHQwcIhUK3q3232y0IQnx8PCwOSqXSZDLdXt01ISEBcW4+ + ny8QCMC0iZ+CwSCepVarI5EINGeZZJJJJplkkukOpz9tDgx8L2q1GgIKlBnkGavVaoTvk/01KSnp + j+b3P4Vg0Q+FQpxzab4EY8xsNouiKAgC/DAmk+mPYVEmCQHMWhRFvV7POff7/SqV6jZWJyC/RzAY + RNJqKBTy+XwJCQm3pX1RFClLh8WwIlgs5o3UafwbHx9/Wx4qk0wyySSTTDL9j9Kf1gODyDEy5eJf + xKgwxoLBoMfjgSH2fzTyXqZaRMC7ECsDgYDL5QqFQrCFQ7dkjFVVVVEWk0x/LBkMBqiUSqXytsdZ + ofwlYwzhnQByuF3aC4sBCfh8vlAoBOb9fr/L5YI+ExcXh1+rqqqYRJ+RSSaZZJJJJpnuZPrTKjDI + e2GxJH6NRgMjK+RmvV4P3YYuk+l/h5CMhLkAaLLFYtFoNGQmR3hSYmIiVbSU6Q8k1OSJRCJOpxNC + /21Hh4N2FAwG/X6/9KG3hbDSSPVyuVyCIFgsFkEQ4H1FFc7k5GQmp13JJJNMMskk0/8R+vPL7qIo + kopSVVUVjUavXLnCGNPr9UixkOKSyfS/QAQ8RUbx8vLycDicn58vCIJGowGkMpNAycn0R5HZbIa/ + Ii4uzu/3A6rL6/XexkcoFAqHwwEIB4/HU15ejkJAt4WCwSAy+FmsnIJarbbb7aIoOhwOxphSqcT2 + j0aj0NBkkkkmmWSSSaY7nP60CoxU9oUVtqqq6uTJkyqVqm3btkeOHKFfUTTqD2DxP5XI0I6EhPLy + 8sWLFxuNxp49e7pcLoopQr71H8moTIw1atQoLi5u1qxZoVDIbDYjhek21iWANmu1Wg0Gw/r1681m + c3p6+qlTp25X+4hMwwYXRRHay4QJEzQazdixY69evcpiPiWfzyevN5lkkkkmmWT6P0G3U4EJBAIA + xmWMhUIhIORCQAkEAiyWRwvVIhwO40tRFIPBYDQadTqd7LowdMKhQtp3JBIhCNdQKESiMJMgooIo + 0IVzjkckJyf/8MMPCoXC7/d36dKFMQboXhZLg0GtGNyFQiVMUh0CT6+urqZ/qS9oBLFPBNBE40C/ + 3pB/tB+NRufOnVu/fv02bdpIO0WEwSTeMHS4l56IG6UQt4AuwDfRaNTr9UajUbo+EAighZUrV9ar + Vy85ObmiokJangVPQcvgH72uNUekb9Bn6r70c627ENcXjUZTU1O//vrrUCg0fPhwi8XicrkgH6MU + MXUzEonQyHi9XlpatUaJxRIe6Et0R8oDHAj4W6uz+BKlgWjccG84HAYDgUAAF9NohMNh+iwdf5o1 + /Mo5DwQC4KEW8xi3W8AT4/qamho8OhAIkBsE+RsgbCKph4Q2GpOsavb7FUU7sVYtlCtXrhQVFTHG + 2rVrp1QqEW1Fg1lZWQnG6HFt27Zt1qzZ1KlTpZ2ip/h8PrAXCAQQJAbcOaxSxtiWLVtUKlVSUlKr + Vq0CgQCtJaAISIeCtoDX66WVRkONb2jiUNyaxUrNmM3mjRs3ajSaPn36AL0DS8tkMoXDYVqluAUM + d+vWrVmzZk8//TQOCoAZMMmSltaSwhFxs/XAJEeK3++Xbgqv10vLgFaUTDLJJJNMMsl0Pd02Bcbv + 9+t0OkBLVVZWIudErVYrFIpgMAh1QhAEGEGDwWAkEiFEIK1Wq1Qq4+LiAoEApHzOOUSHhIQEyEmQ + nFQqFcnuVKEWb3qFQqFQKKCrhMNhCOuMMQSNaLXa0tLSEydOqFSqBx54gDHmcDji4+PRoFar9Xq9 + CFsCpLJWq/X7/RBrICsDfykxMRGyFyRRtVpdXV2tUCjATyQSAZ8OhwPWXNTTDYfDN+MfWFsej+e7 + 7767cuVKx44d9Xq9z+cLh8Mejwf6j8vl4pwjwZ1goJxOJ+KvNBoNBHryIyEnPhqNIi1eqVRiWIxG + o1Kp1Gg0kLd0Op3JZHK5XNnZ2VevXlWpVAaDQaFQeL1ep9PJOceEQtMA/6ifo1Kp/H4/xFafzwc2 + dDqdw+HALcFgUFpzA5+DwSBETMwawUCVl5c7nc74+PimTZuGw2HKT0DYj9frJTgyQRAgghuNRiwt + fE/ot4IgBAIBg8GgVqtRuNDj8WBa8TjIjhqNBo0gvDAUCoExj8cDBGeLxRIOh5VKJW4PhULXrl0D + PJrL5dLpdDqdjqRz4HErFAq3240MHyxIEqy9Xi8UKoVCodVqMU1SFTQajWIMb1H5UaFQ2Gy2+Ph4 + nU4niqJOpwOrjDHkbyBWKi4uThRFo9EItSoajUq9Ctgj+Aw4PjCGnahWqzHU+NLv92dnZ6vV6oSE + hF69elEoZnl5uUajqampSUlJQZvoUX5+/tmzZwsKCrp3784Yq6qqorSTcDjsdrsNBkNcXFxFRQUW + HmPMbDZjleJkyMnJUalUPXv2xOFgMBhgU9DpdJhZjJvf76dhJPcpDhZ8oLwXQRCwNoDTbbVatVrt + zp07dTpdKBTq0aOHTqerqalRqVR6vV4URaxtbG0wHxcXV1RUdPjw4YKCgg4dOmi1WmwurGGoH263 + 22KxYNKdTmdiYiKG5YbrIRKJmEwmzrnH40EmHmw3mDU8FJxLNU+ZZJJJJplkkklKt02BgaihVqt9 + Pl9KSkpFRQV5HgCQChkRJkyI/oyx6upqMmYHg0GoPRCz4uLiIGenpKRAFYHICNEBfz0ejyiK5OWA + 8gN5CMI6kwQs1dTUnD59WqVSDRo0iMWEtlAopNPp/H6/0WgMBoNKpRJGWcjByJNRq9XXrl0zGAxu + t9vj8ZjNZkgwPp/P5XIlJiYCOgmPhsAEGYXFUGKlZeZr8Q8OLRbLuHHj5s+fP2nSJHALzDREv1gs + FoVCEY1GAQMN4QaQTYiB0el0arUatmewodVqydgfCATUanV+fv6DDz5Yr169vLw8i8UCHDbGmFar + 7dOnz5w5c2bNmoXcA7PZDHQmxphCoQAP0AlFUYSCRxKewWCADZ5zbrVaUX+d0N7II4crMemQmzE7 + KpVq3759Lperpqbm4YcfJmnb4/FgYUD1RWgZbuSc+3w+v9/v8/nQBUr3h+BbXFx8//339+rV6/vv + v4e26fF4oA9D+iflB+OjVqvVajWp01evXqUkHFxmMBjq1q2L7lDZ03A4rFAoaJCp2UgkguQKQRCg + wxsMBvQiEomgwUAgoNfrw+Ew5Hg869q1a7dQYMLhMFYm55yQwTFEfr/f7XZrtVpsLowMIIn9fj8p + z06nE1VcoXliX9Ds4N9QKETqnEKh2L9/vyiKdru9ZcuWer0eN3700UcdOnSYPXs24LzI/5aYmDhj + xoy33367V69ejLHk5GRAMpjNZkEQzGZzUVFRIBCoU6cOk7hk0fdoNGq3248dOxYKhQYNGgQ+RVGE + FgpmoDTqdDp0R61WY/bpApwnaBD4ENhZcHSIooi9uXfv3lAoZDQamzVrxhjDXvB6vTBzhEIhoBdC + +QHIxCuvvPLiiy/269cPYY1YKrBBRKNR7BoMDp4ODL0brgdsUtIk7XY7zjpyxcC5BAX+NsJVyyST + TDLJJNOfivgtadmyZUuXLoUZnnwjtyC3202f/X4/57ympgb/hkKhaDQqvZhib+CQgXEdogY+gyor + K+kz2EDLRBSrVosgVdtsNty4efNmdDk3NxcteDwe6ZX4y2OBXpzz6upq6eN8Ph8Yg4TBOYdvpLy8 + HHc5HI7r2SgrK6P4qxvyj0Fzu934FY3QLS6XC72DpVZ6YzAYpOGVErgCk/js9/sXLVrEGDMajQiF + wrjBo0I3OhwOl8sFXGMEm+HXUCgk7RpCeoh5jBVGBn0JBALEKlrweDw0p9Q10OTJkxljycnJYBU6 + En6y2+34AGZqamqkC8Pn89FnLCRwsnPnTsiRO3fulD4InKAjbrcbj6N70aB0kNHHqqoqPIgmiDrL + JWuGx9Qq+izdC5xzNIu1ipbhJBRF0e120/q/NTmdTvDgdrvBNroDGV26riDBS3nAYgYPtIB5LMAS + n6WzHIlE2rdvbzKZRo4ciQv8fr/T6UxNTWWMLVy4kB7EJeeDdO3RwF67dk06+3a7XbrqoOfs2LED + 2/PUqVP4ngIXa50bUs65ZAqwWvx+P55F/ZV2lnPetWtXo9GYmZkJ9kKhUK3tzH+/RJ1OJy0JxFgS + e7U+4Ha65mbrAY2DSbSMLjgcDkTecskCk0kmmWSSSaY/GZHAj3+XL1++bNmy/24jtzNpFbVWGGN+ + v//ixYubN28+d+6c2+1OT09XqVRTp05t1aoV5/zLL790uVypqaljxozBjYFAAFi6e/bsycnJiY+P + Hz9+fDgcXrJkiU6na9KkycCBA0+fPp2Tk/Pjjz82b94c8eiMMZ/PR/jIjLHi4uLs7OycnJyioiLE + Y3Tu3HnkyJGMMa/XCwUmPT29U6dOp06dunTp0i+//CIIQlpa2uuvv+73+w0GA2T6goKCtWvXxsXF + zZgx4/Lly9u3b8/LywuHw0uXLoX0qdVqN2/enJ+ff+3atfPnz/fu3btTp079+/eH48Lj8SAo5dNP + P3U4HIMHD05NTb0Z/yg9vmLFinA4bLVaR40aBefMuXPntm7dGolEEBTEORdF0Ww2X758OTEx0WQy + Pfnkk0ql0mq1iqJ47Nix3bt3nz17tri4OD09fcCAASNHjjSZTD6fT6VSVVdXZ2VlrVixAuOwaNEi + i8ViMBiGDx9uNBr37duXk5OjUChmzZoFzxV8OBAlDx48eOnSpcLCwg4dOjRr1qxnz56NGjXy+Xwo + +ef3+6uqqhYtWmQymWbMmOFwOMDG0aNHdnjq2wAAIABJREFUu3fv3r1797vvvhsLFP4TtOzz+cjD + E41G9+/fr1KpBg4cqFars7Ozt27dWlhYGB8ff9dddz322GO0unw+n9VqZYwdOnTo8OHDx44dCwQC + /fr1a9KkyYABA4LBoMFgcDgcH330UXFxMfw/hw4dunjx4uXLl2fPnr127VqXy9W3b98WLVqgQbPZ + fPz48V9//VWlUo0aNaphw4bYEhaLZcuWLXl5eW3atOnXrx/8Hi6X66effiotLd25c2e3bt06d+48 + fPhwURThhvJ6vUajUa/XX7x4ce/evbm5uYWFhb179x4xYkT9+vUxpHAT/fjjj6WlpS1atLjnnns8 + Hs/GjRs3btwoimK3bt2ee+650tLS9PT0G24ut9ttNpstFsuSJUvKy8uHDh3auXPn48eP5+bmrl69 + un///n/9618bN24cjUbB6tGjRysrKzMzM0ePHp2amqpUKhMSErxe76FDhwoLCwVBmDhxImI7A4EA + VqDf709KSho9ejSMCB6P5+TJk4yx/v37g4cvvviioqICIWRnzpx54403tFrtqFGjGjRooFKp3n// + faVS2bFjx379+nk8Hq1WW15evn79ep/P98gjj7hcrlOnTq1bt85ms3Xs2BFrw2azJSUlKZVKlUr1 + 66+/KhQKk8kEx4jdbkc1GJfL9fPPP2/bts3v96empvbp02fo0KFwPwqCAOwyLCSsSZ1Oh5MxISHB + 4XBs2bLl5MmTly5dSkxMHDNmTLNmzY4cOaJUKvv27atSqSoqKlJSUk6fPr19+/ZAIDB37tzi4uId + O3Zs3749MzNz3LhxCQkJy5YtS01NbdGiRdeuXcvLy9esWeNwOKZNm5aamhoKhRDP6fP5tFrtt99+ + a7fb+/Xrh+C6G66HunXr6vX6QCAAh7PFYqmsrMzKyrp06RJcOg0bNpw+fXpaWhqTxMXJJJNMMskk + k0y/o1vrN/8tD0xVVRXn3OFwfP7551arFTIrJWZs3bqVc+73+3v37s0YS09PhxImiiIsl2VlZW3b + tmWMvfHGG6IoIjVZEIRPP/105syZLFYnW6lUGo3G77//HnZxCPcOh2Pp0qUdO3bEs+Li4iDWGI3G + a9euwYLbpEkTxtiECROysrI0Gg3J0IyxmTNnogto7e9//ztjTK/Xr1q1irSjr776CoLR2bNnkUWj + UqkIoFkQhGeeecblcpHt+erVq+Bh4cKFr7/++s34B2/NmzdPTEwcN24cHhGNRmfNmoWWIQwRJSUl + abXaRx99FAxXVlZ27doViS5Go5FiTh566CFyzrz99tvgU1pofNSoUXBt/e1vf2OMWa1WTB9m+ezZ + szNnzkR0nBRyasiQIefOnZPap6dNm6bT6erXr79///7mzZuzWLaSUqm877777Ha7x+OpZUGHmR9r + 6cKFCygC88orr0yYMAFxNQi4SkhIWLBgAZd4Gzjnn332mVarjY+Pp4qKTZs2PXPmDMZ87969+BJi + H9ZeUlIS51yv1xsMhhdffFHKyX333YekoF27dtHsl5eXN2jQQKlUrlq1CpetXr26devWaBkcpqSk + bNq0SdqUzWZ777338MTExERafufPn5dunI4dOwqCcPfdd3/33XeYNWhljLHJkyffYnOBTp8+zRiz + WCyLFy9++umnKQzPZDIlJCTk5+dnZ2fXrVuXNp1Go5k4cSI8ihjDbt26abVaqE9OpzMajcIPabFY + 9Ho9AsMAL7F9+3Y0cvjwYc751atXEVslhewzm815eXl2u726uhrfr1q1ijwn0JkZY7/88kuHDh1q + LeYjR47wmHtEFMWWLVuq1erhw4dziW/t66+/rl+/PmPMZDJhbTdq1OjHH3/kMfsNLUX4N8hl5/F4 + Dh8+PGzYMOg5FPg3ZswYtHPo0KFIJIK+P/nkk9jCAPnAxL3//vsYcKylxYsXR6PRLVu2YHXt3bsX + S47MSG+99ZYgCCkpKdeuXbvFesCv1MG3336bxgTJM4yxnTt3/pseOZlkkkkmmWT6P0e3xQNzm0PI + vF7v7t27ISIMGjRo3bp1GzZseOedd/7+979fvnyZcy6K4oQJE/CeRpsU/DN//nzGWFpaGkSrH374 + AQI3JJhmzZoNHjz4/vvvx70LFiygmLQrV6689NJLkGibNWu2YMGC7du3f/bZZ/PmzXv00UchKxB6 + GCghIWHkyJEjR45E/Hrz5s2pC6FQCNCxEH3MZvOcOXNmz54NeauwsFCv1yclJRkMho8++mjfvn1b + tmwBpplOp/vuu+8otmr9+vV4FkJubsH/pUuX8CWEJNCvv/66dOnSVatWLVy48K233lq7du2TTz5J + ss6vv/4aiUS8Xi/SHnr16vXNN99s3Ljx888/hxanUqmuXr3qcDgcDsemTZtGjhwJrWDu3LlZWVlL + lizJzs5GZ1u2bKnT6aARQVU4c+YM5kin0z311FPr1q3bs2cPnCEGg2HWrFnSGW/UqBFYgkI4YMCA + 2bNnY+LMZjNSodBNRJGhdzymua1cuRLaDkzOXbt2nTt37vDhw0lVoBA1m81GSt1LL72Uk5Pz2Wef + wUj/8ssvY3EeP378ww8/xI2jRo364osvsrKyVq9ezTlv3ry5VqudM2cO2I5EIj/99BMB7G7fvp3H + FJgFCxaAE2iAS5YsgY7xzDPP7N69+7fffsN09+nTh8eiEIPBIHRUq9X62muvHTx48N1334XY+vrr + ryPiLhwOX758GfyTqjlmzJixY8dikTRr1uxmsVLofjAY3Lx5M6V6q9Xqrl27vv/++/feey8ma/Lk + yRjGGTNmzJ49u0GDBoyxevXqoWs1NTWRSAQLftasWdjLOERyc3NpXdETn3nmGXyJfx0Ox/vvvw9n + S0ZGRlZW1j//+c8PPvgAIViQ7PV6/dmzZykia8KECRjeevXq4UB47rnnunfvDnVi0KBBpJoWFBRA + T/j888+Jq88//xypR9OnTz9x4sSqVavatWvHGBs4cCCPhV1Jdeni4mL6/sSJE3/5y18YYxkZGa++ + +uqRI0c++eQTONPMZrPBYLDZbHTypKenQ3lAstN999338ssvnzhxgnP+zTffMMa0Wu3Bgwc55+fP + n0c2zrZt23jsPLx27VpJSQkm5bvvvgMzt1gPbrcbQZK//fYbdsq0adN++OGHbdu2vf/++xMnTkRI + 6vUxtzLJJJNMMsn0J6A7S4EheeKRRx5hjN1zzz1k0Uc4PuccWdcffvghZDiICBABUZhFq9WuX78e + d82ePZukWMignPPCwkJIIRC4ETL+6aef4suHH364sLAQzJAiATFl1apVEPIUCsWUKVMwdj6fr3Pn + ziqVqk2bNrjYZrMRyKlOp3v88ce9Xq/b7UZr0G0YY02bNrXZbGRGzc/Pb9iwIWNs4sSJyNYIh8Pz + 5s1jMfijr7/++mb8h0KhDRs2QPjLy8vjv0/F4bFpzsvLa9WqFTSTDRs20AUI4uIxC3QwGHzqqaeg + q0hn54033mCMxcfHk3Uc0fnIyFcoFO+88w7nXBTFQCCAixFJxWNivd/vv+eee6Co4MZQKFRaWgq5 + 02g0ZmRk0LDPmDEDfhhaAzwW7i/NzMEsw8dVp04ddB/sIfBPp9MhVIxz/tVXXyHdGZkt4H/cuHFa + rbZfv36I/eOc79u3DyFJUg+JKIpt2rRhjM2bN4+yDjIyMkihXbduHU0QY0yj0UBgPXLkCNhbtmwZ + ZXe8+eabUGkw4OFwGGKuSqWCXMs5j0ajY8aMQWgcVmBVVdW2bdsgQDPGJkyYQCb21q1bKxSKNm3a + /JcGgn/84x+kAmVnZyOjJicnhwKNRo0aFYlEgDbRvn17jUaTkZFBTs79+/djVHft2oWZwti+9957 + ACWz2WyUQ5KRkaHVaidOnBgIBCBS2+12KCQLFy4EPDePOROmTp2q1WqBQefxeJAP1r59ewjx/fr1 + g8geiUQqKiqSk5Ohv6FTLpdry5Yt8CZlZ2djEKhTH3/8MfwqPp9v8eLFMCsAcZhL0rHwFzqnx+O5 + ++67GWMtWrSAxwNtnjp1CiYAKJ/A/ygrK8PQabXaCRMmkK8P+TyvvvoqIDHwpcPhAPjbBx98QNMX + Dof79OnDGBs/fjzGZNmyZTdbD0OGDAEzoiiOHz+eMXbXXXfhDMS9SKGR5lbJJJNMMskk05+J7iwF + hqh9+/YI1i8sLAS4EOfc6/WSx4Disn777TfOucPhcLvdnTt3ViqVjz32GLXTuHFjxpjJZJIKAXBW + mM3m9957D19WVVVBqGrbtu2FCxd4TDimVGkwj0AphUKxdOlSyqYVRbFRo0YKhaJ///74JhAIrFu3 + DjIKpSlTlvPLL78M9WPHjh08Fq8CK3JmZqZOp8vMzLwZ/xArgaum0WiysrJ4TLWbOHGi2WwGcBmX + xKUEg0GfzxeJRM6dO9eyZUvY6Q8dOkRYAhDOIEPTED3zzDN6vb5OnTrSlO67775bEIQ+ffqQ0gWk + 5nXr1mEujh07hu/37t2r0WgUCsWCBQsg65Og9vzzz0PUo0agFjLGHnnkEUJE4JwjPychISEQCJCN + vBZwAkRnKH733nsvtYnHvf/++xABT5w4AcA0zPK8efPQFIqxzJgxgzH20EMPRaNRNPjBBx8Q6BY9 + KxgMZmZmMsaefvppjNXSpUsZY0OGDDGZTEajccmSJZgj+EOeeOIJ3AjBtGPHjlhF4G358uUwt0OI + B36XQqGYO3euVDl87rnn4C4gjwS+USqVH374IZcQnFdjxozhNyeMD1wQHTt2LCgowPehUGj16tVW + q1WhUCxatEgad5SWlmY0GhGUBXrjjTdgEYCgD4w4zvmQIUMYY927dye5GWK9VqtdsmQJ9osoitnZ + 2Zju7OxswA/wWEBg3bp1BUEYMWIEoVyQYvDCCy+gTVqlI0eOBMgeAKYDgQBiRFNSUuiEwci3bNmS + x9AmOOdffvkltj+xJF0zRHPmzAG8G1a13+8nVwZuJ5au3++oFYMpCwQC2Hc4l8A/Wp4zZw6gR0Kh + 0Jo1a7AAPB5PWVkZ5xxYfDdcDyNHjkRFJs559+7dlUplw4YN8/PzCVrD5XIFg0Ep+gIdCGAJz73F + UpFJJplkkkmmO5nuuCR+l8tlsVi6du168uRJpVLZuHHjt95668knn0QxSoPBALEvIyMDyKcOh6Om + piY+Pv7NN988evRonTp1EHfBOT9//nxFRYVer3/rrbdgTEXCMcrqud3unj17MsbC4fD+/fsBHjBs + 2LBmzZqhQWQ8E2NqtXr37t2MseHDh48ePRoiiNfrDQQCV69eVSqVSFwGVwcPHvR6vampqY8++iiL + JU9D5lu9erXNZrv33nvhi4iPj0eXGWMlJSWRSKRp06Y34x+5FgASCIVCyFQG3PDBgwc9Hs+IESMc + DgfM2CqVyuPxgM/Dhw8PHDjQbrfHxcXt3bu3adOmhBiLeCGNRlNSUlJcXHzy5EmtVvv111/7/f5H + HnkE2MdA1tq3bx/nfNy4cXq9vqamBhnnnPPffvstHA7Xq1cPcXrRaDQrKwvS3syZM1EcQ6PRQNGC + qkNZ5hUVFYcPH2aMNWnSZN68eUaj0e12oxrMmTNnGGNdunSRpiDDxG40GpGfrdVqz549a7fbdTrd + Qw89pNfrKysrU1JSOOeMsYSEBJPJhEHQaDRr1qwBzuz27dtPnDgRFxeHakJbt25ljMGDAQ0HNTEp + TolaAHJuRUWFSqXyer2vv/66SqV69dVXd+7c6fV627VrZ7PZCgoKtm7dKgjC7NmzA4HA+fPn9+3b + h1Ihw4YNq1evXk1Njc/nKygo8Pl8LVq0gCsA2SzhcHjTpk0FBQU6nc7tdguCgBySTp06oShNTU0N + Zn/s2LHTpk3jnCsUinA4bLfbsfAyMzNRcueGmwvw0AUFBSqVasqUKWlpaQRcvnXrVofDUb9+/b59 + +2KydDpdUVGRx+Pxer39+vXDzCqVSkTK9evXj5B/ASL822+/McZ69epFxYsOHjyITdevXz+sQ0EQ + 4EFKSUlp1aoVcL2j0ajJZKqurnY6neFweMiQIVTv6Pjx4+AcGSYsVmJSpVLZbDZBEBo0aMA5xzzu + 2rWLMdatWzelUhkIBM6cObN3796UlBSMvMViUalUTqfz4sWLGFIqDYSqL+h1IBCwWq2c89WrV3s8 + npEjRyKcUqfTgdVDhw5hRw8dOvRm+12Ksl1SUnLlyhXGWPfu3cPhMAanfv36hYWF586dw9aoqKhA + 4c6lS5eqVKqUlJSPP/4YZW1uuB6wfw0Gg8/na9OmzaFDh4qKilq0aPHSSy89//zzoVAIJWVQ3Alq + njTviOrzyiSTTDLJJNN/NN1av/n3PTCEzFtSUtK0aVPUpkQECzwtZEQsKSnR6/VWq/XZZ5/lsbxk + xtjGjRvpsjVr1kDeLSoq4pyLoggfzosvvqhWqyF843HdunVjjOl0OlQOuZ5DwO/iERShjiL0+/bt + w/cnT57ksQAqCD0PPvgg/30gB6C6NBrNsmXLUE2PfkKdB8bYM888czP+ceXjjz+uVqvj4+MJ4/Xq + 1aswAP/rX/+CXZxyi6PR6Lp16xhjGo2mRYsWRUVFAN7FjZQnMHjwYCapgWgwGOrXr0/uI8455DaN + RrNp0yb0CI0EAgG4iUaPHs1jMNBoBFMDFxOxCifG3XffzWOBLo0bN9ZqtQ899JCUJUDAMcYWL14s + /R7JMNKUmK+++gpqxunTp2HexvQFAgH4BBhjcNwNHDgQ/8bFxZGIjw8ajWbx4sW4vbKyEqLts88+ + i6YwpNFodPjw4QqFYuDAgaIofvzxx4yxl19+2ePxQOdEvj6m/o033gAnH374IeTF68txxMXFTZgw + AS0PGDAAX8I7R7ImCoN+++232Dt2ux28Ic0D7Pl8vo0bN0IyPn/+vNSLdT399NNPLKavSr9HsseI + ESPwL0CZ16xZA04KCgrgKABSVmJi4syZM6VwwJS0tnPnTizUYDD4+OOPI9cLCwYziOSfu+66S4qN + zjlHDCRj7OLFi7g4FApNmjRJp9OlpqZyzq9cuSLFvEa9oCFDhuDf0tJS3E5uyQ8++EA6pNJRRU8J + Ttrn80lzRTweT25ublJSkkqlysrKcrvdUn/FtGnTEEJGTtrr9zs1FQgE4FrR6XSnT58mR+L48eN1 + Ol3jxo1xzcMPP6xWq3v37o0oO845gbbdcD0sXboUcXqc89OnTyMkFQsjNTUVGiboypUrXALeDQcg + AOJqAUPLJJNMMskk0/8hurM8MDqdDubVevXqZWdnf/rpp4sWLXI6nefPn580adK+ffuQUhwOh1NS + UtRqtcPhgFl6ypQpjLFHH3108ODBeENrNJqdO3eirlxcXBwM9qjKh8QG4JjB0nzp0iWNRtOhQwcU + iUPVec45ixW0ZozBnGwwGNq2bQvkZWB2bdy4EXIGapkLglBRUXHmzBmz2YwIFhAKz+Xk5KD9Bx54 + AJ4TcpJs2LAB0f9jxoxB3cDr+UdTAHTKzMxMSEjw+/06nQ7J9IyxHj16QO5HWUy3271y5UrkPDRv + 3vzo0aNardblcikUCpTMMxqNy5cvnz59usFgGDt27H333de+ffu9e/fOmDGjpKQEPiLGmNvthp8k + FAr17NnTYDBg3CKRSGlpaUlJiUqlQhY4cloYY1qttm/fvoC4ZYwh3wNWeavV2r59e1EUYR2/cuVK + OBzu27ev0+kEEDZjrKCgABzC9cQYA6ixUqkEjDJkRCB0uVwuQRAaN24MfU+pVGJZb9iwwWQyTZ48 + WalU+ny+U6dOGQyG0aNHDxo0qFOnTpFIpLy83GQyoeBM7969ISYCi5Yx9thjj6FBsAT/DOe8QYMG + 58+ff/75541G48yZM4EDxhjjnH/22Wdnzpxp27btE088AU727dunUCjS09PXrl2LeogajSY+Pv7q + 1atqtRoVdQRByMvLY4xNmjRpypQpbrc7JSUFhRHNZrPf72/cuDHcUPv37wdvwOMCaTSa7du3o0hl + kyZNqJrhDQleRKvVKsXyKigoqKqq0uv1NOMAvNq+fbtCobBYLE2aNAmHw2q1Oi8vTxTF6urqQYMG + paSkAAJYpVLt2bMH/hmkrGC+Dh06JIpir169UKgUwXInTpwQBGHkyJFWqxUeUewveJaSkpJSUlIQ + 72Q2m3fs2BEKhTp16hQKhaBiMcb8fv/BgwehJz/88MO0KXCA9OvXDxjleXl5QNtbuXIlrAbgUKVS + RSKR9PR0QhVDqVDEmGk0GrVavWvXLpfLFYlE+vbti+0piuLly5ebNGly6dKlysrKe++9FwrbDfc7 + DAHww2DA09PTGzZsiCKqCoWidevW3377bXl5OWPs+++/x4m0aNGiOnXqYEyOHTsmCMJjjz12w/UA + vEE4f+rVq5efn//NN99MmjTJYDCUl5dPnjx5//79giCkpqb+5S9/iUQi5GvCmgQRNKJMMskkk0wy + /YfSrfWbf98DQ3Z6mFqrqqpcLtfQoUMBs3PgwAHEMnHOvV4vApZ69uz56quvMsbatGkD9YAoLS1N + qVSOHTuWS1w3VVVVEC8++eQTwMJC4LNYLEhiAXvkNqEbIZLWr1+/urpaClvUrFkznU43dOhQHjNw + btq0iTFmMBjy8vKoNZhLkQGiVCqrq6vxE40GbPBSv8oN+a+srIQyANcEmkX8CfQfVB9Hy1lZWZCt + J06cWFpaSjwTdNLy5cvT0tIUCsWXX36JnwKBwOOPP84Y69ChA76B3Pnggw8aDIYuXbrgSzI///DD + D4wxQRBQOlAUxR07dmCE9+zZg4tRXC8SicyfPx9i3/Hjx9Hxr776CkuooKCASl6Gw+GpU6cqlcqU + lBSn00l1MKXM07/w/0ydOpW4xYcPPvgA9dr37duHNYMHzZw5MxAIgCVpg5zziooKu93+xhtvgEnU + 4iSrfyQSmThxImOsd+/e06ZNY4zNnz8fPwFc+6OPPoKCvWrVKiobCi9Q27ZtwTMWsNfrRWoQSl5i + BarV6rlz5/KYa4sScuibYDAIcAuq10lLCB6A+++//4bFWImcTmeLFi3MZjN5Wvx+vyiK3377rVqt + xiRSskQ4HG7UqJFer3/ggQeIhwULFkBDlrpf8vPzofkg2wSZLSUlJbgyKyuLZpCQyg4cOOByuZA/ + I4piJBKB+eCvf/0rrUOPx6NQKPR6/bJlyzBcLpcLeR0PPvigXq9XqVRUlnTSpEkajSY9PT0ajWLK + wFKnTp2IT6rwiMF0OBwYYVwPZtCaFDwNV+L7q1evms1mpVI5b948lM684X7nMWwMznmLFi2A7Exu + GZ/Pl5WVxRhTqVS7du3C4nnttddwF3qKp99sPeADMuLwDdDM+/bti7i+gwcPorMejwdLopZf7tZu + OplkkkkmmWS6w+m2eGCUt0sRSkhIADIVDMBJSUnIGq+pqUlJSUlPT3c6nRCOg8Fgnz594uPjDxw4 + AGng73//O6JTYLm32WxlZWXRaBTBGLC/hkIh5Ogzxnr16hUMBlUqFfKnRVHcs2eP3W4PBAKMMQq6 + gJ0yFAqhVGLbtm0TEhIoK8Pn8yHiBUFoiMVHUJlSqWzZsiX0B71eD5k4ISHBaDQKglBVVaVUKsPh + MLw9ixYtgofnjTfegKflhvxDNHE6nYyxbt26hcNhNIsnAl4ZeSY2m+2FF16YOnWqRqMZM2bMu+++ + W7duXRitITIyxhQKxfPPP19WVjZ06FAoLZDk8vLyBEGoV68e4JUVCoVCodi4cSNgBuDgMhgMCMpH + 7fOkpCTokzBmY9AA+FtZWYlsk/LycqQn9e/fv0OHDvCKHD16lDFmNpsbNWoEKzgmZevWrRqNplu3 + bsB8Q8sYc4VCQbX5CgoKCgsLVSoVpd+gLuG1a9fmz58PT1fPnj0xp7A6Z2dnw6mlVqsxpNXV1UAj + SElJiY+Pz83N1Wg0ZrPZbDYj1BDLIxgMYmr279//1VdfNW3aFK4tWq7vvfdecXFxnz59xo4dC2cO + aq0yxi5evIhiIIIgIHvBZDJFo1H4NzweD5bBxo0by8vLkRkSCoW0Wi1C8rBEVSrVqVOnzGZz586d + kQmGkUEhVMbYPffcEwqFbrG/AoFAfn6+KIoUsYbUr5ycHFEU9Xo90s2p2cuXLyNMC/5DxtjevXuD + wWB8fDx8Aoyxo0ePPvHEE3v37tXr9QjSw5WHDh3CTuzRowd5JLDI9Xp948aNzWYzvDdKpbK4uPji + xYvhcBh+0Wg0qtVqgerGGIO3JBwOA6Ziz5492dnZfr//qaeeAvBAOBzetWuXXq9v06YNlitjzGQy + qVSqixcv5ufno7Occ+R0sVgsFqL7cL3f71epVBqNJhgMVlZWms1m1DVCuSdAir/00ktut9toNHbq + 1EmhUNxsv4dCIbhA7XZ7fn5+NBrt168f1i1WMrzBkUjktddeu3TpUocOHebPn4+8f/QUut/N1oNW + q7Xb7fAm4TBMTk622WwAlU5OTg6FQkiawvng9XrRa/qMlS+TTDLJJJNM/8l02xQYxtimTZs+//xz + fIZgN3fuXLPZjBrnEAcjkUh8fHyTJk0gypeWlnbr1m3KlCkKhYLH0pp37dqFSIlOnToBtisQCCBJ + mjFWr1695s2b4/UvCMKzzz7r9/vD4fDbb78NncxsNh89evSnn35Cm8XFxcDGhZLAY5HuyBvmnGdm + ZpJghGAYVOlmjMFSC7Z79uwJ0KQNGzYEAgHEn+zZswdwzwMHDpw8eTJuuSH/LIa61rx58yZNmkC8 + Kyoqunz5siAIo0aN4pxrNBqXy/Xuu+++//77KpXqmWeeWbVqVVJSUlVVldVq9Xg8giDodDo4aiCN + Xb58+eTJk5FI5NSpUxMmTDh27JjVam3Xrp1Wq7XZbCh7zxgLhUKAawPB1ous4t69e1NRyEaNGiE1 + ec2aNXa7PSUlxe/35+bmdu/enTEWHx//7bffcs7D4bDBYNi8ebNGo3nwwQfhhVAqlVqt1uPxVFdX + BwKBQYMGQcrHXww1sHpFUbx27dokYSAaAAAgAElEQVSFCxcsFkskElmxYsWBAweUSmU0Gs3Ly5s+ + /f+x997hVRdp//Cc3k9OQhJiQKqCgIgUFZTOIqAgICjY2NBUREHFRxZBcUURdBd3KQuiNBvSVhAQ + qSJC6IhAaKGFkJ6T03uZ94/P79zXeEKiu5fv+4P3mc8fuU7Ome98Z+5pd5/nfD6f0+mcP39+NBo1 + GAx2u/3xxx9HtoN169Y5HA7wcIFA4MyZM2KAysmTJ91ud926de12OzjOYDCoUqkMBgM4coijo0aN + gmcOwrXr168P37lVq1bFYjF0JyUlpX379ikpKcFgcPXq1ajf4XAEg8EjR45cuHAB9Ws0mpycHJVK + VVBQALMVeFOv15ubm6tWq0HbgoKCs2fPejye3r17Y2qBJz569GggENDpdF27dqVRuC5gAAmFQh07 + dsTkgXMXWPBu3bpBnIBot2/fPhC8Z8+eRHyYKcLh8IULF65cubJixYqxY8f+8MMP4IkRBII1hVXQ + pEmTRo0a4fFYLIYA+pSUFFzNicwcSqXy8OHDPp9PoVAgBZ/H42GMIewqEAh89tlnFy9eVKvVXq93 + z549w4cPt9vtDz744KxZs0C9K1euFBQURCKRhx56CG9HY2w2m8fjWbhw4dWrV9GAQCBw8uTJkydP + QtaNRCIQDhljZrMZ48sYq1u3rsfj8fv9ixYtqqysrKqqWrVqVevWrdevX6/RaDweT58+fegC0Orr + nSdMKMhYwDl/8MEHWUJS0mg0ENcZY7t3787MzPz8888LCwshU8GH9qGHHoJcd935EIlE0tLS1q5d + ++mnnzqdTlQViUSQXL5Zs2ZdunSJxWJIda3RaMxm89ixYxHIBAWKRqOhRkpISEhISPwvRe0Gmt/v + QhYKhdq3bw8mrH///u3atWOMqdXqevXqHThwgCeuAQmFQpFIZN68eYwxJNi5evVqIBAQb0JA2iJk + 44E/GLT7MJX06dMHLYEzxubNmw0GA4Wwt2nTBp/79+8PdgQ8ikqlOnbsGOqHY8aECRMYYzabDcUg + EjDGjEbjZ599FgwGxQh+uHngPj7GWIsWLfr164db9pRK5cMPP0z+HjW1nydCd4YMGUI93bBhA1p7 + /PhxlIFJCl9arVbcWpiWlmYwGHB7BohJAiFjzGw2I1gFV53gQdw84/f7y8vL8atarc7IyBgyZAiC + g8vLyxljFotlwYIFeDWa+txzzzHGoEUeMGBA8+bNYaNo1aoVpVrmnF++fBm81/Lly+FMhe9XrVqF + 8kePHoVRiDzoQB8qOX36dMYYoo80Gs3tt9/epUsXjUYDmwwSVVPm5dOnT4PpxBA/+OCDuBWHMXbi + xAmk4g2Hw0OGDEGxBg0a9OnT58CBA3T9yNSpUxEP07JlS845xjcWi3Xu3Bn1vPbaa3TTCwaovLz8 + tttug4CEUe7atat4LztK4goj4NZbb+3WrVv79u3x76lTpyBdI9oKreUJLyCXyzVz5kyMF9pZS3D2 + mDFj1Gq1yWQSfSCLi4thtYDtFY97PB7cw2M0GkVfO2TZIvEJxB86dCimR0VFBd2pgokEkYYclmbO + nAkCmkymP/3pT8uXL8eEmTJlCmMMwfrk5YV0z5iiSqXy7rvvbtu2LcTme+65B7mGIYh+9913mACg + DGpA2BgaZjabH3nkkbvvvhsy5+LFizGvaLkFAgHyc4vH4wcPHmSJlHeU76FPnz7I1vDQQw+RC2Ut + 6x0EN5vNuAEG8xCbACW6YIxNmjSJysMxLxKJ/Pzzz2RyrD4fMCKtWrUyGAwmk6lHjx7du3fHrzab + 7cyZM6itqqpq8uTJ6MLUqVPxJdZO7a6GEhISEhISNzhurHtgIpHImDFjGjRoAN4Iau+xY8ciOQ+C + Q5BrlXO+adMmhOEuWbKEJy5hxMEMLTVj7KWXXsL34FdcLhekIxgBxFN89erVnTp1AhOg0+kaNGjQ + vHnz9evXR6PR8vLy9957jzGWnZ0NHpFuZujbty9jbPjw4cQ1rl+/PjU11WAwgHsmhoaEkzNnzjzz + zDPgaNH+Nm3arF69GsXQzpraHwwGwYEtXLgQjEh5efkbb7wBxoUYTcgPIOB1UV5ejmRrX3/9NWKj + 1Wq11WqdPXt2OBwePnw42vb000+jwnA4/PnnnxPn2rhxY4wj/MfMZjPyCrjdbnBpsVhs4sSJ4MDw + yO233z516lS6ehIc7ZdffgkKIH4G8UiBQADeX+y3BOPS0lLEOUybNm3OnDnk12exWF588cW8vDwU + CwQCuDuIc56bmwvvKRJWGWNvvfUWzGJowOHDh/V6Pa5VSUtLO3XqFI3jW2+9BeZ7w4YNGA5MZkyD + W2+9lXhHGOjQzUuXLg0bNgzvonDqJ5544ujRo9QXJFxu164dJDdMD51ON2HCBNyRwjl//vnnLRZL + VlYWlgOmhNPphHZ/zJgxcISr5eZ1hP6PGTOmoqICqbcCgQDSf1EqCHDYsVgMEsjYsWMxakgatn// + /oyMDHhepaWlIdkD/A87dOjAE2z6hQsXUlJSjEbj/Pnz4XMIUly4cIFYbbVaPX/+fIx769atGWPP + Pfcc5eUrKChAsTlz5uD+JSAzM/Ptt9+mECAxZKVly5ZoPE9sMkVFRaNGjUL2BVDeaDT26NEDmayJ + LLEEkCgZtJ0zZw5C7wwGg9lsnjhxIlzm9Hr99OnT6fHrrndsUG63G6v4mWeeoXRnnPOqqiq3212n + Th20GeOLeDy8PRqNulyukpKSWubD1atXR48enZ2dDTUBfh03blxFRQWSO3POS0pKBg0aBK9FumWV + 5lvti0tCQkJCQuJGxh8iwCh4rd4Iixcvjsfjzz//PEIXkAuolvKMsYsXL1ZUVNStW1ev199yyy3I + ecUSN1Hg4pTHHnts7dq1Q4YMmTFjRosWLQKBAA57pPGBNl2r1dKlB+BZPR6PTqfTaDRutxte8vRg + IBCw2+0okJWVZTQaxabi+vmUlBSXy0V/4/E4Es4iIRgFzCDOgTEGVyL8RH5KiOEOhUKBQKBOnTqI + NUdheLYoFIrrth8cG2qmtuED9M3FxcXZ2dl03URN4wKnLDx++fJlt9udnZ1dp04d0DwUCp07d65u + 3brUMMaYy+WqqqpC9tUGDRrYbDakM/Z4PEhoCy8mpVLp8/nAVMHooVAoWrZsiW9CoRAlQYboAjKi + wRg4NNvpdIJ9rAmYS0VFRV6vt3nz5oyxiooKCHtWqxU2GVQlyiooBoNGeXl5u3btKisrMzIyIPyg + MHIul5eXQxlPJik0j2hLAOXpe5qrFKgDOBwO+PCkp6fbbDYE4Yjl/X6/0WgsLCwsKSnR6XR169a1 + Wq1IcRYIBEwmE2iCS4owN/DqcDiMKWcymYj4NQFTUWyYSCi0Ad94PB6j0RgIBMD6Uzu9Xu+ZM2ds + Nhvub1WpVG63W6PR4OpSZGIwmUxutxs+kKiQbqdxu912u12pVHLOGzVqhHko3l2Dlqxdu/axxx7D + /GzUqFFxcbHdbm/SpEkgELDZbHAS8/l8BoMBZIcHmtForKysTEtLwwrCdHI4HEVFRVhruJfT6/Vq + tVp6I5wJYYZCgkHUWVxc7HK5tFpt06ZNr127Vr9+fRA/FAqFQiGTyYQHq693lUrldDptNhvmUjwe + t9lsRFtsX0imR0kIOefxeJzSJcMeaLVarzsfgsEgRhnCeWFhoV6vT09Ph6zl8/kwHIwxu90OWmE1 + 4XWcc7/fX/s8kZCQkJCQuJEBzoG4WVyigBxLvx9/mABTUlKSlZUFjpMytOInHP9gWRAYM2vWrJSU + lI0bN8KrijEGJtLv92s0GpFFg4iGyFpUSL9CekEoCLUqFovhGmy/36/X610uF2KFwfwhTsDtdut0 + OqRnFd9Od1Z6vV5ISuBv8EZEs4B3h4iCz0hnRPdmJvG+1H4wYaAGsjBHIhGj0Wi321NTU5VKJfFD + jDFKYXxdwOBAl8HD816r1aIGcIFKpRKRM0hejF/1ej2SBOBS0VgsBiqhwaFQCGHQarWaGH3EMuFd + IqMPLhY8GQ03pUuuZUbRGCGyGa+AZxTqB3EQlo2AH8hOer2eBEKRVtQqEqWcTifkNwQ9p6Sk+P1+ + jBEKuN3utLQ0NBh9YYzpdDq73S6SHVmP8aJAIIAgn+v2KBAIBAIB5AmAEh0lwemKswhuS5gtnHOP + x2O1Wv1+v8FgEJng6sAtn4wxt9ttsVggjtJsxCqmUB9icPE9RDtkLIBxCQ8ihzUGF6uJRFnGmN1u + x4xFSSRFiMfjVVVVFoslGAwaDAbcEKrVanGhpNfrtVgsf/7zn+FJWFBQgBfh9hL4B9JMg8iEkcVg + IdcCNhkSiSHjYepiwtNW8H90MInQf8YY7DAqlYo2Iqxx1CZmtFOpVNdd74hDg1qEdjw4rGL7CgQC + KGkwGPBlkgCD/rpcruvOB5IqAYhqlN0kFApZrVb0kSfSkGDa0C73m4KuhISEhITEjYwbS4ABiOnx + er1GoxG8hcfjMZvNOKTPnTvXvn17hULxxhtvwHseTB74J7wFRiUc3tXfiPLiB8ZYMBhUKBTEX5LK + mTHmdDqRNAn/Xvf4F7+srvivBeFwWK1WUwvpipXrtj8SiUBoEWsg0wFuiA8EArWILiwhDbIEx5lE + E8YY3YkhPiVy+RA8rvsTPojth+8caiN2UHyd+CButhFtRNelGCq57kAEg0Hc0kPEQa4tsUzSVCQ6 + JInNBFGsAp9Nt8jTGMFOgvKiFhzV0tXsyN4GAiIpFuc8SaqBaMo5pxmIIRbHy+fzgVCiIY5db7Zf + F1QMNCRKEtPPhHlit9stFos4H4LBYDwep3kIEU4sQEsAyS1oVoC2YkdoRMSJ3ahRo9LS0gEDBqxZ + s4ZsqsSmJz2YZMsSP4dCIb/fT9a8JOIkSS8kjTBhQrKEzQS/imWqdxa4bhlcAQQ5BOVhz6ECsViM + RjMJ1ecD3qJQKOiamlgsBvkqafYi6yCZ3X7n9JCQkJCQkLiR8YcIMH/YcYhEWwg/YIzhOAcrbLFY + wCI4nc4RI0b4fL6GDRuioTjdGWNarRbmF5/Pp1Kp4DsBTisajfp8PrjOM8b8fj/U2OAgobmHVwkJ + Y3q9nq6zJNmJMeZwOHD/INJJMcagWzWZTA6Hg1rOGMOVf0hBFo1GA4EAWuJ2u6GYD4fD+ECcMRiv + mtoPrhdMHmV8jkQiSJWGf00mU3p6OggSrxlEc2iUwbgjqxtjDPYT2ItcLpfX641Go6AYY8zj8SCL + ESKC0BHEJRM3DwU2YtzByiMLHBTtCAnAbetoNpllIIkh21VN84QYZfDcPp/P7/f7/X6YkqD+h7sd + 0pfBsQff4EHQx+v1hkIhiBBohlarValU9CulpYL5Dhmr6NJDak8oFMKtprAAwLQl9hS2AhQ2Go0G + g8FoNML0pFarKbE1SAdhQKfT6XQ65KugpQF/xbKyMvQd78X3TqcTyeJql5ypGJHXZDK5XC4xlAJ9 + d7lcOp3O7/fHYrE6derAsOb1emGJ0uv1RqMRQR2YwNhHHA4HVhORGvI5qISX0nCgX+gaJgnadu3a + NcRytG3bFgOKjOHxeBx36SDmHu6IGo0G4whTWzAYxGeWkBlEIaEW6YUxZrFYKBaFZG+fzweDBnxK + LRYLZkswGKxpvaMehL1hQGE6hmxMueBTU1O9Xi+lQWOJBUvNq2k+YCkhOQf1S6/XI10eY8zlcmHp + McbUarXBYID0Io4LzW0JCQkJCYn/nfjDLDAUWIJ8uLhRmzw38FR5efm6devMZnOLFi06dOgARSml + o4VAVt0gwBKqxyRVcTxxwQIxMfBUQY+g9wWX4PP56NZwQHTcF+M3wFaK2uhadKsiiIxkMUhqP3T8 + uJNE9CFhCcMFGESwdHBoue6LcP8MzAJwgiKOTWwqudIxQXFLnldoG6Qy0QyC70ULDMUnVNe7wysp + EonQtei/Bwi0wLUqxMWSYYTIBamDTB8sYQEAJWHcq145JiF1BCIlSYmQ3CitMPl3YfQxSUgXLqYi + AJHJcITsedQAON05nU7EOSQNRCQSgeMiCqNJ4twgKwStmppIJ9oKEF5P+fcQGRKPx8m7SWyGaJTD + qznnkMbJSYwgWhvwUjJtidYwmg8IW6d6AoHA4sWLVSrV0KFDbTabXq8X7UKEpDAYGmK/32+xWMQZ + CNkVdk66PSnJWRGUqb4hALBQkWulSM/q6x0QBwhDhh0DvU4yv7CEKkH8pqb5IHYWfUxyOqUG4Hof + yPY6nQ4dx1PVTawSEhISEhI3C/4QC4z6t4v8PoBxDIVC8BQHy4K/drvdarXikpBx48bR8Q9+CPwr + S+jmwUBDvY3QESi84ZiOk54CEsgrA7f1kQcRGBoKLCEenbhJvKu4uDgzM1O8ZpFincHZg7IinxSP + xxHBDzYL8hJayITbGKu3H22glEpQhyOqAYwg2EqwJmR5uM6AqdVoEoUTIDcAtOzkfwXzFAoTP0cS + CEXOUO4EmBTA0JPDGOecSEeuPoiowVUVBoOBLouEEAK9tdForCmcgxgvMKYUNE9dRqgSjSyxvzSy + 1CTElmBEoOQ2m82QfMDggqcUg6ZisRjoD+U9xBV4DaFaPEjRCGCaKVwqHA5TMcQ/0CQnDp4CPJjg + x8UYg6mEzAt4CjEkGo0GotdvSi8Q+RAgQfeE+nw+TGl4pkFmQ6gGhCWwwiwhdcCiaLFYkqQXxB1B + roOs6Pf7kUqY2gADo06nQ4WId0c9ZIkaP348GeVYwm2PdBBYL+L6Ki0tTU1NhUAO+mAtQ0JGPmjU + TEZCzrkoD8BsCFMG4kzQC6TfgARCnplerxczv6b1rlAo8KKSkpK6deti6CnKiCVCmGCtgrwkbhH4 + UNN8QKQfdkvSdJCsAtMfrpRB25I8TuGMJ6UXCQkJCYn/5fjDBBjoUxHHwhJcIzKcIq9UMBhs0qRJ + PB5PTU0FN0NWF9h2yCGEeF9KN+T3+6Hmhy4cgbNg6Ug9DC07RS0TB4A2RCIRk8lEzhjhcNhoNGZk + ZJCWF/YKKDjJN50YKei20UJEwKOFJBKQBFVT+5MSo5lMJnoWCmYIBiLLWxOdTSYTJFfOOWiOaAG8 + AvwihRejzRAaVSoVovaTbCngUKEJJk880dIFRTVlYyMzVzwRb02pF36TtQJnCZkBjBpkUbCbLGF+ + QcsRYcI5R1gzZBuWkGroXWLEPLhJTEJ873Q64UaIXsfjcYTOqxI3u1MAj06nwz2eRCIxXgjSKSQN + JOmi7yFRAKI2HUMJzx8yDTHG4MsEYQmNBGHFUJAkKBQKDJBoiMCgm0wm0QMN85DMYpSSAbeCYv6I + WdcohAYmHVCVJH+QGnYzaBCwVCELoVMYTbjbkeUEuRkYY2azGXILbj4BucQwfVwXAwsJeWGhThKP + 6cJHlUpFy5wA5zESdMnCiUxiJEt4PB4k+2JC7FnSekfDgsFgSkpKZmYmmdFgl6NpAOc6yBuiKEXK + glrmA40R6E9ZE6DNwVuw20Aah/jHGIOvI7wrpQwjISEhIfG/GX98GmUJCQkJCQkJCQkJCYnquLGC + +CUkJCQkJCQkJCQkJP7fhhRgJCQkJCQkJCQkJCRuGkgBRkJCQkJCQkJCQkLipoEUYCQkJCQkJCQk + JCQkbhpIAUZCQkJCQkJCQkJC4qaBFGAkJCQkJCQkJCQkJG4aSAFGQkJCQkJCQkJCQuKmgRRgJCQk + JCQkJCQkJCRuGkgBRkJCQkJCQkJCQkLipoEUYCQkJCQkJCQkJCQkbhpIAUZCQkJCQkJCQkJC4qaB + FGAkJCQkJCQkJCQkJG4aSAFGQkJCQkJCQkJCQuKmgRRgJCQkJCQkJCQkJCRuGkgBRkJCQkJCQkJC + QkLipoEUYCQkJCQkJCQkJCQkbhpIAUZCQkJCQkJCQkJC4qaBFGAkJCQkJCQkJCQkJG4aSAFGQkJC + QkJCQkJCQuKmgRRgJCQkJCQkJCQkJCRuGkgBRkJCQkJCQkJCQkLipoEUYCQkJCQkJCQkJCQkbhpI + AUZCQkJCQkJCQkJC4qaBFGAkJCQkJCQkJCQkJG4aSAFGQkJCQkJCQkJCQuKmgRRgJCQkJCQkJCQk + JCRuGkgBRkJCQkJCQkJCQkLipoEUYCQkJCQkJCQkJCQkbhpIAUZCQkJCQkJCQkJC4qaBFGAkJCQk + JCQkJCQkJG4aSAFGQkJCQkJCQkJCQuKmwR8pwEQikWg0yhiLRqPxeNzn8zHGKisr8RPKhEIh8d/r + IhAIhMPhYDDIGAuHw4wxr9fLGPP5fJzzQCAgFo7H4/F4HB/wTTAY5JyLr2OMuVyu2huPajnnnPNo + NOrxeOgntAE1lJaWolr0FI+gADpFzUCbqUkoI34D+nDOiRp4xO/3J7UKEL93u914ESoJh8Nut5tq + rqmPFRUVjLFYLBaLxWoqQ+2kjqCnoVCInnI4HCggNolzHo/HQXk8i/I0gsFgMB6PBwKB4uLiHTt2 + 5OfnFxUV0ePxeByDhfajZhrHJGqI04n6Gw6HMXzhcBhkocaHw2EaL3oFDXFxcTGVFHtKHace4RVi + k4LBID0YjUZp7sViMXppPB6PRCIizYm2vx/hcDhp1YTDYdSDt1CX0S9qZzwex3ih12LXkkiN0ane + SCznaDSKX2OxWCQSiUQiGFlUwjkPhULiuAPiHMC/eB2aRLOXCvh8Pq/XWwt9qk9vzLpYLIansBBQ + YVI9gUDgP6W8uJkQ0H2WmBvioNMSALDi0GWv1wtq2O12/IrvxUX0n0KctPgQi8UwTPjJ4XCIM78m + lJSUsMRAU/NYYoDEztLg+ny+67bc6XTiAzZMcTJgaPANBoKmtMfjQf2gnsfjQbFIJEJvoRlOg4gP + Ho8nqSXiVsw5pzmD6YdXRKNR2jFCoRDnvHp3qg990q8gNXqK3tES8Pl8OJtobtMSxgrFEqB+Ue8I + 4sJkv15E1E1UKO66TJgMVGcsFotGo2J38Bntj0QinHPxwLouxGNObG0wGMSgowA1L6nZqB/HKz1L + PAO73j6Z1AXxDEUfHQ6H3++vzgNISEj8b8AfJsAEg0GFQgF2XK1WK5VKk8nEGDMYDLt27Vq/fn1h + YWFFRYVOp2O/PtWSEAgEDAaDVqvV6/XxeFyr1YbDYbPZfOnSJZPJhF8DgQD2X7fbrVQq3W53KBRS + Kv9PX3Q6nUKhqKysjMfjOp0Ou3xKSgoTeNbqMBgM1Au1Wm2xWBhjV65c2bdv365du3Jzc81mcygU + ysrKCofDOp1OrVZ7PJ5wOByLxVQqldfrLSgoWLdu3bFjx65du+Z2u81mM0swK3q9XqvViq/T6XR6 + vZ4xplAo4vE4jliUgXSE7d5gMASDQXD/RqORMeZwOMLhsNVqVSqVwWBQrVbjQavViu1br9cTNwkq + 0b6fkZEB4qtUqprogDagX/gmEol4PB6dTqdSqdq2batQKJ5//vmqqqpIJGI0GoPBIAmlnHPMATCU + KpUqHA6rVKpoNGo2m/V6/YoVK2677baWLVv27t27ZcuWDRo0OHPmDJ5VKBSYGwA6q1AoMGroAsYI + 30OSJDKi5QqFQqFQaLVazD2/30/0UavVYDhIYlQoFIcOHVIoFE2aNPnxxx/ppNRoNCqVCuciS5zx + GKZAIKBQKHw+H7ocCoVQs8/nw1jo9XqURDPwdoVCoVQq0Zf/GlqtNhgMVlVVsQQroNVqlUplIBBQ + q9UOhwNdRsPOnj27ffv2yspKn8+nVCox+bGgMPRqtToWi+n1+mg0ajQaHQ5HIBA4fvz40aNHT5w4 + UVxcHI1GlUolcZlYFAaDoaio6ODBgwcPHoR45vP5UEk0GtXpdEql0u/3q1SqQCCAaVxUVHT58mVM + Ks65SqUyGo1OpzM1NbWystJkMjkcDq1Wq9VqDx48uGnTJq/XazabaxHCMdyxWIy4YdBWpVKBd8fM + gZSFPYHkFoPB4PP53G73bwr50WgU8x8DigWFmeDxeDQajUajwW7GOdfr9Q6HAxI4GkNsMVacRqPx + +Xxms1mlUnk8njp16oA3xfQwGo21M8rXBVaEWq12u90gfkVFxdatW7/77rvS0tJLly5hkuj1erVa + DS1STfWcOXOmdevWCoVi5cqVnPM6deqwBFOO2aLRaFhCIlIoFGCFTSaT0WgEgy6ypDabjTEWDAax + WmkyMMasViu+CYfDSqUyHA6DMoFAwGKx+P1+v98PIlssFpVKBRkAmwzx8ZFIBJsbKkFhDDrplbB+ + lUolNlLQGa0yGo1YiWq1WtwxFAoFBiIQCJAYT5JAdcRiMYVCYTAYzp8/n5WVZTAYvv/++0AgABZc + pVKZTCalUomZAOpptVpQEocLPrOELEHrlwR4mskoplAoMG0gekWjUYVCgUqwoZHWjzZSk8lkt9tD + oZBKpVKr1dia0CQQAUoojUaDBV7LfCPxBlK6uNvo9Xrab1EGGwJ6gbVPkirnXK1Wx+Nx9Euj0ajV + aiwupVKJlkDVhf3WZDJxzrHlEsVisZhOp9u4ceOtt95qsVh27NihVCpdLtd/sY4kJCRuYvBa8fHH + Hy9cuBBabXClNZWEZotz7nQ6Oef79++fNGkSvQVCRfv27b/55htS1deCysrKUaNGtWnTJjs7OzU1 + 9ZZbbmndunWvXr1GjBhx9OhRh8NBJZs0adKoUaPZs2fTl9g9Oeder1esEw2rBS6XC1z4li1bunfv + npaWRu1Xq9W33HLL9u3bOec+nw86fjz1/vvv33fffSyxU4MRnz59+qVLl6hakA6njth3vC4cDkMF + CKDZRE/0CB/AiuEDjUU0GkVh2HnwJeqMxWIQMPAiVE6frwtIC9Q8ejX4jPT0dMbYW2+9Rd/jEXFi + VFVVJXUQ3V+zZs2tt94KvuHpp5/Ozs5u0KABWKvs7OwdO3bQAKGPIDJ4F8DtdqNtIBE0djAOUHuC + waA4PXw+n8fjwa+hUAhWC3rLa6+9ZjAYbDYb6I83VlZW8oSogy8jkQjNpXA4TENDvcZkgH4XZy2s + MW63m94ljnst66gmoFNjxv3/7NUAACAASURBVIxJS0u78847L1++jPeiqkgkUlJS8u6779psNvAB + mLctWrR4/fXXOefoFIhJUhzn/Ny5c4MGDWrZsiXJgYwxg8GQnZ3ds2fPVatWgeA+n+/06dONGzcW + hUydTterV6+CggLqFPjF/Pz8BQsWjB07tmHDhoyx1157jf96ydNnu93+ww8/9OrVS9yRDAbDfffd + VxMdgsEgWv7xxx+npaU1btz4/Pnz4nhdF263226302wUZ28S8BOGOCcnJyUlJTMz8/vvv+eJWQca + njp1qkmTJmlpaSkpKc2aNbNYLI0aNUpLS0tPT8/IyLDZbBkZGenp6SkpKWazOS8vT1zgnPMTJ07o + dLo6deoMGzYM+8N/AQzN+fPnp0+f3qxZM8YYZA9w/+vWrcO0THp1EiKRyM6dO0H5s2fPgowej0fc + JUATWolkaMLjZL6g8pj/9G/SKgaCwaDY8WAw2KNHj8zMzHfeeQdTHYZiUsTUBI/HQy2hjQviDU8s + THwQmwTLbSgUwp4Qi8XEzQc7Zy0v5QniRyKRffv2gXoXLlygVyTRXNx2IpEIiEybA3qR1ELOeVVV + lTirvV4vClCxeDxO2zX1FLVVn+E0KHjK5XIl1VY7xGLUl0AgQJWQ/ZkL55rT6UQBoqf4ofrEgLaO + 3kUDKjYDv4bD4dmzZ+PYLS0t5b/jfJeQkLhxgE2SNoTFixd//PHH/2klf5gAwzkPBoPYj6LRaPv2 + 7Y1Go8lk6tSp08iRI9u3b88SCvWLFy/WUkk4HAajAE0eY6xu3boif6PT6f7+979zzisrK71eLxTM + //jHP7Bp4nDFDpt0ivj9/uobogg6UWbOnGk2m7Ozs3v37j1s2LB77rkHr1apVPn5+SiDbXTbtm16 + vT49Pb1+/foDBw7MycnJyspC4QkTJvDEue5yueAnQ32kJomMArR31OzqkgbK4+zHWLjdbnHjjkQi + Ivue9CxPMGG10wG9g2AgPrt9+3aVSqXVanfs2IEyMA2JjceHyspKGL54YhSKiorAzubk5HDOy8vL + QZY1a9aoVCqRsH6/P+kocjqdpaWlSdQQDz/MGTpWxUMRQg5ahQJweAAFHnroIcZYq1atIOnxBGeD + Z6vzxMQtcYHJE1kTamTtXNd/IcBALKxfvz5jbPDgwfgyGo2CvDt37jQYDGTkuf/++xs0aEAGq+7d + u4ODFyt0OBxTpkxhgr62W7duAwYMIElGqVR+8sknqP+TTz6xWq0ajcZisbRq1apNmzZQfqekpBQW + FlL3Y7HYyy+/jBpgsjMajXv27KECPDH3vF5vKBR6+eWX8a6GDRs++uijw4YNa9iwoVarve2222qi + A4nrM2fOxOYAPhgE93g8UO7yBKNWUlKSRPnaiQ+9L+d85cqVUEno9frvvvuOJ/aH0tJSv9+/cePG + 6mZMUDIzMxP/wgbLGMvLy3M4HMSZ2e32Bx54QK1Wp6SkZGdn81/zsr8TNEunTp1qsVjMZnPDhg2f + fPJJTGnGmEaj2bx5MwTX2vHKK68wxpo0acJ/veeQ8OB0OsEfV983QG1RKeByubDJgM4k2MA64XA4 + YrEY7VGQFjjnV65cgZ1w6dKl+IlaDn087UVwZeScw5JGpyBtmzC+oXK73U5tDgaD5JxcfWslgUQU + QkSpLAkulysej5eWlr744osKhaJRo0Y+ny8SicAdAGX8fj+pTvAvCAXLUlJT0bWqqiraZLApYeMS + 9xOPxwNdFVXCBQGDDpp4PO50OktKSmrSWEFkQlUYMnF/uy7ErRWdwjfwpMX3tNPyahsdliqcD+lL + r9cLu6gogxEvgY74fD5RwEP93bp1Y4z169cPJWniSUhI3Pi44QQYkhDC4fDw4cM/+uijiooKzjn+ + Tp482Ww2WyyWadOm1f7ScDhcVlbGGDMaja+//rrdbj979uzmzZsHDhwIKzxcQTjnR48enTRp0vTp + 00+fPp1UCTgV4gx+z9ZGiv/c3Nxdu3aJ/Ovzzz/PGEtNTS0tLS0pKcFO6vP5Nm/enJOTc/ToUbQn + EomcP38evKNSqQTTKR7wAPH6XJCysAuLFIZOkRwG6PvrKptx9IrFoM2ClhHuGfx32L445/CfEb/B + sM6ZMweq/bKyMi4c+VwwwhC7QBIFhmDz5s0YUHGknE7nqlWrXn311Xfeead610SVKkCe3EkdpxM6 + GAxSy/1+fxJtxfOec47QF8bYW2+9xX99NovmF3DMIn8ATkVsg6icFskIVW4SzZPYkd+PY8eOMcZM + JtO7774r9vS5557TaDQ6nS49PR2WGaCkpATidFZW1l/+8hf+a/J27dpVq9WqVKrevXsfPHiQJ1jM + UChkt9vffPPNPn36YOUuX74cLp39+vW7cuUKunn16tUNGzbMnDmT/1oq6N+//9133/3BBx/cf//9 + cEopKirCTzBdglyhUGjUqFHg+L/66isucGCnT5/+6aefaidFQUHBokWL3nvvvaVLl1a37bjd7srK + StFQRuMVCoVq59LQF7/fTwqUBg0avPTSSxDXqVg0Gr169Wo4HC4uLrbb7S6Xq3///rAuHjp0qKqq + qqys7NKlS2VlZdR9NM/tds+aNYslvLPYb9nAawIaEwgEZsyYMWXKlOLiYnwfDAZ3795tNBr1ev0j + jzzCOSdd+3Xh8/nuvPNOxtiQIUNoxVG0IQ2raLyCTVIkFz6LXGlJSUnnzp2bN2++fPlyLMOkHRhL + BusrGo1euHDh1VdfnThx4unTp8XWEneOyvHe6hsgbX20uKhMLBYTX52k1cL2iEeSbKS/c5Hedttt + JpMJOgWSnKsflAjmqckGiC1a3IJIiYO+48hwuVzUL7/fTyYsdEGsE1KBSB9RxkD91TtYy/metGrg + 9sx/vW3yhBdAJBKhWRcOh8VmizWA+EkNwCSkI6+ioqK62IxvsILeeustGt/faU2SkJD4v44bS4Ch + 3RA7L6l7wezGYrFffvkF7O+yZctq4SGgJFu4cCHcavft28c5r6qqwhbPGLPZbK1bt44nQA/6fD6H + w0E6OdE/gXR+tWs6yTuIqoVHMuf8u+++Q3tyc3O5oElK+ovCvXv3hjqW6Caa71EzWVGIsHTuwi4P + KUI8XKHypy7AKBGNRsFlcsEFLmmYIMlQI2t3KUl6BApptOfee++FOh8FoDOjMxsPirwCnW1+v3/4 + 8OGMsTvvvJOKiUcaekpMWDwex7ThCe8LkqkwIpBkQqEQ6TIDgQAmFf4lXopaCE4L5y6cRn766SfY + KH766ScoU2lkScsrCiqkEiYfudLSUhBf1CmGQqGqqipRj5vkffHfSS9Op3Pp0qWiQQDvXblypclk + UqvVQ4cO5ZyXl5eTlhd/ccy3adOGCF5UVPTkk08iIOHTTz+FltfhcIgWMyJFKBQaNGgQ1h0i7Gnx + xuPxq1evcmHQ/X4/+Si2atVKp9N17dqVC2Itke6jjz4C+3748GF8Q9NYdEGsDpGbAW2hUxctnARa + DhA/qOW/qc4YNWoURBc0ctSoUeKv0Wi0rKwMxj3om10uF8JdBg4cSO8l9yrR9HrhwgWtVgtrA2MM + MifN/P8IGFBYd8Xv3W631WrV6XSPPvroby72wsJCbMvY53mC/jRpxT2T+EtRF05usdFEWHwkEtmz + Z49Wq9XpdN9++y1PrEdsI6I/FbkYJSnXYSMNh8OItaMGkNjGOQ+FQjhrSJinzopHI41Fkl1X1PFT + 5WhnOBwOBAKiC2h1hMNht9t95coVbPULFizgwpIRHapxFNLqQzMwbXDYoTBJFAjlSrLuik1FGCRV + iN2GepekAuOcw2GMvsQiJUMoDFM84aRaE6hHMGnSUHLBFEMKpuvyCVh9VA+50vHE6NBOXt3hkCdm + FyZbLBbbvn07VtC2bdtQ1X+3r0pISPxfwR8iwNQWt/cfQaFQ4HhD6KHVauWcM8YyMzPj8Tii6hFS + D7/wmuqB/8n69esRr9+6dWvGWGpqaiwWQ4Sf0+lEyCnYr7Kysn79+rVr185oNBqNxsOHD2/bts1i + sYwfP97lcu3YsePbb791Op3dunUbPHhw48aNa+mCWq1GECTCJRG/CyXxwoULfT5fhw4dOnXqxDkH + 8wcvGsSYIkgRQk5RUVGdOnXatWsHTsLpdNpsNr/fbzAYUK1KpTp9+vSePXtisdjEiROx8yqVylgs + tmLFimAw2KBBg8GDB4NuCoXC4XBs2LAhGAwOHjw4LS0tFovl5eXt3LmzvLz84sWLHo9n0KBBjz32 + GIJ2fD4fwqm9Xm9hYeGiRYvKysr0en1WVlYkEvnwww9rieBnjJWUlNxyyy1arXb79u0//PDDhQsX + mjZtOmTIkHvuuQcB9/369eOc63Q6nU4HKeLs2bMXL16Ez/1DDz3Utm1bBAUhTnfbtm1XrlzZuHEj + Y8zhcLzzzjuNGzd2OBwul8tkMrndbrVa/fzzz9tsNnjwf/3118XFxdnZ2cOHDz9z5sz58+c3btx4 + 9erVoUOHjh49GuG2CF5Hsoe8vLyDBw9u27bN6/V27NjxkUceueuuu6KJqGKakBcvXvzxxx+3bNli + MBg6d+7ctWvX/fv3BwIBq9V65513KhQKeCpiZBljOp0OqkS1Wl1RUVFYWPjjjz9euHDh8uXL6enp + Xbp06d+//y233EKTFm70ly9fPnDgwKFDhyorK7Oysho3bjxx4kSQgmK7/7tofr1ev23bNszSe++9 + l3MeiUTy8/OfeOIJxljPnj0//fRTr9ebkZHh8XgsFgslpXjqqac+++yzCxcuHDlypHPnzpzzf//7 + 39988000Gu3du/fo0aOLiorq1atHBgeFQoEarFZrNBpVqVRbtmxhjPXo0QOU9Hg8VVVVaWlpCoXi + 1ltvZYlgdMaYSqVCNHB5efnZs2c1Gg2EH6fTmZ6ejtUUCoXKysqmTJmi0Wiee+65u+++mzEWj8dT + U1MZY5xz8ny7LjQajd1uz8vLy8vLc7vdkydPpvn82Wef2e32jIyMp59+Oj8//9SpUzt27HA4HF27 + dn3mmWdMJhNSXYmJH6qDc759+/alS5dmZGTk5OQsXry4tLT07NmzLJHgC7H46E48HkeXL1y4gOj/ + rl27YrgjkQgEA+SxQB81Gs0rr7wSDofbtWt33333zZs3r7KyMi8v7+GHH/5P50M0GkUvrFYrWHmF + QoEhA28Nb0/KCyIGL4k4ffo09q4+ffpcvnz5559/3rRpk8/n69WrV+vWrTt16kTktdvtn3/+udls + 7tat2+23347JrFQqz507hxwYY8eOTUlJKS4uXrdu3eHDh5Fm4OjRowUFBV6vd/LkyaAAS/gS+/1+ + q9WKzwsXLoxGow0bNhw8eDBjzGAwoOTx48c3b958+fJlbA6NGzeeMmUKThb0Dhor9A5VXbt2be3a + tS6Xa8KECYFAID8//8iRIzt37mzfvv3QoUObN2+u1WrjiTQbjDGHw3Hu3Lldu3adO3eOMdawYcN2 + 7doNGDBApVLxmoPCVSqVxWLZs2cPyNuuXTuMhc/nwylw7Nixo0eP5ubmulyuO+6446677rrvvvsa + N24M2RVLZsuWLaWlpQaDIScnx+Fw5ObmLl++HLNo/PjxmHKUhoQxVllZuWfPnk2bNvn9/pYtWw4Y + MCAlJWXXrl3RaPS5555jjEHxlJaWFggEdu/evWfPnnPnzjVo0KBXr14PPvigwWCIxWJKpdJgMJSW + lm7cuPHatWuvvvpqaWnp5cuX161bFwqFPvvss+v212AwbN269fLly7FYbPz48RhKbLMrVqxwu90d + OnTo2rUrzsRoNKpWq9evX3/kyJG8vDzs7ampqa+//jrSNqANarX67NmzO3fuPHLkSFVV1f333z9w + 4MA77riDJfI9Ylh9Pl9hYWFubu62bdtcLtcjjzySk5Ozf/9+pVJZt27du+66S61W156BQEJC4v+f + qF2++f0WmOph6DjvecL3FyEQ8BWu5Y04fZs2bcoYe/DBB7mgfJo7d67ZbFYoFPPnzw+HwzhvlErl + Rx99RC+aNm0aY8xqtR46dKht27aMMZvNht2te/futZiYk3y1qTGc83Xr1oFf37RpUzgchqqYdHVU + GHbz9evXg7Bz587F99X9htEXqGy54Caxa9cu8JEQk0ibtWjRIsZYs2bN/H5/LBbr0KEDS0h6LJGs + pmfPnrAhILzE4/GMHDnSaDTiRKEUbVAH1q6XPXLkSL9+/RhjOp0ObIROp+vfvz+Iv3v3blLBRiKR + JUuWsESiLbyifv36Fy9eJI0gccZ4nCYeUvGgcgqLisVizZo1M5lMTzzxxFdffYU+ooMajWby5Mkg + OyxynPN58+bhaLdYLGjqbbfddubMGbE7sVjsn//8J0uIl0gy1r59e8h7HTt2JFU6RkpMeMA5x9lM + jxMxe/fuDVLjL+f8f/7nf1CAYugNBoPb7SZXHFFd/V/AarWaTKYuXbrgX5/PN2DAAJARhkH4mUC5 + S7r/Dz74AO05evRoNBp1uVzoeMuWLT0eDxkl0LAkt6toNIpsb1qt1mKxnDx5kswjiMEl356okJSW + c/7DDz+ASkjaAVCAHJitrKwsclVCa8Xg4FroEIlE/vKXvzDG6tatiy7j1XfddZder+/bt+/q1avB + 79J8mzBhAir/zSQWTqfzzjvv1Ol0ffr04ZxD8szMzBS3Dpr/FFU1b948xlidOnUOHDhAMz/JlOTz + +bBYDAZDbm7uxx9/jLFbvHhx7f2tCeJ4EaLR6IQJE8AgFhQURKPR2l3mXnjhBWyYmzdvzszMVCqV + lC+xU6dOsLAh3uPnn3/G3F6+fDkXosvQd71eD2e5f//735RfEc3Ar7TtJ3XW5XIVFhZCTPryyy95 + Yt87f/78I488gpbQOPbs2RNPIW8VPicZoFauXIkcmDt27HjiiSfUajU2EFDbbreLfnHHjh0bO3Ys + bUpY40ql8i9/+UvtyRXw9meffZYxlp6ezhOmKjh6IbqMMUamNsbYgAEDrl27xjmvqqqCKbht27YW + iwXZMojsaMO0adOwscBczDnfvHkzmQRB1Tp16tx3330gncfjIbvi8ePH+/Tpgy2XcoFOnToVBwSo + t3btWlB17dq18CFkCfvhdRGJROBZ0LZtWy7kDPB4PPXq1WOMTZ48mbLIlJaWwkOVxGaNRkOZOSg4 + auHChdABqdVqlGzTpg2Zl2luz5w5E4cIZB6dTlevXr2WLVsyxtq3b4/uYB+QLmQSEjcLbiwXMpEz + Q2oX4nE55+AgtVotxWjWhHg8fuzYMRw5s2bN4olYgvz8fPCRGRkZECG++uorqF7279/PE+Ro0aIF + EzBkyBCcJQaDQaVSwYP/uhC9q+G/FAqFzpw588477+CEgJMAlay+V3o8nvLyckT8d+zYEWcVWiUa + uOHI9PXXX6Na1IP3Qo2Xmprapk0bnrC5I3VvWlrahg0b4vF4UVGRWq0eOHDgihUrDhw4sHjxYmzl + yNBKnlQLFiwABf7617/++OOPW7duffPNNydPnow2XDfyEkN86tQpRCE3bdp0wYIFJ06cePvttyFr + 4XuUxxC89tpr0Lh/8MEHv/zyy/z588Ecv//++3CQi0Qiq1ateuCBB3A+zZkzZ+HChXPnzl20aNGX + X36ZlpaG9E3UBiQwBfcJDnX48OEvvvgi/m3WrBlFH/l8vrfffhsj+8EHH+zatQseVjqdbvbs2YFA + APyH3+9/6aWXwBz8z//8z/fff79q1apBgwZZLBawWe+//z4O4ySakNh59epVnU738MMPL1y4cPfu + 3cuWLYN0rdPpME/gBvPBBx+AXZswYcKRI0c+++yzBQsWjBs3rpb5lrSaKPscSf40bdxu9+nTp0GE + 2bNnQ8in9MTPPvssWAcc+XTwoyNvvvkmegpfo08++QT/Ll26FCP+m5G7yHCl0+kMBsO3334bjUbJ + /YM4eCoMNuKdd94B9yYGYMBHhbzaHn/88YKCgrfffrtdu3a33HLL/fff/+mnn2Je1S5jOByO+vXr + azQa8uyKRCJw5gGTajabU1JShgwZ8sILL8Cwgwh1OAGSRyKJ8eSlGY/HR48enZKSguQEkUiEEgyS + l5fo8kSen48++iimYpInDznyRaPRwsJCZL6eOnVqVVVVbm6uSqWyWq3PPvssT+wAFF8OMkK0I0EI + zU6KVCG2FfmUUS1j7LXXXiOnx6R0T5Tmi3OelZVF3GH9+vVzcnJefvllGNYYY++99x7I6/P5Pv74 + Y+wzpCLBPv/UU08xxlq2bMk5D4fDe/fuXblyJR4fOnToggULFi1atH37dnKdopaA/qFQaM2aNSh/ + 9uxZGlBYpVJTUz/66KMDBw6sWbPm5ZdfXrx4MfXC5XLBiZS6jw8jRoxAbW3atGGMtWvXbvLkydnZ + 2ZBMvv76a3J5ysvLw/Sw2WxLly7dsmXLli1b7r77bmxWSD1XE7C9QKJ47rnn0BHE2zzwwANYmK+8 + 8sr27dv37NkDEjHGXnzxRaqBYvCwr2ZmZg4cOBC+i2q1ul69euLrPv/8c2gEOnXqtHjx4j179rzy + yiukA+rSpQtNy8LCQmzCXbp02bp164YNG/r37486ycONc/7qq68yxvR6Pfb2xx57bMqUKWKXadnS + B8ZYWlralClTRLH58OHDmBXr168nNU3fvn1h51y4cOHOnTuXL18+efJkGjs4iY0bNw5LdebMmUeP + Hl2wYAH0LNOnT0cxvGXy5Mno4+jRo/fs2bNkyZKRI0fiG71e//bbb9NY/J58FRISEjcIbiwBBpsI + MdAATpdFixbBZywnJwfHLSmta3oplEO5ubmwGOzcuRNcVGpq6tq1aznnDofjpZdeAo+Lp+Lx+IUL + F6BwUqvVnTp1unz5MpiASZMm4ZCuxc2Xoj445y+88AK0Sowxk8k0cuRIYtdwTGJPp/DcUCjkdrsr + KioQJdKwYUMkXAbR8IiYqCcWi61duxYHEhkfli5dqlarwXx07tyZ9HlQEMIYBeARt9sN3TNt8VxI + 2WwymaxW69ixY8V8ZehmVVUVBdwnhRIVFxd37twZp77dbkeeN875iRMncCR36dKFXM8/+eQT8HbH + jh1D4s5oNIpYF2iv4/E4LFRDhgzRaDStW7emTDLQF9avX1+tVo8YMYKGYN26deiL1WodNmxYeXk5 + RrB169Zms7lFixakdt20aRO4rgMHDtCIDBkyhCWidICvv/4aLYcvEL50Op0kFG3atEnkwkkOB++I + nl64cIFayDl/7bXXcOqLKnaI3OhLKIGaJhvNBGpPkhQh5nhAsS+++AINRoB7NBqdNWsW7j9ZtWqV + +AgGtKqqCl7pEyZMwINYSn379mWMZWRknDx5krJW1NJIp9O5b98+9A4QpTI0G7m/eGJLImUtPNbE + V5SXl8OZMDU1Fc5CTPBAs1qt06ZNo2CY6yIWixUUFIB1mzt3LgUKf/vtt0gfzBgbNWoUGlZZWZmZ + mWkwGNq0aUOabGoPYsYgmTgcDqfTeezYMSz8RYsWgcgULPTzzz+Lz4oWGI/Hg2QJAwcOJOlCVA2A + jNDWt27dGpzW8ePHwVKTVaGW+CiKmea/Vp1gOdDGdfjwYbPZbLPZWrRogclJAS0UCkJ//X5/cXEx + ZVp76623MEOi0Wh+fj50/IMHD6aeDh06lDF25513iuYyu92OviMTBuizY8cOJKDbuXMneHqaANFE + st2okCkEO3l6ejp41pKSkmvXroGdXb16NV6EnQ1VoQbazElZhgrbtGmj0WhMJpPFYjl06BDnvKys + DKmi1Wr1Z599xjnHoDdp0sRkMnXs2PHUqVMkeV67dg0CDO1LNaGwsBDn2scff0wiN1y/UlJSkKrR + 7/dj40V2uGbNmiF5Gud848aNZHUZM2YMZmwwGLznnnsMBkOvXr2QiL+qqurYsWNo0ujRoylzMed8 + 9erVkFWmTp3KExz87bffrlKpEBGH0b906RKGeNeuXdT4Vq1a4dWdOnUqKChAII14vlNADlq7b98+ + jAiEHGTVD4VCc+fOZYwZjUbExoTD4dOnT6O1K1as4Ak7ISqh0Mc1a9YgGc/+/fuhsonFYti9H3ro + Idrkv/76a7x0+fLltENevHjx3XffReM3b97Mr6dGkZCQuMFxYwkwXGDoSZkdDAb/+te/glOfNm2a + eBTVhEAggJPeYDAMGTKkT58+t912G3ar9PR0HD+ooW7dulqtdtCgQTyhrfn3v/+Nki+99BIMIMg4 + OXr0aGiPfo+J+dq1a/DLZwnnpXr16s2ePRu/IoKcCmMMfD7fiRMnoI275557tm7dSlswTlmwxcSd + RKPRzZs3gyxQOpaVlUFCGzZsGGMMLuY+n+/UqVMs4WQFzTEpGnFkut3uV155xWAwpKWl4fuKioqK + igoIcv369YvFYhUVFUm6eYBSQlGP5s2bB9GR0kBRdCzO2hkzZkAI8Xg8UByOHj2aKvT7/YMHD87I + yBgwYAAehMdOo0aNGGNjx47lCfYrEAjg8m/G2DfffIOWBAKB119/HZzTv/71L5GZS01NRRYsoiqo + h+Ra5MiEgJPBgwfD6eXy5ctNmjRhjD366KNUFSYnpL7U1FSwy0keUOJZKJrIMCg5OTlKpTI1NZUn + VOZlZWVmsxluErQKag+KpUtFEOpNCngxGQA+OJ3OWCw2cOBAMEakaGzevDljrG7dungRjSa9IhAI + +Hy+Ro0a2Ww2i8XCOS8oKIC00Lt3b7Exv+nV9vnnn0OyhYTQvHnzEydOkBGD8sPi38rKSgwi5RtE + sDLnPBaLPfTQQ1hWJpNp0qRJuG3z+PHjmP8WiyXJAzAJfr//+++/x8z55Zdf8MZoNIo1DqkGhlYs + kMzMTJvN9uijj4KwFP8t8meRSASsHvzvKUs15/yDDz4A47t27VpKciCm3orFYidOnGCMKRSKJUuW + cEGcgyMfPtPWBJYLzUBQUOvWrZHHliaMqN+hOHUIIZSrUNyF0LUff/wRr+jYsWMgELhuAkZyeYVe + YM2aNVjX69atQ4WUx4Vb+AAAIABJREFUCQO3CQ0YMADiQWVlpV6vNxgMSBhIu9mRI0fw0u3btzsc + DgwH0qzhgkKecDZDm0mMFI1sGHpa3Zzzn376CZzrSy+9VN0FNylxCAlFgUCgsrISUv2dd9559uxZ + enbdunXYMU6ePIkV/f7776PlZHZAcDznvHXr1gaD4d57763dte/LL79EnadPn8aDBw4cQMdnzJiR + tKZgLmaJC3855yNHjlSr1Vardfbs2egRWovdElsWRvauu+5ijGVmZhYUFIjV/vTTT6hz69atqIE4 + e0r2GAwG4QVqNBqREYdzfv78ecaY1WodOnToL7/8IrYz6aCnHelvf/sb5G00kmbXsGHDtFpts2bN + MLhutzs3NxdtmDVrFs1ksdlXr14FlV599VVxWF944QWdTodpEIvFrl27Bm3CkCFD8DgtkL/97W+Y + Hkl6n9ottxISEjcObiwBJkkLEg6HCwoKoI83mUxwmyaOp5bsLghhZwngfM3IyJg+fTqCQTnnlZWV + TqcTTNKSJUtw2TDnfNy4cYjGhm9PWVkZvu/YsSMOyFry+gcCASQdpuY5HI7ly5eTpopUsFzIsYby + iHvR6/VDhw69cuWKaN8QaUKbeCQS2b17N0SF0tLSaDSK4+2FF17417/+xRhr1aoV59zr9ULrCQUb + Goku5OfnHzp0aMWKFTNmzAC5hg4dGk9cYcETpyBjzGazbd26FcYHtBZCHRfkTJ5I/AWni0GDBoVC + IZwWYJe3bt0K5nLz5s2YCQjLsVgsvXv37t2799ChQ1988cXHH39cqVTq9foZM2ZQEjMc6kqlcuPG + jU6nE0yY1+tdsWIFWmi328mu1aBBA7Va/cwzz6CpDofD4/FcvXpVpVLpdLqPPvoI1IPxx2AwdOnS + ZejQoU8++eSgQYMGDRoEOxtFH8FKo1ar9+zZAz9peNlxzuGgcs8999CIJE3C4K8vxMzPz9+5c+ey + Zcvmzp0Ll3FwGDST4cxtsVhuv/32efPmYQb+ZraradOmdenS5ZFHHunevXuvXr06d+7co0ePRx55 + pG/fvt27d6d7JHkilKh79+6YqDwRXnz//ffzREQKrxZgAAcPm832zDPP8EQyayboy8ncVFMLKYCn + srJy6NChFN7Tp08fNIOUAlQJtN1KpRJ2SIDkMbPZTNkauLA6li1bZrPZVCrVzp07k66sSQK8X7Kz + s0nsjMVit99+e8OGDaF45oK7GiKv/v73v2OI6cpRajlRGKYqk8mUl5eHpRSPxz/99FP0d+bMmWRa + EfUgwWAQvlWMsePHj/NfG/E4536/v6CgoGHDhjqd7uWXX8ZTaAZWrsFgEHn0lStXdu/evV+/fl27 + dm3btm3v3r379u3bq1evgQMHdunS5Y033kBsUlKKs9dffx1C1FtvvSXaObFdkDmXyqOFUBWxRMw0 + RGU0gzGm0+lef/11ODQePXoU9W/bto26Hw6H582bB3V7cXExPYtMBlhcIudKch0tN4/HU1RUhECj + +fPnU5kff/wRckh2dvYdd9yxZcsWeqM45XBFDD7D9oVEF4yx3bt388RdkNDuKxSKjIwMvNrhcEBL + BXYZMifNBNhw+vbtW8sk5JyPGTMGEgjNQ2yABoOhsrIShx2GKRqNQrfChKDThg0bYq+D8FxRUYEM + 5ig2Y8YMFEPokV6vh+iIwn6/v6qqClchWa1W2qubNGkCmSonJ6dHjx4jRox48skne/TogTox66LR + 6FdffYVi586dw1vIC0tMjs8Fn8YBAwYwxrp27RqLxeCsiGKwQb3yyivUr7y8PIvFAiXFfffdt337 + dkxvqIrsdjuOS7PZ3LFjxwEDBjz++ONPPPHEsGHDsMXh/rR4PA6XYLVaDRs7TyyocDjctm1bo9GI + CUYU5lKAkZC4eXBjCTB0oGIr2bt3L45nujW8sLCQjsla3lhYWMgYq1OnTr9+/datW7dv3z7clEcu + aii2evVqxphKpSJ9rdPpbNSokUajefrpp8UKKXjgX//6V+2dpcbDqI3Pe/bsQfqBt99+m5TrMIag + SWCnUlJSEHpBjcQ5HY1GyUtbjBECQ8AYO3jwYHFxMeSNY8eOrVq1iiUsMCtXrtTr9TabTbyFcMuW + LWC+oQtPT0/H8fbtt9/SlYJ+v3/btm2ZmZlgN7Vabffu3a9evSo6CVDoMymJ9+7diyZ98cUXSWk3 + oVI1mUyUQ7N///5iegAwMWazGVFJ4PZAw/nz5ysUCpPJJGZG5pwPGzbMZDI1a9aMJw4euDowxjZs + 2BAVrt3AbaGMsb1794K8uMKMJeRbjUaj1WphdLJare+8804sFqusrOzVq5fRaKxbty4pnjEWFRUV + SKKFjEbifRc0UhhEn8+3aNGie++9F77yTLj2cc2aNQjQRw1EPZVKpdFo7rnnnqQrFJMQj8evXbuG + S+hxa1D1PFHkInXq1Clku4IlEFfcYNxhAYsL14cT88o5HzduHDyg8vLyYrHYp59+CiqtWbNGTIH6 + mwd/YWEhzERz587V6/UgO3S31SPvwUxbrdaqqqrwr68wIlPe448/Lk6GysrK/fv3M8aMRuOPP/5Y + e2NgJ4HpFf0tLy/HNPjHP/6BjmO9fPPNN6DksWPHxNcBbrc7nrg9A7uEWq3W6/WTJk3KyckZP358 + Tk7Oww8/bLPZ9Hr9yJEjq0cFAH379kWSg+oX2ONfMK/giUeMGDFq1Kjx48cPGzaMEnwVFBRwzn0+ + n8fjweWeJpNJp9OJSS+Qc+/pp59OujCqqqoKAoPNZkM0IKlO8HZad7QvkR6nZcuWaWlpjz32WCRx + gSPY7ry8PKy4jz/+GFVBZcAYKy8vhz0NO1vPnj1NJlOLFi2IJlVVVZhjs2bNqsmrh6RZn8+HMVIo + FOfPn4e+Hwt/6tSpWOBghV9++eXqqfBFzzr0DpEVGRkZ4luCweBdd91lsVj69OmDCbBx40as6C++ + +IInosB5IpO+UqlUq9UUMVgTEHwIY6bf7/d4PAgx79GjB5Whc6RTp046ne6OO+5A4cLCQti4li1b + Jh6IyPin0+ny8vIwTK+99hoSJB45coSIjK49+OCDOp2uQ4cO+HL79u2gPGUrof3KZrPVr18f/sOc + c2QDy8rKIn88scFckAzxr8vlwrKdPXs2+c0Gg0Eytnz//fe0D/h8vvfeew87AMLun3/+eTq/wuFw + nz59cGzhvKBtHMfoBx98EAwGfT5f//7909LSsrKyRMUcJgDK4xIqnrgw9L9OjiIhIfH/PW4sAQbA + RvPll19iVxo3bhzFYMRiMbrpshZ8/vnn2IK3bdtGX8ISTS7aPMETNG7cmNjc/Px88GrLli2jjd7j + 8axatQq75MmTJ2v36hEdtLjA62BvJdd/urTR6/VCuXXrrbeSjhAeWeR6Lmb9oh02GAwiMStj7MCB + A2+++SZO6HA4vHLlytTUVJPJ5Pf7mzZtajAY/va3v5Hm7J///CcEhi5duqxaterQoUOzZs1Cohvo + 0sCs4OQ7e/YsUgxptVqbzYasU2hAkhccDm8KgieZkI6N+++/nyXyz4Dng3Q0ePDg3bt35+XlHTt2 + bOfOnbm5uT/99FNubq7T6SRfbcQ3N23alG6hAZ9Xt25dvV7/1FNPUZMQxsAY++GHH8hLBFk7lUpl + WlpaWVkZmpqSkqLVakeOHPnLL7/88MMPu3btOnTo0JEjRw4dOnTixAl4D2LgVCrVsGHDqLMYFzp3 + d+3aFY/Hk/wQRB3kwoULU1JS9Hr9gAEDPvzww5MnT77//vv169e3WCylpaV2u52k02g0eu3atQkT + JhDT2bZt29oT7sXj8V9++eXIkSOnTp06d+5cSUnJ4cOHL126lJ+ff/HiRajzMZrz5s3DHIYXezwe + v3jxIt7y4osvigppcdROnz4NLq1p06ag8MyZM9G8L774gnIG1H7wV787BVZBxtimTZvoqlbxAiVk + /+vYsSN1Ex5E8Xj8+PHjMIls2bKFIosAJLPS6XRw/a8J165dA7+7aNEiiuH59ttvwa6dP39eZKQQ + XJGSkgLKiAZY2pQ45yUlJVjICLIntk+lUlGuvwceeEC0PHBhOSO9+5/+9Cf+awEJKwVWLyRPZwmv + VCibwe+azWaKl0AHf/rpp9OnT+fn5xcVFZ05c6a0tPTEiRMnTpw4c+YMlEGUceTYsWP169entUlS + N0/YoERNBLGq+PLy5cvoHeLaUSd2WhIeIBFxznv06KHRaJC/m0C330ybNo1SX+zYsQMU279/P0VK + QPoViUOzFDt5VlaWSD26p7hDhw60oF5//XXx7aR3F4cD6Qcef/xx2Jyj0SguFdVoNHq9fs6cOZAo + cA2RXq+nDvJETBRitLDWarFMlpWVYUnOmzePc+7xeEj/gtEMCVemYrWazeZJkyahqRs2bEBhROkA + paWlEyZMQH4RMqjCqbh+/fp0plA6BNQwdepULMCvv/6aMVavXr1vvvlm7969Z8+ePXTo0O7du7E5 + Q/uDOQav7HHjxsEqgjugqt/kSzOctDN79uwRifDRRx9ZrVatVnvlyhUcnag/FoudPXv2gQceoEWE + TBUYKQhXjz766IkTJ/bu3Xvs2DF8OH78+OHDh8nEBPKOHz+eJ9SjqByaDsYYDhou+DnXNFgSEhI3 + Gm4sAYZOd9i1TSYTcogl/QrUIsb06dNHp9NptdqkAw814NAKBAJNmzbNzMyEt3o4HHY4HGvXrkVE + 44kTJ+gtkUgE4oHRaKy9pyIX6/f7aQc/dOiQQqFIT0+fMWOGGC7i8/ngut21a1cKxKeYZs451Ehc + UG+LuuqCggLswkj8n5aWhl+XLVum1WpTU1Nnz56tVCqRTxl7+oYNGxAaS07blZWVTz31FNK8isxZ + OBym3fz8+fOIsgUFUIx+FYN6X3zxRZ1Op1aruZBL2u124y4UhULx7rvvUhfQeAwx+YTE4/Ekc1M4 + HIb9auLEiSIFTp06pdFoEFOLLHPhcHjq1KkKhQKsDE/cmMY5b9OmjcFgEA1ruMNhzJgxdrudruim + rKO4gS4QCKjVao1GA8ubeNniX//6V4PBYDQacR1hkkqbuvDhhx+CLd66dSu+CYVC8LpJSUlJ4vtx + fldVVZ06dQrRKVartRZXyXgi03HSlxQFTr4unHPIRTabDTbAaDR65coVMNldunShqYuOwBTjdruf + eeYZNAPOWpzzv//97xi4p556yuFwiKHPtYMUqOXl5R9++KHJZKpfv/6BAwcoxIvcEcvLy6Effffd + d7nAW6A7K1euhOfn0aNHOeclJSUwtblcrmbNmul0um7duvFaLULwPNTpdPn5+RipcDiMRBcNGjQA + xcgjEewvLqTnwrYjhuD7/X5KkDBv3rwvv/xy6dKlmzdvXrRo0ZYtWxYtWoRYr6ysLDHTOnUNsfiM + sTlz5tBbKLifc47Hu3XrtmLFiiVLlqxZs2bOnDnr169fvXr1n//8Z8aYwWCAh231S80ps4io+kHK + b5/PB/9AxlhOTg5WEOmqURXZXihmRvRtIx/Os2fPwi+OVjFydj3xxBM0CSHPTJ06VTSefPnll9Ce + ILAHhJ0xY4Zer09NTb1urCOtGjQpGo3CbZW0DLQeqSS8Vc1mc69evcCw+nw+8Wig+Q8RQqPRIBiJ + bAUkk5Bq5tVXX4VFy+FwkMcdxvfxxx8ngaEW2R7SAtWJ9GuY+adOnaKFD+8yrLuGDRuSuCJaijjn + drsdPYIxTfR2Rh482hWBqqoqaAlVKtXPP/9M2hY0ieQu+kCG1lgsBmnKaDTi7h2KChPLiwnl4/H4 + 7NmzYdXBjMLdl+fPn3/44YeVSiVyGYvDyhO+x5hjaWlpDz74IIQll8tlNBrNZjNCIik3QzBxAzVQ + WVnJGFMoFB9++KGoBopEIghfNJlMVVVVJC/xWv1gJSQkbjTcWAIMNrslS5ZAif7GG2/QAUZOSmAu + Y4nco9dFkyZNFAoFZeahgA2eOGBcLheSC7HE7dFoFfJKZWdni6ogznnLli3VajUcmmtPVAJmnQtn + p8PhGDRoEJSySFwDFtPlcrVv354x1rx5c2jKie9M0s6KbnVEPb/fX1RUxBJQq9Xwby4pKUFcJvSy + jLGdO3eSkxIkAQq/xouQNnr06NGiCwcNh9/v9/v9Tz75JPgksQAxu9Swbt26QdlJA1dRUeFwOEjj + /sMPP+BYgrOERqOB90JUuF0bNhN4pFAAjFqt/uqrr0RSgClRKpVwe8Pp1axZM4VCIV4fHolEvF4v + 3o6MrvDJhnLu4YcfpuShKC96zkBRp9VqKfAAXf7uu+/gr9WuXTtx9OmYRPc9Hk+7du00Gg006xSN + mpmZaTabR4wY4ff76ZGk2CrcX0EErx0krojpGcTK4S2mUqkQ7kIdbNWqFXzPKEkD0S0ajU6fPp0x + ptfrKc9BOByGg4rJZMKFg/TG2s9+Wnec87KyMqPRqFAomjRpAsZR1E2Ew+F9+/ahtbm5ufCroV+D + wSDidxUKxVdffSW+4p///CfMp5s2baqdXOPGjUP+ImpSJBJp1aqVWq0eMmQILXCv14uZYzKZ4Goi + tpM0FF6v9+LFi5CpZs+eTYITiUA+n++BBx5QqVRKpZJEPjGQ5h//+IdWq1Wr1Xv37oWwAfcqMIvI + 4d6gQQOy/dJ95NFoFFfuYrekLAjikowlbsygcafN85dffsGG0LdvX6xKksOxwMU9llKPiHNs4sSJ + CoUiJSUFX+K9LpcLPUJiXM650+mE1ctgMMDa4PP5/H7/smXLsDAVCgXtnJzzvn376vX6evXqkWZB + VEVBW0HZXOx2OyWpF9cymkQrEWLS8OHDuSDc0tTCUEYiEQquoKgJaO6fffZZm80GhQJcW2fMmIFZ + KiYSjMfja9euRaeQQauW82LMmDEGgyElJQWrlXP+zTffYF9auXIlT2hMvF5vSUkJIgNxgxNY/ObN + m1sslv79+3NBt1JWVoa3k+ce55xuh7xy5QrSYbvd7nHjxsGIp9FokEDM4/G8++67cM3asGEDdZ8L + miBMA8p+RoH+EF/FK55E/1KfzzdixAilUpmSksITuozKykqoaVQqFZRT/NfpuQGXy4V20g0z4XAY + 3enZsyflbqHC9OChQ4dACiwNiLWhUOibb76BQx05zmGrDAQCUoCRkLiJcGMJMFzISMMYu3Dhwr59 + +44ePXrw4EHcYg4zRRKrJ3KN8Xgcd1MyxuhuSogN2KN5YvdcsmQJeA6k8MJph8jL4cOHExsBrSQ0 + QIj/rkVwcjqd69atQ3QBKJufnz9x4kR4WTz//PP0eDweh5bOZDLh0o+8vLzDhw//P+x9d5RVRfZu + 3Zw70w1NjjKAZMEWQRFB4QGighhHcRQYQTGNAZFxdEAdBpVhQMUsKFlBaQxkmpxz7AZsupvOfXM4 + N9T741tnr+Lcpsf5Pd97slZ9f/S6fW+dOlW7du3atfeuXYcPH961a9fu3bu5IJTRNkVR6DAAfoJR + E4t3z5496WQ2QguMRqPT6bztttsoMINzjjd2794d6QS2bNmC6CwknyXlddKkSUeOHME6HQgE6FQr + nWPWACNbWVmJRAIpKSlTpkxxu91FRUVLly6lO92ZevwUqiFd2vj+++/DLArr79KlS3HSBomVPvnk + E5juxBt4gsHgo48+arVa27Vrx1VLM1Rbph5VIu1k5cqV2MuVlZXRIc5x48bBNzJv3jyy7peXlxcU + FHg8HiyEgUAAFaanpx8/fryqqurQoUPDhg2DkqHT6V599dWGAxqxAWjXrh2W9j179uDIisViQX4t + t9utKMrzzz+PQBTw8DfffIO2zZ49+z8GTNYLipvHZDlw4ABYYs6cOZSvzOfzTZ06FervoEGDjh8/ + ztXTZWfPnoVVmzE2fvx4UAMXxcTj8ZycHDyVk5ODZtOkOHny5IQJE7KysmBUHjFixBtvvIEpBjX0 + 1KlTdDvHqlWr6PgK/fV6vW+99Ra4WjxdQ4H1uOfHbrd3796dq/r6jh07EPkzY8YMChQh0mlMs82a + NUtJSUFOAq6eZkZncdEEOYs+++wzl8ul1+uPHTumiZnEB7QN4ZFdunQRvZGES5cuPffcc9gJk1rM + BTmG9LhGo1FRFI0Be/Xq1Xa73WKx4KAFfiUtTVEUCjoaM2bMlZiBMj6LNZeXl8OC07Rp03feeefU + qVMHDx7cvHnzoUOHiouLEWcoRtfgAyQMdbBJkyaYmzNnzoTHNZFILFy4EMM3c+ZMvMvj8eC++czM + zPHjx5eXlx8/fnzYsGEo5nA42rZtSyG1nPPWrVubTCaXy4WUABpjhOgIUhTlq6++gnhB3CDio155 + 5RVIUTQbm0CdToecEJqNqDimiEbLzc2ljQfWF9yvNWLECHoQfjObzYZbR5DkbcmSJYiIe+qppyC3 + xa2Xhg+R3pDqhIat0+msVuvNN9+MSD/OeUFBAWxMmZmZWAXC4fCJEyewXZ89ezbqhIT5/PPPEWF4 + /Phxeq9438uTTz553333QR4aDIbU1FR4LEGW8+fPoxiuEMD3oVCouLh4w4YNKEOiOy0tTcy4o/EG + YytCafeuvfZah8NhNpsLCwsvXLgwf/585AeDE3jr1q3YI128ePGVV17Zt29fPB4Ph8M1NTV0HxSc + wFgacCuOXq9H2gYcfHK73Rs3bsT+FmwABrPZbKdOnYrH45s3b6YbfhhjovSmg0Oa5IoSEhK/W/zu + NjAIWaGb15lwJTlTLeiAz+eDiBRD5znnZNXbunUr1ns6dw7TI8pDpcjJyYGaG4/HSRWATKT7tsmi + tm/fPl7f7ZOEffv2GY1GvV4/cuTI6dOnP/DAA5S++aWXXkJr8brDhw8z4dwhliJ0Fucl4FWgtmle + hIZVVVVB+jdq1Aj3T2M4t23bBjeI1WrFlo/yKSGugzHWokULbKuaNm0K26TJZIKSFI/H8Xjr1q0H + DRpEiuwf//hHePCrq6tJGcLlLUT8VatW0alipqYH6N+/P67mHDJkCI4aA99//31WVhaO7Ldp02bo + 0KFYp81m8969e6nXuJeta9euuBYwrF7VjKi2iRMnkttq3bp16MjRo0e5ek7d7XY/88wzTA0YwP2V + nPM9e/ZQerrWrVv37du3f//++IZShfp8PlxHjcUSWcKaNWv22GOPIUZRzJFVLzIyMjBGGRkZoDOl + pEtLS/voo4/wFnyTm5srNuPee++lDD//LTSZweCtYoytW7dOvBHV6/Vef/310EGtVuugQYNuu+22 + pk2bWiyW9PR0u93+zjvvgOHJxOvz+bZt24a+UFqCIUOGtGjRAmZRDFZlZaXP58vJyUH3e/Tocfvt + t/fq1Qu6Ztu2bWEOoNlUVFQEsyi0apyBbt26Ne41gnJGbXjzzTdBon79+k2cOHHMmDHowpgxY4hi + lBFEfIvX6z179izEyz//+U+cMYjFYsuXL0fDCgsLRQvFY489ptfr7XY7Nlek7GJiYha8//77TqfT + 6XSuWbMmrt4fCiC8MJFIvPPOO6AMTuVB0YfyFwgE0tLSTCYTzm1D98Kv8XgcwT/33ntvJBLB7R9i + yizOudvtBt1IDU0G2cIp2icajc6bN4+UWiToE4/7jxgxgl6U7BbGSe6jR49COCMjXE5Ozo033oiY + WJy6Jl+Nz+c7evQoBI7T6YS469ChA5wYjDF4j0HkS5cujRo1Co1p3LjxyJEjjx8/jpRcouM9kUiA + H7A5adq0KVGeLrXMy8u74YYb6ApdupkeFBZNA5AJwWAQG5V7770XQxkIBKLRaElJCTyuuIkYvmKf + z3fzzTfjRZ06dRo+fDj6zhh7+OGHi4uLueqmuxIfYheB2D+I1srKyr/85S/YgVxzzTV9+vS55ppr + QK7OnTujTvAeEl7r9foLFy7Aq4buY2uRnZ1NJphgMFhdXd2+fXsSQZiSdA7k73//OxYI0Pa+++7D + G5s0adKlS5dBgwalpKTo9fpJkyZRnd27d3c6nXfeeSdtJsVlkeIqubDVR4I+3K6D9/bq1QuOfabe + rhYKhb7++msMfe/evYcOHYrMNHa7/bnnnqNoRs753r17wXUOh8PpdI4aNQrhDExwwF64cAG2EvQa + SE1NHTp0KDw/ML6AS59++mmYpWbNmnWleSQhIfG7wu9rAxONRpFeFsocYwxWVZvNZjKZMjIy5s6d + S4m5NM9CrLvd7hdeeMHpdDZp0qS4uJhWu4qKCvG9Pp8PJ27vvvtufJNIJH7++WeDwdCoUSOcMiRl + Zfr06R06dEhNTW04JRTn/OOPP6acXXRtX+fOnVeuXEmZ79GMNWvWkLangcFg6NGjB2zAlGSWAl3E + jv/yyy/Q+AcPHlxZWYmrvqLR6Jo1a7ACvfTSS5StEmJ61apVFFpmtVrnzZt39uxZXH+Rlpb2wgsv + VFRUXLhwYeDAgU6n02w2Q+fIzc194403sMSSEkmLGf6l6JFZs2ahfpfLhWs64Mdo2bIlJcokC+7e + vXt79OiBpQjJQ1u0aPHoo49SvH5dXV3Lli11Ot3UqVPxCLqDiBS9Xr98+XIiyFNPPaXX6zt16qS5 + 5LRr165YbjXjtX//fvCbyWTCcDgcDlzNHovFcIQXx1Foh3nLLbcsXrwY8egZGRlnz55tgB/cbvcP + P/xAOzqn0/n555+XlpaOGTMG6vKUKVOQABqB4IwxLN5ZWVl//etfxdCU/wqU2Qyora19/PHHbTab + w+GgY2BcuBJh7NixtNNGTy0Wy5gxY2C25EKsIJoUCoVOnz4NW4PFYsnMzKR7JJs1a/bSSy/hsG9J + SQlpFQSLxTJ69GjKEoawtEAgsGXLFrwauwtSprOzs3FBu3j8vbq6euzYsVBMwaJt2rTB9pvzek7i + 0rNVVVVwRjkcDtqmRiIRHCdo27ZtdXU1xbeEw2HkEnjkkUfoyDu1AR9KSkpatWrldDrJn8OFWEGK + Jn333XetVqvRaBTvQkUBpOLIzc0Vz/uB5s8++6zZbE5NTd2+fbtGeFK4KSUuZ+w/yGEATpVgMDh9 + +nRQj3IMYHR7dmo6AAAgAElEQVSQRW3ixIliei5AjMVF9Bqe3bVrV15entPpBA/36dPno48+QliU + mJ3v8ccfh2RgjHXv3n3+/Pn79+8H81A0oNvtrqmpOXr0aG5urt1up+QimrlAJ+UCgQCS8+LKSATs + bd++newOQK9evTSpurD/0SxG586dg5dgzpw54vfQ9V0uF51kw4O7du165plnIOrBsXl5eQsXLoyo + VxtpWDGZDynJAS03dXV1EydOpEuQ9Xp9165d33zzTcRoQYz7fD5s23JycsTL4wOBABy8dGkVIgjw + yCuvvPLoo48+++yzCxYsiEQiy5cvRwAhGJI8RadOnZo+fTqMNWiA3W7v0qXLN998gwJFRUXYhCxb + tgxvUYQLXjULNDFMQUEBtg0OhyM3N3fMmDF+vx/ZBbp27YrxjUQiBw4cuPXWW8Wx692798KFCymh + GZahcDi8e/fu3r17k8TQ6/VpaWmUJgdTtaSkpGvXrhaLxeFwZGdnd+3addmyZcjxw9TgC5z369On + D8aRTodKSEj8zvGbbGB0XD2QXS8WLFiQSCQmTpwYjUZNJlMikbiS7u52u2lBhdaL5DwwUgaDQYgY + j8eDuA6Px4MyOFyOJeTChQuNGzeORCKpqamKouh0OkVRIHA550holpmZGYvFELOhKIrT6aypqUEw + t8FgiMfjdXV1WVlZoVDIZrPV1tbqdDrE76KRooNIg6qqKq/XiyOYzZo1a9GiBUyDZKtmjAWDQavV + KhKBGh+JRAwGg9frzcjIiEQiMD9Ho1E0AI8EAgGz2RyPx61Wa11dndPpDAaD6Cy5dAKBgMPhwDcg + u9/vh/ZQUVFx6NChbt26paenm81mnU4XjUbPnj0bjUb/8Ic/mM1mNCaRSBQWFgYCgdTU1EaNGtnt + dtG1AsTjcXyJUyucczTA7/efO3fObre3a9cuFovV1dU1atQIwxeJRMLhMCKhYZzW6XSlpaWVlZVO + p1NRlFatWlksFqvVipjs9PR0n8/ncrk8Ho9er0ee2Xg8jiFA17D44QIQxEfhV0VRqqqqcnJyjEYj + NJvU1FQwj6IoYDMoWKdPn/b7/T179nS5XIiEgTJdVVUFLbmqqurEiROdO3eGTwlsYDAYXC4XEfZK + CAQCCG7p2rUrmCeRSBw8eLBp06Y41a3T6Ww2WywWO3PmDMiYm5uLFwUCAeiUDdTfALxeLzoi8kM4 + HGaMWa1WGG6j0ajNZvP7/SUlJaWlpQaDoW3bts2bN6eXwmwPaz3NQZ/PB438xIkTW7dutVqtXbt2 + zcjIaNSokdPppDmOD0VFRcXFxeDSFi1aIDd6eXk5bjqn5oE4xMbEMDAYYzJSA5BCuqysrKSkpHfv + 3o0bNzabzX6/H4dbqE6UBJ/o9XqogJhcFosFzigyCVdUVMCbQfMRKCkpyc7O5pxbLBZwrE6ngwAh + ImMc6W5WxhhUNwqeVBTFYDDQJMIxervdDhKByRFiZLPZqKQ4qYmd0GDkF3E4HDU1NTABXAlw8RmN + RmoMY6y0tLRx48bxeBzOLvo+FovpdLpgMOhyuYLBoM1mIy2chh5Z4Bhjhw4dat68eWZmpsfjcbvd + paWl6enpsKqQcGCqzPSpyMzMpHlUV1cH2QgHEboWCASQE9nn83Xs2BEeKoxLLBbDlS+xWKy6ujo3 + NxcvorTmer0eJPL7/eXl5QaDAT4fyjAeDocx92kEA4FAIpFAkjFqD0YEpHM6ndiLWiwWzHfEeoEf + 3G43bFtkfUM4GcRCdXU1OlsvH+IbFHO5XNRIbKTBzEajEVnFGGMej4eSfxgMBvQlHo/jFpqMjAxQ + A3GVDoeDhqCsrCw3N5dmE2OsT58+e/fuHTx4MK7lMRqNorSprKwMh8M4qZiVlUUMhgYjfRm6oNPp + aKxjsRhytRPfij8VFxdnZWUdP348Ly8vGAxaLBYMJfFeKBTC+huPxysqKsCEOTk54NtQKIRHkDDN + 5XIlEomqqqrz588Hg8G+ffs6HI7q6urU1FR0AYdnotFocXFxVVVVy5YtsSsD0fA3GAyC/piMtbW1 + yOIjISHx+wfkDOkbCGmhq8l+LRre3/y3aZRramrIvigeEwdIVyaQNZScA5RZCCXxr2jDC4fDojVO + TPREGXgoqT/9VF1d/SvzxFP2MBGVlZX0JazOcGIEg0GyhYtdQ+SbePoWlKFXxIQrWdAFNK+2tjau + pt5PJBIU0I8QZ7F+Ta9BZ7qpRkNn0fgqHkOCHoyOUGgZCogRUF6vt97be0RfAdFHHEq62FssDyO3 + xiVFh15KS0uhmuNf8fSzaFSm8nSimqIUNFkc6PhNXV0d2dfrTZEkAr2gvHOojV5K/EkpTTX5c3Ey + p4GQxSsBOaapNrAB8YbYd9Gij39pjILBIPkKRE7DFpSrhw3qPaMM0zueomxduJ0j+QxVPB4XL50M + h8PgQJALbfD5fDCU4nVoPF1RQsNEtNK8RROgTydVyB9FBy3o2HQikcBAU/QpJbaisH6u8oBIBBJc + RH+32y0mktaklQPB8ZeKiYMej8fLy8vFoyCacyD0ZdI41A+PxyNWVVtbm5zkEDNCI+5E2SiesBLT + jdBRFow+JXeiHoVCITqOyFUyEk0oxItfLrEBzRzB4zS7KW8bpbNDAhLxJJXP59PUoJFylPVRjDGj + M4diSdz0JUpCj8dDo0BTRqRh8kERnnSnmcgDmvGlJG/0U1y9fJaooRFKgUBATItP1Hj11VetVqvF + YkG+TTHnChfkMBemEuUmFmerpjv0b/IwUWcpAQMXctzhCk7xwUAggMagv5AAXBAXRHl8wBFQ5fI7 + o5BrDoNSXl5OTksKi6VO0SMNXFQtISHxu8Jv4oEx4Oj2lYBsp717904kEgaDgV9u3dQgFouZzWaT + yYSSjDG9Xg8TTjQahXUHj+NsvV6vx0qDiwhhH2WMwYSJ5EvwM9hsNhhBmXrZHI6Mm0wmi8XCOYf1 + yGg0QkehaxzgCeGcwx/SQONhhU0kEugCvoFJSa/XOxwOOs+DehB3DssfvkenwuEwTFO4pwwUQ4QV + +W0wZmQ6xWefz2exWNALxhjqR1/C4bDBYMjIyIAJkzEGFRktMRqN8XgcDaO3UD6x2tpal8tFDiv0 + DvXAIKfT6WAgt1gsSC0AWmVmZnq9XlASWXTgT4vFYiaTqaKiAmEnIBoagJajThAEhkz86/f7YQZ2 + OBxQMoikiqLAWoy+wGgHW7JINySDcrvdTLiyA5WADjDu4i0mkwkVGo1G+LIcDgcCsejWSAxuvfxg + NptrampcLlc0GoXhEPWg48RL6AX4HKohaILzVA14LK8E8oOBr8AA0CYxC5gaeUUMQCOOeRGNRnGv + IjxaKIwBwl+yWHPOaXqSWoB7KvV6fTgcNpvNYEvMUPAM7K84S5BIJMC0UC/I1Ym2wUWDlFY0+uRH + MhgMmFZQ6TBPwUvQYsFOECYYKdFgjGR0mOMWiyUajdJMTCQScF4lEgmmnskJBoNwUYJc4Af8SmKN + xo6M38gwhvdaLBZIG0ge4jowAMA5B3+SbTg1NTUej1M34Z+kUYNY0zhqRMDMjMMYZrNZtHybzWbM + Dmo/Ngk2m40kLR0ux8X2mF+QltQF+L5o+sDDrNPpIHYw6cDJcAR5PB5ylOE4Gd7lcDhA3ng8Dr96 + KBRCVeSFJgkMmpC3iuiPqYpZjAL4ldrP1GmLQzXkRsbjAPze+AkzFzOUc64oCoYM0htVYVzooE4w + GMQIoiVX4kOwBNqGmu12O7zN9HYsapg78N5gzmJBNJvNtK7hLBPeTvfzPvvss0888YTBYLh06RIy + skyYMGHJkiWxWGzu3LlDhgzBUyAp1l+ICAhPcKbb7YYvCE0lqYupBObBKoxRIOYRi4FEcPVQEAFT + F3HwA4X2IYsDY4xzDnFEzGaz2dxuN6I5wISlpaUZGRkYd1CpurrabreL3kLQExID44twD64GDlAv + GphKEhISvx+I8ocxhrjc5MD1/4CG9zf/lQeGkrJTBDbsx3SpCILmNcYt8ZSkeCOHoihiDlYxkRcX + 7koniJZC6MdcPaHYcPZkEXV1daK5FB9gkYXqFgwGRQsxzHIIcaYvE5ffDy0avbhwyXFCTZxKJcVi + 4qlHynEpto2r90aLg0LZJMVE/uLxWdgC+eV2Yo3lj2z5mqPAFMFMHeFJyXmoFwjtEF9EvjUumAnp + zhwupF4gwOhIrgAu2Ik55zjWD3M7qo2pt7yBLMiayoXEoFw4n9rwraaIYePCAIkujurqarAE9UIc + 9Hg8jkvxGqi/YYBJNNOttraW/H5oCVx5XD1XoPFoUZeTk6GVlZUp6iXc9ZqWKTks4Pf7qe8YDo2/ + kT6TyRxfkiMI5UWakL2fvhGZWWPDhuggMSI2lTyWIvXE+lEenIZ9Vzwpk3s4HEYN4kFzTYWAoiii + Hwap1eLCcSN6HHO2rq6OHGI0HyPqzbb/Mf0rEYTeEolE/H4/8bzo2SDKIOpSU5VIfHLrgTKgEj2S + fF4IUlS831BkACQc07yu3n4hnV0gEKDZp5GHXL3ohl5NXmJqkugU5UKGPSqjkVFiO/Eit9sNT13D + vq9g0hXvIh+Kb9GcJKHC6CznnKYnTAyazta7rtFdYRp07NiRzh2FQiFwY/Iap1nFKAMK1kRiBpBR + cxeWZkTEtZU8Ifj3SipB9PL02QQxoYjmddQkRIdq3sgFBYNUCHARyZxfGWQhISHx/x2/rzMwMH7A + GgqrFf5ywWmjMZBQQDOOu5BlCOoRGZYYY6iWq1YWmIiocoosZ2rENpbncDiMQyYw/XLVGlRv++GF + QDe9Xi9MSmKX6UM8HkcDYNujvsB8KHYzEonADyMWIJNeOBy2WCyRSMRqtSIUHsYtWP5gdiXTFxMO + RWii/6lt6Cm/3BYFcY/PFLQNay6Kcc5hwPb5fBkZGSCm1+tFDHcwGITngalx56gf4eZkDkd4N1Oj + 8Mm7wjmHwR5dBpGxncDhDfjT6Bt0AY0XjwdQhDfaQIcZRPbD6MDGDLM08SrnHIZJcryg2WhPvfwA + EPMQ6fANxa8bDAZUQgTHMgyjbwM1Xwk0F2KxGLqDEaGmimyAb6htZB4GP9C/TD3UgcBx4hzUjMlF + p7bohABT7feU04KmAEWiw8GFQUc98ERhEoGF4HshaohDLM4FMreTWBB7lEwl+GwDgYDL5RIFjlgA + n5F0mA6hORwODBxOSqAkiEnHDKBlMtUDDJ73+/1wcqJaGNFh9kYBVCi+nYaGqUZi9I6rtny9Xk+T + 8UosQZWAzuJ5Nsxuzfkck8lE9IzFYjiZA0s8clKhGEQosZPmXTguIkowsV80RuIpMpGSokwWOUcE + KiFviaIoEEQkMMVXYArDHS1+Ty+iEYT/B//SqRhiFTL7wZOMEYf4Eg8+0UmVBvgQ+x9QD62iMzZW + q5VOfWCFFdcpcEIoFIJzkiQ2U72FRMxTp04VFxcfOXJk7969jRs3btq0aevWrW+55RYIRpFpUV6c + XGhM8oEWjAWxunh6TbPyEmdiJSKWBuegI+QE4+odLyaTicQmAQRnalAG/GB6vZ7EHY07xD5oKC6+ + kOdcjeyIRqPhcNjlckGPQVXS/SIhcbXgNzkD85ttYCQkJCQkJCQkJCQkJBrAb7KBkbsRCQkJCQkJ + CQkJCYmrBnIDIyEhISEhISEhISFx1UBuYCQkJCQkJCQkJCQkrhrIDYyEhISEhISEhISExFUDuYGR + kJCQkJCQkJCQkLhqIDcwEhISEhISEhISEhJXDeQGRkJCQkJCQkJCQkLiqoHcwEhISEhISEhISEhI + XDWQGxgJCQkJCQkJCQkJiasGcgMjISEhISEhISEhIXHVQG5gJCQkJCQkJCQkJCSuGsgNjISEhISE + hISEhITEVQO5gZGQkJCQkJCQkJCQuGogNzASEhISEhISEhISElcN5AZGQkJCQkJCQkJCQuKqgdzA + SEhISEhISEhISEhcNZAbGAkJCQkJCQkJCQmJqwZyAyMhISEhISEhISEhcdVAbmAkJCQkJCQkJCQk + JK4ayA2MhISEhISEhISEhMRVA7mBkZCQkJCQkJCQkJC4aiA3MBISEhISEhISEhISVw3kBkZCQkJC + QkJCQkJC4qqB3MBISEhISEhISEhISFw1kBsYCQkJCQkJCQkJCYmrBnIDIyEhISEhISEhISFx1UBu + YCQkJCQkJCQkJCQkrhrIDYyEhISEhISEhISExFUDuYGRkJCQkJCQkJCQkLhqIDcwEhISEhISEhIS + EhJXDeQGRkJCQkJCQkJCQkLiqsFvvIGJx+OJRIIxVllZyRhLJBKxWAwfGGM+n49zLpYPBoOMsbq6 + OsaY3+9njKG8CM45qkWdjLHq6moqpiiKoihitfF4PB6P43MikQiFQoqi0E9erxefw+EwPsRiMZRH + Yxhj0WiUMRaJRCKRCAqg/WgJvhHrRHkAj4gdwQd8H41GA4GApiTnPBQKJXf8t0I0GhVbmEgkiKSg + G+c8kUhEo1FFUahV0Wg0kUgoiiI+Sw8mvwWVEOLxeCQSCQaD4XA4ubw4XkRVsRKxAChDo4OBQ50e + j4dqA2G5CrG/4XCYvuGcY0DxXvqeRpkJfEvl4/G4OOhXAoqBesRgDSAajYr8Sf0C3xJZ8AF/fT4f + viTmFPk2GAxiKBOJRCAQIHZFgeTJIvJANBqNRCLiYOHBWCwm8gBmUCKRECevSEMqHAwGqW3UFwLn + nIYMcxaNIaA94F5xdsTjcXREU2csFhOLiR0hCoPBkguInFAvqFM0QfD2aDSKZ6lVRM9wOCzOcWpt + LBYLh8N4SuQ6qpYkkggSR8RXoVCIqfOi3imZDAylpjCmOdGcfhVpRT0Sf9LM0/8KIjO73W5RSKJH + JKhDoVAoFOKc0yBiLLAcoAH4iaYGE8YL7aTuk/hFAfxLvcYapChKvdLY7/cToYixFUWhpjY8Cl6v + Fx2MRCJ4bzAY1MxNqicYDAYCAeqFuAaJr45EIjQEwWBQlGb0Xo0gwoTVSHWRD0mIscvnhaIoVAa8 + RxCL0Uqn4Q0sCvVONHQczQAdYrEYFYOQp06JEgYtEWcWPrjdbioAseDz+UTGpiYRMZmwujXA1Vea + 70QQ8CoVRrUYKRCZ1hEIeRIRTBAptArge/CJZjKCnmLbMEOTRQe7fLiZwE6JRIIagOkDbqFe/Po5 + TooQhDZT6VxbW0sFwuEwflIUxe/3J0sYTZ0oUG8DqHka9UAEuhYKhYiFAoEA9VdU1dCMK6lhGupp + 4PP5xOlA0oBEFv6Kwk3TX1JZoTVRq/CB5l2yKoVFoV76gOxoTCwWE6mN1orS8uqF4bXXXmvg5/37 + 93POe/funUgkDAYD51yn09VbEqqPwWDQ6/WMMavVqtPpIpGI0WjU6XQQSQ6HI5FIeL1es9kcjUYN + BoPBYNDpdDabjTFmNptjsZjRaASjY9R1Oh2EhdFodDgcjDFFUVwul16v93g8VquVKsG0RwP0er2i + KPhgNBqNRiM10ul04jM6AjlrMpkYY5xzg8EQiUTEB/Hqqqoqh8MRjUZ1Ol04HLZYLMQ0er3eYDAw + xsLhMLqG14EO8Xgc7GKxWBhjBoPBbDYnEgmdTketSiQSRqORKoFERpN+E4BEJKr0ej16CobW6/U6 + nY7agO/B7maz2WAwxGIxrLg6nc5kMqE8uq9hBp0AENBkMoEBMHChUAgDpNPpUG0sFsNLk+vBZywS + JpMJ7BGJRGw2W01NjV6vx2jqdDq3222xWEBh9EVRFCIvSI0243VoABrp8/lMJhM+iyscHgeX6lVo + 2imCpgbKGAwG6BPUjCsNjclkomrRbCyQFosFbIyhwSuo5aFQyGazgTJYbIiF8EGn05nNZjAhdH0a + X51OFwwGMUHwL40CDRatHOg+tRBcgTZ4PJ5AIJCZmUndJ9ZCJTqdDoPCGAuFQqgc+geKYUxBB+Ir + KNkgC7UZHYEWArYES+ONkOxgOaZq5GgPU9cPdIEahlZhZSKGjEajVxovPJ5IJEwmUyAQCIfDNpsN + OgRxCJGXGoPa4vE4CqAq/ETzDsNK/EPMBqp6PB5MOqoNf2tqahhjFovFZDKhDcSu9bYfvE0tpNGE + 2MQU0Ol0Giqhg5BXRF6RgOJU/a+ALqNJNpsN/TUajdROi8UCeYU+BgIBLBOMsUAgYLFYHA4HRhkT + vLa2Ni0tLRaL6fX6QCBgtVohsoxGYyAQqKurs1qtRqPRbDYHg0GTyeTxeGw2G3gSNPH7/U6ns7a2 + FlLF5/NZLJZQKGQymRKJRHV1dXp6OlHPbDZD7QA36nS6srKy1NTUK/VXURS73W40GkOhUCKRwFpm + MBho7CB/wA/RaNRms6FmojM4BEKYqRszi8WCYcKqEYlEIHmsVitjDD3FqOEzU+ca8TM0cnGOEx/i + r6Io0LroXSiAloNKer0+HA6Db0VGIoUVJBKFA/RdcSkEj9F8x1bNbDZD4DDGIpEIGBUkisfjbrc7 + NTUVI242m1EJBoI6iNrQeLyXmBYExI6UVoSGWZpWEM18N5vNkKiYj2gJySiIMlROVGKMYXQSiYTH + 44nFYlarNRwOE0GgT5tMJlp2sa8T1y8wDE1Y8UM4HPb5fHjKYrGUlZUZjcaKigqXy4VVHiUxwRVF + AU+KQoZWc4wjSVRRYxZpRVICghRLc3p6Ok15o9EYDAahGGBYI5EItoLYOIEagUCA+kidAsPEYjGs + d2DmcDgci8XMZjP+9fv9pDthTfR6vU6n02q1+v1+8BJeEQ6HMUcwpuivyWSiJQCtEql9JZaAgGKM + 1dXV6XQ6h8MRi8XOnz+fkZEB9UlRFIgLRVGwIEKTYeqyQgJflLFUgKYMqTHQUcEnKI+3RCIRUi9B + IvBGIBBISUnBko1pwjkncfr/CxAgxL0HDhxgjPXq1eu/quQ388BYLBaYk2F7hmHYZrOhfaKmgnmC + PYxerw+FQn6/H1tk8JbBYLDb7Q6HAxoYFB0MG5a3QCAADY+pOg0TJlIsFvN4PBDNkUgEjcFP4pgl + b29geYJ4ikajNTU1wWAwHo8HAoFevXrpdLrx48dzzu12OxZO0dXDGLNarRkZGahNURSyn7lcLk1T + vV4vtr9Q18Rtodlsdrlcdrv9txoXCAj0F0s4Uy1PWEpRTKduMiFwxTZg65ienm61WmkTjymtEWQa + icPUBRIKq9lsdjqdtEwajUabzQbdBR6SejdFnHNsHTFANpvtzJkzrVq1atSo0fvvv49Jnp6erhNU + UvCJ6CuDzTsUClExslukpKSQZilurpg6wRhjiqJ4PB6N0TEZiqL4fD4y8aakpJCITAbaiS0cfQnp + AyWMviQTFOYIykBi4lm8CDIR30PyYvMciUSsVqvFYoEARZ2YX8T5RqNRnCYYC2yuRAMYiU7GWPPm + za+55ppp06ZhiDEdIO5RmDwJnHOfz+dwOEhGi5oZtig0+vjVZrNBIYtGo36/n4xSVqsVUxidpVXW + aDRaLBbqDvQVdErcvTDBRYw2oyX4xmQyNTBeoD/klcPhMJlMs2fP/uSTT6C9QaOCUsVU5Q/2fggr + kSbkWaLuB4NBcZHGUhSJRKBVoDYYILDM+/3+vLy85s2b33///X6/3+fzud1ubMWv1HjMCEyHcDgM + ykDxgiZBMwV6MDoCHtDr9fSrWKdO3ev+z/wwOp3OYrGQSdLr9UIFhC7I1PnIGFMUxel0VlVVgRPM + ZjPcCJgseHtGRgZ0IwxlSUnJoUOHCgoKPB6Pw+HIzs7GJocxBsmWkZERCoXIzBSLxWDbysjIQNtS + UlJIsyHFora2FttXNE9RlP3797/++utut7tp06b1moEBs9mMMbJYLGgAeAZLG5gBehg0XfidRIJz + wYkHmtBw6/X648ePt2nTJjU19fDhw06nExqn3W6nobFYLOAraPAgHdY7mjukecNkC03RYrGkpKSQ + JQIUg1ZNWi8TtCvyTuh0OpvNZrfbqZ3YsNFni8VCRpZwOAynE/kQ9Ho9Kif60PKNjYfFYsnKymKC + KR0aZNOmTfPz830+Hxwvotwmqyi1AR/IX4TZ0YAn7UrzPRAIYHPFhGWdqT5PkBof8IjofNDr9Wlp + aWA/6FFwuUASgjh+vx/aCAlYUuVpNKE7gcjQYmnVPn36dMeOHe12+6FDhzAL0BLMQbwoFouROx2P + U8yLxWKBsRjCAZoDQM5z2gPX1NRAkCqKcubMmVtvvfXgwYORSOTQoUN333339u3bUQyCHWuT3W53 + uVwmkykWi+l0OqfTSaa0hBobApJarVaXy0WC2mazwZCBkXU4HDabDcobKfGYlXgpmEGv15P5CW/E + iNTV1RGfM8asVivGtGEPDDoSj8fT09NNJtMHH3xgMpn69u27e/duzC8MIroJmWYymUB2Ykuoi6FQ + CDEUsFrCOgnLL6QBSI3lXuRSaFNOp9Pr9YJDXn/9dUzATZs2bd26VafTuVyu1atXM8Zo6yh6/65W + 8Abx4Ycfvv/++5xzUomuVBJTlOTX5MmTW7VqlZGRceDAASoDrZFzDmtZy5YtCwoK3G43xAHnHBsP + sbzf74dQ46pJmHMOnYBzDiM3vbSB5gGoIRwOBwIBqg0th88B9WAfT0+53W6w4Oeffy7WI/Yd4TrJ + JMKER8cTaiwTEYpeqmnhb4tQKFRVVaWhIcHn82maAbnv8/koigzBMJhFGCnqDlkNNYDVH8Wg/UDc + B4NBv98vBmNw1ZyGyampTRym8vJyzvmhQ4fAuuvWrUPvOOdTpkxp2bJl586dif4iPxDDiM3DKGC1 + cLvdHo+H9lHJhPqPrJXceAow+DXA8ia+BRt7fK6pqcnKysrNzV2xYoVYprq6ml4BnR4t93q9aElM + DZYj0PChhYFAAFNM0xgMN2LAxEfQpNOnT2NGzJ49O7lmsdfYTOLXQCCAwaKqamtr8RlshsZg0yW2 + BxxIHYdYoAfxPTbk4C5qiTigXNWrwNUkOhDMEAwGsT3gVwA0Qs65z+e7ePHiHXfcwRg7f/48nqJf + 6UUgIwpPqbcAACAASURBVPWX/E4ihTWvIJ1S86U4gvi1tLQUU+C99967UoM1AHclhDAe+l5sMwFS + V2xzMkQN5lc2Q0QoFJo4cWKjRo3S0tIyMjKysrK6d+/eqFGjBx98cOHChSjj8XjATqFQqHPnzikp + KWlpaS1atOjcuTMebNasWXZ29p/+9CeUVxTlvffe6927N1Od3sDYsWOJ4AcOHEhNTW3SpAljrG3b + tm3atElPT8/KymrUqFFmZuauXbsefPDBtLS0lJSUli1btm/fHkalFi1atGjRonnz5u3atcvJycnJ + ybHb7Xfeeed7773HGHv55Zd5Er/VixMnTrRu3dpsNq9cuZJz7na7xdUBdgTNI9Ds8bm6uhr+FgwN + ohVqa2uff/55xpjJZLp06RJ+SiQS1113XePGjV9//fVLly5RbfUOFpQnWBJFkasRaPhQVlZGX6Lx + Ho8HH8DAZL2mBzG1oZxRAzANkxcgCo2jYnV1dfgeu1x8pimMCqurq7/44gs4wU6ePCl2zefzUVgH + PYIVCktbMs2vhAbmO+fc7/eDB7788suOHTtmZ2f7fD7IFkgeeoS6jJ0bLBcej+c/NgD6CSkw2Opo + yiBWn76PxWI//PADY0yv1+/du5cWPjQVkpkYbPny5Tk5OdnZ2b/88gsXRparAoo+U3uwMcO/NCih + UOjcuXPZ2dkPPvggmtS3b99Ro0ZVVVVFo1FofaADkSV5tUpWLehFgUCAKkFJErZxNQ5w1apVbdu2 + bdeu3fHjxzH0osaI9UJTP5RDjBoNa8NiEE+R0vjiiy8yxux2e3V1tfgr3gUrBrYlpCBpeIk2h+Ir + aFInKxUJAVzViHr16pWdnc0YUxTlgQcewHp97Ngxrs7ZXyOs/q8CzSAiL1iw4MMPP/xvK/nNNjAA + 0bdZs2ZYOSZPnsxVDbKsrAw17Nq1C7ve4uJirkoTqgQKtEapwr+YmUBlZSU+QAbhM62m0ITEPZVG + LNL3UK/r7Y7X662rq1u+fDlsZmfPniXVEFKJSmrEUzJEAmK/jumHxsMaSjRMnlf/hxBlnEbekeos + iqeGIU65eNLRBXGJ4kkamAh4RcR6kktCeRUbNnXqVMZYZmZmXV0dPdK2bVudTgdZCZDzjd6FNQ+L + Gb70er0aUsC2xNUdDvR4KiB+bgDJNEkGpCSxEN6FxQltxsLAOa+pqfn++++hnezYsQNtgwQkEond + JElH74IA1Wx1ktkVnoF65ziYhKtSb+HChRCIhw4diqmolwKYXOJKkKwo18t10KioMLqskfVoT7Ig + RgeTt6CaBTKRSPxXugvn3Ov1+v3+Ll26MMZ27NghyihRm9eIFKhQWBHF1mKxvJLwgdrHLx9KCI2P + P/6YMWY2m4uKihAN+N/2BeQlg7fYC83+sOE6qde/8r0EqODt2rWDXoW1lqmG+ebNm2usRQcPHszM + zIR1FiXhKGaMGY3Gd955h3NeWlp63333paSkMMacTme3bt06dOiAEMf77rsPeyG3271y5Uo8KDrk + KTwmPz9/6NCh+BLvQuBZTk4OFbbb7bCePvjgg2fOnIEnuaioqIH+YqYHg8Fvv/0Wc/n06dPicqYh + qYZ/NKMAyxEXFLK7777b4XB0794d7woEApWVlTabzWQyLViwANUSu4r2O16fmqhBPB5HjBP+xQcI + T00XwAnU+HrlDER6Mn3EPoLxSFyI1jfwG6r1er04Zoka/vKXvzDGUlJSGhbUUNlBQyIg2J52NQ0T + hCfNd3xJ1JgyZQpjzGq1iis7V/ddpM4S0cS+w8xHbcDOlrzZV0LyBom2lJzzJ598kjGWmppKLQRt + k0XlI488whhr1KhRKBSqqanRdLneV2vazzk/c+ZMLBZ744037HY7Ns+rVq1ijO3evRsFNN0RRx/d + J4snV/UN7PQ45/Ctia8jeYVlEcWIH+BrFQkVi8XEIQY/gFwadoW1q95eA+ISgGZA9b3rrruoa/Te + 5BGkCVKvuROsqGkA+fChOtKviFbAAuF2u2HEeeqppzjnDz30kMvl6tGjBxkTeZLy8/8ev68NDJgG + cqGkpAQGMKPRmJubS9MVAxyLxf797387HI4WLVrgWdhcoaAkjyLUIzJOBIPBSZMm9ezZ89lnn4UF + Vxz7ZFkPiBZ3+lJUntxu9+jRo6+55pqFCxeKNqFoNDpp0iSKgsOX1Bjy9lKdsATgG3L5wfZMvZs3 + b163bt2GDBlSVVVFD9Yr6//PgYQHXFDXSECLZiRoS2ihaHFJCIfdsQnU7IU0ynpC9bfQZ5JBkCxY + ezRyIdn0Ir4dLYfkjcfjN998s91uv+GGG7gqUgOBwJNPPjlz5swtW7ZwVTeifjXgBsHygw2k+KVm + IDA0os0vGeLwXUkaNgCNviL+VFtbu2XLlrfeemvq1Kki8cGE4mYM/IxvIM40cyEUCnm9XtGKBiEI + OlBwEcU8iAZL0B/fTJ48GQuDpuX09ng8Tlt9zrm4VeOcw7GjYXiMsmbs4AYUy9A2xu12i+sWVzc5 + on2X/qIvV1qKEkJEZb0FuKoHBIPB5s2bM8a2bNmC1R1jLapW+EyWbNHEiOkjbj4xUmLIn2ZHwdV5 + J1rXnn32WZ1Ol5GRQZzGLzcuXglY+ZJ3m8kyE5ygUSzQjHqn6n98dTLOnz+PYL8ZM2YcO3Zs//79 + GzZsuO6667BJeOaZZ0KhEFyjsVhswYIFCAL55ptvLl68WFZW5vP5Tpw44fV6KysrKyoqFEV5++23 + MzMzbTbbtGnTLl68yDlXFOX48ePz5s376quvaBRuueUWBKqdP3++pqbG6/WWl5dfvHixrq4OtV26 + dKmqqgpf1tTULFq0CHb9adOmlZeXFxcXl5eXl5eXw5jKOR83bhxjbP78+ckedQJKut3uAwcOPPfc + cy+//DJt/CDcNPMombDYReAzFYb+hANRZrN58uTJ6GYoFKqsrHziiSemTp26f/9+qhADmuxZFRXE + QCAgSgmscShcW1sLfrt48eKgQYN69+791VdfwSvi9XrdbjftNDQcRRGzXA1YQAGNVRENo17TRMCK + jOa99957eXl5PXr0wBtFgrRp08ZqtT700ENcFYBUM9VJphDNADVgxxRxpfkeV8E5Ly8vX7Ro0YwZ + M8iRmGziQVU0oBob7pWMAprFF+4RUYvFIGrcX36/v2PHjmaz+Z577uEq/TWdxSLo8/neeeedOXPm + kGsdxi9xKUkkEskrC63gbrebasZGaNasWZzznj17MsZeeOEF0pcwdhRHzdVwFU0fNSoHdYr2JJs3 + bx4xYkSrVq12794tCs/q6uolS5bMmjULbmo48TjnULqI60RWx9shhJOJ3wBoW4ijAXa7ff78+fxy + 9QMLKylFGHGRFWkzLK5iXBh0Mi/SIzE1toUKwygWi8VWrVplsVgKCgrC4fCRI0cYY1999RVXld4r + 6cn/L/H72sCImDt3LuQplqJPP/1U0+7bb7+dMfbAAw9wdebgp4QQUqmpk8qUlpbCxvb3v/9d/B4G + RdTg8XhEGwaAxiPWkAsBXZzz8vLytWvXorUFBQX8crWjTZs2CELgnLvdblJQqGEwCWBi0+tI2eKC + UQ1vHzt2LGMsLy+PCxMJbcNS8T9TCBqARqJxQUtONu3AWYEFgH6KCBBlijhYmJzktUheEhQ1yF60 + XWE/o2kwmdk0XvXKykocCpwxYwa/3JGtqQHKOm2lMDok9Uhr5OqOBY0X45fEHUuyRT8ZJJjqDaZK + hqjBE7tiqwDBR2KxtrYW3eGcu91uesrr9eKzZtEiVtcUo65p9mwa5V7UPxC2x1XrAzYeXbt2NRqN + 9957L1djGGiTrOkypZASw7qojOgN45czTL26IKrSjDU1VQwJwFTiKn+SB4kLESnUNv4r4v3Qx7vv + vpsx9vzzz1NMjsaYrWkbvTrZsEfdRDsxccQFGJZIrq7W6CZ2dG3btmWMQVErLy8nxmtAPtP+UCyD + 7oubRtihNfWIIUzkrW2AVr8S//73vyFyd+7ciW9isdi5c+ewW7j//vvFxj/wwAMojDaTOlJRUYEH + a2tr4ca56aabiM+hNNDI4imc6OjRo4doqeGqSRL6TVz1kHPO58yZgyMiCIemzXw0Gi0vLw+Hw2vW + rGGM9e7du4EQINFSS7OPnHXJ1hwx+FAUPqINlbjlp59+gqdox44dtCkSZ5C4SopU1cgNzVs45wh3 + oQLoYCKR2L59O4Zpw4YNYgQEGkavBlfTkhEXAqqpPcTk9dItqh4Jo2+wenbu3FlsdjgcrqioAIf8 + 4x//IP8DV4UM9UI0OCLIJxgMitZo2DLqbQz/T/OdIG7SROHDVVeYaDgXo5VEP3k8HsdmUrQakJGR + 9ASxDTBj8csH+vDhwzizBK2aX64Bi5wpsj1PsonE1ByG/PLQMn65CRKvpriVmTNnFhYWYmiGDx/O + Ob948SIWO7xLpE+9xhSurtEUv0ffR6PR2bNnM8YaN26MmQveI981RSkD2I8RBcQtgWY/j4mZrEZq + oLG9fvHFF3AOnzlzhppNKyBNXs27UECcRHHB8UjMSRElNTU1mm0/zujiX2yMe/Toccstt0DiDRw4 + sGPHjqJ0+jX6zP9t/CYbmIZSJP1XgDCF12XdunVi1p2PP/543LhxkUgEBxb1ev2PP/6o1+uxjVEU + BZ76YDBYWlq6cePGI0eOnDt3rmnTpsOGDRs4cGB6enokEkFCmO++++7o0aNer9dut+/bt2/WrFlW + q/Wmm27q2rUrznWFQqE9e/Zs3LjxwIEDbdq06dev34033pibm4uWxONxOpvO1TQApaWlP//8848/ + /sgYc7lcP/zww5YtWzIyMh544IGUlJSioqJz586lp6f37dtXUZRTp06tX79+586dnTt3HjZs2IAB + A3DIFdZBm822devWgwcPHjt2rLKy0uFwDBw48I477sDKajKZ9u3bt379+qVLlxqNRs75a6+9lpKS + 0rNnz+uuu87hcPyGZ/cJ27Zt27dvn9VqHTZsWFpa2po1a9avXx8IBPr373/bbbe1b98+EongfP+l + S5fWrVtXVVV1/fXX9+jR49SpU3BGjR07dvDgwUi6UFRU9PPPPxcUFJhMpiFDhgwePBih5ASI2ng8 + /uGHH54/f76wsBCBIv3798/Ly8vJyYnH48iRUFBQ8MMPPxQXF+PsysMPP6xpOSbYt99+e/jw4cLC + wry8vFtvvVVRFBw07NatG+cciTU2btxYUVHhdDrvueeeRCJhtVorKyvz8/ODweCNN97YsmXLmpqa + n3/+ee/evdFodMSIEcOHD7fb7WhqLBbDTnv//v1r1649ceJEdnZ2ly5d7r777vXr15eUlPTu3btf + v37IIkLZY5KxZs2aw4cPnzhxwufzNWvWbNiwYTfffDOFu9QLHBCMRCInTpzYuHHj/v37a2pqGjdu + fOONN44cOTInJwfvKi0tXb16dTAYvP/++3F+Eblc7HZ7YWHhli1bdu7caTQaH3rooS5duhQUFFy8 + eLFHjx6DBw8GTxYXF69cudJkMg0dOrRZs2bbt2/ftGnTvn37mjZtOnbs2AEDBjDGYrEYQmg8Hs/R + o0e3b99+/Phxv99vNBqnTJmSlpZGSd6wpTxy5IjD4YBRDac/6bC1xWKJxWJInHLu3LnvvvvOYDCM + GDGiadOme/fuXbNmzZEjR9q1a3f33Xdfd911Tqczrh7qrampOXr06N69e48ePXrp0qUWLVqMHj16 + 4MCBRqMxEongOG8gEJg3b15qaur111/fsWPHvXv3Lly4MBAI9O3bd+zYsTgPWlxcjClst9u7d+8O + ZxFl3WGMeTye8vLyDz744OjRo7FY7NZbbwW1mZCOJhkGg2Hp0qUrV67s16/fK6+8kpaWhqpSU1Pr + 6uq2b9++efPm06dPGwyGNm3atGrV6qmnnoLUMhgMO3fu3Lp1a/PmzUeMGIHMV0ajsa6ubteuXYWF + hR06dBg4cKCY2KCuru7w4cO7du06ffp0dXV1s2bNunXr1rdv3x49eiAZQ1FRkclkuuGGG6LRaElJ + ySeffLJnz55OnTqNGjWqT58+9bYfPmR8DgQCx48f37Fjx4kTJ8rKyjIzM/v16zdixIgmTZrgUDVG + MxAInDx5ctu2bfv37/f5fLm5uS1atHjuuecSiUQD6fh+JaLR6J49e9LT0+vq6sBIYGnKDwaZ6fP5 + XC6Xz+eDfO7bt6/Vao1EImlpacgjlJ2djQcPHz6MM8f33nuv2WxGJWDacDiMc+RpaWnHjh3z+/2J + ROLhhx+mU8Kgj8vlCoVCWVlZmOlQ0KPR6NatWznnBoOhe/fuaA/41mg05uTkKIrSqlUrxtjhw4dh + WasXEDhlZWXLli0zGAz33HNPWloaRmTTpk1Hjhxp1apV//79zWbzpk2b1q1bV11dPWTIkOHDh2NQ + EkK2unPnzn3//ffHjx/3+XyDBg0aNGjQyZMnkfmgT58+fr8/JSXFbDZ/+eWXtbW1PXv2HDRoEE4D + 22w2q9Xq8/kKCgr27dtXUlJSVVWVlpbWu3fvG264oUOHDkgTeuLEif379x85cuT06dM6nW7IkCGj + Ro1q3rx5MBhMSUkJh8OzZs06cOAAsoAWFBQcO3ZMr9ePGjWqWbNmkUjEYDBYrdZVq1YdOHDg1KlT + jRo1uv322wcOHAhhiFPmjDGv17t79+5NmzYVFRUlEonc3Nw+ffoMHDgQi3UwGKTsAoqiIPxh7dq1 + hYWFS5cuZYy5XK633nrL6XS2b9/+5ptvtlgsW7duRY6pIUOGmEymTZs2rV+//uzZs9dee+2UKVOQ + m4ExRlkff/nllwMHDqxfv76qqqp58+Z33XVXXl6eXk2e2cA41jvfx48fDw0kkUicOnVqxYoVLpfr + mWeeYUKqAK/Xe/ToUVAsHA4/+uij3bp1O3DgQFFRUefOnfPy8pxOp8lkggKTmZl5xx13ZGZmbty4 + cfPmzYcPHx4wYMDIkSM7duyIRGdIlhOLxQoKCjZu3HjmzBmfz9e0adM77rhj+PDhVqsV20W73V5U + VBSNRq1W6w033ODz+ZBkD02ihG+Y1Pv37z9w4EBFRcXzzz9PcZKKohQVFW3dunXbtm3V1dWNGzdu + 1arVhAkTaDT55Tk8QIfdu3cHg8HU1NSuXbtu377dbreHQqH777+/oqKiWbNmgUDg3LlzBw8e3Ldv + X3FxcTwez8vLu/POO9u3b49ZT2fcE4nEuXPn9u7du3fv3nPnzjVp0sRgMNx+++2DBg06d+7c8uXL + 165dazAYysvLP/vsM5PJ1LJly1GjRtnt9rNnz65evdrlck2YMKGurg6aW5MmTR577DGmpsTAK06d + OrV69WroD7TCHjt2bM2aNYcOHUpNTb3mmmueffbZevmBEgkihyHO14VCoVatWkERgn7IGNu+ffvB + gwd37txpNpvbtGnTv3//Pn36pKSkQBV86623MjMze/bs2blzZxAQe/IVK1YYDIZBgwZde+21kMyc + c6isXbp06dOnT3p6OgQdxjQcDtvt9pkzZ3br1u3tt99OS0tbtWpVZmbmnDlzUlJScPgcHUfzGs6S + ehWg4f3N/8AD4/P5WrRowRj77LPPsAbYbLY9e/Zw9bwH/FmMsV9++SWhnkdUFGXChAnQ4CnFhNFo + vPnmm2Fji8fj1dXVcP3jVwhEq9V66NAhvPrIkSO33XYbUhbiV7PZ/Oyzz3LV9kbtJ3e5oig///yz + 1WqlTQhGNCMjAxt6xG6mpKRs2LBh4MCBUFyYmgCxrKwMe2LsJrt06UI6K/piMpnat29PlBkyZAi7 + PPaaMfbuu++CvGSYialJBfAXFCDrl2jl0pi36Xvs3RVFgQxt167dsmXLkLMFosFqtWZmZsK+DjtB + YWEhnBvvvvvu7bffDjqkpKQgGCMQCPzpT38i4mOpHjBgAB1N45zX1tZGo9FnnnkGqVowb0Gx3r17 + ExddvHjx5ptvpjFCsZdeeknkonA4vHnz5pYtWxKV0PjBgwczxhwOB5nuEolEmzZtDAbDuHHjyJ5U + Xl6Opz744AMxUXhqaqrdbsfxWbL01NXVPf3000xdadDghx56CN+8+eabGuYnCwpIffLkSZfLpVNz + j+p0OoPB4HQ6X3jhhQamiaKecJg4cSIi8kXmp/gKzvmyZcuwoB45coSrwQZ+v/+uu+4CIxHL3Xrr + rahkxowZqLyqqurbb79Fvw4cOHDLLbeQIostgRjlDDsZ9Aaig8PhwDE28omtWLECQ1ZRUQE64C/R + E2Sprq5euXIlTiDs3LnzlltuQQZCmmWVlZXwe8AlIuYoQ3ccDgcmLzH/6tWr8evatWv79u2bmppK + hxluuukmMdAIFLNYLAsXLiRrvaIoHo/nueeeQ/0gtd1u79evn2iwjF5+JAxTqba2FpucVatWieNY + UlLSr18/vIs0FXA7hUPceuutjLG8vDxRfiqKgsGaOnWqaAvPz8/HrhIcRTrxhg0b8OCiRYtMJpPL + 5crPzx81ahR+bdq0KaiKo7c0KOQspXMCnPPWrVujWkpL6HK5unfvrjl2iAhyMAMkg9PpVNQsCBp+ + rtctA6MpmIEK0Fugng4cOJC+jEQir7/+OhqG45H4fv/+/ejm7NmzYTXXrERer3fr1q0Y9G7dupEJ + E4ZqDC5YCCZSxhiOk4E4eAvmtRg6hRpAq7vuuoustly1p+Itv/zyC+rUmHtFoNrly5ej5KVLl8hN + 0blzZ8bYqFGjEAWAdE8Y/RdffJGrEwrvfeeddzCnSGK0atWqb9++mP5oUjgcpnRSn332WUJNXcM5 + 3717tyZRKeTAxo0bMU0mT56MscZ8tFgsEC8lJSXodX5+PkQxafmUmJVzXlFRUV1dnZeXJ76iUaNG + kCHhcBirakVFxbBhw/AregpDJCWD5uqaInqDO3XqhFcDaOGbb74JfoBi6nQ6t23b1rVrV72amNtk + MvXu3ZuClEDMxYsXd+3aVewFiABOEDP6kIUeHxqY7+RA/vOf/2wwGLKzs0X/RnFxMUke6vXtt9+O + D3//+9/BpYlE4sknn4TDpKSkBPktqJ0InOaq8w1OJ4PBADFIx7Rmzpx5pfZciT8xC5577jnYqsTv + Fy9ezFS9iPafO3bswNoRE/KRiO4jRc39A4lRV1eHA6goMHHiRCiH0KOIkuFwGDFdYNpffvnlb3/7 + W/J+slu3boqivPDCC0zYH4KSQ4cOxcwdP348Tq+h1y+//DKWS5pKEAh+vx9S9LbbbkPbKCUGsndg + mRg8eDD0DXGOwwYaUbO/hMPhP/zhD4yxP/7xjzH11AO8yuRAZmpKccbY66+/TukWYK95++23RYfP + wIED9Xq93W7/7rvvuKB4YGlet24d+TMbjn/+feL3FUJGcVknTpyAyNu9e/err74K1sdZIjw+d+5c + u93euHFjrsYOBgKB66+/HkP4wgsvrF+//vPPP3/55Zcho1955RVMA6/XO2fOHBS79tpr33vvvU8/ + /fS1117jnNfW1uLgTZMmTa677ro1a9b8+OOP/fv3B8fQcX8yuhP5gsHgmTNnXn31VUySCRMmoFo6 + QvrYY4/B1NGiRQuz2dyxY8cXX3yxW7dukI/ff/89OhUKhY4cOWKz2QYPHrx48eLt27cvWLAAWkVG + RgYa4PF4Fi9eDCOi0+l8//33P/zww/nz5587d05DTLGFPOmgJEHMZEJf4im4HRVFueaaa0AEZNqZ + NGnSE088gXNmJpNp3rx5VMmyZctojjmdzl69ev31r39F/GhVVdWAAQP0en2nTp0+++yzH3/88aWX + XoLN+Mcff8Tj8Xi8trZ2wIABWGO6du36xRdfbNiwYcaMGRMmTPjHP/6BYufPn2eMpaSk3HTTTUuW + LCksLERIOlOVaezWcGydMTZs2LAlS5b88MMPzzzzDAmy7t27U2RIcXExRPwHH3wAul26dGnJkiU6 + 9cIEl8uVmZk5ceLEe+65B48/+eSTiDkB58CJ5HA4ZsyYsXLlyo8++mjgwIEkEwsKCkg0iEcOgFAo + tGjRIqvV+uSTT3799dfbtm2bP38+nh00aJDojtcAbAN1jTE2bdq0TZs2rV279m9/+9tTTz0VFTJl + TZw4Eb3gguTt1asX9IbXX399w4YNixYtGjhwIOhgNBrXrFlDhadOnWqz2ZBq2Wq1duvW7U9/+hOi + Ihlja9eupVVn5cqVubm5jzzyyE8//bRr166PPvoIZQYMGMCF/cn48ePNZnPjxo0pQ1RMOF0mrg2T + J082m80pKSlI2dyjR49x48Z16tQJhF2xYgUqVBRl8eLFDofjz3/+8zfffLNly5ZPP/0Ur+7Vqxf2 + 2ODnJ554gjFmsVjS0tJSU1OHDRs2c+ZMKCJOp3PUqFFGo7FXr17Tpk278847sVRMmTKFq7EiXA0H + 7d69e35+/po1a2bMmIEXgWKk65O6QwGBL730EmPsxhtvPHv2LHU2EAiMGTMGaTHnzp178ODBjz76 + 6L333vvggw8UNVcSfBcYYvHU2e7du7GgfvvttyQ88/Pzaf82Z86cxYsXL1q0aNasWX/5y1/OnTuH + vSvZESBe+vXrN2XKlMaNG2Ohzc/PF40a1AUSEYWFhampqWPHjv3888/XrVu3aNEi0CQtLU1R0zdz + zt966y0M01tvvbVp06Zly5a98cYbr7zySgMhZBijUChEsQoahQkzDlx98eJFzPo33niDCqxYsQJ9 + nz59Oj3udruXL1+OxKPffvstSqKR0LmhI8ItDK2oadOmJ06cEDfntIm96667IH4RBBiJREQTDF4q + Jlc8fvw4mvT222+jgHjeAB+OHTuGeQfeqBcYjkmTJiFnLon0M2fOMHUrgry3Dz74IKKknE4nPCpc + XQKee+45jPLEiRNXrVr1/fffP/TQQ2RDffXVV1FnMBhcvXo1pN++ffuIktggIfnB1KlT8/PzV6xY + 8dprrz344IOVlZXoV/fu3Xv16vX666/v3LlzzZo12HvbbLaffvqJ7DUvvPCC3W63Wq133nnn4sWL + 5wqbcgAAIABJREFUX3vttZ07d5aXl0cikfPnzzdu3Nhisfyv//W/li5deurUqXvvvRfNo1Nh1dXV + 6GBqaurs2bMPHz48f/78Rx99dM6cOZrcJJrYqo8//vj6668HuebOnfvpp59+8MEH58+fB9dhFwdP + ckZGxpAhQx555JHs7GwouAjpAWbNmgUVZeLEiTt27FizZk337t0ZY3379qXjjrHLs49wNbfQleY7 + 7XMURenZs6fZbL7zzjtpsXC73XgFY+yLL7744Ycfvvrqq6FDh6JtqampmzdvJk20VatWdrud0lVf + d911Tz/9NHatNputuLgYDB+Px7/55pu0tLQnn3xy+fLle/bsmTNnDmMsNze3bdu2DbenXni9XpQc + NmwYV22jW7ZsAc+MGDHiq6++OnTo0LRp0yZOnHjx4kUSkjQR6ENcveWTQgeJCSEl2rdv36ZNmwUL + FqxZs2bZsmW33norZu7SpUuJASoqKgYOHIgN9siRIz/66KPNmzfPmTPnpZde+vrrr2Ox2K5duxC4 + YbVaZ86c+eGHH86bN2/Tpk3glh49ejDGcOyHcz5//nzMiLKyMrwCEeZQe5o0aXLgwAHEF8CJfeON + Ny5atOj777+fNGkSYiWWLVvGBR0Y4WdiLNaZM2fAVxSqV1FRcenSJaRHT0tLmzdvXn5+fn5+/qBB + g8AMGzduhLTs2LEjY+zpp5+mBQJxocCmTZtoOzp+/HiTyXTXXXeVlpYSVcW/Vwt+XxuYuHrtw+ef + f47l0OfzlZaWQrw2b96cgun79+9vMpkee+wxzjmOaY4bNw4zdv369XQ0ORgMQlpREomamhq6iGf6 + 9Omas1bdunXT6XRDhw4lm8exY8esVmtqaurGjRvFJVx8Csfrt2/fDmVi+/bttNSh102aNMHUysjI + oF+/+eYb7KE/+eQT0UREWxHI+mnTpmG9gf4Eozus4J06deKXnxcn2tJ8wAJMcj8ej9fV1WEXLq7c + XDjHQs/W1dUpikLpVtu0afPPf/4T1llFUbCLMBgMI0eOJG3j8ccfhyxo0qTJ5s2bcVwHOsf48eMZ + Y61bty4sLCTKYCBmzpwJIeV2u6dNm0bqOFUr2v8CgQB8xOPGjcM3Fy5cKCoqAvE3b96MR44fP96k + SZPs7OyHH35YPLHz3XffgZ3efPNNosnKlSsxFoWFhbSZJIdvRkYGnUeEWyYlJQVKLWqArSU9Pb2g + oEA8ijdgwAAII6RXplhwOoYhCjKNfw9pBvr27ZucvllEIpHAsjR58uRIJEIZHejcDj7g/OXo0aOh + /tbU1Nx///2g2E8//URnEMvLyxGTyRijQy9clYyMsc6dO+fn54PsK1euzMjIsNlsX3/9tXigFlcV + kXY+fPhwxti1117LBetaTk6O1WodO3Ys2UrjQuoh0ps559irMMbatWtHDoQlS5bAgAQpD/UxHA6D + 20tLSzF3evfu7XK5yMUHtG3bFpn+8/LyDh8+DPJOnz4dNi2j0Thz5syysrJIJBIIBLCdg+kXJ4Mn + T55sMpk6d+584cIFfBONRrHfhpQDcehMCO0h3W432jxv3jy0BK8+efIk5hHWNqCqqorcg8FgEPdz + QbaIB8/efPPNlJQUg8EATToUCu3bt48xZjabGzVqVFhYCM8n9Gky+8XjcSThhYw9ffo0SI0Nf1pa + 2tdff42pB/UrruaYBlejnlOnToknbV588cWMjAyr1UpLO26KZIyNHz9eXBQrKirEcHyRk7lgcKEo + 89jlefzCahJ5RVGQfdjlcm3YsOHChQurVq0aPXq0w+GwWq3jxo3D2kw0fPjhh6F89OzZs2fPnr16 + 9erUqdP1118PB0VdXR26g7lsUO/ifP/99ymbJSXrt1qt8KgMGzasXbt2N9100/XXX9+3b99Vq1Yl + m/wjkQgd1Dl48CAxtnj2IBwOb9y4EfMx2RRFgJDs0KGD1WodOnQofY+bGRhjFovl8ccfp3j3P/zh + D2azuVOnTiBaMBgk3xEt87DrwdKn1+u3bt1KA/HII4/Y7fasrCwMR3V1NbmJbDbbqVOnYkLq/0uX + LsFMrigK0jTTIC5evBhXdf3444/kds7Pz8eauHbtWhANiZXLy8s7dOgA2qKFxcXF+/btgw4Kl1c8 + HseeUK/Xf/3111yVqLQoJ+eiiKpXLEQikQEDBhgMhj59+ohnZnw+X3FxMYYAGv+FCxdgk4bdweVy + 1dTUgBN2796NW1A/+OADeu/s2bMRgiFmV6fPdOLoxIkTV5rv+ByLxcj5/9FHH9HjY8aMQVTC+vXr + 4ZfAMd3bb78dEw1k9Hq9Fy9eBLnS09OvueaahHrm+7HHHktNTTWZTMXFxaSilJWVwalFl4QMGTJE + r9dDYl+pPVcCaQsiZUaOHJmVldWiRQtxvRN9sFin4pdnMYU2GBNy/NDJsUgk4na7YdIF3Xw+39df + fw3679ixAyMbiUQefvhhzOW//e1vJSUlNDXgm8Wzf/3rX0ErSFFqZFFREZ79/PPPcURq06ZN5Dsi + 4pSWlmKV/9e//oUKJ0yYgKSIhw8f5qrJG2WQjYALOWP45eaMJUuWQINCtmL0F9nAsrOzT5w4gZKB + QKCqqgol77jjDkQhYbv11ltvITCBc56bm0sX45KxD7PJYrGQsiTK54Y3qL83/L42MNQgxN7gyDvn + HHtNnU73ySefcM5DoRBmLBJ0+P1+pFTW6XSwunHOPR4PXjRr1iy6VJVzXlVVBXsVY2zXrl1cOIP1 + 9NNPm81mu90OT3dtbW08HsfUNZvNCGCjc7rxpGPWs2fPBnNTNhgoN8XFxdAVBg0atH37durp3Llz + ESy+bds2rqaRwQSj02nxeBykaNasGT3o8XjgoEd8EeJnRHMF9UjjdSH5TjwaV5MCiX3RWAeRsjMl + JWXlypVoHmRKNBqFroBDdbCqdu7cGZZ1nKmlJCGoJD09HesN+fe7detmt9uRocHv9+/ZswdDc+ed + d1IbEAsXVS/QePXVV+Evhj0MVSGE2mq1FhUVYfjgOmvUqFF1dTWsodBuySaRn59PRIBVPi0tTSQX + Ys+ysrKWLVsGcZ9IJIqLi8GK7777Loj8888/YynFlgbny8EAt9xyi81m69ChA5FdZH4xOxb0xYia + W93r9cKlM3HiRN4gsI1kjA0fPhyPE61oeb506RJMcXPnzkX927ZtY4wZjUb4HkX06dPH4XBcd911 + 9M3p06cRzd+tW7ejR49SgxcuXIhXIyyNC6dpxfPcTZo00ev1jz76KDFJdXU1aPjxxx9zgS01SRE4 + 50eOHIHcb926NeL1Qbcvv/wSc4oEOr/cpsA5DwaD7du3NxgMdL8H57y8vBxOP0Q/c3XxQN59SHkx + YENcjOvq6nbu3AntbdWqVTAoYLK3adNGr9e/+eabdLSakivQHhKma6PRSNGqUP4KCgoQBwV7iuYG + G6ziMIvq9XraoIKlhw8fjruwqPzQoUNtNpvBYIBwo3Ghz7FYrLq6GmHr/fr1O3nyJCXN/PLLL7EZ + 3r9/P40InY6NCWlDIQFiQirt+++/H9ONEkAlEglcpzho0KDy8vIGzjTTmTfNCJIgIoLQvQ04goLM + nkwFNhUtW7ZctGgREYpUFoqNId0O/44fP57cLGjAggULKEA3MzPzvvvuo0BHzvnBgwfxk9lsRlUZ + GRm4lnTp0qXgCnF7Fg6HYRRITU0VRzahpiQGhf/1r3+B1TWpGjQEOX/+PMYXSyoGaNy4cZmZmSaT + acaMGdDqamtrE4kEztVQMtZQKAQ/3siRI4ksmLDTpk1Dd2pra8mI0K5dO51ON3r0aGLpoUOHYhN+ + 5swZsohr9qKhUIgqAZYvXw6bEe3N6Ni0wWAATRA5zDmfPn06LgBFSjeuxoxhcLdv3w5WwUy0Wq3I + ScUv1ytEgxeqRVVYSrKysgwGg+ig45z7fD7y2I8ePTqipqzknN93330IKSdywbuODDo1NTXo7IoV + K3BWATygOVRNRpYdO3Y0MN+xM9+wYQNaQvJ2w4YNYI85c+ZouKJnz56I3qRv6MKWu+66i64m8/l8 + Tz31FI42ibmwiUQ0Q1u3bs3U5LlXak+9+N/tnXd4lFXe98+0TE0lCV1FQIkowctCEQRRLxEREYEF + BVEXsCvL84jYG7IWEJfVBdb1suGzlAVRaQok9ARCDWASAiQBEpLMJJlMT2bmPu8f33d+73ECrLvr + q8Tn9/mDKxkm933u+9RfD4VC2A1FTFYH2IsvueSSoqIismMTZz0Q0prQUtOh7hGqLxaKP9rtdiyw + TqcTciaOE9FYThqy+dCD33DDDVar9aqrriKfeTw4AqX0ev2+ffuQ0QEeOkaj8fPPP6f7/u53vzOZ + TP369UNjkI3DZrPBT5g2xJ49exoMhkWLFsVZs9Xnikaj8JXo2rWrz+fDoIWFX6fTUZ4S6qwePXpY + LBY40Eopoax//PHHZSxPr8FgwJzV6/WffvopRhqyyEycOLEpltAPNvM4n51WwYUVxE/A5njXXXc1 + NTXBeSwnJ0dK+dlnn/3ud78rLS1FnB/cZClLvRBixowZDQ0NWGT1en0gEEB4H8TQUCiUkpLy8ccf + 4wTcq1cvTdNwEkKIJ6brM888g5Bio9FYWVlpsVhCodDll1/u9XoNBgMs9QgiNxqNFI36xRdfRKPR + QYMGITpTShkMBm022+7du2FqeOmll/r37+/xeLAWV1ZWNjc3p6WlwR0W1ayj0agQorCw0GQyHT16 + 1Ol0bty4UQhx4403Njc3o0mHDx+Gd/LEiROjsWRcOCsIpfR7JBIxm82hUGjcuHHk3YjYX5JSLBbL + vHnz2rdvjzYbDAYKQUYstRACB6+0tLRbbrklMTERUcWYUThzQGEGnRByt7/88suwxScnJ+OVbt68 + GS/5iy++WLZsWXNzc3JycjgcPnjwoBACxyaDwfDhhx9iAMDXwufz4YCCXPioFLt48WIM2bfeequp + qcnlciUmJiKxWygUSk9PT0pKcjqd8AaZOXNmmzZtwuEwPCuEEOvXrzebzYmJiUOGDMG9AoEADvRY + CHQ6nc/n83g8FRUVHTt2fPHFF8eMGSOECAaDBoNh3759DofD5/MNHTpU0zS9Xv/ZZ5+h6Ps777yD + d47Lnj59OicnRwgB01M0Fu0HrRJcUCDtoD1CiOPHjzudzuLiYpfLFQwGhRCDBw8mzX1LwuHwJZdc + kp6e7nK5cnJy0tPT58+ff99991Hl70AgYDKZNm7ciCBjbCRGo/Hjjz8WQkgpX3zxxYaGBrwZZM7Z + vXu3yWQaM2YMBq3P5yspKdE0LTExcc6cOVdeeSVCkPV6fUlJCRx8O3fuDA0QznOBQODYsWOnT58u + KSlpamo6c+YM5jJOtFLKbdu24e4YJAS+gLEHt+MTJ04EAgGj0bhy5UqYYrDOHjp0yGg0pqamZmVl + +f1+u92Oumxt27YtLi4uKSmprKyMRCIVFRXRaBR3QbM3b96MSffKK6906dIFa0UwGFyzZo3Vah05 + ciQWdwyDgoICIURSUhLeW0pKyvz585OSkjwezz/+8Y/ly5fDR7ljx45VVVV2u71r1640DelB4Iku + hFi1apXFYrn88suRQxkLFzxVoHd8/fXX9+/f/9RTT1177bV0nebmZovF8s0335hMJkTHoW1Yeffv + 3x8IBCiIpaSkZN26dTab7c477+zTp4/T6czIyBAxewJmkMfj2bFjB9aZ2bNnw7YWjUatVqvX662r + q0tKSoITNnVHOBwWite1EMLv9yclJVVVVZ04caK4uNjv98PyM3LkSDr6o1Z327ZtN23adO21186e + Pfvuu++msCLqdKzb+NdoNEaj0enTpxcWFtpstlOnTuFd6fX6aDTq9/uFEA8//PC4ceNQA3v16tWI + J/b5fDabzePxtGvX7oUXXoCzOF6UXq8PhUKnTp2qqanJzMy899577777bgx4iGQobSmEoIlw5513 + Dhw4cOjQofX19XV1dX//+987duz4xz/+Eavc/v37kTbg448/7tq1q9VqlVJ6vd6kpKSuXbtiIkci + ERELOdA0bfv27aivgiwmJpOJ2oaXYDQa165dGwqFunfvfp6IWJ1OBxUPNhq0BwEbdXV1t99+O8pb + BQKB1NRUj8dTXl5uNpuHDBmClWrZsmWYjM8//3xiYiISGOBNbtmyRa/X9+jRIzU1FWPD5XJBSz18 + +HC0s7a29vvvv49Go0888URmZqbf70cyiWg06nQ627VrhwuCEydOOJ3O0tLSU6dObdiwIRKJpKam + YjQiHQJUWuTFikiqpqamJUuWYOWfNm0alJLt27ffuHGjx+OxWCz9+vXzer12ux1O4Eajcc6cOf/4 + xz8WLVoERzWPx4MYuVAoZDQasUfj+tjgCgoKoEC57bbbhBA+nw81xR0OB3I8pKenf/nll7QXV1dX + I/hq1KhRyGFQXFycm5uL4/jkyZNra2txC6Qr6NGjB7azYDCIsFha3DDyExMTzzPfYUNYu3YtmgRf + AyHEJ5984vP5oAlyu90oMy9iWQSEEOPHj0ccYJs2bf7nf/4HLicrVqzAFhAOhx0Ox+rVqz0ez9Ch + QynTj81mkzEREY4Mp06dKisr0+l0cAo9V3vOitlsXrVqFQStK664AkeFSCTStWvXbdu2+Xy+rKys + WbNmTZo0qX379sFg0OFwQIQgl1da3GjAqwlvpJTYLouKirKysqLRqMlk2r59e3V1dU1NzcqVKxHJ + g7GRnp4+efLkhISEpqamOXPmeL1eVDTCIYdW6cbGxry8PJg0adGORCJWq3XTpk0Gg6FNmzZITSFi + MbTRaPTEiRP4YevWrZBzli1bhmVh2bJldrvd7/cvXrx4zZo1Z86csVqt4XAYNsOLLroIdn6j0RgK + hQwGQ2NjY3p6uhYL1s/NzTWZTL169bLZbDqdDtcRQtxxxx3XX399IBDAoLJYLDU1NUhD0rNnTxwO + 9Xp9eno6pm1TU9P8+fOtVutTTz21Z88eo9GYmJjY3Nx88ODBr7/+Oi0t7bXXXkMUPlIQQRL4zxOr + tErOL9/8dAsMhk5paSkuW1JSAvk1GAySOvzQoUPIsNy1a1fsHHV1dXjvU6ZMUcVr/IzsNFdeeSWJ + 8ldddRXVACFDKkQmQHZk+iQzM5MaCV8gqdQPgTyNXXnu3LnRH6djR/knpLGilK+0Vw0dOlRKCR9c + n8/3wQcfjBo1iiqytWvXTghhMBhguoU2d968efiwpVIzqiQQhPm+oqKC1lAK1MZsFEKYzebi4mLy + 9pGKBYY0B5BPRo4cqXZcfX09TOFCiE8++QR/uGHDBnwCaxWBxD7kkmuz2fCukpKSdDqdzWYjU5gQ + Ijk5+YYbbpCKb5vT6aRQ+61bt+IWlKTBYDBg+UtISGjTpg1ewpQpU3AIKy0tpRcOPSvklqysLOrB + Q4cO2Ww2o9H40Ucf4ZUGAoHvvvsOrT1y5EhlZSU1Ztq0acisEgqF3G632+3GCnvnnXfSO4dadO/e + vVgQ9+7dixITMJpDmPR6vY2NjXTZ2bNnY3cH2FP1ev3x48fVsXRWVq9eDfkc3Tpw4ECqcg2TyOTJ + kylgLBQKuVwuNGzq1Kn4GkxwXq/3xIkTeByEc6B5EMCsViu1BIsdZtawYcPUKJ333nsPEVNmsxm+ + l3iiysrKUKy8w8MPP4yifmpgsfpDJJbtFxGrsIzhraLLUAVy7NixUaXY5cKFC6FyJg8fo9GYlJR0 + 5MgRGVsT8CyJiYmoBIfblZSUoJGff/55eXk5PnS73S+88IJQAodCoZA6j1JSUtS8HSkpKfCclopa + V52hqLc4adKkOCfjcDj81ltv6XQ6Ovq//fbb1dXVpJuAOGc2m1955RWMMfRLcXExvv/tt9+is2bP + no1Pvv76axmbwmo1Kqnk5EAFHvIQ0zQNiZUnTJigrgD0OM1KItoVK1YMHjwY96I4MZ1Ot2jRorq6 + Ovy51+vdsGEDBeza7fb+/furUQQypmclpJR1dXWo4oKRQ9oi3CsxMZHy6f/www+476uvvgoHJ3wH + CzvpONF4mLuF4mKqvhMaHqrPqt/vv+222+BtqNfrPR4PegTxGPiErqP2KQxr9AlEjpSUFATqxGXx + Jt8nLIkUgnIuHnroIZvNlpSURBW3XC4XFBxQPZIn8IoVKzALSkpKcJfevXvrdLrOnTvT1aqrq6GT + xth74YUX6L9WrlyJ91lcXIxPENFkMpnIdi1jCaOl4gGYk5MzatQo5ODR6XToR4vFMmnSJFKXQuDE + HaH9xdqyc+dOTFuDwZCcnIxFPj09HVlDUlNTYV3HS37//fcxAOAUSv4aAMNe3bOw10MQNZlMWLUo + 6l3GChmPHDmSbiGlhBwOTR8+f+edd/BmoIDA0ZCW7tGjR9PyK2OpZjVNo7lTX19/rvlOJqOsrCyT + yQQ3hEAg4HQ6YZB/7LHHyHSA4bdz505Y1b755hvKk96tWzeHw3HfffepvpplZWWYjNAAYjf0eDzz + 58/HFq8+lBDi5MmT52rPecZnp06dTCYT3P/IoOF0OiH52Gw2m83WsWNHcgZW/ajhTkzBYy1tL2pX + lpeXP/TQQ0I5zODne+65R0rZ2Njo8XjUBYGqMEdiyfrxaOT0sW3btjjjJ3K13XHHHfRJOBxGkkOY + p1wuFybjM888Q6soJSrAZdHLGCHJyck7d+6kNPQtLcyoxWQwGObPn49Pvv/+e6PRmJaWphoWKAs8 + yvLCCba2tnbQoEFGo3Hy5MlOp/Pdd98VsWNJRkaG0WjEOQ1y/muvvUa3ptMymvG/0ALzcwbxR6NR + RDB36dJFLV338ssvYxt4+umnEQECpxQp5f79+y0WCyJ61QpW9fX1VVVV7dq10+l0zz//PEYnDtMi + lmSDDKyLFi2y2+0Oh2PdunUFBQV5eXkbN27cuXNnWVnZ1q1b4alCU5fiaClRxrZt2yBY79q1C8ED + eNhgMIhz3uDBg/G3MCB6vV6c3VWL8CeffALlzYMPPjhv3rw9e/a88cYbVqtVp9Pt27dPi6VOR4bH + 6667Tq11DZ971c0DPrJSyoMHD5aXlxcXFxcVFR05cuTYsWNHjhwpKiqqrKyEK6daUlP+uGAWkjwK + IaAYU4NrETvepk2bQ4cOoZsQAIMNknzhUNgVO80bb7xRWFiYl5d38uTJTZs2rV69uqSk5Ouvv6Ys + Q+ia1157LS5NEH6Flwt2uPXr12/YsOHQoUN79+4tKCjYuXPn1q1bi4qKIFtOmDBBCJGdnY0uIzHV + 5XIhqgFnQTwyNBwJCQlHjhyh9fTRRx8VQiCVCr2NcDh81VVXiVgqeikllFVCiC1btqj7lt/vnzVr + Fo4+Z86coZWRHH9plJaUlEyfPj05OdlsNt93332rVq2qqqpC3Eh6errT6TxPUB1s1sFgsLq6GpGI + 0FgPHDgQaQzQ+Ouuu06v19911134ZOfOnQiIX7x4sRof2dTUhIQZaWlpuDIamZGRYTAYRo8eLWMF + B3BrrNS0XlRXV48ePTohIaFr164PPPDAkiVLduzYgQzm3bp1o3ITfr//sssu0+l0qOAklUOkmo4M + zwWry/Dhwyn8QB0n8IjDwEDV6oyMjKlTpy5btmz//v3wvezWrRt8CHHB7Oxsq9V688030zv0eDxr + 1qyB9AjBmwLtoF+/9957sWFs3boVGrh58+Zt2bJl+/bteXl5Bw4cyMvL27FjB/LkSilxgienbRnT + y2AKIGin5Rq4b9++oUOHOhwOHNoeffRRGniwXup0OviaUuWZN954Axskotoikci9994L3Qe6ieoY + xA0heFSPGTNGxsqQSymRjMjhcLz55puqf7ZUJB/cfeHChTDBDR8+fMmSJQcOHJg3bx5ULZgCkUiE + JLddu3b993//N4QHo9FIWXrAWb1Edu/evXv37srKyuPHj5eWlu7YsWPXrl1lZWVlZWWHDx+ur69H + zOGCBQtwJELlWURbYSPIzc3FsZiufNddd1HiXakIllgusKbFeT9idUUOooSEhLq6OgxCjAGsANBe + qdIIrZAk9c2aNQvnQqhpwj+uvIG7IAjYbrcXFxefx9cuFAp17drVYDDgKIkrIGmB3W4vLy/Hjomc + aTNnzsThiYLaMbTg6apG1u3YsQMtxAADOB1eeuml9M3x48cbjcb09HQYNvGMtM5gjV29evWll16q + 0+n69es3ffr0vXv3bty4EQL/Z599Rt0Bi7der8/NzSVPLRymcYBbvnz5jh07Nm3atHv3bqQM3rBh + w549e9AYcr0rLCy866676Pz6yiuvkHhPQ5eidNBZgwYNSkhIuPHGGzFJKdyuvLwcCz78WnGR06dP + f/vtt5ADkcmgqalp3LhxaWlpbdq02bJly759+7Zt21ZQULB58+ZTp05t3bqVIqbIEy/Oyw4/n2e+ + Hzt2DGsFWtLU1ARVRWJi4hdffEHpqsBTTz2FnYL2ZYpXef/99+m+dXV1O3bsgOPo9u3bKc0mNESZ + mZkzZsxYtGhRaWnp/fffL4Ro164drRst23Ou8Un+zNgUyA0MwtKzzz4LsRaZuM+cOUPNQ0eQa/q5 + BBiKQaeMgtdcc83LL79cUFCwbds2nN/effddvJ9jx45Btpk1a5aaigPgOqFQ6OWXXzYajTabDbXR + aPoXFRWhaz766CM6F2ma1rt3b7PZfPfdd/t8voceeig9PT0rK4tm/fHjx7GKzpgxo6SkJCcn58CB + A4WFhZs2bdqzZw80TTJWlwk6ERwg8Tlyten1emgNNE2bPXs2VLTktFlbW4v2LF68GKIm1FVSytGj + RwshRo0aVVVVBWEVBXwvvvhivV4/d+7cb775Rghx9dVXUyYhVT1K/XWu/r0AubAEGCkltuGUlJQR + I0ZI5UxTXV2tZspzOBxLly5FECEUqGazGeNDvf6iRYvwfQSOB4PB7du3Q9e1ZcsWdSGAYkYIgRWZ + lMqIBKVlmnwo8QUsBKFQiLQy1dXVNAc0TTt69CimwYoVKxoaGrSY3zNGkog5lWqaBq8es9li1LtO + AAAgAElEQVScm5sLZYymaVie2rZtS+2sq6tDPopXX31VjXWmgdj841rvcUpuGcujpw5cyj5BBgT8 + r9frhUuryWTau3cvudLirzp16mSxWG655RYZ2/s7dOhgt9tHjBhBWwgWDujVhBDr16/H+kurLcXk + SSnRNTqdTg3MgIaPwgkWLlyI9tApEx0ElSdF+bdr1y4lJWXIkCFSOaxompabm4sFIi8vj44yr7zy + ClycqTGapsE+hkM/hS9XVVVhM164cCEuu27dOrjWrF69WrXIBYNBWAngmtzc3IzQFNXlGldGgubU + 1FSKt66trc3OzoYcK/8Zaj8WFBSQsxl9eOzYMSxzyBURCASgc7LZbFDF4eVrmlZdXX3dddeZTKbB + gweTOrCwsDApKUmv1yP8jAYSYg3pWFZZWfnMM8/odLqLL74YkYvoMmR3vf/++0lxGBcPqu4ZESVX + bzAYdDqdsIxh4yRP96+++kqn05nN5g0bNuBNIstfx44dUSUQXYNk/2opQ4TL4yxF6vloNPr4449D + IYqvoT0Ur4y1y+v1Ui6ptWvXhmPZ0qIt8ueQRYju29DQAOuiEAJyDsUpkRYfdrkPPvgAmunRo0dT + vBkkYRIPqN+vvfZau91+ySWXyNhyhFgLu92OeaeeA/BvdXU1nIhwHFGPeitWrMCeh/oS9Co8Hg/9 + qmna0qVLMZy+++47KSWEw8mTJ0NxK2PLEboAj+D1eouKilBqQMRCjeXZKg6rgYUtc1eElOqlMhYn + abFYkGbK5/NhTAohbr31Vnrk5ubmmpoamL/gx0i57+mgGaeUpffp9XphyKUJtW/fPpQomTlzplqg + ViqSD8AS5/F47rvvPvQprZzkek43HTduXGpqKmKZznNAJO0b5YGQUj7yyCN2u719+/ZUeBF7Bxzi + J02ahK8dP34cHff222+rSckikQiWIIvFgh0KTerZs6dOp4MGF30KrQpeBe2DaDDe5P79+yHHkh2p + sbFxzZo1QgiDwVBeXk6S8Ntvvy2EgLMuPQiGOhZYdQDQsqmerhDqg0eAN5rVar3++uulotRXQz0j + sVTXWLVefPFF+WMVONwHbDbb3r171cgQaEbS0tJIUkWieYo5IdUMUhdA9RNXjZqaga6R557vHo8H + Be6EEHl5ebgyHK1FzNYqY8Uk6urqkO3q+uuvp7DSL7/80m6363S6srIyVdqBSq59+/aY0ZqmIUtN + hw4dKioqaCHq3Lkz0qvg17O251wsWbLEaDSazeaDBw+qXirUBZWVlXB9FELs2LFDPbSoh7E4q6z6 + cyQS2bZtW6dOnZBthTwmEL9EKeApnhYZaOkutNnRqWDAgAFCiIEDB6ovVkq5cuVKPHVxcbFqmkBq + yh49euTl5WG3zc/Px9Xcbje5XXz33XekCIb6lcJopVIJXf44dHPy5MkOhwNqUxhqIGHC10tVbVB2 + nI4dO5Kl6/7777darf3790cmkgULFmAcXnnllYg4hX58+fLldB0873ni7i5wfhYB5v/a938W9Hr9 + 999/HwqFEN8C9+tIJNK2bdsBAwaQE5TP50O1Fuy4aWlpMDjATxTHhRMnTiDJ99ixY6GZtlgsu3bt + gtm3c+fOGKA0pnFlePyTXTIzM1PTNLiQYT4YDIZwOIzN2GazwfoPt/7u3bvDWkcZ9CsqKuDpeMUV + V6SkpMDq4vF4Nm/ebDQaLRbLlVdeGY1Ga2pqFixYoNfrb7rppsGDB8MvRafTwb3ynnvu8fl8uODR + o0ebm5sdDsfFF19sNBr9fj+lSsQXTCaTWiIGD0I+pkII+F+qDnIQC8nHHd6ZQgiHwwHrhMVi6dat + m9lshvet2+1euHBhQ0NDKBR6//334SsMe1c4HB41ahS5fKSmpvp8Pro+yoRRFJCImThwO6vV2tTU + pNPpdu3ahf+NRCKYcrggDtn4Fd9pamqCAb25uZmEW5vNVl1dDf8u9dk3b96MjVNK2a1bt8TERMSi + INv1HXfcQaPr5MmTKM4DAQYfOhyOAwcOBAIBIUTfvn0TEhKCwSDlh/j+++/x9sxm84EDBxwOB5Qx + MIyYTCacxaOxkot4IqfTidPDzJkzO3XqhKcIhULYsRAchWAYGTttx80X3LS5uVkIce211/br189m + s1mtVhjQNU3buXMnPunVqxe8fZxOJ3yoEOOBc+eWLVuuueYalOm8+eab9Xo97nvgwAHsdpiPeGpN + 0/Ly8sxmczgcRiCZ2+1G/NKkSZN69eqFJfXkyZOlpaVmsxnpszG0tm3bBkUghDSMRnUcQr+QkJCw + fft2nBEHDRqEGef1evV6PcyGBoNhyJAh0LD+7W9/MxqN06ZN69mzZ319vcViqaioqKmpiUajDz74 + ICaj3+/fv38/cllec801uIumaXq9HseCMWPGYHdBY+BTajAY+vfvj96HjQIqTLQZQ8tsNmNU0DVR + QQ99p2kaVXPD7o5gIYx5KhwWjUZ1Ot24ceNgQunQoQP+CwE/wWCwbdu2mZmZ0WgUsQ1XX331vn37 + /H4/ksnCRQF/4vf7ITJJKXU6HXxg0Pi2bdtu3LgRwkbfvn1tNhtaHo1GN2/eDK+/nj17UowK3GPU + kJWnn35ap9PdeOONt956q6Zp2G6RoXH8+PFCCK/Xi5YEg0FMMYfD0bVr1+HDh8PZmrwK4SSpV+q6 + YmWmWUw3RUgJrFhYCUOh0KZNm2w221VXXQU7Jwr+wkCxYcOGvXv36nQ6hFtUVVWVlZXZbDaI09Ao + GQyGuNmEin6qB0h+fj6E3ueeew4vChGJoVBo4sSJOH/j7anXgZ4Yi4nNZvv2228DgcCECRPoNWKv + oURnJ0+eXLp0aUNDw9KlS/1+v8lkomNf3GRft24dmjdkyBC8EyHE+vXrQ6HQDTfcYLfb8Sdms7my + sjI/P99isVx99dXIKUL3bWhosNvtDQ0NaCHlAR8wYAA8V3U63ZkzZ+DsR5F+GMlY7g4dOoREAuhu + 2krmzZuH3n/99dcx6pKSklADDeIZXhS0HhjkaIkQwu12YwPCfpGbm0sDgLw0sQDC0SgjIyMcDqMr + +/Xrl5mZGQqFsrKy3G63Xq/Hk+JtYHLhdUEHgXA1mi8Y59BnOxyOrKwsm82GiGq8c2QsROwo+jQa + jdJeQAEVWFtSU1OllHa7He3Ea8cxRq/Xm81mTdPONd9DoVBiYuLixYsNBkNKSkrfvn1xZSgdUCAb + bTCbzdu3b8/Kytq9e3dycjLsgUKI5OTk/Px8qPBSU1Ox7KAZCMSHAUqn01VVVcHeNW3atIsuugjZ + FKqqqlDhHqoQ7I+rVq3SNC0tLQ2WfNGCQCCAW+Tk5GialpSU1KVLFwxUZMpChMapU6c6dOjw+9// + 3hxDPbSoi4xOQf01EonA0eb06dNGoxFrkaZpVqsVdsi2bdsijI222kAgUF5ejjFGh6impiaLxYIN + bvv27QkJCYhQQNAOOqugoEDTtPT09EsvvZR81N1uNxLuV1ZWTpgwwe12P/vss6hpgYKb0BwZjUaE + vxoMBk3T2rRpEwwGIe3giXAYQGMQJoSfN27cSCVlpJR6vR5JJpubmxsbG9EwIUQ4HF6/fj1CtmbO + nGk2m7FupKenB4PBnTt3/uUvf0lKSnrggQew0SDCavLkyV6vt1+/fqNHj8alpJRQGSOylD5s2cW/ + cc4v3/xLFphDhw4JIXQ6HTzX6+vrSa9JmgAhRHZ2NmRH6Mvx4dChQ0mxvWfPnqSkJLPZfPHFF5Ob + hIxVgRCKKhSfHz16FOtLnz594AeP++7cuTMvL49CazTFmVX1au3du7fRaMzMzCRf/9ra2mAwOHXq + VLvdDvmKTARICWCz2caNG0flZTCZu3TpUl1d7XQ6UTEQEcBTpkzB26utrd2yZYvVarVarXB8lP/M + J/VfhfSR6Kbs7Gw07Pnnn6f2Q+MlhJg3b57b7UYDVqxYgQ937dqlvp9oNEomBYvFAh25jCmDc3Jy + 0GXNzc1nzpxBSHFycvKCBQugBHW5XIsWLcrNzcVdUKnaYDAMGDAAeQax2RcVFVGtFb/fT/nyv/zy + Swyq5557jipawikWaraysjKcqN577z1S9WE1TEhIgDFBxnTDTz75pMFgSE1NVd+5iAVFDBs2bObM + mZ07d7ZarSQ1IYCe3Anor0KhUCgUKiwsxB48ffp0uHf/7W9/69WrF1bzXbt2RWNZhmVMEUXtQbaJ + HTt2wA4QiUQ2bNiAzoJ+ESpDDHjkQpVSQv2GryUlJY0YMeLVV1/t3r07FjKkFsjJyaGpiqzWGRkZ + cdXZkLGRksUhhlsI8eSTT3o8Hk3TcnJyoI6lB4FuEuU+O3fufB5XGSml2+1GLbYOHTpAd0XmQcRI + wNfZ7/cXFBSgB0nZ/Oc//xlh9zqdDo6/APbM1NRUJBiEpHHs2DE4Bc2dOxfmPrxw6D7S0tKwPeNl + ZmZmJiQkWK3W/Pz8uro6qC0rKyuRoTISS9hFmkVyIscJQAixb98+yvdy4MCB559/nhKpNTQ0wJCb + mppKzQ4EAvBaTklJOXLkSDAY/PTTTzt27EgqgO+++45W1A8//NDhcOj1+i5dupDa7+TJkzB2YczA + z7Ndu3bIdk2THXIa5bI7K+FwGPfNzs5Gs/Py8lBXNzExcfr06RifVVVVs2bNys/Px6Xq6uoo9R8S + tZ2n38+KOt3whqEj0Ov18AQmn16KArr33nsjscpCMG4LIeCwhNT5aqZ7KeWgQYNef/31uro6hMBK + KXNycmw2W3JystVqdbvdsKggoFEIgcUHmuPa2loo11W9u5RS0zQotoQQr732mppCHW9e07SGhoYn + nnjCYDBMnDiREjSd1X9GSvlf//VfCQkJnTt3puRLpaWlVqs1JSXl3XffpcixcDi8dOlSqK5++OEH + jEYkUBJCXHrppUiGuWzZsgkTJhgMBqwGr7/+uoztcfjzlJQUJHTCAF68eDGOX+3atQsEAti59u7d + u2DBAqw/ULikpqYWFBRIKY8dO/boo49CQ9GrV69wLJGxlPK6666zWq3t2rXz+Xy0MjidTjIPdu/e + vbS0FGltpZSHDx/evXs3Xp3f758xYwYlufL5fCg2ZTQaqcJPWKkRTK80EokghDI1NXXu3LkNDQ1U + mcDlckETj4of9IcnTpzA4vDhhx+iR2prayn7IjnlSildLldBQUFJSYnb7Y5zy8HLl1KWl5e/+OKL + 1PKW8x06e1gLEYqDUC6IhQgo79+//x/+8Afsp0IISJIopOb3+z0eD1ZmOLAQxcXFEAg/+OADfEJe + WPfffz/m19dff52RkYH0ALCvor/gAz927FjaXiGiI8BSfViYg9RgpMWLF//1r3+NRCLYzU+fPg1Z + 9Oqrr245vH8Kzc3NGGZJSUkYxqWlpQ888ACeBYYUsg3CA9lkMs2fPx9LMey0O3bsqK2txT6F/Xf4 + 8OGq2Y2CdiAZql7WVNlMCJGVlUXulGRIgUTqcDgQ5wOR5tixY2Q9awkaXFlZCelrzpw54VjOVagy + bTYbom6klC6Xa9myZfB5njBhAu0mkUjkscceowq2f//73+m1Q+gChYWFqpcstgMhxPPPP48PW5c1 + 5sJyIYtEIgiAQUE0qZggvV6vz+dDKJsQYsaMGc2xKm9SyvHjx0NVn5WVNWjQoAEDBiAVY8eOHYuK + ijCGGhoakDoGBopOnTr16dPn448/bopVzcP5BgO6T58+d9xxB+Slxx57DOMA45g8AZxOJzIdy5jH + MF2WnImxGMGlgeKloA9wOBwoACylrKury8jIoLq8kLwptK5t27aUQT8/Px/f6dKlS9++fadOnXr+ + OiH/KiSlBINBl8ul0+mgDcI6e9NNN0GLidgA1fo5ZcoUBG3TkFIb9tZbb8H/VQhx8cUXjxw5kmQV + 9UAzffp0imVPT08nHdJnn32Gaj/wFxKxEu+9evWi8rQISQ+FQtXV1W+//TZ00hgVSFtJyZpee+01 + uimCahISEuDBhZcMu223bt3I6AzZJisry263T5gwgRrs9XoRkWkymSDGmEymsWPHzpgxA8pmyvoq + FZ8NGkI7duzABmkwGNLT06GVoQKRN998M6rnxoHTEnY1IUTHjh2HDBkCnSIGGzZmHAuwEONw73a7 + 0WWzZs1KTEzEHMHdp0yZgiBdEdNHNDQ0+Hw+bIeTJk1SU3L/8MMPbdq0sdvtKBtHKVbIeGK32xMS + Ei655BJEcPXu3ZuiNnv37q3X68eNG/dPh2J2drbZbB41apS6R1JSigULFiAvwtGjRxGlQNoNg8HQ + rVs3hEMMHjwYKkktFqQ+YsQI2uFwLLNYLCkpKZTaHzEDiFtFO7HBO53OL7/8Es+o1+t79uw5YsQI + rEgdOnTACFGr5pF3HI6qN910k8lkgiceWL58OdIAZGdn9+nThwTsV155BUsWpKbJkyfTi8UXunfv + fttttyUnJxsMBlSnDoVC9fX1gUCAKqxbrdYOHTrgD9u2bVtfX4+z4zXXXEMBURRLevr0aZxvsO2d + p1PIRzExMRHb7SWXXII5m5ycDGc/p9MJzUtiYuKIESMuv/xyLLm33357S2f0n45aa+iTTz4RSk5q + 8i4rKSlBC+12O1RgUsqJEyeS1YWs0/Qy58+fv23bNvyalZV14403Dhw40Gw2Z2ZmInv4/v374aca + CoVobnbq1AnrMJltRazQIZ0AGhsb586dm5iY6HA4tm7dqjrXkawCvbjNZoPXe11d3VkPEBBpevTo + odPpRowYocWyLCxfvhxCBeXmxlKDtOCwb1Pw0tChQ9FTqon+iSeeQG/Ckx7noQcffBAdSpISpAvU + l8Sgwkag0+natGkDFSEqbOKySMVrMBgyMjLQwhkzZpBIiQxgQoiMjIz77ruPooOklMOHD8c8TU9P + HzZs2IABA9B3jz32GB4E8UJms/mKK66gWn5paWl/+MMfyDmQBoz6DsPh8Pbt27Himc3mwYMHv/TS + S/iTsrIyZHv/+OOP1cy8n3/+OaS7srIy0o2eOnXqyiuvhOW/Xbt2t99+e9++fVH/9IMPPojEctEi + Ukut7kV9fa75jpZgK6FkFQAlj7DUYN5NnDjxnXfewSCEOkxKCV1+WlqaWpMqEol88cUXiYmJBoOB + xKeCggKIuFarFR3auXPnzp07o7Muu+wyiOjHjx+Hv8ZHH32kZvWAQKg6fFLuJVRKiEajTqcTDmNJ + SUnDhw/HVoKXdvz48X/joIyueeSRR8jk1bZtW/yMMZOamkqZaRobG9944w0ynmRmZqLL9Ho9BR4f + OHAA/WuxWLp3737HHXfAA438LVesWAG/EhpCa9asQQlvvV5PwSdY+fEzij6lpqbCoXrMmDGQo5A0 + 5Vxomoajr81mKysrI2d4KWX37t0xYTt06DBkyBCSRoYPH441X8bGPEJYhRD9+/dXx+Gtt96K9/D0 + 00+rbpN0cZ1OV1hYqCZUaC1cWAKMlHL06NFUoTLOabi5ufm5555LTU21WCy4oJq2aOrUqTgLYlpe + dtlls2bNUk/YoKysrHfv3tjkTCbTZ599hs9hZ3/22WdTUlJMJhPWiKSkpBtvvJEKAFHL1ZURG9Kq + VauwMkJpd/LkyZqaGpjRExIS8E7R2qqqKiQmFkIcOXKEoqmoGJnVau3UqdPvf//7cDg8bdo0LN9P + Pvkk+c5S2GJCQsLEiRPP//L/JWhwIwyGcrqvWrVq1KhRtPOlpqauXbvW5/PRpK2srOzRo0dmZiYy + ETfF6gHLWKdXVFQg66iImdqFEEOGDJk9e3Y0GlVreP35z3+mUzXW1nvuuYcsIbgstmebzYa+djgc + N954I7IzY/+ORCJjx44lK+2ll1765ptvQpkBL6aGhgbkbEGmKbvdTul0fD4fDv3Dhg0jmTMYDEJg + SElJefPNNxsaGqB9x9K2du3axx9//KGHHvrLX/6CY1N6errZbKYKvmgVrTWwycAL/+WXX6adrFu3 + bti3SFD/5ptvWmqs4YTg8XgmTpyIcyf6JT09HdVpIHf5/X5oNM1m83vvvReXjeqrr7567LHHJkyY + 8Omnnx4+fNjj8eDEqYoWJCNBb+fxeFCuAY40BoNh48aN+GYoFIL8g+raGRkZK1askDF1lMlkQlJ8 + ZPxEQOF5xmE4HMZGLmJF1mEYhGEHvZCTk0Pz8ZlnnjHEylFfdNFFX331VXl5Od6hXq9HtSg4Odhs + Nkx5mHaj0SjUFrS7oHco3OX111/HpKAjLJUndzgcsPz06NED2msVikalKfD22287HI4HHngA8qfH + 48nNzYX5gujTp8+HH35I/uj4w5ycHBwH9Xp9RkbG5MmTXS7Xww8/LITo27evVJbBpqamysrKgQMH + YlTg3yFDhsycORNf8Hg8er0+ISGB0pTjoajOA/Lknqtf3G73ypUrk5OT4ZNgNpsXLlxYX18/cuRI + KPJfeumlM2fOlJeX33DDDYmJiaqr6qeffoorq8m7fiJaLEkJfnU6nSi8KIRA/kbonvG/w4YNw4Fs + 2rRpmNSoAEO59fGHKAZiMpkOHDiwbt06qJlpBcasmT59OsUdoQIyVj8yfwklJTT8N2TsmIVWQRIw + Go2I2WtoaKC9o7m5uaqqqk2bNhkZGdCgSyXYMu7xEf4hhMjIyHjvvfeoSTCQQlCRsVqQTU1NEP7H + jx+v7oAbN24cPHgw1l6kdS4oKHjnnXfQR7W1tZR+IDs7OyEhAQVksIxIKaPRaEVFRZ8+fcjTT6fT + ZWdnI+Klubl5586ddEgVQkyZMsXr9b755ptwWczOzpYxhfTWrVszMzOxX6MTERUQCATC4fCMGTNI + TYAv9OjRA46+UsqcnJyBAwdiW8QEvOaaa5YsWUKCt1pHUiqR33g/U6ZMwaJkMBjgXypj7gNWq3Xb + tm0yFmATDAZfffVVOC9B4KQxVllZiXzreA8Y57fccguJkaoWgGK91q9fP3DgQDUvcNx8l1IuX75c + CJGUlLRp0yY6UIbD4bq6uvz8/GeffXb48OEffPAB0o1AhoRCDUvNypUr8epQdsLlcmHWICcV/O5Q + /lJK+dxzz6EygRAiOzt78eLFtbW1bdq0gRJk3bp1kUgExxKTybRu3Tq086y2QZfLhZiTpKSk7du3 + 4/F9Pt8jjzxCMj/O0A8//HBNTc1Zx/lPwe12Hzt2DBs0xs+DDz5YUVFB8W9ZWVmqwuuPf/wjHhBR + jr169erXr19FRQVF4s2dOxeCDeoBYElcvXo1vP1J3qNrUrEgbO5U6Q49hWm+bt065GuGFtVgMPTs + 2XPOnDnneqi6urpoNApncrXkOrre7XYPGjQoNTWVzjPXX3+9ekzHOTkYDL733nvorO+//14q+wLK + FiGreDQaDQQCULRRpAOiHuKetFVwYQkwtPQ0NjZS2XK/349Fn3LFIOsirqZ6Avh8voqKivz8fI/H + Q2HisJOokawVFRXV1dV5eXmVlZWqRhBj98yZM3V1dV999ZXL5aqurqY/jGs2tJ74PhmX8/PzDxw4 + UFNTQydydf/AWG95HRnbePx+f2FhIR3Wsdbs3bsXORalUs33zJkzmzdvLioqotKBPwvUWrQfYX8Z + GRkytnvt378f27OqPqEIexmLPKODUctv/vDDD3v27Dl27FhhYWFc9jO1KxsaGrZt20a5buNCe3Ev + pLgpLy9X0/hKJRN0ZWXl/v37VdMBnutcOmY16x1ZZqWyEKi9SZXXSPKhKy9btgynJbIaq0IIqb2p + N0tLSw8cOIDtAQOypqamtrYWRqFAIABdlzpysNnjT6qrq4uLi8+cOVNdXa2aJdXbEXjn5LhYWVmJ + c/b69evbt2+v0+kQfSh/nJQp7g03NTVRxDBV3a6uri4rK8vJyUESqmg0ihR/hw4dOnPmjNoLFK56 + 1l5QUXsqGstmEwgEcDWXywXPYDzX7t27cZwFTqdz79696J2KigryjURVB/oa+rS+vh6bkPpf9DOF + itKrcLvda9asKSkpqampwWWRkbblI1DwZWFhoRCiU6dOqJWE/4UR6fTp00ePHj1+/DitG1Df0u1c + LldeXl5RUZHqk+b1ekOhEE1/OjcjGq24uBgJQmiJwyGsvLxcTZZA0Ow76wFFfQ/l5eW5ublFRUVk + jg6Hw4WFheQdCpD0Anat06dP493+h4sVzYImpc4gcfr06bhEIwCjPU7jW1NTQy8ZRCKRioqKFStW + LFu2DD0LFy8ZM6ZRyjL1+uoTqUX68HPLVD8yttrX1tbiAAqJmoxLLZ+aBBgpZX19Pdyu6AiIwdAy + +QEmqYzlRiPhyu1279q1Cy8Kz0h3oXthblLOYvVh4bO9fv36qqoqyvOGlEpoP1YzqYyo8vLyffv2 + hcNh0qEEg0Gfz3f48OH9+/eTn5iU0ufzUR7zffv27d27V50U8scZMvfs2XPkyJGampq6ujrcC0tl + 3ABWFW34zvHjx3fv3n3s2DHVWZo0iXELpt/vV9XhqgEtHA7n5uYWFxcfOXJErd+KTnS5XJTqirpJ + StnY2Hie+Q4onYw6K6mXw+FwU1MTQiAcDseaNWuo5erIVHNvYLSoxiW32+33++vr63NycrBs4iKn + Tp06dOgQSnhpsbQWtFXFjU+Px0N3VBP6qcYZ+HTs2rULAZYytvKf34X4/ITD4aKiIlj16W1XVVWp + IxbHALzAI0eO5OTk+P1+0iOoV6upqTl48OCePXvoQEIPgovTnFK/QA7nNLpo4GHTqays3L59O3LA + Iojl/A+lbrI0CGlmSSm3bt164sQJn89HS3qcby1+jZu8cclR6U9wkVAoRHZR+XPHI/wCXFgCDFCH + V1yaeUqhjclJEQIQUTACaP2Vyn6AQ5U6gWk8NTU1BYNB/EkgECAf1tLSUroIVl5N01pml5fK2keW + falsZjT6acbioeIWVtS1VK0WdAU8LI1OWpjUkfdzoXruIfPB2LFjqZ01NTV4A8hNifcAE4p6OqET + Ep021HFGeS1xBdxR3dsCgQAW3Lj8TvSwkUgE/UXvFluXeobDDzBWwOudvok70tlXxgqNOZkAAA5I + SURBVA7HpLFTM3vKmDBDqzml3pYxxTyeDm0+depUp06dHA7HwIEDScqNxtIrkWoKf+5yuVR9LTnJ + hMNhdRbAIEZbIL1keuGkaUNj4mIwaHxKKevq6nCeU8dwZWVlcnKyTqeDRl8qEwfbgOoVQ/+latFU + YY9mH/lMy1jeFXzyU0QXXFwVX1U5WQWLAHS3UlG44sOWLZSxLJbqjkvbFf5WrRFBOaPo/EFzEDOU + XJDl2SYjThv4GVWW//SnP+E4QsOMpEFcRB1g9fX1tAaS2InLonl4RWg/rYEUfELfx/hR34BUDlUo + bC9bbO1xxEn+5BpOTyGlhHpPxvodQjigCMDz3OKsNDY20o0QbkEtIefMOEOlx+MhJwr6mpqEly5Y + XV2NpyZ/jJZCLA7ZapfJWIq2liJHVEkLiZWKdhBqZDAYvOiii8xm89KlSzVNI9WD3++PUxaAllEx + 6HH1kIqCIVJKuNrSN1XxG7ZZyL30VpubmzFU4lweAG5Bg1CdaHH7IF5jQ0MDJcHDahA3KeiMS3eB + t6q62pOEEIwVqscuo4oEWPPxJ7ia2hctQ1VpNdaUhE5hJTOkjCUHg4FUvRp1Cj01DGjqJ4gLorUd + AzUaq20CMQBb3nnmOwan6kmoaRpevrqqe71ei8ViNpvvv/9+Wk5pK1HFHtrQabLT7ikVG6yUEll9 + pbLOqC8/7tnp3cZNZ9yCBhh9XlJSQj+fOHFC/rvAco4eJO9uGROTKDeplLKxsZF6ORgM0t6qJn6k + nykTF/QaUkpIpNQL0H+1/I56QXWO07JMY+M8DxU35TF93G43yZCqWI4rNzc3Q+xUz7RxEZiq4pW8 + oGVMoxGJRFT/RtLyty4uLAGGpkc4VoaMloPGxkZN0+igph6Y1O6HnizujEXHXFyzsrJSlTc8Hk/c + 5kdSaRzhWH5hmqV0RFDjouj7VH6xpeJftRKqKny6Ar6AHYUyf5N/FD3yz27voz0MtWbjvPbRsHCs + 8GXc6o/MLfhZU2odkEhAUiK+o77nuMVFKrOavq9uDyQdeTye06dP0/uvrq6m/LPqqkFrOj2guoOq + T0G6NOw96Ag6PdNJUUpZUFCAAm1LlizZtGnT0qVL4amclJSE2otSOf6qO5YqUciYYKy6buMuSCeF + DyECqXMHbVDXr2CsvhX+jTtSw8JQV1dnt9vHjx8/Z86cnTt3fvnll/DGgcOuVMBeS2+GdnS1qV6v + l8wpXq83opQhgk9/nAYawiQZNs9FnDqZbE0qoVhCUrXB6l+BSCTi9/vjpol6vKNBq+40mlI7RU2Y + rjaPPiGB3OPxqOpktVWkXx82bBgWQ7UB9LN6nlB/jYsOV39taQuVysiJO3Bg4Hk8HtWfMBKJqNoc + eV5w+HC5XGTWgJNDy0N/VMk/oV78394mg7FSV+q98GHcAJPKokE6FNlikVGPd6pNDG8Dl4W/H0lN + dKOoUq2YSsTQU1NiEmqnqoKJRCJFRUXXX3/9wYMH1e4jmaeluKI+C75AAXVSGYHqigelPrWZRlFU + yVWtfln+uPchdEEOxKhWxwylIpDK4qmuRerqSkYMvFikSUCr6MSpHrbovcXtL3RlqUxhOnarD0UH + PnUb0mIZtOPep5TS7XarRdVk7LBOrVL3aNV0gDcTZ0yoq6ujZmOC0K9xx/24+a42jP6kvLzcaDRO + nDhxyZIla9euXbt2La3YF110EYQo6NEAlXbA+bspluQaUMQvjuyquIVnJ7Up7X3UVNXDPK7BGBII + NTyrERuoqsl/I5kHCfmk0sIqR646MnZQVLd79UaYEeqCfy6aYmX01EML7Q50U3ot5BNOV6BupXd+ + rnth/YmzG8dJLDJW7jxOBypjejRaAchWjPuqvmGkgidUq52qsGstXFgCjFSWYDK20HKmDkrq3Wis + DgO5IQISqVVTJv0bjNWspc4jgxrVLsDn5I9EF1fjGdTmkY8KoFxk8scqZHyi1hmUiq5UPS1R8KVU + VrSWhtd/atH6l6DzOtJfOhyO/Px8rIOQN7CuqbMrGstMoL6WuHkSB7kFSinr6upoFOIHMhGopwQ1 + ToaO8nBpkD8+Fal6Eegw4ow8LRXz2Hpps1ctYGTvjlNgezweSkgCX23yjB8zZkxxcbG6b5H+O+5c + ctYZQV4Z+JV+UHc1+WM94vHjx/ED7qIKXVCB07PPmTMHHvCqH7/dbr/tttuQQJNmGXkOkDpQnQhI + dkTvUD0KyB97+tHXcAigb57LkY/AMFDPpuQDJmPOJKoTCPqamo0uUzcb2mlItFNPt9GY62OzkjIu + bsyoJlapVJxQZyU1WD0W06H56NGj5HYVjeVfItMrBQqfqwFxBym8Z9VeRBkXqW1x2yr9DEGUBiQZ + rH66RVe1tNCxSX0Jqv7yP3FOiBv2cSYUevmk36HupnIu6iTCD7QCq74icaZLqZyh1SvgT+hSLdco + kuq1WM5cqayQSFxGX0YHqSayaDR6LhlGKnKOVHTk6ruKtqgNSuskQX5N1Hh1gEEAaDkSMD7pPdPL + obTd9FB0Oxpj4R87o1LLST2stpDmCzaFiBI1rlpx1QEmY3Yq9U2q504ZOySQ/lH++JhOekbyH6bF + P24pk7GoSHrn8scjRCrmTWqJ2uNnne9qrhcZC33505/+JGK5hRBGgnyGkyZNQnFDTAc0leQQdcrj + gqofR9yqFadmgj6C/jfOKkti8Ln0vDhDqy4SNCZ/ijniPKgjRFVJgHMZK2guk/JR7S9yHtFiTrlS + 2bninOpxIKHFTRWJ6aa01qkyPMSqcz0XtZxkDxwI6QrRmHuLKgWRolbGhmjc4TAYK6+peu5JpQqZ + ek5ADaif153nF+BnEWB08rypo//6179qmvbII4+Ew2EkuT9rNnHmQsDr9drtdr1ev3fv3k2bNnXu + 3BlpFX7tdl0QYJ6geIUQwul0Hj9+/NSpU7m5uZFIxG639+jRo2/fvllZWWrhnQsKt9tdWlpaUFBw + +PBhv9+P3Cx33XVXamoqElcIISKRCLLP/bpNZRiG+V8Lnczy8/PLy8uPHDlSVVVlt9uzs7MHDBjQ + o0cPiupmmP+dNDc3o8onjmQoGDB16tR/6SIswPymoA4Kh8OoOfhrt+gCQkqpaRod7mGgT0tLg2JG + LcjV1NSkFue6EJBSUg4czFn82tDQYLPZ0FrsmlTVlGEYhvnlgXpY0zQWVBjmrPwsAgzPrt8Ifr8f + 5pempqaEhAQYXjBEfu2mXSjgWI8jvhCCqiKgFAn+C2qzC1AAgO0bWVAx4RHUS7YXIYSmaVQCmWEY + hvlliNs18LPBYIjEKrWTSIMfkCedYZj/BBZgfiOQxcBsNsMLVqfTXWhmhF8RbDAQXciXWgiBQpb4 + jsFguGCdr6grNU3DD3G6PZZeGIZhfhXIk4VWYJJh6Du8ODPMzwsLML8RjEYjAqMtFovBYHA4HOzv + pwInMbwQVVBRU68I8f9yWiDy8sIhEAgYjUYyvwghUNZQxFzjdDod/guOZOy6wDAM84sBHVlLA776 + q4yleWDPCIb5z+FTzm8EZPBAOiaz2YzDeiQS4YMsiLNgkLGCDv0XuHosISGBuhIdjRgnuCiotpfz + R7UxDMMwPy9xUkqcHQYrthACPsC/SgsZ5rcHz6XfDhTL0dzcjE94rYwjLspFSgnBRqfTxaVKvNA0 + ZOhKGcs1SRkaYHihx8Gjcb8zDMP8YsStwPR5MBg0GAwJCQnsDcEwPzt80PmNoNfrYVuAZ5GMZXC/ + 0FyhfkUQBK86JaM8C477eIFSygs28TRMK9Q8aPXU1kLJx9ILwzDMr4WaJdJqtQolqzJrlxjmZ4Tn + 0m+EOAUPYtMv2LP4r0JLJ7G4kH2qEnNhEtf+lq3lrZFhGObX4lxpVFhuYZj/H1y4xzWGYRiGYRiG + YZg4WIBhGIZhGIZhGKbVwAIMwzAMwzAMwzCtBhZgGIZhGIZhGIZpNbAAwzAMwzAMwzBMq4EFGIZh + GIZhGIZhWg0swDAMwzAMwzAM02pgAYZhGIZhGIZhmFYDCzAMwzAMwzAMw7QaWIBhGIZhGIZhGKbV + wAIMwzAMwzAMwzCtBhZgGIZhGIZhGIZpNbAAwzAMwzAMwzBMq4EFGIZhGIZhGIZhWg0swDAMwzAM + wzAM02pgAYZhGIZhGIZhmFYDCzAMwzAMwzAMw7QaWIBhGIZhGIZhGKbVwAIMwzAMwzAMwzCtBhZg + GIZhGIZhGIZpNbAAwzAMwzAMwzBMq4EFGIZhGIZhGIZhWg0swDAMwzAMwzAM02pgAYZhGIZhGIZh + mFYDCzAMwzAMwzAMw7QaWIBhGIZhGIZhGKbVwAIMwzAMwzAMwzCtBhZgGIZhGIZhGIZpNbAAwzAM + wzAMwzBMq4EFGIZhGIZhGIZhWg0swDAMwzAMwzAM02ownuf/IpGI1Wp1uVyffvqpx+NJSUmJRqPh + cPgXaxzDMAzDMAzDMIzKOQWYQCAgpTSbzQ6Hw2QySSmDwWBTU5PVav0l28cwDMMwDMMwDEPopJTn + +W+Xy2UymZKTk5ubmxMSEn6xZjEMwzAMwzAMw7TknDEwwWBQCGGxWOx2uxDCZDL9co1iGIZhGIZh + GIY5G+e0wGiaptfr8a8QoqmpCT8YDIZftIEMwzAMwzAMw/x2gZTx0zmnANPc3Gw0GqWUer1ep9P9 + HG1jGIZhGIZhGIb5j/gnMTBASqnT6TRNk1JGo9FfoFkMwzAMwzAMw/xv4F+NtD+fABMOh9XQF0gv + RuP5Mi8zDMMwDMMwDMP8/+MnWWAYhmEYhmEYhmEuBP61iBmGYRiGYRiGYZhfERZgGIZhGIZhGIZp + NbAAwzAMwzAMwzBMq4EFGIZhGIZhGIZhWg0swDAMwzAMwzAM02pgAYZhGIZhGIZhmFYDCzAMwzAM + wzAMw7Qa/g8fj/ki02WYFwAAAABJRU5ErkJggg== + ) + ) + + (text "KEY" (at 184.785 128.27 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 2598fe79-811a-4379-9f30-7cfc6f119770) + ) + (text "KEY" (at 133.985 127 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 6cc61981-aaea-41c0-a5c9-dd9ebde179db) + ) + (text "KEY" (at 235.585 128.27 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid a5da171b-e641-4df8-8ea7-b4acf5269ee7) + ) + (text "https://www.informit.com/articles/article.aspx?p=2028834&seqNum=3" + (at 13.97 16.51 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid f449bd37-cc90-4487-aee6-2a20b8d2843a) + ) + + (global_label "DA_SP" (shape input) (at 222.25 152.4 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 0078f0b8-c595-449c-85b0-839b6e665b5f) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 214.0312 152.3206 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "CSEL_OUT_A" (shape input) (at 209.55 76.2 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 02285946-12af-421f-ac5a-9fe6f285ca0f) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 223.2721 76.1206 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "GND" (shape input) (at 184.15 132.08 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 04ad2464-115f-4e51-85ef-62527f6f730f) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 190.4336 132.0006 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "GND" (shape input) (at 184.15 104.14 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 05fdb6ed-9080-4cf1-bd74-6048a526b0df) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 190.4336 104.0606 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "GND" (shape input) (at 133.35 128.27 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 0931b119-ba09-43e3-90be-693e1419bf6d) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 139.6336 128.1906 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "GND" (shape input) (at 133.35 130.81 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 0a01faa3-63b0-48c0-9d41-b752f1de557c) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 139.6336 130.7306 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "GND" (shape input) (at 234.95 134.62 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 0e8ea385-7542-43a1-9528-2e0abe4d164f) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 241.2336 134.5406 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "IO_CH_RDY" (shape input) (at 222.25 137.16 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 0ef85b45-ddd6-45b5-8d90-efffb49dda84) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 209.7374 137.0806 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "DB14" (shape input) (at 234.95 121.92 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 0f46a476-a4eb-4ce6-b417-0d52143f6e3d) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 242.3221 121.8406 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "CSEL_OUT_B" (shape input) (at 209.55 81.28 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 1063796f-c3a7-4dd6-903c-cf82753d1a2e) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 223.4536 81.2006 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "GND" (shape input) (at 120.65 125.73 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 107dae24-cd2f-465c-9bfd-a26543dae26b) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 114.3664 125.6506 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "IOW" (shape input) (at 171.45 132.08 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 11b2add7-1b42-44dc-b250-48894bdacf3a) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 165.6502 132.0006 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "DB5" (shape input) (at 171.45 111.76 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 149cc2e0-5c2a-4c00-ada2-f7350c76077b) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 165.2874 111.6806 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "DB10" (shape input) (at 184.15 111.76 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 176f4b16-ef96-49ba-9d1a-61e5be3526a1) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 191.5221 111.6806 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "GND" (shape input) (at 222.25 127 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 1b85565e-9575-420f-855b-b9518f44d4b4) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 215.9664 126.9206 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "GND" (shape input) (at 234.95 152.4 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 1c35633d-ed28-44ac-9f87-e2c4f0b94436) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 241.2336 152.3206 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "GND" (shape input) (at 171.45 127 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 1c59b3c6-63f3-48bf-9369-9a68afe02b1a) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 165.1664 126.9206 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "DRQ3" (shape input) (at 171.45 129.54 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 1dde732b-768b-47bd-aed8-0924f26c2647) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 163.9569 129.4606 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "GND" (shape input) (at 184.15 134.62 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 1e4e61e0-c921-41a9-adbb-1779a262a895) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 190.4336 134.5406 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "DB2" (shape input) (at 171.45 119.38 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 20b00bfc-ccbf-4118-abcf-2be697c972b1) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 165.2874 119.3006 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "DA_SP" (shape input) (at 171.45 152.4 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 249a83da-c4a2-4322-953d-9347e3021846) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 163.2312 152.3206 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "DB4" (shape input) (at 120.65 113.03 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 2a10037f-82f8-4f5a-9cfb-78503fd7541b) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 114.4874 112.9506 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "ADDRESS_BIT_2" (shape input) (at 234.95 147.32 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 2ad7a9f3-c1ea-4b34-8fe5-4040ea240e1e) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 251.8169 147.2406 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "DB10" (shape input) (at 234.95 111.76 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 2b18b6a5-daa9-42fd-a336-38eb835a6960) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 242.3221 111.6806 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "PDIAG" (shape input) (at 184.15 144.78 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 2cacd947-9852-4921-9d0b-138879c2612f) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 192.0664 144.7006 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "DB6" (shape input) (at 120.65 107.95 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 32450ce7-fbe5-4a8a-a4f0-430408f6414a) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 114.4874 107.8706 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "GND" (shape input) (at 184.15 139.7 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 383302e7-8e30-4a54-ab78-0fdb83cad079) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 190.4336 139.6206 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "GND" (shape input) (at 133.35 138.43 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 39f4a000-2418-40bd-ac22-e7e5d828c0cd) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 139.6336 138.3506 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "DB15" (shape input) (at 133.35 123.19 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 3f136e82-a4da-4961-a43f-9c4588b87968) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 140.7221 123.1106 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "DB7" (shape input) (at 120.65 105.41 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 4398047a-aead-4254-91ce-93fdcb72a4d5) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 114.4874 105.3306 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "DB5" (shape input) (at 120.65 110.49 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 497c1702-992c-48f9-b649-d9d3911718ef) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 114.4874 110.4106 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "ADDRESS_BIT_2" (shape input) (at 133.35 146.05 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 4a37d9e8-81c2-4d17-9486-6000725ad52f) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 150.2169 145.9706 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "DB7" (shape input) (at 171.45 106.68 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 4a9c4d83-8968-4152-83f7-94a8a8acf8ab) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 165.2874 106.6006 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "DB2" (shape input) (at 120.65 118.11 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 4aa01502-a87f-4de6-abc3-8a09d0f4c429) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 114.4874 118.0306 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "ADDRESS_BIT_0" (shape input) (at 171.45 147.32 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 50191de3-b619-4c01-bf19-b70cdf6637df) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 154.5831 147.2406 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "DRQ3" (shape input) (at 120.65 128.27 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 5158bc2b-d130-4003-9a1e-6e7dc969b41a) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 113.1569 128.1906 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "IRQ14" (shape input) (at 222.25 142.24 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 51d5bebf-46f5-45fc-966b-56d332c25efb) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 214.2126 142.1606 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "IOR" (shape input) (at 222.25 134.62 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 5405a0b8-bf85-470a-9bc8-2b31fae7bed7) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 216.6317 134.5406 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "RESERVED" (shape input) (at 133.35 140.97 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 57af4f01-ac41-4af4-82f3-1beb1a71e39c) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 145.3183 140.8906 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "DA_SP" (shape input) (at 120.65 151.13 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 57b98dec-e562-40c7-93fc-eda6093196c7) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 112.4312 151.0506 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "DB0" (shape input) (at 222.25 124.46 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 5c3a0d7e-e2f3-47d0-99b8-2eeeb7a22988) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 216.0874 124.3806 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "DB12" (shape input) (at 184.15 116.84 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 61f0b48a-3997-4d36-af52-2c26d035f13f) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 191.5221 116.7606 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "RESERVED" (shape input) (at 234.95 142.24 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 6675c1ac-38b5-40a2-a025-a0eeabf7db3e) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 246.9183 142.1606 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "DB10" (shape input) (at 133.35 110.49 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 67634f30-f646-498b-bdf6-2c355ff775e2) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 140.7221 110.4106 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "GND" (shape input) (at 133.35 102.87 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 686018fd-d436-4cab-b284-2f8048ed362a) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 139.6336 102.7906 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "DB9" (shape input) (at 184.15 109.22 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 69fbc66f-128f-4141-9a6d-01da30623e7b) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 190.3126 109.1406 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "IRQ14" (shape input) (at 171.45 142.24 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 6a65a4e9-483d-47d8-9e69-17eeb799a122) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 163.4126 142.1606 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "CS3FX" (shape input) (at 133.35 148.59 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 6b852831-a75a-4194-92b2-8b98e9466447) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 141.7502 148.5106 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "RESET" (shape input) (at 222.25 104.14 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 6b9cdc51-fb41-43d3-89ed-207c225d9a4d) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 214.0917 104.0606 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "CS1FX" (shape input) (at 171.45 149.86 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 70db04c6-8f54-4426-9072-21e5e31c08c1) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 163.0498 149.7806 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "DB2" (shape input) (at 222.25 119.38 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 717e728d-e10d-4284-afc2-e0c717353820) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 216.0874 119.3006 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "GND" (shape input) (at 184.15 129.54 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 77b3ff52-fb8e-48f9-8fea-29f3a9b6548e) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 190.4336 129.4606 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "CSEL_OUT_A" (shape input) (at 184.15 137.16 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 7af947fd-2ec9-49ef-affd-ea7d120ae60f) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 197.8721 137.0806 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "DB9" (shape input) (at 234.95 109.22 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 7be9e3a5-30a1-4072-9549-a6336d393a65) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 241.1126 109.1406 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "ADDRESS_BIT_1" (shape input) (at 171.45 144.78 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 7cfceaa8-72de-418e-8dbd-4db54299a1f2) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 154.5831 144.7006 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "RESET" (shape input) (at 171.45 104.14 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 7eb20bd8-b3cd-4557-b4c4-923fe1e1292a) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 163.2917 104.0606 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "DACK3" (shape input) (at 120.65 138.43 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 80bbeb52-afab-4a68-811a-040aa0839d8c) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 112.1288 138.3506 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "CS1FX" (shape input) (at 120.65 148.59 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 81ea2786-5d0c-4335-9e3e-ef74431a8864) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 112.2498 148.5106 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "ADDRESS_BIT_0" (shape input) (at 222.25 147.32 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 852736c7-d97e-4201-a2eb-5c5c5278b0b5) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 205.3831 147.2406 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "DB12" (shape input) (at 133.35 115.57 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 860f9371-2878-4c51-abb3-438c3412d534) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 140.7221 115.4906 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "IO_CH_RDY" (shape input) (at 120.65 135.89 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 8c690829-7263-423d-ba0b-aa04d8ae158f) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 108.1374 135.8106 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "ADDRESS_BIT_1" (shape input) (at 120.65 143.51 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 8e004df2-c57b-4c84-b3eb-5e02b20653cc) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 103.7831 143.4306 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "GND" (shape input) (at 133.35 133.35 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 8f929d73-7f0b-4d7d-af96-522d4c9d5478) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 139.6336 133.2706 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "ADDRESS_BIT_1" (shape input) (at 222.25 144.78 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 9035ab54-2aa1-4f35-b66f-76a6ae5543c6) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 205.3831 144.7006 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "RESERVED" (shape input) (at 184.15 142.24 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 91249119-ee1e-406f-9cfe-53a004d5b9b9) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 196.1183 142.1606 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "DRQ3" (shape input) (at 222.25 129.54 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 917b8178-6283-4db7-b351-71a23096b451) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 214.7569 129.4606 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "CS3FX" (shape input) (at 184.15 149.86 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 92af2261-a670-4ad0-9ff3-02aaa08423a2) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 192.5502 149.7806 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "DB6" (shape input) (at 222.25 109.22 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 95615c87-b70d-4f8a-a0b2-62fb5a51ba8c) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 216.0874 109.1406 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "GND" (shape input) (at 234.95 139.7 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 9595a290-bef0-45d8-9e38-1dd028174978) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 241.2336 139.6206 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "DB12" (shape input) (at 234.95 116.84 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 9d9ff04e-e10e-4370-ac0d-489f7ef140e3) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 242.3221 116.7606 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "DB8" (shape input) (at 184.15 106.68 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid a046c9e4-3e90-4904-841b-3ad7204e7445) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 190.3126 106.6006 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "DB14" (shape input) (at 133.35 120.65 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid a320f292-c72f-45f2-8a50-08bab28b6571) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 140.7221 120.5706 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "DB8" (shape input) (at 234.95 106.68 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid a8d22253-cdc3-4a7e-ae6c-92a50b3e6238) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 241.1126 106.6006 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "DACK3" (shape input) (at 171.45 139.7 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid a9126d6f-fb6a-47f5-bbb0-2b92a263fc3b) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 162.9288 139.6206 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "ADDRESS_BIT_0" (shape input) (at 120.65 146.05 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid a9f4a845-f356-417a-b48c-af4797489f55) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 103.7831 145.9706 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "IRQ14" (shape input) (at 120.65 140.97 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid aab143cb-10dc-42f7-8729-f1f6afc63d1c) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 112.6126 140.8906 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "GND" (shape input) (at 199.39 78.74 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid ab2d11b6-fb2a-4f46-ae3f-451fe5e806a1) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 193.1064 78.6606 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "DB6" (shape input) (at 171.45 109.22 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid ae1e9204-3e2f-4547-97d3-3567b57263d9) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 165.2874 109.1406 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "DB3" (shape input) (at 171.45 116.84 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid ae6e9aad-d6a1-4fec-821e-f6a23a3ca856) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 165.2874 116.7606 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "GND" (shape input) (at 184.15 152.4 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid af938d87-a8ef-4ae4-a904-eb05ddabfeed) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 190.4336 152.3206 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "IOW" (shape input) (at 222.25 132.08 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid b5bb35c8-60a6-443e-a6b4-74a3c0d15f60) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 216.4502 132.0006 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "DACK3" (shape input) (at 222.25 139.7 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid b5f2d91e-cc9e-46f4-afaf-975b2a9d3a2d) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 213.7288 139.6206 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "DB7" (shape input) (at 222.25 106.68 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid ba9c876f-d920-41c5-b0ce-b1041caf0b16) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 216.0874 106.6006 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "GND" (shape input) (at 234.95 129.54 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid bb259452-f8e6-4811-b765-7435e53c8b93) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 241.2336 129.4606 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "DB3" (shape input) (at 120.65 115.57 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid bbb7cf50-dca1-440b-8ab2-d713ff1a73ed) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 114.4874 115.4906 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "CS3FX" (shape input) (at 234.95 149.86 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid bc1fe1c3-9777-493e-8c11-595d8178c914) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 243.3502 149.7806 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "DB0" (shape input) (at 120.65 123.19 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid be20703b-1e59-4c78-b4ab-5c4a215643c7) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 114.4874 123.1106 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "DB13" (shape input) (at 133.35 118.11 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid be715043-6bce-4073-8afb-b01a2633302e) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 140.7221 118.0306 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "DB1" (shape input) (at 171.45 121.92 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid be93b172-1833-40f5-b08c-5707ef223348) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 165.2874 121.8406 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "DB11" (shape input) (at 184.15 114.3 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid c4a43e71-9b03-45c8-80ff-b61a145562e6) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 191.5221 114.2206 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "PDIAG" (shape input) (at 133.35 143.51 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid c5208a2f-9349-4acd-8bc4-c05b50e31671) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 141.2664 143.4306 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "DB3" (shape input) (at 222.25 116.84 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid c6043d52-2fec-4baa-b146-ff544309cd3b) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 216.0874 116.7606 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "DB0" (shape input) (at 171.45 124.46 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid ccecc64c-6a5a-4209-9b58-d67bb48254de) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 165.2874 124.3806 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "GND" (shape input) (at 234.95 132.08 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid d7fcfbae-290b-42e0-9108-631e28c2fa52) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 241.2336 132.0006 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "IOR" (shape input) (at 171.45 134.62 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid d8665cef-56d2-4ad5-96dd-2f07744920f1) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 165.8317 134.5406 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "IOR" (shape input) (at 120.65 133.35 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid de99d24e-0df4-4cc8-af99-2a4aabb85773) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 115.0317 133.2706 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "DB11" (shape input) (at 133.35 113.03 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid dedbee60-f8d7-4b87-90af-975e48a50e2d) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 140.7221 112.9506 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "CS1FX" (shape input) (at 222.25 149.86 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid df47d47c-c336-4b5c-8258-3c57ecedf099) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 213.8498 149.7806 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "DB15" (shape input) (at 234.95 124.46 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid e13f01e6-1e34-42ae-a2a0-cccf32ff8ded) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 242.3221 124.3806 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "CSEL_OUT_B" (shape input) (at 234.95 137.16 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid e25b132d-2212-4148-bbfa-a0de26aae84f) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 248.8536 137.0806 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "DB13" (shape input) (at 234.95 119.38 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid e288ed45-005b-4fa2-bb3f-d3b43785955b) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 242.3221 119.3006 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "GND" (shape input) (at 133.35 151.13 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid e50ae6a9-bbaf-4063-aeb3-27d7510498ff) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 139.6336 151.0506 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "DB13" (shape input) (at 184.15 119.38 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid e698e8aa-4afa-43f9-b558-b50417bbefbd) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 191.5221 119.3006 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "PDIAG" (shape input) (at 234.95 144.78 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid e75db060-964f-4ebe-a1de-f1e7965ce28a) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 242.8664 144.7006 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "RESET" (shape input) (at 120.65 102.87 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid e946a36e-67b2-419a-ac8a-126b54b7a366) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 112.4917 102.7906 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "DB15" (shape input) (at 184.15 124.46 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid ebe579a1-93fa-4823-a8e3-665b57223f3f) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 191.5221 124.3806 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "DB1" (shape input) (at 120.65 120.65 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid eda8dd6b-776b-4c37-9caa-384399f3bf1f) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 114.4874 120.5706 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "GND" (shape input) (at 234.95 104.14 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid ef922355-f90b-4a62-b235-1584c5675a27) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 241.2336 104.0606 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "ADDRESS_BIT_2" (shape input) (at 184.15 147.32 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid f3c0412c-265c-4b9b-b084-bd16e9819470) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 201.0169 147.2406 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "CSEL_IN" (shape input) (at 133.35 135.89 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid f3d82f1e-4bef-4d35-b07c-cad27a27d10f) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 143.3226 135.8106 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "DB4" (shape input) (at 171.45 114.3 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid f402ffef-28bb-4763-821a-1d208cb4e4e8) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 165.2874 114.2206 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "DB14" (shape input) (at 184.15 121.92 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid f4be43ba-6041-4b49-a66b-d718c9cae964) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 191.5221 121.8406 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "DB4" (shape input) (at 222.25 114.3 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid f4c8b5f2-68a8-4075-b661-827c74cc25f2) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 216.0874 114.2206 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "DB1" (shape input) (at 222.25 121.92 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid f6675b33-0745-4571-90d6-9d4c1eb77622) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 216.0874 121.8406 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "DB5" (shape input) (at 222.25 111.76 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid fa4d14e9-b86f-481a-be72-9d9118655025) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 216.0874 111.6806 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "IO_CH_RDY" (shape input) (at 171.45 137.16 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid fa9c4efc-080e-4ff5-93d0-9d548c87b103) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 158.9374 137.0806 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "DB9" (shape input) (at 133.35 107.95 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid fb0161ca-03f0-466f-9457-6d65b650cf6c) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 139.5126 107.8706 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "DB11" (shape input) (at 234.95 114.3 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid fb3fb8fd-2e4a-4fcc-aaff-cf9d5fafcd3c) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 242.3221 114.2206 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "DB8" (shape input) (at 133.35 105.41 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid fdcc983a-be7a-4e08-bdad-34151d00e36e) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 139.5126 105.3306 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "IOW" (shape input) (at 120.65 130.81 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid fea8bc41-b676-4245-bd29-39fd01a19f13) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 114.8502 130.7306 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + + (symbol (lib_id "Connector_Generic:Conn_02x20_Odd_Even") (at 176.53 127 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 2725ff94-5a7c-47c5-8447-1ffd34b9328e) + (property "Reference" "J2" (id 0) (at 177.8 97.79 0)) + (property "Value" "Conn_02x20_Odd_Even" (id 1) (at 177.8 100.33 0)) + (property "Footprint" "Connector_PinHeader_2.54mm:PinHeader_2x20_P2.54mm_Vertical_SMD" (id 2) (at 176.53 127 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 176.53 127 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 353307cc-0b3f-4400-ac09-05d9cdbb708e)) + (pin "10" (uuid e2fb2298-b289-4960-85ba-baade41d79bd)) + (pin "11" (uuid 2a2855d4-f0e4-4f07-b83a-461bddb70df0)) + (pin "12" (uuid 9115a638-f981-43dc-89de-3e338b1d352e)) + (pin "13" (uuid 7e9cb2d7-e6f8-463d-9a01-80c6d77e2d47)) + (pin "14" (uuid e2e6a4e7-8f58-4812-a30c-dd1a958955f7)) + (pin "15" (uuid 0fcea9e1-d3d5-4811-a5dd-0eaa51267d72)) + (pin "16" (uuid 1c285d96-d383-448d-bf5f-9ba6531cce6f)) + (pin "17" (uuid 43832edb-bd2f-4e01-8ab8-1911a56f133d)) + (pin "18" (uuid 06e0378d-0802-4ff1-bc1a-85f138397517)) + (pin "19" (uuid 396021b2-d840-4939-b631-1b10020aecf6)) + (pin "2" (uuid 2c013153-3971-49f8-a330-a9d8438dfe15)) + (pin "20" (uuid bbf14473-783f-4d22-8426-e816ebed527a)) + (pin "21" (uuid b1200b97-6cee-46e6-9c24-e976984a20a2)) + (pin "22" (uuid ed9ccf7a-eaa8-4519-b265-b68125f6028a)) + (pin "23" (uuid 45e2e032-8bb2-45c5-9d8c-93761bd41e09)) + (pin "24" (uuid 90c493a4-be4a-4336-9f5f-9ed582373a9b)) + (pin "25" (uuid b253c083-a252-4039-8c35-5c97d10775e1)) + (pin "26" (uuid 6e8c3d98-8078-4c04-b859-53c25ead400c)) + (pin "27" (uuid 93870bbc-5780-41e3-96f4-8c9bd745650c)) + (pin "28" (uuid b316698d-fbc7-44de-ad05-34452d0c2f18)) + (pin "29" (uuid 349f7256-3a1d-487d-ba29-c0ceb417e224)) + (pin "3" (uuid 655a6c48-7024-4ed0-a3ff-a0a5b52a7a8a)) + (pin "30" (uuid 4f98a37f-3bfa-474f-8a06-6610d7eec05f)) + (pin "31" (uuid 5b33488c-3a65-4cd8-9d78-5acd4c42b9a7)) + (pin "32" (uuid 06a36cf7-804a-4621-bb49-2646eda285c6)) + (pin "33" (uuid 54ec2a1d-9b8d-4e09-b286-169ab6c17eb1)) + (pin "34" (uuid 329e3a82-b6e6-4537-afe4-c5f3003c4462)) + (pin "35" (uuid 34619cf2-5824-4b2d-bb80-080cd89c1ba7)) + (pin "36" (uuid 0dab7363-2a21-4a13-a5b4-feee32588040)) + (pin "37" (uuid 9972c6ff-f21e-41e0-893c-4ecbc3c376dd)) + (pin "38" (uuid 2b6fb15d-0adc-44a8-9337-8c972586d25e)) + (pin "39" (uuid 3eebdd66-5819-4e7d-a7e4-1b811e53ab10)) + (pin "4" (uuid ff47074c-9a21-4892-8fdb-882bc108ba69)) + (pin "40" (uuid a4bd2a70-e82b-478a-bf2d-5b587c3c0491)) + (pin "5" (uuid ceed2ac1-ff2d-4a48-84e5-5f8d5045fc04)) + (pin "6" (uuid 841871d7-5e1c-4fa0-8835-8718784a4771)) + (pin "7" (uuid 63f76310-a018-4659-b511-5bbc853b5dd7)) + (pin "8" (uuid eecec453-629a-47c1-bc42-89a93cf8e37c)) + (pin "9" (uuid 08d6bf3a-60a2-4b2b-b84f-014e453774fc)) + ) + + (symbol (lib_id "Connector_Generic:Conn_02x20_Odd_Even") (at 125.73 125.73 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 81a8578d-4991-432e-90c5-863c1508a25f) + (property "Reference" "J1" (id 0) (at 127 96.52 0)) + (property "Value" "Conn_02x20_Odd_Even" (id 1) (at 127 99.06 0)) + (property "Footprint" "Connector_PinHeader_2.54mm:PinHeader_2x20_P2.54mm_Vertical_SMD" (id 2) (at 125.73 125.73 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 125.73 125.73 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 77ec979a-bbed-4878-a6e4-46946d314fef)) + (pin "10" (uuid 8a77548a-d660-4ba3-b2e8-c9f4d780df3c)) + (pin "11" (uuid f22282a1-4b0e-4766-a4b6-a099b8643b2c)) + (pin "12" (uuid 2089460c-da8d-4c59-a3f4-c4396b9a45d9)) + (pin "13" (uuid 6e15b75b-60d7-4580-a4c7-66bc9677384d)) + (pin "14" (uuid 97de2987-507c-4bd8-ae6e-aab51caa6c38)) + (pin "15" (uuid 701ae27e-9ee0-455c-9f00-48cbb30a9dbe)) + (pin "16" (uuid eec8ec34-bf2d-47df-a801-1df1a5b7ccfd)) + (pin "17" (uuid 124c09a8-124f-4901-9b0a-4c3e13c42c9e)) + (pin "18" (uuid 91bb8b47-fc6f-4d4f-8ce3-2f186f15a2fe)) + (pin "19" (uuid cd562bae-2426-44e6-8196-59eee5439809)) + (pin "2" (uuid af94a592-0290-41d6-acfb-25e9e5f847d6)) + (pin "20" (uuid 8ee44ea3-b3e4-42f2-b0ee-dcf81bb527c1)) + (pin "21" (uuid 709129a3-cf8f-42ae-a88c-02cd627de08a)) + (pin "22" (uuid 38b21de1-76b2-4aaf-a210-f0f47fdc4637)) + (pin "23" (uuid cf5e824f-c15b-4c19-aa9c-bb9acc0a0116)) + (pin "24" (uuid 05393446-e542-4965-bdf8-b21243643222)) + (pin "25" (uuid 61542e25-9bb4-43a3-9f04-5553d6b2db23)) + (pin "26" (uuid 050d588d-ac5c-4040-88ce-7a2d860568e9)) + (pin "27" (uuid 0e1e508e-da81-487a-9f18-28aa1e3fdb08)) + (pin "28" (uuid fa2b348b-9278-4cb7-9f20-c7e0ca742d16)) + (pin "29" (uuid 32b2bf14-b129-4ca5-97b7-f506ab93cf88)) + (pin "3" (uuid 6b5f3da8-29f9-42ad-aa8f-ad2b128165de)) + (pin "30" (uuid 77eb857c-68a8-44c0-9fd9-5696b0ca7ab0)) + (pin "31" (uuid b9016350-04d6-478a-bec1-b25b7ff38bb7)) + (pin "32" (uuid 7dce0df9-3c03-4d99-b668-931d27be5d82)) + (pin "33" (uuid aaa938e5-df51-4d6a-9372-31d876b6cc7c)) + (pin "34" (uuid 08b51f1f-59d6-4485-a983-f22640cd23b9)) + (pin "35" (uuid 06e097c0-3078-4b51-976b-3e2d594f4373)) + (pin "36" (uuid eb12aa98-e68c-43ca-bc7f-9875f10a6dd9)) + (pin "37" (uuid c1dac7c7-720b-45c0-ab6b-95c45671d5bf)) + (pin "38" (uuid 06ea578f-4ffe-4133-9157-a8de2c2cff9b)) + (pin "39" (uuid 80249f38-3d6f-4942-bb6e-3ecff896cc8d)) + (pin "4" (uuid bf43ec24-28e7-4ce4-b4b9-2393c8a8a5e1)) + (pin "40" (uuid 062c3e62-6132-4418-9102-8ea620d8c673)) + (pin "5" (uuid 0cf5128c-e217-48c5-8f79-0662f10a805a)) + (pin "6" (uuid f2ba1a27-e532-4fae-ab61-113a78a8283e)) + (pin "7" (uuid eaa29450-aab1-4ea3-a04e-df1bb6f4d81b)) + (pin "8" (uuid dea17859-4b35-4115-a09c-975f56c2272b)) + (pin "9" (uuid 4600b549-e24e-4889-b3b7-e9ef9d4c6984)) + ) + + (symbol (lib_id "Connector_Generic:Conn_02x20_Odd_Even") (at 227.33 127 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid d0fe8934-21c4-4e46-b5b6-40801fb05422) + (property "Reference" "J3" (id 0) (at 228.6 97.79 0)) + (property "Value" "Conn_02x20_Odd_Even" (id 1) (at 228.6 100.33 0)) + (property "Footprint" "Connector_PinHeader_2.54mm:PinHeader_2x20_P2.54mm_Vertical_SMD" (id 2) (at 227.33 127 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 227.33 127 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 2bf06bea-150d-471f-ac08-5536eec0e354)) + (pin "10" (uuid d13f672d-6455-4463-81fb-8f053b991ffc)) + (pin "11" (uuid 65bc336c-a244-477a-8730-8ea0c7ef19ca)) + (pin "12" (uuid ba4e6bf4-bfbb-4545-b2a2-eb153c8aeeee)) + (pin "13" (uuid d7b30a93-8595-4375-b7cd-6d9f921ea89a)) + (pin "14" (uuid f525da21-1385-4ff3-a214-599922e911b7)) + (pin "15" (uuid 15c0822f-06c4-43a3-b3b1-ced55de506b4)) + (pin "16" (uuid 10e6ad40-4c9b-4e7a-abb6-52526119b631)) + (pin "17" (uuid 34fbeb3f-3b04-4488-bc55-c55f76c5f22d)) + (pin "18" (uuid 86759ccb-2b27-4eea-ae65-efb3c3e7633e)) + (pin "19" (uuid d7914e86-566c-43d5-a251-67c831a40afd)) + (pin "2" (uuid 804b9113-a370-4799-9c32-da97a009420a)) + (pin "20" (uuid 51dffd27-988d-4b3b-965d-fa957325862a)) + (pin "21" (uuid 268343f4-f323-4e2c-a5fa-4da5c45b4e9d)) + (pin "22" (uuid 31b1b6d8-2445-42ab-89e9-eddba65b597e)) + (pin "23" (uuid edfb9291-bba8-4081-b180-5c9b214fdf26)) + (pin "24" (uuid b270af75-a05e-439d-960f-d9a0aaa8697b)) + (pin "25" (uuid 4ca30ab7-5a9a-4d17-89a8-b33fb8c034c1)) + (pin "26" (uuid 4617b9ac-2004-4540-81bd-82720a00818f)) + (pin "27" (uuid 30025812-2dad-43e0-b5e3-f8b5087d6e3d)) + (pin "28" (uuid 3367101a-1645-4452-8e9d-083f979e0296)) + (pin "29" (uuid 7ed74d74-2c2f-405a-a66e-683d53dfbf7d)) + (pin "3" (uuid bfff8e29-782e-4ed6-b231-7386f2624f91)) + (pin "30" (uuid 48b9a001-09d9-4511-816c-3dbaa8aa2bbe)) + (pin "31" (uuid 912e3ad0-7cea-4858-b157-394c7677fac0)) + (pin "32" (uuid 6a31e36c-23ea-498e-acfe-df9cb861f364)) + (pin "33" (uuid 2553ba96-b410-4419-96bd-fe5383e99cca)) + (pin "34" (uuid aa92a0db-6b02-44d3-bf03-18c7d73e3bad)) + (pin "35" (uuid 2fc6fbea-a5ac-44e1-ae14-5f7455ad4ad6)) + (pin "36" (uuid b2f822ef-3019-47b8-8488-d79a56575703)) + (pin "37" (uuid 2c9a5e6b-0e1b-492b-8e1d-8ef5e88b489e)) + (pin "38" (uuid 469c9557-18af-43bc-b1e0-eeddff7b0292)) + (pin "39" (uuid 1f61261c-a16c-4e57-919a-7f01d2f395aa)) + (pin "4" (uuid d86d6896-724b-41cb-ab15-835ed9bb131a)) + (pin "40" (uuid ac81da83-cee3-4868-8997-b2c411cc5153)) + (pin "5" (uuid c9614d4a-cf35-4d15-9668-bef8f4c09477)) + (pin "6" (uuid 48fe1370-2e91-4e27-9650-23a7c8612128)) + (pin "7" (uuid 47916de2-1d03-4b36-a1a6-e041381c4e19)) + (pin "8" (uuid 79de94d5-8a1b-425c-90ec-21f023f4f61e)) + (pin "9" (uuid a2dd70db-c8e9-4143-8915-f63d6ea5a8d4)) + ) + + (symbol (lib_id "Switch:SW_SPDT") (at 204.47 78.74 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid f84f0aad-79f2-4bd7-bb35-f56f8e0ea461) + (property "Reference" "SW1" (id 0) (at 204.47 71.12 0)) + (property "Value" "SW_SPDT" (id 1) (at 204.47 73.66 0)) + (property "Footprint" "Button_Switch_SMD:SW_SPDT_PCM12" (id 2) (at 204.47 78.74 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 204.47 78.74 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 7163385e-f2f9-4ad1-ab76-5ffb6ac6b217)) + (pin "2" (uuid 0b6e0c10-6db9-4c8f-93ac-7ab4553753e8)) + (pin "3" (uuid d664452a-7e87-4f3b-afac-3cce38c27731)) + ) + + (sheet_instances + (path "/" (page "1")) + ) + + (symbol_instances + (path "/81a8578d-4991-432e-90c5-863c1508a25f" + (reference "J1") (unit 1) (value "Conn_02x20_Odd_Even") (footprint "Connector_PinHeader_2.54mm:PinHeader_2x20_P2.54mm_Vertical_SMD") + ) + (path "/2725ff94-5a7c-47c5-8447-1ffd34b9328e" + (reference "J2") (unit 1) (value "Conn_02x20_Odd_Even") (footprint "Connector_PinHeader_2.54mm:PinHeader_2x20_P2.54mm_Vertical_SMD") + ) + (path "/d0fe8934-21c4-4e46-b5b6-40801fb05422" + (reference "J3") (unit 1) (value "Conn_02x20_Odd_Even") (footprint "Connector_PinHeader_2.54mm:PinHeader_2x20_P2.54mm_Vertical_SMD") + ) + (path "/f84f0aad-79f2-4bd7-bb35-f56f8e0ea461" + (reference "SW1") (unit 1) (value "SW_SPDT") (footprint "Button_Switch_SMD:SW_SPDT_PCM12") + ) + ) +) diff --git a/ide_cable_select_switch/ide_pinout.jpg b/ide_cable_select_switch/ide_pinout.jpg new file mode 100644 index 0000000..6b08d2a Binary files /dev/null and b/ide_cable_select_switch/ide_pinout.jpg differ