From 6618602b1abd4c9bb87ba35f26260a5ae6c164c3 Mon Sep 17 00:00:00 2001 From: Andrew Makousky Date: Mon, 30 Nov 2020 13:50:53 -0600 Subject: [PATCH] BBU: Fix standard logic sim errors, naming improvement. --- hardware/fpga/bbu/stdlogic.v | 4 ++-- hardware/fpga/bbu/test_mac128pal.v | 6 +++--- 2 files changed, 5 insertions(+), 5 deletions(-) diff --git a/hardware/fpga/bbu/stdlogic.v b/hardware/fpga/bbu/stdlogic.v index 9ad3471..a999331 100644 --- a/hardware/fpga/bbu/stdlogic.v +++ b/hardware/fpga/bbu/stdlogic.v @@ -432,8 +432,8 @@ module ls595(q_b, q_c, q_d, q_e, q_f, q_g, q_h, gnd, reg [7:0] out_reg; assign { q_h, q_g, q_f, q_e, q_d, q_c, q_b, q_a } - = (n_oe) ? 8'bz : int_reg; - assign q_h_p = q_h; + = (n_oe) ? 8'bz : out_reg; + assign q_h_p = int_reg[7]; always @(negedge n_srclr) begin int_reg <= 0; diff --git a/hardware/fpga/bbu/test_mac128pal.v b/hardware/fpga/bbu/test_mac128pal.v index 66b5782..b4ce87f 100644 --- a/hardware/fpga/bbu/test_mac128pal.v +++ b/hardware/fpga/bbu/test_mac128pal.v @@ -95,9 +95,9 @@ endmodule module test_mac128pal(); // Instantiate individual test modules. - test_ls161 tu0(); - test_ls245 tu1(); - test_palcl tu2(); + test_ls161 tu0_ls161(); + test_ls245 tu1_ls245(); + test_palcl tu2_palcl(); // Perform the remainder of global configuration here.