macsehw/hardware/fpga/bbu/rom.v

5 lines
182 B
Verilog

// Here's how we initialize ROM in the simulation with hex bytes (yes,
// text input) from a file:
// $readmemh("hex_memory_file.mem", memory_array, [start_address], [end_address])