From a69decaa9e59e564aed85b1623e445478163ee6e Mon Sep 17 00:00:00 2001 From: demik Date: Tue, 8 Jun 2021 23:01:16 +0200 Subject: [PATCH] PCB v1.4 --- EDA/ChangeLog.md | 7 + EDA/SMT/quack-all-pos.numbers | Bin 217288 -> 217566 bytes EDA/SMT/quack-all-pos.xlsx | Bin 7181 -> 7217 bytes EDA/SMT/quack-bom.numbers | Bin 187784 -> 188431 bytes EDA/SMT/quack-bom.xlsx | Bin 6741 -> 6742 bytes EDA/lib/5749181-1.stp | 8466 ++++++++ EDA/lib/5749181-1.wrl | 16165 ++++++++++++++++ .../Macintosh logo.kicad_mod | 211 + .../MountingHole_1.152mm.kicad_mod | 17 + EDA/lib/SOP65P640X120-20N.kicad_mod | 53 - EDA/lib/SOP65P640X120-24N.kicad_mod | 57 - EDA/quack.kicad_pcb | 2021 +- EDA/quack.sch | 12 +- EDA/quack_connectors.sch | 55 +- 14 files changed, 26323 insertions(+), 741 deletions(-) create mode 100644 EDA/lib/5749181-1.stp create mode 100644 EDA/lib/5749181-1.wrl create mode 100644 EDA/lib/Macintosh logo.pretty/Macintosh logo.kicad_mod create mode 100644 EDA/lib/MountingHole_Tooling/MountingHole_1.152mm.kicad_mod delete mode 100755 EDA/lib/SOP65P640X120-20N.kicad_mod delete mode 100644 EDA/lib/SOP65P640X120-24N.kicad_mod diff --git a/EDA/ChangeLog.md b/EDA/ChangeLog.md index 2aca2a0..c8b4162 100644 --- a/EDA/ChangeLog.md +++ b/EDA/ChangeLog.md @@ -1,3 +1,10 @@ +## PCB 1.4 +- added resistor on pulldown to match 68040 Macs. +- tone down LEDs again again again. +- Silkscreen corrections +- added Open Hardware logo +- added tooling holes + ## PCB 1.3 - testing blue PCB - replaced RXS0108EPWR by 74LVC2T45 + 74LVC4245 diff --git a/EDA/SMT/quack-all-pos.numbers b/EDA/SMT/quack-all-pos.numbers index 3262471fe511938cd2d6395dd07c7052756f9f14..5186ae004fa0ff2b0f25898241bb47b87b92af57 100755 GIT binary patch delta 7781 zcmcIJd0Z4%()Ff$hBwVj)6>pC&%od?LL4U?%`w0b)(AmGL{y?g*?7Q46HQ_g*JQKV zxPTXG1domxqcH&wyufQT#tY*a?jp2QIGOQWY>)e99h27`e*z-*|fC}P!IgCXE7iK2KMKAGr8~mOb ze$Uahp6kmzN9K4rmLlt9DN5WdMaj(ZGV6&?Oj@%c!0Ol~zU`;y__t^cK|%6|%RNaf z^&c^`@MRKeiXrrn?Q%z1=Vp!}$5O-+(XygwiHI<`brfAQL|aBtzmw-WrHF#syG!zbx6>bYTWCKQbr_Lrx}l#Ce`KjJSXu#-l_&~b%S?$gLg)QHyyHr zf}sVkkU~Z|5g{a0Hh3pDc&9XYr#5)s(R*2rXrEyVnbBU5$2EAzH+UyBcqcY^CmFo} z8D3BxM%ZLWR|~+prc zBTmK+RE8aPMBpan&jP4C$OPTujKha7%&`m~o{^JhkDz^+Vlo~cYF~o@+G)}1*z$&` zZ7zJ$O9W@V!FwlA3DuPV)3mQc6{=JtOxNltK4gF;lUM?k+81FmN~a)9)t2huoxoVA z9t$uI8^)t>0>VVC&M>LLD`Z6(M2>#>^0O=mS+Oep;N?EiwC&3hQ1+yNvhTu1jEK;o z5MR5H)kjskX$k{T8wR;qB}z)9%t^q4$O^0^DWf7I%FOi2)w-((Z*5!hS7G&chg;@N zy|d><(L$FWsJJwF#l)IDL@3{=TzGfE!J5AoLunjmDO5lA!dzfS#;r{NgFqkFM|Jwc zNSp^#-bjK|Va*;%a5hMm`diTu7)c)8si3>}~IU_OJ~qCz9rO zde9YqkN6a=Y2Owc2dqwxV>yjRClCqT?lpeTmyMnce$OhuXSLt6*6;EAJ?s6RkNuuc z{GN4w&qlxJT%%_b8kuC}%5ffqok}l9rY$W*P=g>yLdwxfxl!6J6xgKLmGRL0 zR5s)Rw@jhVh>WFLE`)-BpJ`rDpYrkvbSR*JJQ7T%S`%n2CU%-iFcuTc(3^lYnyA0O zvmGfjnV_T51dFsL)&_xCW@;?+Qma4p7mwEKr0RK-E>g$174PlfU8j_@etlpSGj|oE z9Y5guy+g;1(w%urr*e*(`}f`ra?RLq`$kY;Jj@*rlcy6-FU-|S-~bnhk(?2IB^~W?jw53L0y6Qgu!x z))DP4c>)OGAgM4E%o(k*#Xmz`whKBgf{LOf+%NhgZQg>637MtiYU+&Ai9L;*f*l`S zKDm{5{p0wVjb#*@1#CWWYDayDR5A5~ON?X7=FP`g*QMEut_5Rj2)6DxdUq8g-Kv;; zPv=*P0(27X;&LFpGL& zt5%wL&&;J!ttbuNMg*Icv(Tu4=5Ox&xY#7{r}ZhPcDCG^NCYkFu&7hU4EKV>A;%!+ zQ8_cirBb6<`n_JTNt~JT8qPeYVSde<0uNkS>}RLeRu2|}u!lx0y`dZa8kmEzgH9a$ zEjv6N$ZH0Qein5IW+J(2k8kNP0?JSMaKWN>$tBszo{b|J#6JeBsoU2*RP#YGVq{9d!F5nD& z5!Ldb(ekWD(DT3`!x(vB@s|xkB=TMK{#=)dl%cdE3Ze-gxTV>DOH+7Cn=;aX+Xjw+ z7zZiAb((}2@L87Gz7W_wOjb0Nz?pPW(~4N9A^xJ~*Z6-C|61h{UDno@G_py$9v2^p z|HI<{ha7~cCRQxtJg0>>s8MjloEmgj9p`TAv;1EeOxx$3kIZZ!Tqsr7#_!jBQ!|YS zeAkfZz@`P8-y?!X3J$c_;ho)H2d1We_HDb*^W#FKs%_&J*P>=ch@Wi}bTOpLcMeWVer_kyVv>Hlzhahb%yYkb*dFV}h zA@+xbU%cZdLXbqA(J`3nXn&#_PWRnDTzPd9n+R>%8ZeN<22#A9M%m#=qMbeBkGB(W z*Y=LH8;^ps4Opj6e-uo-l^b+QSV7e2pDpB0Kee|mLcle8?bo}1{GmY5NkM^=n!rh` zCi|oYwU2V0w*r4uFmG8iZozW`e^^jzA8S{}e(aCm3X27vGf7wOH80qLuIf$Erz@|$ z|059?i3w~2yz{mJe3GY zY5jK>Yd=G4<4lfOyU*?_6||D}*Kc99asF3Q*<_rpYmJ`4FA5YFmb{(7nnmX^6WCOg zjj+Gc-4POhl`O z(;{O8dr=rZ-PXmH%q4JfH2OH^iyDEPCEMc+`~2an}>0CNBC0k)%s z-x4aI*{>2qcXbe6b2HZ)Bin^wBIaqw+VYE^(<>FRPlVj3?Da?5SC=qwae!u5{ z-!t9sIq3Hs!tcH}*Pc@%lB3BTB`Z1A(XsvMY%~2~!l!q}Jy^2XE{s>+opkongk3N7 zfzih`+!4i>EcdL|!^l*ygzwh_m9#=sjf~0k`;v$*frRVGhIkX?uVE;TA}(j>^>~rd zuz1GM^(cVCfv-Sc^t2m7pMet?-DhE7ny2(z*FZb}9l!u;F$HRE(qDDjEk|s)%^10u0L&MT9{GNN7NUpRt z;k2mqO-Y-_{Q8?1O`3ARIIf6u*q&Uy-A`Q4A=t3$0HKbt+@M znPop3B4<(885FYgG-gjm8?qnb*|S`O)sBz+d{+b1h(#$83;mvS(gpIGW?%t)+~O9p_YP&h87H|hNAr97@||fA zKa;{Sak0`RBRpg>o{Y&d^%UHY80zvUBQw&CYQe)>b*}Tq5P*%!s*LE^^&#N9p=s_C zPH(UE;5qjO#;sc0xPM*Kw%!Cu(3<#zBgWs7@lYQ*a51o@G#(Ez&*owAXAm({O(`7? zF7_me&r#-gcPcBMGAM8Lh$yobfEKbVPG@Y9z^W?85d6*~1CaT<_gcuz8a4Z<8@Q~| z1rlVvVUe~%DuLfsM&Vq@1e-cUUmOCL!Rmz0I7T6Hq09+ZR#VwQAz2n(0JurODJ41W zltEcGXSmv>V`~@aj9&3m-SMF9T15ygFX}=JopY40bDjC25CXS&d5Ty+_>nuHA#x~; z<7Kmzgrb|1Kx^+u(g{mm3c!%xy+s%T5lX*qLx^2j*X<<|sYG=j#J5*{kX0WzzWPOF zTK7K@htk|Vjr>J%<^F+0DZ_IMiBs8_YbQy{soX!24$2cf{%DO>yQQh!LLj5n_3(HI zv29IIR`nRmt8aIhb=B!JSjsxXD6jU8@^$ITXzg% z8Jm|zqW$ah3YaAG0D;&vg;||opq3NTZ2~RU4z@m|0)195(&TvWh`Tu^ItZ15;}Yex zc&|sX7JN$8aSOixc&5@+(2LAg5_;Q7xW8BL$2F}jb(8yS$Ck&Gy1pH;^>AN1NmY9F zw)(Y&9=7$1oOI7{As>^id}Z5!IAu(c8yEkNiejXg-_{*&{`uw9Z&B@ARn4B*TABMA z;3hM}M2}&}1=CwUKiN0RW6x{}f(}^ZijMYw`^*%=ppX7n2X>ubraJqFxH$QZCjnFt z(PCT8uh+0KCC`CXD7#{r*%t=dn&tYde&2(r;^gMk|eMxDYp~JSIY3){m2Dn z^X+hQ!GG%Z*K*kfJ}n(u>OS{?_pvxn6M^uyU6)|-?)+e0(y-MY5{lDi>Vu;6WA z6H_{O23kmu1=^=UVp2bd$%iF%vmh^@z@0jtl6f>{L8Qjd}@*nEXmD?`58PQ-3m zlo`ze9zvI?N6``|n?(6(Uf<`P$m#F_MA*8*BatMEUnN$DCDI3q!|8NUw)r-@iKlFb zEqB_jizjbvYgl}b2=8yN-g%;YXR~-E0cMK#%(=&=`sV(yr)lvJ;oX^+{`v6k>Z`>i zRgg(Guwsa9Q6}V!;-94HX{kvE7;$+f1nN35d1KiWwW6zkRPD76W|O!QEi257iim%V zkhN013(wIs#}?MVvpogaiUGZDu}kZt4#J9@&N&l$~F zqBE0t_Qo=kne{iAIGEPI2o71y@GpytUDrTfg`@p5;c)+IU(@4czP1iqe(?P*mc$XK zZ&@sPyX*}(ZQ`F-uh!Rh&jo0l$oZ}+rCRYdr> zs$$N$rJ2>UH}lJ_a9RA9bHJUMYm`~DPcM8{SXBGX<)hVyuKcYyf{qivwdSs_n!R|& zhqa6D6JfGav;O9Rf6NtS-@s(j-mb9?ShMuvsb$9>F6<>tICgON)taeuUN5%MM)8Gq zzS+s7bEg)!>=JaNuHb2IAfzrb9l~<4}DjTTwfS1%x{{1bIVVc_L%vpk>X1+xhJ--TfXf4?Ik;i zFn!4vftDpR%K2A(<5GyX-;?1HyLP`*d*$J(8veD%V?X-t+NTTG&mDk|b69-Ap1Z8- z<9(lfd28VpL|8Qb`uYpipY0O!>{z>hqPb+}g1a>>OJ^^f@XT0v|_D~m#3^j-3h$*udq%|kTa_f{%d`Cl!SzWg-e{_iyBrjb}CF+NG{($UwP zMm8Dn->Bh#{_A0^+CYi#<8%_IS4s6M*>EMDBog0UFEJVtdx_>{}#0wRdKtBfA1rYRugq2>C=;FIs>L9c&P>+70GGV^v9Hw2X>Mxrm_^ zSM&VSN>+cn?^{*&X)CQp$K)HzJWc_-ei@I@YVKYWKB~WeXS=WwtD?2X~Btl9O0KM;~8I6 zKI3iGdX%$Z^KZ3|Jfk!f#rc-x<2Vlnw2pHvAIIrG&==K-RFBU%cLQ``_QM z?^ppN{SvZ?y_rzW&@^9<-b`1`V_x5k-i+Jv>j1;Z`t6tO^Ag{dbkf215LGq#Pxw0Z zVbV0+?)pmmFn{Q@0vLF8^sqPZAJ(|P3@eQv`Ren1dSc1Bb%q{?lLmkrIheu1{{Vmc B^Be#G delta 7563 zcmb_BX?PUHwx@cT)O2QgdO9;h&t#HJhD3&egw8TaCO{xD2}#&Oga}bUP(Zk{h@w2N z8XzD7CM*p!27!bnBrJh2vI;>EL{L^i7G()2E+CtV0U^BV#Ordud%y4fc>UEmRj1CW zQ~Rk?)fZM6KdCgv=Xa+W3uxc@ozn`|Uuo!fH55Ptk>ur$d2ZOm4w+-0oiKXXn3rQm zzB&Xh&yA0XS~tnRKH!@Z@EzOayItd}4fr?)6VlCKlH+AC$(dUsGl{Wra^2P@CTJrm z5zpYj2dp6|h*`RZ_gkdE5yK_-FKn0|B3eSVk1BPRZca z9VB(J5zN8BMv9MvsM2b)jwDD&r|)1>YuOr7pVS)s=re8i^&+o;75<>=J4o|NqWO; zdQbH)QTVH@Dm#hB8Mn3@i zBvU|}{R$W6hy$I9FBr zhP5k3W2L>M9PAB4{{vp@q#OVlkydcV;lrtA>5?|ma#fay41v=w-^K}`wv(|a^EFT&gu+{Rlg9q zGQ%Kp_{*ME3PRM;Qz7zmP3#wQD-hXMkQ4c} z@=UnQS%3nO5h%%`l!rTut$6F%vhS}f+V{&`B&=M2^7x)(cjk5zEx4g%)73RqpKk0Y zsCPd5>2S@eqlO|F8pBz7ktHD(b^~Tq%%%CfNQdniBwE0>A`!Dt zZ5oCC2t*FdL%tBiV5~^FuUl#nrjVo1bmwUzKsFjhJbHt!1ran zuP)&Gs@}IF;1f?vTp=e36-u=$EXU%;7zB_QS8FfEh$the_?FyM8{F!e+B_#2kO$l( zM2gj}J5|R@YZsR7Ft|1!-n@_U)SO&3U#HZ&9DNYScrCqbz{Iz&jRm&kxni!B@L>Ci znY^^<@Z@uxtA4|cmpqr={-%;?nhfQWA)4v`i9C^aswp2@i?e!D+E$TMf_uXlo)vZ?ZHiY@8C?((s9I;m{& zTbHqGinw;+Ufy$BITz5A_LFu`TBO`|Ipb88Gxf~%1m#bfG!;JsoC)W4M`n0iZ_X_s z@jE0QK;k)iGcZ}BgrNLDx5yAw%$l1bnW{(zJ{sQ*Z~8Fk;~PP@4m$SexKL~sTM6Gb z&I*>k-(9xXPEACur{Bf08m=yTw=;@ChHBLhUQ_N}B zbm%Ag;eL=1QJ@#~r$$>yE+5TS+zX+oG#m{1)ljcQFQ(TS~!2H@c- zAO#T~MR<8S!m|Vuo>3eMI>8}v7QDx4S+ssh#YAC`LpX4HQ`sQ)MNAhH=^$?Siy+aY zEJLVWT2m|>p;&R~8^MH-Sx*c(;mGbI_-Y~d-0WfQ@MW@d^4u8t6Cn&PClk(a(%?;FI>9x_~R@It(S1BVG)AQe(A zqI;(S9Q;|mrFn~I6xcw@qc|vlB5|()h8B*b#9-?dVI3lq#s?XDZ2snQ33>IvYzf5HgHXI4aW>2s z8>Q>_Z!O=61pZB9)S2lw-~AB@v_v=U1`_gi18k1p4Z>PP=8^xvXxUjeW%+XgzuoBi zdELcXK7ocn-Y$SZ_AcP>8gpi!{-nw&5IeHz(*7U6?Iq}>pcbJFB4nFq&L9t4Wh@jnC`;(^2s z!7Mh=0>o#}VK&v#O&96di}d6j%+WxphGKUxPA?83W*6LH$G~lD2F9(^9|K$E&z;-m zuOW38A0d^qxSS=Yq+a?2xus}3plCY=j^o$!dINaVmat7m^p+7tE+L-612|$P5dQ#h zIM!Pq7*`YZ0Wj~mkS4G^Hc6$sOIB5qJ_MOu)9>7^eN*5hebZ@*gpAV^m>U*`)vprx z$4%1Jx+@j$j3km7rl_VJ%gLf7=WcMzh-Z)yxAVQph6wqkd(>IhtGiL}yA((+`iI_W zl!DyL8O|_lkxNG`EAjwImm?l1Qa>dC%LC2wL#mJy7?NgKCy4y3=D_U7%%~XgO*5E7 zGnfLWA#s#}tVE)hV4~VKNzdG`%~!OWK$&(q>;17B^< z$P_S^S~pQT5;7Y+_!4VZM*`P@ zi@{MRh+{_y=p5oDETEvv$iWRwGzW6pO-8NpV`PUzYl8`2h_hw27GCtyx9h_X3LqA2 z2xc5Tie9%VciVU5KgMudyd4bZjnn1B;Y!z-{?sE|pgiUP6Zibb-(UOri-S8W&LUyw zvTFyg-Tw7B=P+{g_+i7{9dXJ)4v!y8{PK}w#}L0R{H=c~wegaD9PQ#chA>8m%>Gm2 z9wSUh{|TfUU6hOQt++0P`nln!%QaX*38BT;f(39Ca%gf4ILq3h+Qkgks0k2O)*sfi zW|`TDpA&Bp-$wXWtnE9Ke35qRIpTC~5`iDW~7s|+zlV55Zei4es0(?>!uiX7)PDbo{D&^G16ge2D%_n8a}{&wnzjZ+@1 zUKJ*kDD&Ss_ruhEFXY4cgB16%VoQ{Jj?%-Z7_f#O(gT)QAySozfqhpJNrQ+f5F%@1 z&CsKc#t;|hq%iL%)+NRVex~O3t zl!nCncv&=Yvu%^S$~V3)C`yS=ibf-p?nz$UDGZma@$sFMHyj(~L!xdWO_p ziU;ks_7y1NAqcd9H0M zEvMF^;khCz%DZg_cVU2(u@<ed=NAl?95ZNx9jiv@tWOSC#^s1&=Pry6PrkxL z343;E?!wN}iHwsQ+B%UxrH9kgj+$ebP;OAbhuSj_K0^cGGvfepc051L6P1lWBWk+h zb#b;Jg--2MeAy8GD)yWunG8Nd93-QIkW0yZa1upc7?uV2NCQ-e)d|iO0bdI03V96^ zNCBu3U&VM;z-J@ra|Ge4fRAs3q0&asY7JErgjbSWBC%Y&nSj3x_$I&2+&`S*jFG&= z4-5GE@U7!9{|biV;!KRt#~i>}YbO#hmrxpcO%Tv#M&wS7Cc6vOXcyM?akiHdm7A$4 z&6hRDvg`}_CIAhbpx(@)!(*U_3DobXTU|r(ubX_L72hG-S$kvn?OVznq#28)ckW74-Mm(nFJ8|>+0(j94FSIRQmL_4%%ZJLWg8fgbVGn(Aw zvPu!clM1^cLs}+~f_u`Wf^1;5f;9kld3mb1Zb16@UJ|6gVwHeGvJKwgWs@D*fJ=W1 z*s#7R1u^J_CMf!kL4+a*RWiB_MowjUw-->DV#*oFhii?IwZ?FK*>L5xoM%ylav>)f zjaO{BosmoFOYTpR%Ie%O)KA%;`z&%RZS$V8x7La!YsE~E+T4fGSTH$uB`Qnu-r%*% z^^A?Bsk_Lu?xL03y(8V)lh6>@PQ!=XA~S9zvlWbg`F*x(IdUt0O8H0kWYjvaqI)ko z{F(j&a!>`y4gnXD6c?AA66=#?dGu)QHA)?lO*@p%1rB9!@2Oa+m|9R27=A^$*1IQ~ ztN8Q7kR$M9emkm}lVJ+qM>vlu8w=VH?wNuxlo+^M(2Hs2Zr?NIs?y`hr->b}c`{mx z`tz+z)4F@JV7W+~>WfOBCu73mntMQ;?C&Z2!<;>*B;{b6> zm#cnEK=g=FiE)|!?6~CQn1r~Dl$b=HKRw2uL_V~opt5QoKtdXYZ~;EnG$J`^ zeG(jroDWr1^8ixP<`sh>k?hOYdi!xoa$I~8WMTNN>Kz2Wv^4171Fs=N*eZR@nBN_g z^a(FNKX$xhTngg|FS3I;A_d|*SLYTI?Pr_%qP!OwfZ;E6jADS?AZoNbaB64Q1NgAuue5go?YPu%I0)QVj-3!18Wpp03pw9Z3r?@!@ON82>} zMkb!Alt&+7s@J8jgtb}O0(lD-YFI&=IHL=y`aNL2U5^qEA2fzDv)7q9=1z^7BX?sD z>H+UqJHcVA1%9%6nD^JC*WlQMa5yqyoceitv_zd4gS?1WSHz&#(F>|87Fh=FDgXWx zsF^Sh)>t`MOQ71yoo#}B)+r6~`fS*5weT40Exa4+xjlFET*I4s?%uq6Rrhw>J96)G z!vlS%d-Lzr^D@?l^La#ue@;VhYG@HwXU3vas9t@h16m)vm?)oNy$Xk{SGQVZlt7{9 zw&uj6Oq7I09VXA;0-MU=Aftgn`Fw2%>=NgOcW2+A&yJwapYEY&BFcf ztSm*smeR?KzN#);@BzQm4%bAsb^pe>b;`U2XI4BdtgO6p?O562>;Eir;wj=?RZc`U zl%aM@L=j;YNB_F&%cpBjJY3OJn0oxP{WmIRE}Br}!1ZERi&~P1(%PEax!ya!`0(`y zhXcPw2EhrjCN$^M%4_?-sw%D6g@pO{E}!};F!uw#QT-tiIZ&3$`jAf-;fE?)zS`A? ztfB9Pi*H8foZ9pLnws;!uHK7;*{e@BJz70y5~fvv z0~V1NAxqtvtgcDH)tO@3>wCvO@x*&?9AS1jY+*j;g_rFEnaB~t2ZG*Xe)^L>>XanZ zp@Y{oLjROL99}Zwu*VoL%|9T2BBE01V4%C6X9^fTzaQ8UD~KU@dZF!A^>Pw=J4Caf zX&n-J{u2RcUL%zD`@e_Md)gD z!1Y%laObHncB8}8!fd(&71pbnP@d_{sx{fPmwKfoBQ8-p4gcD}e;vc!i82lTuJmY2 zF|}(qqO^Z+O=%CRt~m}0_6+D9ww zR6}xU(WOp?qE zw7Ri7>ENgyq*HHd=`iKPLY=y+2kk|tn`xEpNsE8@C2B-Z+D6HR%8LU$>foNVxw*H0 z_#|YA+Y$Kl+utI&ttV;8k56jNa;j`ETBfr56BRMdEm8Il5Y%?PXpXAZGCk_HUPPK3 z@Vj(xTGX5u)zO;{rJfz2lof@m{d$w3x$}!Uxi{UH>U~#v?N?{}zs|e1>_-f_ zcHEVcl3TKnDa&12>d1LKe&=yMe|(;w$NTkofBt$uKA&f*E<;z?2G6@s5(EPAgT#w_ z8NzTd?=Gom@V7lG@(lc9?K02Ny`WZUpUOW6Ylt(S#BOBQG|^EW#|Hvcpg|xRkR(+B zDN7xJN(13ET`}WnuDY(ly6>pdv81$-E7lhePuyFo*P9C4${7zDRMk1(Zm~6u@_vqH*`zgaEp##`dafe` z5fR^)QE5nQv=l9!s8{x_GLTeuo{$W4o*2PcY;mq#8sMaCf4*&S*T`~XF3?SvR`)G$ zdK98f{q)THo$BTMH+{$JtUPo^IY?$xSGfQK29(ZMe2xx7ELTVVc(lD55WVzf^ugr3 zbsGR@e~WJVt@Ma9%`#}PvMey&JMv1{@O5foJiqSZ z;ugNKQPCP;g{8}XXI=;Y{`1)W>K1rCR)eaRGnD+jkFnF`8=xLXHC~HyEqEeum0&AW8f5Ib)10{@ z)$*dK?ZYK({)}lq%w=nfC~G67fK$Cwr1euHb*YE8g4qpb4zy!k2%i~Q%n{abUP?#- z@>!$#Pv-?N#*(?Nk}VYsQKZKN11}9_0x{zPykMecKRl_?6h`cA>}4_qu)*=Xq@y!} zT#}ewjg64h&2~jTzK|H4kkA4sCBo5ozteFMshb@aU`~S_3;ZeA*e+goPf5&&m-l2+ z8W)SjYm{$g`05v1=^S4D2Y(%cxres_LN@U zAWkwHwyrAI02`5fp54AJ&B>oM&pc}(87^KD+`zJr=vA!LN+< ze#m9jrvIiOd);`YXhqc;qju(!T5?sgyq!Kr?fPjppZ(JZS1VZ1XaYxFVGaJiwW#6( z&lcR$J47Z>*b*&K_8~$+TI#j{G|=Ov{qY;nlrlfPUx_quGSI}y7^9&eA@#nqi2V>m zayp(52kpz3a1U9yF-bI@S8tETz48SwuXc*q)PQ)N1g_0?^JrZJ2WaGuD}T+-7JQFi z?w&4;AvqZHK5?J<}4ea?xTX%Xy= zhIqE}OcG6XILzue@?=+rK+76DqRhKL6ynvi+hwZHx&7&kdCvinyT9-5R%UFQ0CkmG z_-wuS@Rh_#$KBk$xz*W+gLoBDNcM{Q*(gBzPExCG z=b?c@?4O!)@zfN-{?m}g^1s#}!-8yYvi(rEl+p&EMHC*}m8&$du(AkEDAlX9wm*$4 z^4WfTDbtqNu%w(&Ftb!fGs<8cn##7iq_gxUlT~L?+Aq5KW%NlU_FVH&hms$`d+Z1A zb?V30Y+CsEU%>{uZ>CDsB`7eI_<>X9yJh~?|76Qa%}uUvY&?N3-n?)EhG zB9zOWGwOMAb>od^TG<)m&9x4>XV`+lr$_P0n|Fl??mxd9J~rB1+ZjZ2$5?|WjIzVW zL$!ew`Tu-#cHS);4ID5CG)eUr*8={?(-dp@NL1j+Ot>S78tGYZyOLR(%sb<#@z8MF ztTgvOUVrDl*z6vhO`2YwPn{k#-u~*%#I;SaxW1EJloj2e55D?aAH1aH-Do9A@>Pk$ zT(PO1A3K~ywqb%RxmMT3?Z6JEH9-@=6M&|s`)lOfDW+munb$(+HzvsrFv8MLaI1nn zn57xP`68}H-41MSrO<0`%ZV2B)Kbh){@nf8X>t^ zw~fY67vXppPdi_PhqJQKFyn|F70vZ7?LfBS9!j`X97;ANm2vAmT+ z(2}jqTJF+J5t)UppuJr(HZR*hvsLUEY4zXa2~HPG$Wq5eq0J9^N)wO`V<`3Palj&- zdOQ$GP0)!Fj@9lH%EmOB)1tvS$LtbtMuJ~|d;LNWlBoPN<;>{xoy8f6|4sr+ro1*) z63M$~IaShc|IwcWS)D!oX_GbB;}6*rdo)1k?=cdA+hYg9P~^W3L={Hr%Ks|;f5iAf zpkIFV?;=w@k*K})WF*p{a5sz~V}nB?$zH*j2!FB-9?WC7*RrQ%c9WCIYni*V%G`A< UiGOd|J#|uNkji{C*xu5%w`?;?BTdkL@Cy2ZV;uQk`0EYk( zjd9h2;y}=MrYQh7_bJcQ{)08kJX-rg%}HM6p9gEO(}Ba^CEGoz6AuFp008YL)1LAF zj?E(I2%r71rKV>%Rfg#nnDFp0P^u0m5Xo$!wr|0`-$wI>TEPs$?iNn=Fwt!#MCRQy zL(yOpQchIhY>${{rVN={zk*>&XKtt(_>q@pt+_9nmnw#>(6>o!8yk>_3h!BPcr{ zi|qH77F8Aw4=PaV9Y!|02e9$cQT?i7?^sl$^##`IrU}YeZ!m@M`lfHx`+>pP&3b(X zE&AKecHGguE@{TP?NC#dWkraN%Bm7;U4lY?Ed2gXuFJwE=W_P~qI?%EM0l#dRl}Zq zTM&_Qxdzh=8;F_>1qWZPDg=i&@;vFB$rBAx+0fO*i+-^+CE(>%uq%gXN!e?M7)g%H z2^{#u1B?kl_0Dgegqqh#M`uW4?@oTRA~ zS#aab#CmEi_scoF&K-0|q_NqCzW|+>Sh(X*r*HQ-7D^oNmDlK?TXG|d^d;tvgU`Gy z;mbNc-Rn+8Hj4*gHAW7e>pXAZrp$p@&`q^aCnxUfNk~fkNm@Yn08pkhl97a&n7Zig z7Wt~|Sl}^NEf5It(w!*Y4TPrLZgH^dzfW2t==jI}u9{l+fzxEn6|t?|%~Q$jNy`is)ud27g7K=o)_{AS8L5r{&y(crhV`h#{6opmKZ~Jz)Zl1IA72 z;BuK@Q5vVME)8}MuX1m~3Kz2EfWDAw6?Ea^@|U@~w43+vk@qItaf{EG9lS>%y0Xw% z>v5*qbF4T$Upa@Uyrcxg^eSs812O%jz{W#!_2%bM_2hqT(l6PHJx`BGHl(TmVUZxb z$Q`j$#|u`cNTlmz60Mw9q-2sR+MFJ6_IIB0ELD21?sO9m+y%I#maD25=+YBn9uFMs zz5;(G!vfK(VlFwx@F$Wtn?Ci}xmYzOPmmihrwSkez3dI zfTD9kQ@u6HmkOLsVjX8^5!2f?a7@*Dl;mM$kqRz zWF`SgP3mKZf<5bTXp}r+DRtq38SB_458}&K2;(LRjY5*u-h?WURFi+mtAQ`IzwgN8 zYli8gSV)^6m|kfX!jVH`|GEPri&V>)7Fx47Q%`YlCld`dkz?TrJTzceVImDYp`3@d zN0L?F*ej6L90hm-LAfG*&WZ<$0)y;Ka>`_NGqR~7NPf-%^%LY^{f{-Wd~v zoz`u@%&V{UJpvhIh5h|U|0m4W>AjTYFy}TI-)i>ZlA6;CVQc2M##(KrEw(llo_y?k zx5TSC-`4N+%-H718Uuk{?Hom0S$OA*dk**oMPf&=TAws{8dgf4Uu=#x`qLz^&GQCU zSLxeVzX;WiGyac;&)Hs|K@ML=*m1KZ>>qk%ENI8?Acq(Z8-3$_@1%_kb4l#d4(`~D zK4GfDp1Xd&-nc1mbnn!z{`5fmb1$w>xnBaK{d3b!&1V_K zu@tD%*mN~xt*Lm}dJp((j0x?}G25_%UMvm}0LVzYEegk`5Hy7wKR-#JdHCJ-M~gT> zL~Kiz9{Hd~&XAffBSBu(c6EEvzJGgottWg~o|!x&bS32lYji2gnaQC<$se^23qWkF zX=2vd?ZJdhw#N=KPB@(F8}Xu{^#g@wy(mR%Lc~P{CEwb`dya>MddOrKyswov-iEK= zib88RWn(1<3tkV<^~T_AdS`2NHohKm4%4!^Ggc5y-uaZ-X>`TuamC`PWf{YIO*GkP z(=*XfaQ3}g*tchA7XMkC92sBVcHUzFN6h`K&8RuOw#k#wKPuht2OoauZ47efOW&bXwK zLO8@qywB0~%-kK^>6?M>PF1EJ-69_Y^o}S<@wfb5q3+XmB3&~psfghsf>P@!F1)S< zrNlPQ;k66o&3BGLJp@`-yKX4=%jss2MKY(EvJXC`64mDmG7jiQ$6G2HRowM~*kt5- z9K>pP9Sm^sc6Ca3KlSD;KUtwHvDNpa=%wG<)@7?!>|P<&O6KJF@duqq{pEf_Z>Ysk z)K%z7L3B~E=qHocu9xAj{r?DfxsEiQ$y0w#8kOEVDutkMkk|D}{dT%aWsCs7n*X!+ z6GuyzCwTyXba4Pc5FiGKsCgkK2->$XT@ph-{Y|-~&c45ylr;S5bEFLR=_-ZTXTH?= zeNIam{lCf4g6YZp@IC+iVut|Vi~R3$NK1g7*iWazV8DOU+F;WA(fs#_mF~iIlV@!jw?~#2Qd!!UY)?3?yl)$aY5<6qVAhmD1Wv zXsmo%W1ImVjfIEOXe`W8L}Ou2QlvVXrmCU&sB#s}iSCYQ_;{nV21DTDQct^2(?nxS z+X!n!Iu)Kt=Ec4do{(4PROD!eM`$cesR#y5Ci9Dm5)?UG;tZ~p66rV++!00-f5`)W zgj>fHNG6rigwa@-{`)Di;8Qs6imY$q0AL;Ae?5hRpZD3lr#(-5tLW3zwe@uWJPo~` z1Jnwf=EuS(g3aha$Q%g3P+?1Yv(}#prb7tANg}Ia7x&}DW<9?mic+7m4EnMR`m+oM zvJ3{{30VfiSq39n24AuaMzailXBm)nv4G6Iw#M=2ySBzl4OQfw%`#wR8T`mH_?cz! zE6YF+Y~|tx^fmb5wd24eqAnaLAhdqvR(+s8k!3KMWiXXxFr8&EgVRUj5sV|+j^iXO z`kG}hmSylQ%iw#K!8ngT00#OXoQhz9IyjC5gUblGh9EZ(Boo2&03d0`4?x+r`xqY4 z+3j#QW_yV$51=+8;_&G{%ZVt0J`5-5hYVmiXN}565y%$| zrventID*DP0kKzOz||N4W-&p41xtTGequPWP%f)zBpQu?35-9O#BkEV6fByC%&dlj ztDyjVg#}~q_#5OqhLbR!Wk52OB9QUe-T*f=Aekz!?=J_~%3}XvlO&jDLV|gtf_{FY zoG=p_49V1X{j}eFf`E(>1Qw>AB=T15RW+Qd`fEfQhldKmkq|1Np%ysd=vD{`7L*&5dM!Z2PCEYrm?Wj5HvmrKZ~KHwhoIX)eGE(cHc6dk&TW9H~+V z7J%f*trLKthqDkqs{jPbQfMpOe8C994SFn!^lIhAg}<7?az)e%o*V zxb62pw*}+>x+UD%4T~q_l>1IYef??T)IZLh{>Qm9|2|hV05K0h{?k`iW1AM@1ObR+ zToG4vi53J|Cuid=u?3|x@;2;UiGR4`Qvqo_9*sw#IM;QesQ9FmR#r-TTuQ4brInY` zN=s=arL>2owBk})WhpJMlJ=;ScCV6F1uI$N$M3@nlG+#~2~j#l8jA%aK;@9+Q^aBs zSQ*^Y{I6Uc0WfQ1@W(o~0xN(I!wZnEvS#MFsWW9+J!r{`pBfYtrfc83l3`Gh28znk z6ztj>LR$A=E-KuU#NdIVod5uuC8~fw+`fVNpcX?N&!`M1l1{)*s*WvSudXgE|HDL1|%14m_izth1ZnOnK~n@eGA zV09V48VMdm0w+dp!wN5Tyd@zMC3zzzd=jsanKYJ6gr%vG02E1a`Z9_og{<*Brk}Lc z5i5*Ec=%;3{?9}6k^tO6@#6sp4)0AUxr};QfznGv@HkQ`h6*Hs0w9ZHG62GpK?%p= zd9a8W?`kqgPG-1Ciea0O)F#C2g+0SWQh;mqHAmWOj&O#Dgp{iF4p}bS@bF960|3u! zZHe%K%Q!wuTe!Ufw~iz(+pFQ>I6iWOR~h4I4tOb%Ge4NGU^N1HjRBW)2c zxg~Gjnw-EXeED9Sj)6krC*w#^-G9V~*Ty1b0n#4>Fh0r^EKJ2yux+so&yw1oWQLH6 zO7jcb8?L0#caYF{8tf|E&tbiE0R@2vc(QvE8Xiz_@Bm8|beTlfi)7#(aO5Y~D0m$# z7n$mA!|AmHP_Xbu7_tiy=cNOv7+Ay!i?(oi=>aMiJVL=EO$7eHdSlS$Zn(BC;wcxp7F$WdKMbNP)@08|9}8qg%*!ITjN z7yttbS=y2t2ooc)03q(>23w)aQLRvTU zc>>^U$i-3tpOh||%*|g$x{pA`5bx8uEtcL?WbY(FAHO%)S6RhP!cZ|*#Q?2Lw#$S! zTlea%(5rC+E}vkRhrEYXOo`R_<|FtG*mX7M-092{BS9}O8@!g|3#wHm;$c{M;+ zl&V$(QUL<{0-_XQ*Z0os3|y zNJKY+?ELaXTQNdHQ}L^H0DjHu04UY019BILHwcvCzK1Aiq{Z5R zG|wN<(ww+9f&lhmsj32E%V?A1r^`_RB1gpnJf3LHgChV% zE)?J!x*}HR%^;5QP+1>uXSKjHzwk)jZ|+XmOF~iIx&I~;;x=q3gYt$$k)x{8li?tR z6Xl_DN#8)>3EqE6azYnI6ad^$L5Ki_A!1P^fFS}74ACl_xl@&Q^DrwMuVakVK2(UZP<`G5T$NF%Sw+L&( zL4`6_wgV2NP$Z-W$@oG-h7lpW`*$K^P`+K@o(SoLB1dhdcrqT4U7|SA!d4E(B*rrZ zOXNO-wCM=?63I%TG;EU%LMI)^V>D>EC{JswC*H$F8-?A0H}la(?T!M+%1|U7IJyzS zgd?{}x^PdJpGeY!TM@X`hg(r78E!lxWdpb|Jdy-FN>d%?ieZQ(Cp=nHQ_d0~tCom? zB_ivD=g_2`frTn?fMKkHB01wRn!5Vu=shE--RBS~MX41)kP}^Rjh2>>@9GeuGlYN@ zt3otIn1tul(y|^0x|mDr^=fI!-31(9?;>^x25|xU7?<%y#Lfk*x5QH$@L(DUuySpc zqH$kfr;7Z^z6yvKUy>u9gQjj82o%05Aa+kN>m_oYrvWd!@lWhvCq=3+3<{ zvwkBTz13@o`!ys&fzhiZ#QPL$iQnP!6pdBGtGGW!GdPqtVm@K}^Enu*%2diU;KOth z;8l9lE$FBg_gAQl9Smn>ee@li<{d_gvYgBvidUfDX+LkN(V;6wK-d+}buchseM$wT zBL4L%$8Jt;M!&KN62=f$5fUGSU%fj+0?rlfd!8nFyh)sx5%MwzN9ogdGAI`|YK0K9R6rZPl95{2`e z_tB$)#D}~<`>PbEl_m!nPa<9>5nvPKU=vpHq$79|I74h#CXtV0XH^A^_|)rA(p>9f zVLWti9D>7Q{|tq>;4m0Nj8(x&k^fS`aT6Q)us|A1EKngJ1S-OV58kYJGknm8Z@wzlvCD`F=W4eT;rX#*ED48On&4Z8(!gxEwNfMksLk=GicFg9dj z1n?AxV`AVv*kH(kOUyKtzpyB-i9LDn7?IgIg6Wn+5 zY$0)w=&%adU!bTQR5-Skwo@U&#E%;-&2N{$2c#X~h*jE-`RCY>gqEi44&_Bl3piNd zU|9fl{WJ!7J%wWlm|n-j?4v{=?P5b%KW(!hQoZ{)4?Mmvw0;~;oV8QnRKP0mDi9R7 z6i^BntO8nrq(D^QVcgf2x8+dBtK-yB>R5FW3Ht$#pYhlX4Kle#hxkm6fTog35s%mV z(i-b9v?$)IQF*8hbenbYsi1}NE_78yiTWr_50<~?aU%OG5zk07q-d6i6vLk;gIr`J z(rAPi%9LKXP9T7_0~;A{Y5Ir_1FNIYa}`e8PhX12OXdO~nXy|(7P(q_O2-;Q7Pn%e zVOKy&swaB!8+#LhBtyfH$_O{yz|hr~73A4nj|Td83J`?ofFst5Clwrn8N@&5*c$0# ztl*IeW=z!FKA%~)M+U1TTV#SukyG_nhDy?gTWL5(vD1S)G8lY2!*Gi_m%1=NR!&NSabgR<=qX8U zeH~pr*eH1nQC34&TSFV(T!ADtJ8Q(q*g{3L8BJT{HlghKuqyCw+@{uhH8eDIRkT#K zgo#*H(?`l%j|O>5?lRjhOv0*}eqr#L3JYTvNi<;|kBatqVT zFf*lXYn9aV&{S0q)>PH<+koZ4>LQ^|+cdQFwe&QF@mM{08?+WpQxCRMU&<1#LDTU? zVF_3R6+^17hPtp2)=)}_QEMthdqLTw0UNPd3Jvy}4p6mJ*5zg_cO0vyt#7IfOiY!v z?KCCrv?T4cCGB)1?R3|tj!fm?p@sLUAMGl?7FsB9zSgoR{j|5j@h z2h1*2HA_XW?=W6j#{bE1wlYPL*R}6=8SShBM;+^k0_IQ;N*o33OJDCI@Ng`o8wn^F|F0ceLF2gZwUKj`bNoZ4Jwf87Ltb^g5;XYGC3^N{Ch&p)eINhYAM5SjIp zghPE%?CQRM7;M-5|60Mo!?*%Resfs{SO{5xEUTlXZ*H!qVWzU(R9jy~+f+kcMc+)< zRArmCu8z8<#`bM``sP$1%rF?&*!2@FY=q6pH3mS}942?y_cV=-fuuGz4F(2odrwS0 z#oq6zw=h|Z2Uh~rjdcLl2%D9A8UWh*6&;x{(a|XZZcB`YaS>#R(Kk-z#_DToXwrc! zte&wvE>AGF2NWahB6PXrLkzVEn&ndiJe;R-Vt?n;NH|;IB8pAEPa_LpZ`c3KeKA~g z7f!irZq7fbc^nn<1A(PU_Yv`r-)irFdiH7I?jS;{yAye{<|TWom60?dB?)zBxa)n& z#K)E7_Pgx}sdi}eW_RoJ;bz1T{UaYSWK2!iA*UEyCIyh!49Cg6C^=I`;bgRk+oLCM z)3TCoX2L;uU1Iacy2NYadtF4ZSLDh|cTc$?DAR+bh0{tH>aI&S8w$%C+Vipz(!+wT z=S8&<7Xyetu%)vmFsq!#l-Z{Z@W+sg3+x4X(!}Tg3gi8a0%AFUOp8#fVeoNkK#p zP2bhU$wQq1+P$Jg7YN~#dzOC6|#wcHLG(BG&`L)!x4q4k`+w7+O*eGMz9 z5q53}uoBk=8E^}|F4%@yeuB&rM2h0<<>x10fWB~MxlL0{+J7031Qy;6RtD&g_ zz!GvAEah%T01cV}-Dwy0KC}Zt(__a1;j*e<;4#yn)4qWxh2{0o!s0GMa1lWdXzC;* zXmgs1@AzPqPOrrlR{_t({JbEzb zsGPf~*|EcZ$GnB9TQ`7BdrWhnUtTwqMT7MjY5w|*)bjvZ6HBbt!;)$W;4CS(l#kgi zikKqdOtKhq#n%byynseUG}ps8T%x8T(56JNiR1%9lBst;ojmhMS;xB5P;UuHr~Vm?4&%ToW2~=}Ktgvu*fR*;!$qEVkakEL_ zgOCA8Kco-x8PdD1{~oyW3Gxxr4fz1+gsgi)2jm^(|Ktt--8k2c^1n;{ zzZ>R14g1BuG;mp(UdRo7iUKW*0?kzOKg@RBWdF-d|3@|a-z@Z>X8xT2E40?H&{D-F15hQ?Ta0Tkg7I{`bnD|A#jIDC3_R z?Sd8kcg6f&8E;_uYsk8*yoCJU!qPvL*$Rao4S5E|9R;bULzy?iiyI(MAuwJMeMkb= zNW=pq9G>X1p4hJ^yJ50%mUm+hFVTU76YsJm;f#nMd0~ktA4~*b0t4YJ(U*jyz-UO> z=thEZ>hs^@7SWA_GY6lgUH(|!KMZf(|Nm)uulX;6c>QR83VJo4^#wMC0^NiHLnj5s z5DE;R6zC}w7(yw~TPV;#DKKaSLnEcYz(s+EN`b+P0*#dd0~iH{Zwd@zls`f2F;vn# ztiBQ+&p|36zaiyxnEZlFLJIf~L6@SyFiU}MMS-D~0$qy&AAX&-?I}*b=KTs4iW7{z%_CMMe^zAk>d2vBbful-fh&vvZ$qnssv&K z^VLQ~1igty{=yvJh-e}aOfh*xLi-rM#o+7Dl`&7_a*ar}x4uWsw%>SdKvc32h!@%3 zn8uuJV`a&b?hM`eUGr=F8HLy_PJY5XE{_NxHOv@!MBo03h-h<5e8%KT@m*q_l5+a! z%+sv$R3|vdeoHPFv&c`Vc+uKBm(_`ovLjjL16Ny{$xJb@h%ub?Y|31?yLRt-)-*zj znTd=|$ayg6ci<;l+MP*JKs4;(8oo3f#vS%Psiv;(aoX2Az+3G!oIB_!fy;K7OimPB z=68c{HYkrinr+eHzPFvcX|geJ@7~*?Z_%~F0%8ZyM^CGI0IbwuKQ9k}Q{;1R-^)x= zK-4r~&60<4`*D)s6c1KR0jkeV@&j{7b!^-JWLzZH=uJnO%%@HutvttSG2 zC$m}!QNgY6u75l$SxSfo!mLt8xQQC78Y;T#S{kbAsv7E=OaT={L1n$Iy57wey1sDC z4B-Y8aQvkA8Tf++)g#9bBk+~xdiMH9*SFGN1(BPh4@;13M&bh_yR|}SaUFq_1Rl=h z%C|D*fxbcm&>w{9?S`h3p)>7kU;~@8X_(pYy-xf{Rdhzq$B9KF9Y(qNC}rLabME>x{me2FbCAS)TX4rQv6Hmn(+} zcNCU;;J4*Z2!&eL?6|kNX2##Ily`&c8*)*Fw}Y3KM{bWvqYdF(PjNGki_oz#daU3H z_am&`tg<~dETx$?=say{gf5hbAa&YXXbIpAnV;!?x$%t1CnVa~Di(hO@Fjh4iG!bzNHhw?E8~JPH*vq#)&z_BodDvG+FS4TVcAxpVbIz3i z{#J6|P)K#%^8JXp&11`nE<08(26?PVc)F{x_RbxgZEH~@jrU8^Hv}`9OlBG1X4&-S zxm2DvWde)S%wzQT+Q;{wn=5@DW!C&?p<;$mXMg3^tT`~2{!o9!CT=mk`Nr>Bwf$X3 zwJ$GQ4qm`c1)Pp|O~klUYlJT)&PH) z`rCTmI@)-cdfHDj)*5KG-qv`Ey?L^5@9sw(sbhOeA6@Y4=%WWFd{#Rw$}nOQlLLc3 zW;lv}%3po%j;{OgTkTPBWV4IMrQdyaP0n3LBHo+te>*$hbG*{&&U{bpq{VQTgn^!F z*46!yCr2dv-qF2z(ck*jO1h)#hec|+EV^mDN3$tYCwl@%N2AXR3n& zHdn;+3}dr@xQjTc9uwV4*d+J4o0vqt`@?e4{O|hwqtyRQIO+OpKq`^420pr|t>`*C zI=iLEn0(O~PG90STLZZhihy0&m{KpaYRDGxVE1N)ICO^aon0v|HXTZk=Dxsj*Qv+% zY_|?yf`k6lp~p{jd5(0r)u%Y7x?P zkyd5p3Mpy(epmHsT10X4U)2_OGT$ZsMAVk+O=?SJOXSi{x^Eb2mf4cX*Y~MWqNQKq z{jpywxL*@uxCi1&);ckf+iQ*CH<3x#Z{OrejD*s&VFKN`m!|JH6synd`}*6f%7EoiH3(nrH5nueq;lDq;PYv7O? zO1k&^ss>9iw#GjLM!>ub-zwGmvwt}lkr=$xW3Up*z8VD z=96TLOg|sP8#}erT#j8EN#DYz&(52%Pvjk`@`<5!vW_fHKN=Q#)0GK{sD14cpkdWU_3_zE${5*du1yX2?T=-YAjd zr@K?-^Kl{=hSmVW-r+XuQ#k^?FD*b|lX+5sU&^9Nc|t~2)rDRQk?(d> zUzHN&F}6Q%UzaX)4(p~1g?Mva3L~@kTD2doQ=F*V#8QhY-#L+Flq$vu-s9l<>P9B- zn0~Slf2R4VXo84j5eU0NXL_omB>WVYIoVAtubJVQD<+5AuJZW_y35RV-SI1OnlPZ8 zZ1TJ3*S%dzjgWm~G~tu|$f*2r4!qEct}EF;DW!?=zM2{_;=^mMHc1`c8Ya8^*8bUIHj|7P9 z?Ud>)_O^Ubu2XvbpygCExuogX$uMX8=bygaXkZ26R=Z*U8W+ zNyi{9vG{wxyjkpl^t~N9asJNJUbdy0RQK&}b-UlR9y{To8=}izVF^C-C~J$YBL=58 z$~30x#1CbEn2h?If8w6ImV5k(hWxL;k|EWxg*~E|ZHrJVD z-l{b)LN8ya9Wm)y1H;CQtdGHsZML&>5kn@z!M#rJPOFjp9TiDfkqS0HLy9bp6REK64bptfT8=(#F_BZ)Gmo*Q`>fz zv&<_Cf>Q@t_k`Z;baM5j204vrGAIn?q+F*u38MpXE82GnHq12*lo&JkGqPdJeGkI2 zR^I*)Pgb_wn|q3_L&e+=HO3v2G}O*Lh+z=LHW&Qj_iGFK<-wn#GG>Q z-Zik3HV)rl*kfbg^H$_?%LRuF*2oCKApL25S@6bQ^A2O#&wlOx-Hw$P9u%sn zoxbqK^cTDEsWbmbaxah8Be2Oj>Xst%YiwESyvg$NkpZ@TCw+lgH-v0VxrlsY9{7Ur z)5V?74vB3FIh@mr`@K0a_sDL`55=!WH?SPQ2A*z6}UhxW1Q&1MllL1iQa#b}4ijz4PY7 zv+`5t#QL6=RHkqj1eNZqC|d*W-}N=0XT9pd6kX%ZuV)(55gGc=S1v0RAx>dxPVB?m zt7rR)ioRA3t{B?P-kG}7S=C>h%g*fS`nunIvz6grrR7TsY5O0(;7AdedJ>VW;hr?) z(IYZEmqQ<%x!h9|CSgKcJ~wh<4RC(^ZtLQfTkc8O>*4C`@#=iH4f@%+!ukuS-W{Xa z1r_FspTLsfDyde2DHn+d8yT*o()|L-Azm!n6?b`-PP|X*Xl}+mzc7(CaA})!hni2> z#n4B!6^}yCcex~9=QDr2q|Ak>zqCny2G3;mL9uS>M{cesBm=i+5kq2%`yHE;$`)^s z#!qBUeJJj{c2q^=tI~1q+m9A*$y411!RFmhOo;U72U|?$1mf3#b(cM$GxtOxvh)`Q zCRgcxGx4k9-NwoxQk^wwx!+P;9uyrMs^>Y9dZ>GY|25z4Q_hxh{h5_X+`84N{Wh{Y zIFv0PJ_)&fUZ99|YWTsOr7gi+L*?^P%D>XNgEL?4O%1hj{Z(C^I{osQAp>pOOxgPI z_t5sQ(^|cUE?vUQMBmFv^6mjccqFLV^Qk8fzI7q1CqDUF<|orO`~ z_Irx4fDL|}X)MuQd^cs%V?sFKm%!fraQ4NkwL8u)RgPQQXo_+rS=mSD0B^3z5cj(^ z=2tzG1pO;Liq2=^yZ`Jn57JSZ-?Gw(HE)CM8t`sVjnC-|4s)H^pkSF>dey(y#_n>H zKewW_)V}5)Mr{FU)A@WE-{&-M4pj)7XKha1 zF(&c*bpeS-!QN5-Kpe3h8TXit`nCpsvI^*fW0jMa5DA1H);s6L5>FUPye#Z-$yj>a zSgZ@q3|_T~ej2X|Do!~nTlJ&9J@3+6v(Y*ksV42MyRO{pZF?s!9_eAgdBd}&)alJ_ z5&j}sAMViyi1d)DWRRZFFaAe!biOsv_M5qiSgwH=_Q-5?SaX^z(>{Ip$^hA8RbaAT zva&lS_Wcf<*rWRbiiBSrT?3^dQ@I;BuKWBvn~ePCENYw79gg=`Lt78g*`XsYdA@`+|ApMYVLBs;+~{B7LT@M=du+zKWA;ykw(&XdzGhJ@fn+BJOie z;;BvKSvu!0>yz*Iekdvr-O+4NIakz~A|UZ8Wshc0uXCoU|CrlR@%<&G3@PQw)`)QZ zdZ7@cn^qi~roql1O6ONiy3b}ljcG_pDvxDOl5h5VhRAKmKeK-gC|?jnbJYAQzRTQl z84(TOO{sBSQmpT; z2tqe*C*v1P;!Fn9#D!TNjWe9fn>&r1wcLYGH8blZJ83%a-wTI-5DEQV=4jSGu8PrJ-FJSr1yF7{6SHaFd$KTvl>ij@C(^|UQ z$~Rs+aV%QkncGyN$lTz_iMQ`pQT?uLnZede<-Fw32O~pb(>Kq|4|pjAoyz?#c;1#% z>-g8cY(aN_orUAJ4~Ub+4?~&sX(X1JK8^UTC)??mzt2QH440i(Zije`1X6-4U1tTa zX3bttZ}#Br4fbzIDfQ#no8s?pQzq@^wCh+=OHOxEkh0tz`9u*{pD#jlBGooRuungn zQ*b2J^Tzkoq#eRX8&J5yN>qJ-Y_iqhG<>zjC0T4UXCg*#_kh0CV7~^I>FHY}qz6`8 zg_A3u49*bQZ+T3H>f>l{WIQ^5`IUK|D%^1*TP0c9;e2t=JI^>%PhL%zow82SI&K%M z-6dA8hnQyj_Xu3SFcMY8J~d=Q88=pIU*0T!{mT*cfr0YR`Gm#vo*O&;{b*lGwiX14 z-ux(Tj@A0j^>I094VZ?)AuqFP3rfIfu#U*)VG+BZt&&(fn%BT({}GYEn1|zh!RIzu zEMb;AJR0U49)CEt+HKJHI;ACxld5w4=9Mcs54k!&Fz-$yzW);FAE}ztPlk!EforXC z^hp)L)mw%4mL#s$e^bj|1B3VJt2Pe~ZYg8k{19Rx^+CJkME)~5mE6}2>5~GN_))*l zBvhN@4qn@Rd}}x_rg|kcOy1AsaO|o?h#vcY)8aoTm*+j~CJ-Ob)3<(+PD--_pq;yc_fKdVIyjpR^a2`2__y z%=MdW9_blBR-9u>IG$x*(ZA=4hBK$Qw#+vPX2S#`?!{os%+{|`=9_Nua_r1s0|EVJ zr|x+Sj7Rg9ZvH)&ca}EQJSA~+lU>KIzC#DY^$R@kb)xjfk`CG%rKKH9JC_bOuS&Ay zZ+Gh( zgONgRvj>~#Woog%)&O^~AbohC(Sy%DSfXiFbhh*CdEThNWi`LUMH4y|$2Sj;tEd(S z$bSqt=J+!DE!yG`U&@(e>7;Pp$~vtOH=9caIx+Xm?s~9OmzvK{rN@*_8SYN$5z48l zwRAUnaaNmje^?rKSj_C)rS$S>Mpi{-2D|s^s{HJH{I8&Qsl0^e+DotKefIwIcFs;B zxFR+0vTK$nHe^RTXY%pOJ>OGRZgPY-%4MvjPyVSekr8-~_Tbh?t<1g;IS)HscUD!r z56FDtoEP_X)7?8_g!n|M<^Hfe-QwEyIAfJ%Jp1N|HGMEI=0S+x&|9ULSpj5@Pyi@ z-!oH_<=?~P{L++aS^UhHQI>M0f!OoJ=Jy^?6LQ_$>tZV|NIx)5ut=)ZaIO>vO9jmm zq9G!1+I%HUfGsBZq?#p9k5ieR?sUEDt1MX&?VR-B^TQu+o?qO93V;1oR}Y`v<=A49 zz+3~oH338Pm^86j_o5N&vn@IX53&LSbKSnrT@(qBDk7grw%$74V{HB9!q!LfX-Z?h zYrtm>Ts4+pPYuq9_XOAP>Mnc6I#WI@c78elYZ}T^J9Q=Ho$CvwBlJtJ3j#(z_MWqi z0NhG4Lrv!cpCv|A#k9~9J$RP4g{0Pq3(l@a4Braax(3X;RZkn<3#8#rXZi6ZKO66v z>eyF#<63prOCGkNCX(U5!Q+uc$=Z>6VOm9cB{Y-Vpcu}d#xOc-o8;7=(y8=5tq@;xBGana>^eH_XzTr~KU_&2HJ3g>GvO6Ynm& zeC>tGn-87zqmRovcXEhlX&SXRQ>qng2evMou&r5K7Aq;nQX!k^PdX%a)v?g-DzLSO zn>0PlPA{58-(w`0So%V=Oxx8q+Lj zVWfY*|FfTCild|Er&pmAa%kM@xx2~!!TYm*O5>aP<*bjeJ?5^|bcZSUN#JR1(Z-sy zbp?e^{YNZ~b9K@NoPrqY0fdA7+*RuxE9zfr9S~mqp&e zv54h;x$KGInC3(Y=apNIa49aVHOI#(_tVfFaZ2QFdyVP^e_rK;Q>XXDJv`TYGJiPS z_!9HPX_OfKnenEu_t1I{4vTqg@!)1Bws17|Xd6qh%=%+^3a|OP>~Grj=*@|__=0nC zXI#%6`Vo-q7jo~@+z3*e_H%Vdk<4m?LGfzh!{UTh&0wVwoum7{@#Q^V48eoy!U%|1vP(7GwqZYlwuJ@{e`n3 zd-B6x)^9jXUjxE!*~Y}$t`O(IVz$*p;{fSyS3uD(Yc4)#Pgh5 z^4KqAR7v@Qsg1*IUSndywHfbwz?5TNpmD_hr zw{hID=Bj>|#U2^HYMjW)IIx&LbhuS$Rk<%dLGWpq+`;$D`Wn?s^073Wc2Zs+w{t4# zT?=OpZPqz%gU|(g%sIo8S~L6$O|x2q?zKaKaqb*l%WxTaV2BbX_&&(hgnC54C86j| zdMr<~w4ranYu&y5{o6~2M}0c&HhE%YgybGAZe|YhqokSB{3t9FC4iEqhfEZQeTaTh zWA-4}W~e*P$aSy{>#^T&aUk||*1<2?Jr^joI%gRBcbpALxmw83*5G?0y5lRq-i+&9 z0y|KxrJlGf_+GB2u6x(Nt1pK7~gcApYfe zxGBPh@Vf^ z`S6zdU@XRncer4t$K;vBh8owyqu*V8VshAL8#;u%l6GyFuK6(L^x@O+xd+B=+=BMX z6|ce_(dk_9k|Nz!4+`B_Hh8gZvZp?FdE4A(CCwI{Ho~{rY~hm`2?MX35BcB9ys|Iy zZSQp(3c|<;>+-pyYsMgqlX_=)x9f!=X;E9+?pS2UG&<{>2qK2 z3re(*?G*E*rHIl!$-`syg3G*YMsbJ^dm+QGi`06bo!6RDw+Rkc1T~ahF1dK;Mk!mp zy|TI`ZDe-}Z-121{N<0P#__Z9=IoeZj|5{4cK1jzt}j@+*LHR~awt^EvQu^P!A#D6 z%@Nz0Cr@sCv^j+$$?Ob~xs2OclHs%OIR*Jm``0qIYGtoIN_mU+`rFjzP#%&GCjjg4 z{rhdIN`T+NlY#$yr@CIa{()QOQ59rg<+bZ5Yc#zr!QuU9_IQu_VLqL7@gZSDB`@>m zat99(c~A0IX!`FRDqPGNoywWc(6V|vO|Ztry!i1cV$4f`>#FlU8?Td^$gfjK*{s2N z`W&r+?Xjz}Q@b=sc^8NgeX+L;1Eo%+LJ+NtbVemcY z%NcvRriFl@U5>@6lvkHdRTs;MU;2HQhvhGp6WJhQ7`0;|=S`^wr!gC^?42v^%<eJ}>h4*J8Kf5Pyowri>dTyTc6! z?5`a^6^*^HC{YB?2&{pi`hJtKyZPhX^s0dwiJ$1s&R+;~G8Ls@R>sRY-V?H?cihFu z;o-UDl(+_g?a0S#FCX0K?{gjHkX`Pt-}-Kg_^F(pUO)0p<)R=nqWU)#&+XR+2P;$V z?bd3QS?H={?z@FLq`9t?BCU@v>$L$`hxczvIqU89PnE0}t$*P5A1c{$8=s>S(9z>J<++Z_bL4IV!ImpGd9NRz8nGdB zzi@foq%JFq^PQY3k7SnD9-22ozoN(SXz{bqLt=Py8OR~>fz{Da)8J9!Bq)`w+nU7G?GK6a~z{*|t*eD9r-d9Z4+2wnS% z=JeAfdug`HNN43HwU%_M(HG;5-1sOTlTBD>CYGBZIwqO6&*DYb8aOP$=bxI>f4`ou z#?fp3)HcJMTbHgJb?lD_`Emd1s0bjSdy{d+`RD`^Us28d`|$VYvdH`7Puj>!*fo3@ zi!RdkAoL%pdhqCYccqWD-I#C9VR}{c_xOuA1pfW;dxU%2ATQVcV1?cDx|KbQw-;4% zwJ3aclTjXe6W-%6<_c%pL_JVFka@Wu{wb%M?UrzN@ zB}NYrX%aE1SF=tpc9j?Px;LTKdp)Z3DoCXj_6yY~&!)xI1hrAY?}19)JJs70#Z!2TuPy zaQ*BiB39}46;kL#tG3C-R;%QOvX}i1whd;Yj z?06I$s~Y6Wk!vQ_mj&xlX*M^}+?ay1HyAeksd|#GFB-Qn*F7j7J2jf-z^cD2`!m~l zpR1gd(iPbwfxOdfkEF^&vIo9!{RFy$Y{UCzx#JZ)!}~qX4u5R zF>9a|{$uu!9C-di4$S>cs4aA%nOn~pD*_|ay0gW3OCN@+#u{J2dHIAbJ9?m5_$_0J zeeB{V>B4$hZeHeB?JLo=2H(zSTT7ov#bQF=UU*RxYtlZpl&}VjR!k;x&Ta4KsP7CC zxpR1G@zT!VM>QwpMNHn+B&x7Z$|Up4KEHgB>SZZA7)}@fAz* zDHrE&YZV@Gdw7c{T}@A^bM9$tW$2Uov96KT1&>w58g45n7(bWZagAW6#|5dfZ3jA% zlP;xhGC$;>Jni}tzx3F#REU}jCdSp&wE z67%pqDa-zk9nk;F5E3h_VbNKM1ztF{vBm6sRgo;aEl|@Z*x1Jwtc?F&NCq3*8oAG)n-z%67;Hxu2AlBMr$8~{PQ_#*{{rXwb#zBd%D zCXqgALm3+aE0F6A?v+(()nw1l?L|?d#AMz}XRq~roZxB^UQW%)c~kqEdD5Wj^EumI zGesl96xMn5%~u`4(X(DRW}=2>B811H%g4G3Lk<>Oq4vv_>2kFFz%=iD_SjF=*-6QY zp?=74G}e_qD$2t)CQqE$|8at6xc2=1-CbY%hh3S9@7%CM6>;|dK7Ta|oC^3VR`A2{ zk=vs9r0v)cpXJfD*0^8JY0Pg)4+9>Um$BUjGnH4R{k zo9b~r!MhFL*fMd^ z&q_Aw=C((t(pB)!HgCOnZezHiude%%s(^In35g#06cyGKvCg}waoXe7y|-rPdBcr1 za5XKR3po0f{vmKASs}xt!lH!2x6>#*qTW}&t>;X{kIZ?YV&m|gXYYTnh^Mg1=E==s z_`q#)>vh~d`Q-Unxm^0wPg7<4cWhxa3;#;#P6+s7C7W6jq8QlC!OhZ>t<5!V9y`h;Ugzr<-( z+8#bUZ0Q~Nm$Bei*@Kc-HS$i(rmWgf(*+xfC)#gr)Ur7wd%0Kt$1WMXoGsSwoK)3q zaNM5b^WQ3eH}~CStYhSd&8lk6`=R-8$EVUZsw4IvaFsiK`+rz`O4d%c+QTRc_iS5kXbFAcNBU3r2I{IUm&vd;)A4<;6<=S^t>(1P)&dQ(VMocizYN*lZ+AQ{ zPMe}1jxX>#%v>eclb)oR{iVcLvT^@or|!1KXX?h=u1o7ha+FuDa1ZNE2l;b$bSPDu zS33_n4{O9qYc?pxZ2QW4^HkFFFOF?z==G&@)d9*s(uIOA+8Dr!)IagLc^>pAXHlOn zh#Z%a;kj1)6@+e8JH#Pz=&{K~I_q@(F~(}Bs);Ooo%~IZ5fOPUIO~wS*faqQH(&_Y{ z-%l*SC0oHAf76&pg$;}jdsk1;Suc(Mb~Oq&bLa3?!56#b|Wt}Q| z5fm2v`(P3upJvSDz~PUrZ*ulprSz|)0-{y6B`xy*Gf#yEu37bmqIK#DO_!Z{PAoi;93RyIk9Vg;6h<>$PGY8N9sUQzq}5W~0n)Jvtd+5Xmjk?Hri>KC(| znmxgM(d`ubpf{15C#_|AXFomqIFqeW@Sfe3N-k!X6^svlHi+TBw?4+ubZf-+?Lm7y zdb5p~ZRCw5KG;|7MJo5U|2e_5h8?_;5q?h8^sN_|j59#3H}pf7APqGTDJ%m!ND98A z1?dQ;0B=SnJ78-M1VHA%4}<|83Zn!Tg%NxTlPAilOwYZ@#G`<+Ulh1!6let!xM4nd zp{w}x4Hub&6+mVpwtE5(L_$)>z_7%AvY?afv`b9-Op~3b8(w1aVS3{X5}H2e5|bck z+u-yKmzboPTwOqdlOH&-PJe%iNsDPEm_Oa(GEgIvD_BDth#d`KUjebVfZ3D%U0A29 zUjgdjb(_BM3UFyb!4;rn8knP}0NTJPTqLnv4d^ToMs?nPs1}~9KrPDdKwrsCkG={t ztJ8gY;WeOHOF$Bjpqx)2j1?VR{P{yaN(+^Mt6-x(?*bhjJ=FoF7om zF%T!v3!+Tq29UGLYjUHf?DQlMo7o%4R?!J^dC? z{;DrT{uYxEI6hG~a3U;XV9@geZRhl0n)+(G_HCvRrcbY?H{NE_&;X?Z^bM5=eSWWD zDRlb8+e{LO#latM1IILkwWo95VRB`ZQv#{h&6Z^7OrT zn0UY&IZ>DHB5Y+~_^-!^ypR_;L_rQl?WH1XES}B;(}?J^qH72CrzDX&RLJ^e=1ot$ Q%OuNnmj{@2E;9k80BNauvH$=8 delta 19428 zcmbun2|SeD-}ry7Sr~&cCd=6Ol6@OnA|WIxN|qv(ElHbF$)u1hiHRv?%bt+N63I?U zwuGX{(qhYK(G-o}neOL%fA9N#p4b2XeSW`r&HG%Rvs~w#>+?D1%sJ<}u1RR%jef|h zv&{~T5dxe~QD%hW>Bm0X-%9|%fds>B456`p6|#+~8DUE#)tU?VpkSwYB( zj%Yk0>4ruDF-KiMZVxwp8gAUd#jgv1u`Z1$B|!y17=YUw?_l{QWvzrkL!RY*VSYtW zZrOy8V11gguRsfy>mDrq2?AasU>rG&7r`~Wo+!4lJK};#MT@G zh;mdu=vlURRK)$!Du^&FiId=+sIUsAEgTV)S_g{}+`JBeCs;AzbwE&VFOjs07e^!W zY!NC!@MIjK^bjb?HP9?%thdNn>+2)1tntlD0Cd0;mSBco^x@f^Sb3O(Gb zN*RNaUqz{6P)Zq;dko5b28F?(R4^!&49WurrJO;jW>5;MC^ayX8zOirUX=KmRwye; zBgv8ER^W$QMF_XDf|!Z`oQ|5jBN+e{M-jlZ*a$WQJk3>J&JYKP&>)++x1B#9f!ho4 z0yyN)fM^_65TJwwF>xFL%`b?%a{fGzAi(eo0$PE5sPaoB=_PXHJk%HQ74v)|LVo=2 z#vMM{)SI6ec;(x*bJwvX_C=6#ktQlHr5_Gb!$EWcg6AQoV#z=jCAhuw^%G$U4< zXjkOKaIHvcD}ue~LOUuaj!V4=_J-j_h_r2TWa^#sU`r#tG z_>|p_PH#ucfo)e7=D+ij=gYH)FtQh3H7YBAderC621qJEh&!Q9yALaIs|m^DIJzRE zWd&8dC;&n5KnLnl$oO~fZ(LFq@8QBr;z%Si@tN}q7t;lL1fi##njHO&k9?U{HzXi? zyEt=}M>+A*uSNt$6Jd1i*R0nla>KWTA(U*{;|m?!%J*)!-y^_S0T?^@^~!f1^7Xpw zcX(y%RtgHaly47}EF#he2rx47Ys?RB^4pjTsl2jRt3Uq`Q2sSO^b`qUZs3ElkABQe zpvZ|!;nZoI?A77gX9VRh*<+17cnKUYNt9T~>S3YDw9ZUp*`lR}Dnz;KTge;(^}mY5 zLwtn2{8b3AkHe729;RrbI2kX5lXKaT*i(HwlvvCtyxY?lmtsxC+y;b7B>3U9F2@gjzkv|BVXi3BzEZAz#{iiZdbnT_ zS(tr>fXoeZ!;(atUvRP`V__UOjH}1OxJG{*4~eJ$G7(R~;Yc2fJo`2QAqD8clRUO_ z?=t{GJkSG39%g9hAtNv+1m<)S19Q@&VwA<<&=0#3bn~kaE>MN#1Ep9la1U}H!hlpj zDj^Rb^QHx#sa6aSbWU`7eu#NDIk(K0cHh1K+3O*Ke z#9MQl*D?R&2f;B64)(?i2#+ohL@wKqcyZJM*tyXtJoyd+)%f{xYXI_Q6dpK&eU71MGRzg60U~l{7!pyqmfVR}LDy7K z_L-w4`N`b!*HL)BEUcmq7wl7rGQjf@OmSEOKw$|O6p@Djq|ngEfj|)F!NBNDUOt#u zfS&-cSV@|YaJh9mnEQv2eiVAwNOn$#^`$gCC=V z36G#+pzO}i!^aLoKMg};BfJk`o-(`-!O?>UL&66i0@CL1aAY7a#rTa#W1bXKXaHu~ z!N{T#9`ci$+U{olg0-mOSNk#X`uc0w7|A$!MJ4#-g#C&`;kj}{;n2e6eGkE99bxk! zU3`eM4_A0J=+KtLbWj-@k_b=~#hPxcIW(+C;_q0%=mvlNL0 zHG<-uYoG6qBSbDTH@hDNzoz{tAV{F%q#nVPegtxL(wpodSQ}r0;`im5?oxz^A>;qh z$)-Ahsbpx>0j0Bq9Kx2V7dcr1M4HZOGU0*FBS0)f@T;H=2$nQtwFECH*Les?&Uk{P z0Ig9;Xb-y95^K%C=jgw0Ll10J}M zjtCsQgaHpl1b8?aeUuHj8f~CDg$dkzd~kA-ExwvZi;L#4R!q7>WP`X4UIVX&Q+y78 zqfta;2x(O0L&g!YeeOisrL{dGZ4$(zD(FX5($DdLMFS?UtGp)e!Jv`DOX=C><>rE`=p1 zy5P||l#|e-&aOpFYZ0O=9;;)(#v#r)1jma<7E9IBUF> zPY!zP5yWjBMo659=hD@+KMf495kwa}MpxG=1fbuCpd7Da5I10ibpr_74H&xtDkn$t zX26eaGMi|JYgkJ-K*cY7K z4RzS-5RY|8_#90{!w93sqoYQ1(oj-R6WSN#f5P8e?%kM#2@rS3bMFrd$LZwLqUYg0zmJ50N3VFG-*PB;6>zvby0f%63w0vI5;7INk9nMN^JAr2(GMC5~MM z@NxzGGB|!R!lMVcu{(L>052kUgr=iyjLs75p#^E{VnuL{yCrG4+Pd81JOH5F!e}40 zwN~8%j!L(bmce#0Ua$$W&6S(BR%Z*!>IyAH=O;c_nh#C|90``Jimc~a@;C~G1JfvES+9>l`HaHgUN9&>QIdGKQstK<+Fm_{6vt1mB#Pep(>YvyNwm`2v7!nqyRbTGD$vhI5Q(?%5C1G2?XW76XAHi zq&0=&>&M`Q9S^{Xrm$lvpPL@PM=AdXBASST<1Ru*kd+3K&^hSx%C@o1s6a9Ttn zt{+Zt@whB)5~)+4Fs8tVA(M#V4>;5OTKh1JBz|9-`dBv@v>Fgp$B5(I4Al@-a#^|| zjDN=OLfRrr$X-ip(6>d5X?Oz#B#5SAK*86l@eRWjqubaTT9APevXNG3V8qW^yZH?5 zIgrc^Kr(IKKoLn~2pQUAMG2ef7y?^NdOIPpp0H0?PzXW7>wyYw%$Q6IG83R_t;f*P z*RSQ3-&~IY(o#Z)>oK&W>(?fkD)XcjAqc^l2N==RP4}R}>lyK;atMJ_Qh0&w?J_g~ zWoTNXr5x>z8IO26ju|M3W8J4fTYb~`V^t7`gR^3@qz%0~dfKuUy5d4O0ud1>80zR~ z06spP01@jXsjsc8E6$H2BGJlD4m;F!bacgeae~+lN#^o=j)08A3BglPSSn4!d>!IU zJ7}(MM${1};H2eB)EsqW9d%_L^~7;F8LT)_SDX(giw%+0Hqh7Af?;x4GEq-l7$=YP z!@<(D9{>eJuECMkWv=BSmj{%P?KTE_TbwO)cIZ(oEp|Fu>%k0GW6#U#8R;7sLU|1w zxU~)RwDn+>l!_r;dhJh>nJ?zZQ-kXMmh@vC!5v(lyi($KwoP zF&JHnjv=g~k({EeqrR-80j#>Qx&YZgTT5IFw@yyL#ol3)lhqE{ZR6)@7Jgob4|^Yy zh59i;>V>vz!-}m(egY@+X%k&_j`B@mmRJizJ)_@y95lMc102WZmkdrO(0VtmrXT4? zaWO7hZbG49Fyq;#R18O;`P;?*4)|_oj{4mkS6}jV(zpe;5@=Ri6_K5cgIoFd(eK_G zF;2T*BXSWjIF3v@)P0VcbO*5q@5D`I^u+Ljcpj&q;6whthnov|EM>PLZYURoh)dK_ z0kR@^0-kH5*Rf+7YXEUC485we1}KZ-1@PQffk*tjj`PzH2O?~9xC9+#phm_M@i^NP zUWfdT21~7#B_hJOR@ff}b|AleK>-$j`IvTzk3iDU2lqk-SQgZweRHyzQ*pZWN)7oY!>dY<1cpQPmh5J@WA@XbkZj!i!I~0-#t}kWg<*%fk%pQdJXzbmM z!N*U=TWFSZa+pNyy1g{5IT1NY930`d+^YYQ*FIjHxq=XDZ+tJQeGqx+GvbQ*T!^^R zBIg{*&$cA?Unx&by_J)J5EB-%Q%7!HzfDIHY4dYVDC|d?<)Dh@lZo|1} zT|&#tx`eCKPHqyoxI4%cDHDagH0z>^1ltrW zr(+6)V8d|4^|EaYfVR#KpmY-C(Kt- zL)dXitHZwjhrPwg8&?6Iesf=dA%w3p!2q}bE&O8{3SGEFHpCHYi{O4so-o}-8Zk$% z(o?08xV>c95Q^ZHY3#x9L5(Mn2x79Snf>{T5k2`g34AK#-ldH2nFt9&6(1>Wm1SMo z*yR18=eM^IiS*^2G*$oIWqvO@npOs~gdK)Kz(mdn?r)0!S_do-`Y$D0}zz-3&P zRy-f3;I8>ND6*nP5aCuWu@Fn-7?)obQ(Jf@vt+fy_XPAhVFKJLN$IJbr^zLKY!aknfNOka*z(;0mmC zH>`95^!GrnLV6*IkmryjNFU^f@C8rNr6%GN<;BnA9 z-75wv2@e&72SdMggNJ&-H;#)CaiB0b0@fD~>xzdLg8#7zv%fb{gJwtzq!rQzc?@|1X@@+8bU>a# zIw9SV9!M{wA2JAeNrevQG6x`2kZH(YE*UlhJZu7ZXns62Jsz4J4^57T=Eg%)EH>34l}+E{n3!ikQhiTBn}b};WU-2kVHrlga)|= zNfyRaVb8$-?f|#oF%6Oqxe2)}{2r?CJM=R)s3Z#h-XDH<1)CQo^mS_x9V)jCs3qN7 zwL7)Ha`MxY2e*?D;>92Dsy{BwesG0Tf=8qn336QKz)<^OHK9pPmR^6U?E9t2W-~&K z3~8(3mM&&NVM=RUPCP=aj1Ry5y5LIkL&8llFhiP@cVQ)#(UOv1X748EWKGQUT+%rZ7rfH zVcC>UpY2}ARwSm4rG2aYI^8BjI4e!sqh!@m4f8#PYChS{Kd=hxg~G?_s(GCchm2!MYOl5$irElulF%cEiKOz ze%^uJnw!>wXh-aAE)?8|a)b9Tgvwr8ZP4c}uq3UWZ3=R7x^=D#^GIAoih?u5CxbsMZ(=ku#B8s=pT3;H$Zd$UJR3fCy$lgzcI|ZFs^ew_E;KJ*Tky_^Tb3DH0V1z0 zs9YB_1GJ{=iF!rqyxX38)m~iz{-frHNQQ^iyyAQet_hFqKzu9ouX=6o?QJ_*drYM!3HLf%_KNEJH0mN3>+}S_=Fakk{P^YL zB?rYT(o&K&ih~Q?ZoYVaNa@S?J*P!8)hGT z2^!*BSNf{kbah_sczGn*EvSKt zpQ;mNdA{u}I9Mf;!Be89al$n(MGAfN$k55Oqq$90arabg>V2#CjkvYg-MJNhwLyBA z9;AoJ2yw4Dy#f>l5?8?9v|TH}`sJ?2GV~*fC?o3Q+7*yEW3vM8Z7o^>*|VyxY?ZKQ zVPdS@aVhr}f$<*0-x+uhKytGYhaO`{ABZszCBYt&etjh%z~vN zGr~+_T2u{Lm`!kVT#!+-Uj+mUGAbLF(lTGnf~yOOMvm?i-+fTwW^`Kim9n z7&#@m7C0+r<>+SuBAjs)&hIus4n>8j@{ad#}gczN{zpR7{_JeD?E++Ao?uyRH}n(B#d7 zR2h1aJU<5C`p!8_+^9X8IkN(UOg!)8M}CVJXicrG)_<7eHpLcei`S_rVtFh|_~q9| zyYnbg^<6s}OuA%Zeo6O)kweZlvyB$$EjX%DP4$b=BQ=NIB2KAGGzuB-eCXaRgfA;n zJ7BAD?_}u1G7P#rBq5@Hf9WL;i3h#vl;Zun7pPI8YXi^vj@9$B;%7_s&aEC{D+HwU$8`)@$)ggIqn_I^owV*JD#h5 zqvGcEtwVccj6NI{a@+$RKTUjQ+Y}YFjH1<3J{>gmc|&zm*kJD8?>3K^p>nC zyY!@-H+Q;9zbv;#@DurncPud-v~G^@F%B5 z^iD16r(AU(9unPGIfD_b;dzyuk9}#=+eSHpkC|o5EU)hklk^`dxslZwY~oh;@NP)d z@sl5CtK9l0s~ES1Y#J_m--y_Ip>YG>vtu^gr|3x;h$(%~Fk<9aEw$fi!6%-1+uo@m zRfl!4#rdaRP@aE+$;tNM;CIUL(yn_1SDjL`6SyWRIbJ8ul$q09+QKUNFfP;lOTd;% zjSJmBMtT0ib1H~(g_ieb&(nob^D|YukPl1TDU&x(>nt77aR4&6sr2PxM4I~E>>~A0 zcU=1Vi(6P{$IMuk6%N8PbviZ7_w@1WUJv(hjW;#52OTa+>3!!;Q#<(de2`$7ktE>^ zujbJFgl1eOGoi^7@9)M>Z{2gM)l`XDS$OW)8k)uZAiPS)>ElA?%O7+sdc6Y4m2-GM z^jA&#HXW4Y{w}6j^w$I-md|6S-fzFwwR|j7TQz-VN11)V`e&O(d@OjM#M0YeJ(%lK zeLo+y0%YpsSsjZv77QA@SFtQZoHq4_>ZLxq`Y>68!rK21382sCiLL9OqS=d$(l6K4g;uG7-eJtk`=93%q7H6q9P4?aIzWXCUI712i5 z#NNGZ^7)|XQM-4QCpSGjb)+FqihFUI74M(-aYS>0oss?OW0(T#^tgS{<|V~r1vP`q zX2*5H#ZoqokGvl-oOHQ$I_7}bA-<~ueJkMKM&8V^@;{9H<>uScxpNEF<9WHvi;b&X zrv~bj2fhWZa%W9zGK^z+1JQ&cNgv03N#03%9iq)2;sibOt|6D{hT16U?SZ`;$QjIp zm(*_e`DKBc6);g@HN00_(C&89T|fe)H$mO7oPSQ#xC6FFn=bkv$%F z;6uTk6@agwWQ0txTNCiqDW69hqQ7qb!*0 zPhILV&1|JU`_9Eu$<+1fnUBvLtYjoAeyO#a9Iht6)h3q|JsvGzGbb@tf2lXr>*hx0 z3WzK}8>rB{ODp8K>xF<~&z71t2A|^_{}i3((}IjtZu)G`-ITssnySclawj-f4cj4F zA0^{gQhL|c?v4*#!yMktbZA|b^*D2Ve*D*1f3>fw3Wcw?)Dm%SFa+st@F(pSKqy54Us z%=zN)I9-B^|S^s!4-Wz>j6xl(b zz?X$0D*enwM9lEZNZA4`yZTp-$r@%xlfM3-v`EVQj_1o3ckQaLPcPOSqEC7IR$NG_ zwA@s4k`KK(QM2p*?9V2;MmTbm{%920T9xw_$weEk=XGXPFYMa-E7Jtc99n8hIpYvn zyWP96(==E8=bks6r@Z$|wO>%)hnI8lKDj9|_Q!*#k&;&hPS8isBMQ{g>$Ub1-9xLI zM~FxFw} z1FL-Hj9wSKK)%;6%bhV>rt3$*P3%x1vxD<7VWimcyx7v+Ar5UrgW)GSS3P~uGpF&j zXq}m0z^VDXc$LrVVvDM7sDJqUCO@)IJLzu0@Z3Y-Tyoy zO!qWI-BTXao(RHCIgjuSmh8`P(iFK7GOgHOI=RtVRN>hAW>tZmd(9<@R%g=a=e1Ch z)ZSmqw_}t61U)t?6e7E9&0248Vb!ks9>xChXt`LYJ|#a*btPPaWLZtJ(XQ=@iPgt) z&Ii16VC|QQb}OkW3bkA?Yg6Ck5bZQHmEz{{FlTD})Dd^{+-IxJgMFo%)xY0r{$8XQ zlS6u-Kwetc+!OF&Lm!_(N`M&S;=YO;YFuMzMT6pQWko_h+Vn!DY4^C<5BB{P(6H9* zhst?Vf!IZ<1<5nX-7TXmSB-k%4-1l}@(zMl*%s1iVll7g_)8b}X82#R$&t7IVo`>7 z*eF(Ic5gIB{cf$GK_pwbE_qylmvzx;VGq0VC*Ljuw-iRvlj2~N@oBk0Ok(LZCXbKJ z>Zpd`@hK$r$>jX99>+fKCYG>0f9c3v88E8 z@0~vRv};QQgCWfY-YYUjY~>YjAtWTAmC9Qy!Ti2$;Xvo*BT-!wy>x zqIU#-A1HHITqw?Q5>7Zga8=f!=GDaf=zmxG29DPMOX=^sFJ;`%3uk^`OkAj+$Zca+Yh3@*#W`4^e?CRgOzV~25ILffzj!!1^cQG=TA?!aIQjzs{iq>!++2GFL@s> zT2_IU?VLzh5;0l30&-Ko`JBsWp?>-0y#mfVQa|r>Wcdb;=dqe6%zX={7Hmu?V^r;& zdns1l2C1KVGWTRT5@wUO9y25xflnvkDMNZ^N?UKfIrv`6d3cTc^*1`@Ie^(j;j3V*KNVTJ0sJSLV}&8Z5k*v2Zi z*r}ubDMyEQJNPnML>3w;U!$LQ%Emplz|}TIBTKroVa6*Uvko@R3xzA-hiO6?YleN{ zrvn?GzXB$If=S;x)n#>u82-1Ty4yu~$25hf*lSbmjL~9HU z1^D1LtK7Rr#Gd_5*N#JE13zSNhY1Bx1-{9MvKVdR-|C}H946v7k8bZ@)A#jDu7B^j zqybe!`O1ob*!*o}mIm#LpH1S9*#PA)t}DQMol(7SKxz2?-IwONi#!6H&n!)arw@EO zcWlpLQ4v^WzAXZ>vGT!cqNh62vOkW}kIo=cCg=~YX8VI8PiBxp6&6-CYZiW-A4?0` zKKP-`-qSgCXz|N7SJxZ+&#r*guO)75d49*z@o0^aP_~wt`>r)9v4|Wd_}YIiP(?F7 zulJW(!gbb%UQyM6pkP=kkYLX$I9Fw`t?tW?CUGlzexYjLq@&*h_D z+BH=obPuZ+_fk%zn*;{lyz2Y%@SKV73gAgkDZb+$wLkBmm83rdgIj(7HU3w5lCA2+ z2LQoHUU+2oav9F+&CkKX01Fr3)X(QYXe@O}^5Ev74;O3KO&IE}fGD=|pk6%HsW-1T zva3w0?P{e>nd@|YfK}95Y=lX>zgptn=vT+^b0O5loeNxvrG9QZ=dXy&jCDsWI~B0% zEtp@=>`E+XriKk3%h8>t9`sT#&KXQ<#}}6$^WA%zEZhA;X8*$AFYMQhWqR2>B4ToZ zweGD!%Q{a9mPM<~Dz;o{TwtAabAi>^q`ktd=oecm_ba!Foe!6G&z#b67l|Y9t#tO$ z(Mf5Lar)0S{l7BHpNXl#$?dG;Yzt}FkMEUx{ycm?`=33eIv&;6=DTx2_R@|%;kJD8 zwaS~iT-1AR#2vi-L;7=Mr7Pw}jd)-pGQa50O3U?6U&A`boESggA;~&7K0LR1@hr~? zhl&|vn*s0B-*6|2Ej^lrv^&-A*ZKt5rc$}CUR#5f9xhmxXFOO)Xiiw=KRD;_&-^sJ zz5GJ^gl@%)T?3c>!t?#na+kMhEA6fi4KC^XXAS?^hbL;+G4s@A#xoL3*Bo>^|Ki<3 zT3$k4v)|&98RP4()nfG78M(ye*3`3?^Q;c3?GsAZR5~+iTJ}{{5?-D*&?>zbMz@|uq^JVd zC<(9DwT=opwYVj;X+{&Le=g>ZMVn~n9Tb<2(mQeA&i!MBa;Z<0d&g+`8{Q{!G>P%c z8}HxNSftF1;==@4RZVre3z{vXm&4XpEO^E&TkX&Dmp+-1nF&8JdD zO~pYcJJs?Qk-fq@cV%o}lDSgD7<=<}}{g zquQQzP?FQe-Jdv5uO-Glu=U*s}j_ zMWAuha6{di=U=av58tR%dQJ7cB9?h&OsOyX*3%d{l}xa~%+6FdbhkrHp-O0Ro17DY!Z-3k_NnxdAU%s+RwG{kLYj2c^9>p!-% z$87t}W>lbv-NUqXRL7K%eYF+U>^>1k%lqz6?sKInI+I_9-0>`@HaU=%cQOZ?gZSNx z-+Z1j)$gUKADefm50sx)m2lA@jlW+zp2{<^_frl|rt?SL_QuC)F3S?E1m>Ylplj8k=H(RIX!@8X9{C5P}%T>k4-4wIal9e%E z7Gw2sx4DE`sYweD)@qH2_j_)tu@9J4yFaoqE8j+`Z(h@j_QXf+$fhKyeu&>-M}w)PRkiy2eFD{9?tku#x2*s%6XAuMHVdV#g<;Yx6$i3ws0TAD8N34evSr&%}W1FJLHFW9RFm-pxU39^p2h}3XZ zmwK{1H!TFV=x1BK2u?HTUNzi$f2veSwBm+*s@;K@UGd41%Ma_6Ur_74JulZ#<24** zxIJb})v5-Um4e5DqPGR;5BywB&-tjosQ*s$#N&sy2hxS2Ciz@<>(w1gPFbIsUligr zX5IEgfhpSPK98fMTz)GM?UoQL)28G3$~J#=s_1CJh>JD0{P@kWVAT<8$r(lE#OOB> z@zqw~oCA|F5eIL}9B%b%i+yWGnqo^{fAfphk{Xd})AuRzZYR!f{GwwjORbeB?$I4o zZCbV1_n74|mKamhgIU$8iOht_u*`#)F=o6|HN_cm6khdB1MjE$nJdg4n&o}Td%!OP+7V&64t8 zK`k}g%RV~>u8;A!xz4sE`(d4(wX|4fw&FleZ}}rzcgxg)5A7qLJ=C@zoDZo?Y>M(tENIH|3@D%5p6QwaSB$IQ#i-DdkY`G=rAeJnSS+5e&?Z{Yn} zwZn(!CSxr43Ql%ZE5;|kAnJY@jb(E$+YZ)AgogU}l+WPy_lG)7ba)D}4z7#6o}#`{ zU8iqjijwaps;tA&d^_&>jmb+bhh#IXSXSAg77Lnf8RX@Fg~5nVwSs{KA*allb;&u? zq9=EqdR}tlXo1J>*Ut{EsSX#+trKj)}e_N3Bfh7r@M?YmHF8-731JJXwC z7{moY5Iu&DlH}otoKH|yDIJxAq1MOie|gRNG(z+hlrmo5q?ednAM*Bsv;MXt)JGYI zj=RYa=1WJ;o^#rj?#lJy4YAVOZ_A+_%(9{fCdam0XBkbRr}WYOZ%=%MKav3#nRPdU z3jBShT|Z$G^s?d%91EJtK`VsWc-w?f*R)8wyfia}7U%bc1t?$v7*vrg6WE5~UahOeon>x)vE z>#Q|y=&`*LhfWgsqO5lBmoQH{Qq671XL_M#n8r@fba?RQasEJcJvZH6{Vna}$Nb=q zqP0ei-Ce}=N8!?6kyq}&U=t64tK#$v`336i8EP%H+sUbio4zv*rEI=z_IA$G{)%$2 zl)S2oh%5Q=-dK5MA2qR^eG=WyKdcp(N9s3j-yo?cDysGLzQN*)w$;QJl|RJ^DR5YFAZBIx1bB zx_`-hS$7~zafaEN6GC%hj`g`YzHhWs>K-c2P9R05qeAcTc-VA^1Lnk%j*QCKa9g^f zXi~+EsJ%8eq~2G<2R7~F?P@W(+fro1JKK!ilcunEvhV9W-|LW*^DW}s(H z{0@7pTQ^X95}S9GM*|@~nP3U+Jy|@;M_pE22#V5c*JIWC-nIWQtv^WDwH5iX`hrrT z_xajECmpfok{{cXqgrKsSC>p>@O)dZGrXOV&?^45awt9KM0Lb{da_1n^qAPzU{FOUgsdrO6{`Atcn`hURJVqX|1V?>-O8uam+m)`u=39 z_nUC}0xPEb9T(qb_rkJBhSnc9*IAAjR&{&M<|L zlGab}qfXfw@Q`1YK0^I?w^QmVe8H2aN^zB^BagVOg8S*6I{>0$gU(mF?}tuiQOAn9 z`Q7~L#A^G4_v{-hJ^U;+x2rd#JD9(ZDvBYY<*4*N)T4|BX+jNiTiU0fpo%qV822qc z$_kb#wxS)E^RLOZT;E679hcs4f1xp7;zkGl(@EHWwJDEc_*`c4zKFh%S!I^wA#7q5$wqBR7sWJ#K zkv<5dOkOJOd1`S1|3LvGb7q0sDe$)Jc@87#i(7xGbdyV7l*3w)4ZRb7>n0P$6nLYr z6}}YvE~=h5>mhHK=G-uqXno4>dw>b=QNe^~mvb-dBs{np+HvcOwS3*V3Kq}!+0(ws zwL#-NCQ~9io!f0TPwo%81igEmDq4=`UG3Q;y0xJd^9v)!n+gI;Tnf-fceX!1{>3SB^5y%kw<}<@o^53J z|E?M|#|YHlR`_2SA?ob=&x$L+>cLX{vg#E3Tgc&RCfw$1IrG9)u4;jck;7(7WLDY~ zX||)9-~EVJkc&U!M$x`{_mj;&bI;@HpM&Hbz8bM7sNH4`Kc_slQw`3~f0Nqu!F4Gt zi!`DZBx&2${WVCTBXoE4WTdT*Jh_dWWNRpkHfWIH)eIFFM;AMk2DrW0#~msA=mX!3 zI9+XZvu{Ti{@eO{+H<3agMNH_umbAfgB3BQ*j`hC=^Bk}%2QT$%PEmST|53bT49Xb z`=KY$FKZ~HW_@`Pxoy@sZ$-AG8pWvF?D-N098CB=Cj}4bn?iYv$bLIOO_9emto{54bQxx2*?lNBJl+sY&$D(PMFh4MmOE{i%B zYI5E7hS7^-8dbtD;}O-t+!u3)13xKMA1fAaxFX?~yQ$KDi=40ih}TfP!b9Q5M&JY7 z5k<3CNmM=b6lUGDTLD`h)<(E?vNPY@kb(2>No&P$+Q|(&;mfd(?}V;r#w@R6rsYRW z)V}x@?$>899K43~#_s)-XIU?+Ox=>>sM6D!>Bl{GPH2XUUy2Qqo|X|~(QIT6J$x7$ zxEj;En5__j&Hs_IRuPLvWx+RtjL(!dck^_-72p{PaV@P_f3(=c$KdC+%jz#~+lpOV zCu;P$=kER&%4?GR(3Ka1-xuY*`f*C|*X`5$Mx}dZ-n+flc=w|wZqn%x8|&`wH+1DZ z^=DV@BE2~9o#6@hXpvVri91X`7t?97vluKm)0atlGhGvSyVWFceM=el#+yCu0Y@Iv zKS-7wGn(7xvW$Dcc>c{^c-em3_U<>XO6{OjP5qbdqTVi}&l(&%Z(%({ZwZ64Q%tMU z@)>tq6N_=xfLP1=c#T6NhBpsqKG!?X?eB2(JWF!nigw$2Ht!9uC`0>-j%zy|pRH04 zkGrL>6Cltu+1Roi|zmf;lyH=|kJDe0njWxH#@t z$)oUPZ?ZwwXtTz#^{t`b1C~toxZ9c3Gm}}7&pxYVxx^>x%r&*ug^6f~f0is1Y#~b( z*MIaBe0;pfML#8R&k$v(8`UisXDgV(v%^?ME40Ju_Xv2l;#Wq+u)ps$R!0lz^mDZ= z*Qh5k6TITTN~7DjpA3idkPk&twb0XoXJyGtN{!2_RzPg-(us^OQ%8TmEj!T-wYT^r zjJoUg!P~6Y>goI)sP)t}!#VJdf?Yzp8LP(h&6?$(yTjnte(UpJ_o+WVXMBnM<5yw@ ze0WOj(qtQLAUd2~lep%m(&N{M+o#ySPMeGh)R%vgNIPs-^wpq9GCKP5H8YOwB$`B|dVQwCVGE#g3mm z6!i6c(#C3y#A}G_^(0#iI5NlN$*$J)9bX?N5u9QjLu%R>{ocg7wll@0W$)v}&-b%W z%GD+R9M+V%L zGxVuvy#xPS9SF^9jMyadmp|cShCUNTe=i#3vk!DupL24#l z+`#|ZvkC1$-R0*0+aCteDSaqiFV5WVpMSmc$25-Pib($JABFyWlZf+2JlpIL6nszU zcREf6fB(alf1eIOur%lITeM_3ss4OR6uv16^Zm=mK%XxA0;Tdh)xY2H1VHvLX#n6d zrFmIO(J#F~X`;TF|CakX^45*NWrco};Ggdc(me)IBB*>$j6D5hKS~9~YwJTBt}X&P9mQhX+yGs2MBTg1sbNc1TiK!SwC5tBk~ygUP1##EbCT{tiQ921Kx1y< zqMN)%sUXMc4a2Cl^sBF->iX^Ijjv%EgDo(PJl*6Citl%>f4+YS-@*L5p|5VCZQd$P zk9q?Q!um)nn^vK}=EyszXoML_wSTs&zrPUq*ZP%BabAy<{axJOpXB{(sz6xL5tJ25 zSCu|Ef?6y4x94u*1Gn;jO$>5W>9V8$)mH!h)a_rB>8aC?jH1MTm;C3d6fQ>v|C$K= kx<)S?Male5_4lRIUz3&Iq<G2(fk_`Mu~~^l1H$lS zjbsB$t>m7{!V6RrB=2+iUkDQe!}`hI0=D%NMKpsN*D5SqtB@hIdeYnl+RlYHB38Is z{!)qj#%Jp~eXkS$1?Mj+OKTjEW_xG+@KVoe@_8;5N z7aBg*?Cu4d>$yKOy4K~j&0Df=w{!5J3EES&OEhNj&D^)^xbnQvcZOJzc**LUvYIT!j|hf0p_TQ6|Xz3~F~0wxXR2O&{QP>3fYO}<%{;K`!c7!!>*ke` QW_&(bQ%a5PfH+7V0LJNaCjbBd delta 836 zcmca+a@B-4z?+#xgn@y9gF$Cu%SK*NMrI&AS(Wh@m{wvs4Wc)HVA2OmY*u2?fG~Vn zBiX=GE4in#@B-BY&C;88EtrXcVa;T30o(eI>JzzgSPgSo9VbU@eZvwf*>(7slj^C9 z>L)jqztG(EMzpFyZjrj?-8`<|Sx2KY+CD!!%hNt<&fYN9s%yT{SJGYy@LPM#j{O@j zkp=sWJIOkG%D4+U(|m) z=F&4R_eq?RF3!paYnw6(xTh)}ZFAEpWZ-8rI^YnPw`6Rj+P*%`g4DX6}!wnJL|-UiGyno9O6rfUC|CkIYR)J<+%BzmShsWNIB_Q` z>MC(ZELWQG=d#fKe|3*0-$zNHn{Nw=F@uxc7ttG#q