Commit Graph

2 Commits

Author SHA1 Message Date
Doug Brown
145487d388 Added GPL header to all of my source files. 2012-05-25 20:21:04 -07:00
Doug Brown
65c6654660 Added delay "adapter" class to keep simm_electrical_test.c completely platform-independent.
Updated the electrical class to wait after setting up pins before reading them.
2011-12-04 16:30:26 -08:00