Retro68/binutils/ld/testsuite/ld-plugin/pr16846b.c

8 lines
60 B
C
Raw Normal View History

2015-08-28 15:32:19 +00:00
extern __thread int foo;
int
bar (void)
{
return foo;
}