Retro68/Rez/Test.r

12 lines
243 B
R
Raw Normal View History

2014-10-05 21:52:34 +00:00
/*#include "Types.r"
*/
#include "/home/wolfgang/Projects/Retro68/CExamples/Sample.r"
type 'TEST' {
boolean itemUnlocked = false, // defined attributes bits...
itemLocked = true;
};