diff --git a/.gitignore b/.gitignore index aa04e65..58e3919 100644 --- a/.gitignore +++ b/.gitignore @@ -1,4 +1,97 @@ -#Gitignore for files generated by Xilinx ISE +#ignore OS noise + +Thumbs.db +.DS_Store + +# Ignore list for Eagle, a PCB layout tool + +# Backup files +*.s#? +*.b#? +*.l#? + +# Eagle project file +# It contains a serial number and references to the file structure +# on your computer. +# comment the following line if you want to have your project file included. +eagle.epf + +# Autorouter files +*.pro +*.job + +# CAM files +*.$$$ +*.cmp +*.ly2 +*.l15 +*.sol +*.plc +*.stc +*.sts +*.crc +*.crs + +*.dri +*.drl +*.gpi +*.pls + +*.drd +*.drd.* + +*.info + +*.eps + +# file locks introduced since 7.x +*.lck + +# Xilinx ISE +# intermediate build files +*.bgn +*.bit +*.bld +*.cmd_log +*.drc +*.ll +*.lso +*.msd +*.msk +*.ncd +*.ngc +*.ngd +*.ngr +*.pad +*.par +*.pcf +*.prj +*.ptwx +*.rbb +*.rbd +*.stx +*.syr +*.twr +*.twx +*.unroutes +*.ut +*.xpi +*.xst +*_bitgen.xwbt +*_envsettings.html +*_map.map +*_map.mrp +*_map.ngm +*_map.xrpt +*_ngdbuild.xrpt +*_pad.csv +*_pad.txt +*_par.xrpt +*_summary.html +*_summary.xml +*_usage.xml +*_xst.xrpt + *.log *.svf @@ -38,7 +131,26 @@ impact_impact.xwbt spi6502b_html*/ __projnav*/ -#ignore OS noise +# iMPACT generated files +_impactbatch.log +impact.xsl +impact_impact.xwbt +ise_impact.cmd +webtalk_impact.xml -Thumbs.db -.DS_Store +# Core Generator generated files +xaw2verilog.log + +# project-wide generated files +*.gise +par_usage_statistics.html +usage_statistics_webtalk.html +webtalk.log +webtalk_pn.xml + +# generated folders +iseconfig/ +xlnx_auto_0_xdb/ +xst/ +_ngo/ +_xmsgs/ diff --git a/.untf b/.untf new file mode 100644 index 0000000..e69de29 diff --git a/SPI6502B.lfp b/SPI6502B.lfp index 8cce84c..96eff7a 100644 --- a/SPI6502B.lfp +++ b/SPI6502B.lfp @@ -1,4 +1,4 @@ -# begin LFP file C:\sources\spi65\SPI6502B.lfp +# begin LFP file C:\sources\AppleIISd\SPI6502B.lfp designfile spi6502b.ngd IO_GROUP "spi_Nsel" ; IO_GROUP "spi_miso" ; @@ -6,22 +6,9 @@ IO_GROUP "spi_int" ; IO_GROUP "cpu_d" ; IO_GROUP "cpu_a" ; NET "spi_sclk" COLOR=6 ; -NET "spi_Nsel<3>" COLOR=6 IO_GROUP="spi_Nsel" ; -NET "spi_Nsel<2>" COLOR=6 IO_GROUP="spi_Nsel" ; -NET "spi_Nsel<1>" COLOR=6 IO_GROUP="spi_Nsel" ; -NET "spi_Nsel<0>" COLOR=6 IO_GROUP="spi_Nsel" ; NET "spi_mosi" COLOR=6 ; -NET "spi_miso<3>" COLOR=6 IO_GROUP="spi_miso" ; -NET "spi_miso<2>" COLOR=6 IO_GROUP="spi_miso" ; -NET "spi_miso<1>" COLOR=6 IO_GROUP="spi_miso" ; -NET "spi_miso<0>" COLOR=6 IO_GROUP="spi_miso" ; -NET "spi_int<3>" COLOR=6 IO_GROUP="spi_int" ; -NET "spi_int<2>" COLOR=6 IO_GROUP="spi_int" ; -NET "spi_int<1>" COLOR=6 IO_GROUP="spi_int" ; -NET "spi_int<0>" COLOR=6 IO_GROUP="spi_int" ; NET "Ncs2" COLOR=6 ; NET "extclk" COLOR=6 ; -NET "diag" COLOR=6 ; NET "cpu_rnw" COLOR=6 ; NET "cpu_Nres" COLOR=6 ; NET "cpu_Nphi2" COLOR=6 ; diff --git a/SPI6502B.ucf b/SPI6502B.ucf index d030ba8..8a6dd8e 100644 --- a/SPI6502B.ucf +++ b/SPI6502B.ucf @@ -3,6 +3,12 @@ #PACE: Start of Constraints generated by PACE #PACE: Start of PACE I/O Pin Assignments +NET "a10" LOC = "P38" ; +NET "b10" LOC = "P27" ; +NET "a8" LOC = "P36" ; +NET "b8" LOC = "P25" ; +NET "a9" LOC = "P37" ; +NET "b9" LOC = "P26" ; NET "cpu_a<0>" LOC = "P22" ; NET "cpu_a<1>" LOC = "P24" ; NET "cpu_d<0>" LOC = "P2" ; @@ -13,14 +19,16 @@ NET "cpu_d<4>" LOC = "P9" ; NET "cpu_d<5>" LOC = "P11" ; NET "cpu_d<6>" LOC = "P12" ; NET "cpu_d<7>" LOC = "P13" ; -NET "cpu_Nirq" LOC = "P14" ; NET "cpu_Nphi2" LOC = "P5" ; NET "cpu_Nres" LOC = "P19" ; NET "cpu_rnw" LOC = "P7" ; -NET "cs1" LOC = "P20" ; -NET "led" LOC = "P29" ; NET "extclk" LOC = "P6" ; +NET "nio_sel" LOC = "P40" ; +NET "nio_stb" LOC = "P43" ; +NET "led" LOC = "P29" ; NET "Ncs2" LOC = "P18" ; +NET "ng" LOC = "P20" ; +NET "noe" LOC = "P14" ; NET "spi_int" LOC = "P42" ; NET "spi_miso" LOC = "P44" ; NET "spi_mosi" LOC = "P35" ; diff --git a/SPI6502B1.1.vhd b/SPI6502B1.1.vhd index e94ed6d..23a4496 100644 --- a/SPI6502B1.1.vhd +++ b/SPI6502B1.1.vhd @@ -33,6 +33,8 @@ library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; +use address_decoder.ALL; + -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; @@ -52,7 +54,6 @@ entity SPI6502B is cpu_Nres : in STD_LOGIC; cpu_a : in STD_LOGIC_VECTOR (1 downto 0); cpu_Nphi2 : in STD_LOGIC; - cs1 : in STD_LOGIC; Ncs2 : in STD_LOGIC; extclk : in STD_LOGIC; spi_miso: in std_logic; @@ -60,7 +61,18 @@ entity SPI6502B is spi_sclk : out STD_LOGIC; spi_Nsel : out STD_LOGIC; spi_int : in STD_LOGIC; - led : out std_logic + led : out std_logic; + + a8 : in std_logic; + a9 : in std_logic; + a10 : in std_logic; + nio_sel : in std_logic; + nio_stb : in std_logic; + b8 : out std_logic; + b9 : out std_logic; + b10 : out std_logic; + noe : out std_logic; + ng : out std_logic ); constant DIV_WIDTH : integer := 3; @@ -117,11 +129,36 @@ architecture Behavioral of SPI6502B is signal divcnt: std_logic_vector(DIV_WIDTH-1 downto 0); -- divisor counter signal shiftclk : std_logic; + + component address_decoder + port ( A8 : in std_logic; + A9 : in std_logic; + A10 : in std_logic; + CLK : in std_logic; + NIO_SEL : in std_logic; + NIO_STB : in std_logic; + A8_B : out std_logic; + A9_B : out std_logic; + A10_B : out std_logic; + NOE : out std_logic); + end component; begin + add_dec : address_decoder + port map (A8=>a8, + A9=>a9, + A10=>a10, + CLK=>extclk, + NIO_SEL=>nio_sel, + NIO_STB=>nio_stb, + A8_B=>b8, + A9_B=>b9, + A10_B=>b10, + NOE=>noe); + led <= not (bsy or not slavesel); --'0'; --shifting2; --shiftdone; --shiftcnt(2); - + ng <= Ncs2 and nio_sel and nio_stb; -------------------------- bsy <= start_shifting or shifting2; @@ -256,7 +293,7 @@ begin -- interface section -- inputs reset <= not (cpu_Nres); - selected <= cs1 and not(Ncs2); -- and cpu_phi2; + selected <= not(Ncs2); -- and cpu_phi2; is_read <= selected and cpu_Nphi2 and cpu_rnw; int_din <= cpu_d; slaveint <= not(spi_int); -- active low interrupt inputs diff --git a/_ngo/netlist.lst b/_ngo/netlist.lst index 20f8fc8..42a41ae 100644 --- a/_ngo/netlist.lst +++ b/_ngo/netlist.lst @@ -1,2 +1,2 @@ -C:\sources\AppleIISd\spi6502b.ngc 1494085672 +C:\sources\AppleIISd\spi6502b.ngc 1494461294 OK diff --git a/_pace.ucf b/_pace.ucf index add0940..9d5d775 100644 --- a/_pace.ucf +++ b/_pace.ucf @@ -1,41 +1,42 @@ - -NET "cpu_Nphi2" loc="P5"; -NET "extclk" loc="P6"; -NET "cpu_rnw" loc="P7"; - #net "diag" loc="P29"; -NET "cpu_d<0>" loc="P2"; -NET "cpu_d<1>" loc="P3"; -NET "cpu_d<2>" loc="P4"; -NET "cpu_d<3>" loc="P8"; -NET "cpu_d<4>" loc="P9"; -NET "cpu_d<5>" loc="P11"; -NET "cpu_d<6>" loc="P12"; -NET "cpu_d<7>" loc="P13"; +#PACE: Start of Constraints generated by PACE -NET "cpu_Nirq" loc="P14"; -NET "Ncs2" loc="P18"; -NET "cs1" loc="P20"; -NET "cpu_Nres" loc="P19"; - -NET "cpu_a<0>" loc="P22"; -NET "cpu_a<1>" loc="P24"; +#PACE: Start of PACE I/O Pin Assignments +NET "A10" LOC = "P38" ; +NET "A10_B" LOC = "P25" ; +NET "A8" LOC = "P36" ; +NET "A8_B" LOC = "P27" ; +NET "A9" LOC = "P37" ; +NET "A9_B" LOC = "P26" ; +NET "cpu_a<0>" LOC = "P22" ; +NET "cpu_a<1>" LOC = "P24" ; +NET "cpu_d<0>" LOC = "P2" ; +NET "cpu_d<1>" LOC = "P3" ; +NET "cpu_d<2>" LOC = "P4" ; +NET "cpu_d<3>" LOC = "P8" ; +NET "cpu_d<4>" LOC = "P9" ; +NET "cpu_d<5>" LOC = "P11" ; +NET "cpu_d<6>" LOC = "P12" ; +NET "cpu_d<7>" LOC = "P13" ; +NET "cpu_Nirq" LOC = "P14" ; +NET "cpu_Nphi2" LOC = "P5" ; +NET "cpu_Nres" LOC = "P19" ; +NET "cpu_rnw" LOC = "P7" ; +NET "extclk" LOC = "P6" ; +NET "IO_SEL" LOC = "P40" ; +NET "IO_STB" LOC = "P43" ; +NET "led" LOC = "P29" ; +NET "Ncs2" LOC = "P18" ; +NET "OE" LOC = "P1" ; +NET "spi_int" LOC = "P42" ; +NET "spi_miso" LOC = "P44" ; +NET "spi_mosi" LOC = "P35" ; +NET "spi_Nsel" LOC = "P28" ; +NET "spi_sclk" LOC = "P34" ; -NET "spi_int<0>" loc="P42"; -NET "spi_int<1>" loc="P40"; -NET "spi_int<2>" loc="P39"; -NET "spi_int<3>" loc="P1"; +#PACE: Start of PACE Area Constraints -NET "spi_Nsel<0>" loc="P28"; -NET "spi_Nsel<1>" loc="P27"; -NET "spi_Nsel<2>" loc="P26"; -NET "spi_Nsel<3>" loc="P25"; +#PACE: Start of PACE Prohibit Constraints -NET "spi_sclk" loc="P34"; -NET "spi_mosi" loc="P35"; - -NET "spi_miso<0>" loc="P44"; -NET "spi_miso<1>" loc="P43"; -NET "spi_miso<2>" loc="P38"; -NET "spi_miso<3>" loc="P37"; +#PACE: End of Constraints generated by PACE diff --git a/address_decoder._u_ b/address_decoder._u_ new file mode 100644 index 0000000..e69de29 diff --git a/address_decoder.lfp b/address_decoder.lfp new file mode 100644 index 0000000..273ab0b --- /dev/null +++ b/address_decoder.lfp @@ -0,0 +1,15 @@ +# begin LFP file C:\sources\AppleIISd\address_decoder.lfp +designfile address_decoder.ngd +INST "address_decoder" COLOR=15 ; +NET "OE" COLOR=6 ; +NET "IO_STB" COLOR=6 ; +NET "IO_SEL" COLOR=6 ; +NET "extclk" COLOR=6 ; +NET "A10_B" COLOR=6 ; +NET "A10" COLOR=6 ; +NET "A9_B" COLOR=6 ; +NET "A9" COLOR=6 ; +NET "A8_B" COLOR=6 ; +NET "A8" COLOR=6 ; +INST "XLXI_16" COLOR=7 ; +INST "XLXI_16/U0" COLOR=7 ; diff --git a/address_decoder.sch b/address_decoder.sch new file mode 100644 index 0000000..2d2da0a --- /dev/null +++ b/address_decoder.sch @@ -0,0 +1,240 @@ +VERSION 6 +BEGIN SCHEMATIC + BEGIN ATTR DeviceFamilyName "xc9500xl" + DELETE all:0 + EDITNAME all:0 + EDITTRAIT all:0 + END ATTR + BEGIN NETLIST + SIGNAL NIO_STB + SIGNAL XLXN_4 + SIGNAL A10 + SIGNAL A9 + SIGNAL A8 + SIGNAL XLXN_10 + SIGNAL XLXN_11 + SIGNAL NOE + SIGNAL CLK + SIGNAL XLXN_14 + SIGNAL A10_B + SIGNAL A9_B + SIGNAL A8_B + SIGNAL NIO_SEL + SIGNAL XLXN_19 + PORT Input NIO_STB + PORT Input A10 + PORT Input A9 + PORT Input A8 + PORT Output NOE + PORT Input CLK + PORT Output A10_B + PORT Output A9_B + PORT Output A8_B + PORT Input NIO_SEL + BEGIN BLOCKDEF fdrs + TIMESTAMP 2001 3 9 11 23 0 + LINE N 0 -128 64 -128 + LINE N 0 -256 64 -256 + LINE N 384 -256 320 -256 + LINE N 0 -32 64 -32 + LINE N 0 -352 64 -352 + RECTANGLE N 64 -320 320 -64 + LINE N 192 -64 192 -32 + LINE N 192 -32 64 -32 + LINE N 64 -112 80 -128 + LINE N 80 -128 64 -144 + LINE N 192 -320 192 -352 + LINE N 192 -352 64 -352 + END BLOCKDEF + BEGIN BLOCKDEF inv + TIMESTAMP 2001 3 9 11 23 50 + LINE N 0 -32 64 -32 + LINE N 224 -32 160 -32 + LINE N 64 -64 128 -32 + LINE N 128 -32 64 0 + LINE N 64 0 64 -64 + CIRCLE N 128 -48 160 -16 + END BLOCKDEF + BEGIN BLOCKDEF nand2 + TIMESTAMP 2001 3 9 11 23 50 + LINE N 0 -64 64 -64 + LINE N 0 -128 64 -128 + LINE N 256 -96 216 -96 + CIRCLE N 192 -108 216 -84 + LINE N 64 -48 64 -144 + LINE N 64 -144 144 -144 + LINE N 144 -48 64 -48 + ARC N 96 -144 192 -48 144 -48 144 -144 + END BLOCKDEF + BEGIN BLOCKDEF vcc + TIMESTAMP 2001 3 9 11 23 11 + LINE N 96 -64 32 -64 + LINE N 64 0 64 -32 + LINE N 64 -32 64 -64 + END BLOCKDEF + BEGIN BLOCKDEF and2 + TIMESTAMP 2001 5 11 10 41 37 + LINE N 0 -64 64 -64 + LINE N 0 -128 64 -128 + LINE N 256 -96 192 -96 + ARC N 96 -144 192 -48 144 -48 144 -144 + LINE N 144 -48 64 -48 + LINE N 64 -144 144 -144 + LINE N 64 -48 64 -144 + END BLOCKDEF + BEGIN BLOCKDEF and4b1 + TIMESTAMP 2001 5 11 10 43 32 + LINE N 0 -64 40 -64 + CIRCLE N 40 -76 64 -52 + LINE N 0 -128 64 -128 + LINE N 0 -192 64 -192 + LINE N 0 -256 64 -256 + LINE N 256 -160 192 -160 + LINE N 64 -64 64 -256 + LINE N 144 -112 64 -112 + ARC N 96 -208 192 -112 144 -112 144 -208 + LINE N 64 -208 144 -208 + END BLOCKDEF + BEGIN BLOCK XLXI_13 nand2 + PIN I0 NIO_SEL + PIN I1 NIO_STB + PIN O XLXN_4 + END BLOCK + BEGIN BLOCK XLXI_14 nand2 + PIN I0 XLXN_11 + PIN I1 XLXN_4 + PIN O NOE + END BLOCK + BEGIN BLOCK XLXI_16 fdrs + PIN C CLK + PIN D XLXN_14 + PIN R XLXN_10 + PIN S XLXN_19 + PIN Q XLXN_11 + END BLOCK + BEGIN BLOCK XLXI_17 vcc + PIN P XLXN_14 + END BLOCK + BEGIN BLOCK XLXI_18 and2 + PIN I0 A10 + PIN I1 NIO_SEL + PIN O A10_B + END BLOCK + BEGIN BLOCK XLXI_19 and2 + PIN I0 A9 + PIN I1 NIO_SEL + PIN O A9_B + END BLOCK + BEGIN BLOCK XLXI_20 and2 + PIN I0 A8 + PIN I1 NIO_SEL + PIN O A8_B + END BLOCK + BEGIN BLOCK XLXI_22 inv + PIN I NIO_SEL + PIN O XLXN_19 + END BLOCK + BEGIN BLOCK XLXI_23 and4b1 + PIN I0 NIO_STB + PIN I1 A10 + PIN I2 A9 + PIN I3 A8 + PIN O XLXN_10 + END BLOCK + END NETLIST + BEGIN SHEET 1 3520 2720 + IOMARKER 320 496 NIO_STB R180 28 + IOMARKER 320 560 NIO_SEL R180 28 + BEGIN BRANCH NIO_STB + WIRE 320 496 368 496 + WIRE 368 496 368 640 + WIRE 368 640 608 640 + WIRE 368 496 1120 496 + END BRANCH + BEGIN BRANCH XLXN_4 + WIRE 1376 528 1744 528 + END BRANCH + BEGIN BRANCH A10 + WIRE 320 704 592 704 + WIRE 592 704 608 704 + WIRE 592 704 592 1168 + WIRE 592 1168 1088 1168 + END BRANCH + BEGIN BRANCH A9 + WIRE 320 768 528 768 + WIRE 528 768 608 768 + WIRE 528 768 528 1312 + WIRE 528 1312 1088 1312 + END BRANCH + BEGIN BRANCH A8 + WIRE 320 832 480 832 + WIRE 480 832 608 832 + WIRE 480 832 480 1456 + WIRE 480 1456 1088 1456 + END BRANCH + IOMARKER 320 704 A10 R180 28 + IOMARKER 320 768 A9 R180 28 + IOMARKER 320 832 A8 R180 28 + BEGIN BRANCH NOE + WIRE 2000 560 2032 560 + END BRANCH + BEGIN BRANCH CLK + WIRE 320 928 1392 928 + END BRANCH + IOMARKER 320 928 CLK R180 28 + INSTANCE XLXI_18 1088 1232 R0 + INSTANCE XLXI_19 1088 1376 R0 + INSTANCE XLXI_20 1088 1520 R0 + BEGIN BRANCH A10_B + WIRE 1344 1136 1744 1136 + END BRANCH + BEGIN BRANCH A9_B + WIRE 1344 1280 1744 1280 + END BRANCH + BEGIN BRANCH A8_B + WIRE 1344 1424 1744 1424 + END BRANCH + INSTANCE XLXI_17 976 800 R0 + BEGIN BRANCH XLXN_14 + WIRE 1040 800 1392 800 + END BRANCH + INSTANCE XLXI_13 1120 624 R0 + BEGIN BRANCH XLXN_11 + WIRE 1728 592 1744 592 + WIRE 1728 592 1728 656 + WIRE 1728 656 1840 656 + WIRE 1840 656 1840 800 + WIRE 1776 800 1840 800 + END BRANCH + IOMARKER 1744 1136 A10_B R0 28 + IOMARKER 1744 1280 A9_B R0 28 + IOMARKER 1744 1424 A8_B R0 28 + IOMARKER 2032 560 NOE R0 28 + INSTANCE XLXI_14 1744 656 R0 + INSTANCE XLXI_16 1392 1056 R0 + BEGIN BRANCH XLXN_10 + WIRE 864 736 880 736 + WIRE 880 736 880 1024 + WIRE 880 1024 1392 1024 + END BRANCH + BEGIN BRANCH NIO_SEL + WIRE 320 560 944 560 + WIRE 944 560 1088 560 + WIRE 1088 560 1120 560 + WIRE 1088 560 1088 704 + WIRE 1088 704 1120 704 + WIRE 944 560 944 1104 + WIRE 944 1104 1088 1104 + WIRE 944 1104 944 1248 + WIRE 944 1248 944 1392 + WIRE 944 1392 1088 1392 + WIRE 944 1248 1088 1248 + END BRANCH + BEGIN BRANCH XLXN_19 + WIRE 1344 704 1360 704 + WIRE 1360 704 1392 704 + END BRANCH + INSTANCE XLXI_22 1120 736 R0 + INSTANCE XLXI_23 608 576 M180 + END SHEET +END SCHEMATIC diff --git a/address_decoder.ucf b/address_decoder.ucf new file mode 100644 index 0000000..a6d30f8 --- /dev/null +++ b/address_decoder.ucf @@ -0,0 +1,19 @@ +#PACE: Start of Constraints generated by PACE + +#PACE: Start of PACE I/O Pin Assignments +NET "A10" LOC = "P37" ; +NET "A10_B" LOC = "P38" ; +NET "A8" LOC = "P27" ; +NET "A8_B" LOC = "P29" ; +NET "A9" LOC = "P33" ; +NET "A9_B" LOC = "P36" ; +NET "extclk" LOC = "p6" ; +NET "IO_SEL" LOC = "P39" ; +NET "IO_STB" LOC = "P40" ; +NET "OE" LOC = "P1" ; + +#PACE: Start of PACE Area Constraints + +#PACE: Start of PACE Prohibit Constraints + +#PACE: End of Constraints generated by PACE diff --git a/address_decoder.vhf b/address_decoder.vhf new file mode 100644 index 0000000..a83b4cf --- /dev/null +++ b/address_decoder.vhf @@ -0,0 +1,288 @@ +-------------------------------------------------------------------------------- +-- Copyright (c) 1995-2003 Xilinx, Inc. +-- All Right Reserved. +-------------------------------------------------------------------------------- +-- ____ ____ +-- / /\/ / +-- /___/ \ / Vendor: Xilinx +-- \ \ \/ Version : 6.3.03i +-- \ \ Application : +-- / / Filename : address_decoder.vhf +-- /___/ /\ Timestamp : 05/11/2017 02:05:37 +-- \ \ / \ +-- \___\/\___\ +-- +--Command: +--Design Name: FD_MXILINX_address_decoder +-- + +library ieee; +use ieee.std_logic_1164.ALL; +use ieee.numeric_std.ALL; +-- synopsys translate_off +library UNISIM; +use UNISIM.Vcomponents.ALL; +-- synopsys translate_on + +entity FD_MXILINX_address_decoder is + port ( C : in std_logic; + D : in std_logic; + Q : out std_logic); +end FD_MXILINX_address_decoder; + +architecture BEHAVIORAL of FD_MXILINX_address_decoder is + attribute BOX_TYPE : string ; + signal XLXN_4 : std_logic; + component GND + port ( G : out std_logic); + end component; + attribute BOX_TYPE of GND : component is "BLACK_BOX"; + + component FDCP + port ( C : in std_logic; + CLR : in std_logic; + D : in std_logic; + PRE : in std_logic; + Q : out std_logic); + end component; + attribute BOX_TYPE of FDCP : component is "BLACK_BOX"; + +begin + I_36_43 : GND + port map (G=>XLXN_4); + + U0 : FDCP + port map (C=>C, + CLR=>XLXN_4, + D=>D, + PRE=>XLXN_4, + Q=>Q); + +end BEHAVIORAL; + + +-------------------------------------------------------------------------------- +-- Copyright (c) 1995-2003 Xilinx, Inc. +-- All Right Reserved. +-------------------------------------------------------------------------------- +-- ____ ____ +-- / /\/ / +-- /___/ \ / Vendor: Xilinx +-- \ \ \/ Version : 6.3.03i +-- \ \ Application : +-- / / Filename : address_decoder.vhf +-- /___/ /\ Timestamp : 05/11/2017 02:05:37 +-- \ \ / \ +-- \___\/\___\ +-- +--Command: +--Design Name: FDRS_MXILINX_address_decoder +-- + +library ieee; +use ieee.std_logic_1164.ALL; +use ieee.numeric_std.ALL; +-- synopsys translate_off +library UNISIM; +use UNISIM.Vcomponents.ALL; +-- synopsys translate_on + +entity FDRS_MXILINX_address_decoder is + port ( C : in std_logic; + D : in std_logic; + R : in std_logic; + S : in std_logic; + Q : out std_logic); +end FDRS_MXILINX_address_decoder; + +architecture BEHAVIORAL of FDRS_MXILINX_address_decoder is + attribute BOX_TYPE : string ; + attribute HU_SET : string ; + signal XLXN_6 : std_logic; + signal XLXN_7 : std_logic; + signal XLXN_8 : std_logic; + component AND2B1 + port ( I0 : in std_logic; + I1 : in std_logic; + O : out std_logic); + end component; + attribute BOX_TYPE of AND2B1 : component is "BLACK_BOX"; + + component OR2 + port ( I0 : in std_logic; + I1 : in std_logic; + O : out std_logic); + end component; + attribute BOX_TYPE of OR2 : component is "BLACK_BOX"; + + component FD_MXILINX_address_decoder + port ( C : in std_logic; + D : in std_logic; + Q : out std_logic); + end component; + + attribute HU_SET of U0 : label is "U0_0"; +begin + I_36_112 : AND2B1 + port map (I0=>R, + I1=>S, + O=>XLXN_6); + + I_36_113 : AND2B1 + port map (I0=>R, + I1=>D, + O=>XLXN_8); + + I_36_120 : OR2 + port map (I0=>XLXN_6, + I1=>XLXN_8, + O=>XLXN_7); + + U0 : FD_MXILINX_address_decoder + port map (C=>C, + D=>XLXN_7, + Q=>Q); + +end BEHAVIORAL; + + +-------------------------------------------------------------------------------- +-- Copyright (c) 1995-2003 Xilinx, Inc. +-- All Right Reserved. +-------------------------------------------------------------------------------- +-- ____ ____ +-- / /\/ / +-- /___/ \ / Vendor: Xilinx +-- \ \ \/ Version : 6.3.03i +-- \ \ Application : +-- / / Filename : address_decoder.vhf +-- /___/ /\ Timestamp : 05/11/2017 02:05:37 +-- \ \ / \ +-- \___\/\___\ +-- +--Command: +--Design Name: address_decoder +-- + +library ieee; +use ieee.std_logic_1164.ALL; +use ieee.numeric_std.ALL; +-- synopsys translate_off +library UNISIM; +use UNISIM.Vcomponents.ALL; +-- synopsys translate_on + +entity address_decoder is + port ( A8 : in std_logic; + A9 : in std_logic; + A10 : in std_logic; + CLK : in std_logic; + NIO_SEL : in std_logic; + NIO_STB : in std_logic; + A8_B : out std_logic; + A9_B : out std_logic; + A10_B : out std_logic; + NOE : out std_logic); +end address_decoder; + +architecture BEHAVIORAL of address_decoder is + attribute BOX_TYPE : string ; + attribute HU_SET : string ; + signal XLXN_4 : std_logic; + signal XLXN_10 : std_logic; + signal XLXN_11 : std_logic; + signal XLXN_14 : std_logic; + signal XLXN_19 : std_logic; + component NAND2 + port ( I0 : in std_logic; + I1 : in std_logic; + O : out std_logic); + end component; + attribute BOX_TYPE of NAND2 : component is "BLACK_BOX"; + + component FDRS_MXILINX_address_decoder + port ( C : in std_logic; + D : in std_logic; + R : in std_logic; + S : in std_logic; + Q : out std_logic); + end component; + + component VCC + port ( P : out std_logic); + end component; + attribute BOX_TYPE of VCC : component is "BLACK_BOX"; + + component AND2 + port ( I0 : in std_logic; + I1 : in std_logic; + O : out std_logic); + end component; + attribute BOX_TYPE of AND2 : component is "BLACK_BOX"; + + component INV + port ( I : in std_logic; + O : out std_logic); + end component; + attribute BOX_TYPE of INV : component is "BLACK_BOX"; + + component AND4B1 + port ( I0 : in std_logic; + I1 : in std_logic; + I2 : in std_logic; + I3 : in std_logic; + O : out std_logic); + end component; + attribute BOX_TYPE of AND4B1 : component is "BLACK_BOX"; + + attribute HU_SET of XLXI_16 : label is "XLXI_16_1"; +begin + XLXI_13 : NAND2 + port map (I0=>NIO_SEL, + I1=>NIO_STB, + O=>XLXN_4); + + XLXI_14 : NAND2 + port map (I0=>XLXN_11, + I1=>XLXN_4, + O=>NOE); + + XLXI_16 : FDRS_MXILINX_address_decoder + port map (C=>CLK, + D=>XLXN_14, + R=>XLXN_10, + S=>XLXN_19, + Q=>XLXN_11); + + XLXI_17 : VCC + port map (P=>XLXN_14); + + XLXI_18 : AND2 + port map (I0=>A10, + I1=>NIO_SEL, + O=>A10_B); + + XLXI_19 : AND2 + port map (I0=>A9, + I1=>NIO_SEL, + O=>A9_B); + + XLXI_20 : AND2 + port map (I0=>A8, + I1=>NIO_SEL, + O=>A8_B); + + XLXI_22 : INV + port map (I=>NIO_SEL, + O=>XLXN_19); + + XLXI_23 : AND4B1 + port map (I0=>NIO_STB, + I1=>A10, + I2=>A9, + I3=>A8, + O=>XLXN_10); + +end BEHAVIORAL; + + diff --git a/address_decoder_html/fit/applet.js b/address_decoder_html/fit/applet.js new file mode 100644 index 0000000..d255dd2 --- /dev/null +++ b/address_decoder_html/fit/applet.js @@ -0,0 +1,128 @@ + var tmpStr = ""; + var waitWin; + + function openWait() { + waitWin = window.open("wait.htm", "wait", + "toolbar=no,location=no,"+ + "directories=no,status=no,menubar=no,scrollbars=no,"+ + "resizable=no,width=300,height=50" ); + } + + function closeWait() { if (waitWin) waitWin.close(); } + + function setMsg(msg){ + + parent.leftnav.setAppletMsg( msg ); + // now send it reload forces + // call to applet paint + location.reload(); + } + + function getMsg(){ + + return( parent.leftnav.getAppletMsg() ); + } + + function resetMsg(){ parent.leftnav.setAppletMsg(""); } + + function printAppletPkg() { + if( isNS() ){ + setMsg("cmd printPkg "); + } + else{ + document.ChipViewerApplet.PrintPkg(); + } + } + + function showAppletGraphicMC(mc) { + if( isNS() ){ + setMsg("cmd showMac " + mc); + } + else{ + document.ChipViewerApplet.ShowMac(mc); + } + } + + function ShowMC() { showAppletGraphicMC(tmpStr); } + + function showAppletGraphicFB(fb) { + if( isNS() ){ + setMsg("cmd showFB " + fb); + } + else{ + document.ChipViewerApplet.ShowFB(fb); + } + } + + function showAppletGraphicPin(pin) { + if( isNS() ){ + setMsg("cmd showPin " + pin); + } + else{ + document.ChipViewerApplet.ShowPin(pin); + } + } + + function ShowFB() { showAppletGraphicFB(tmpStr); } + + function isNS() { + return ((navigator.appName.indexOf("Netscape") >= 0) && (parseFloat(navigator.appVersion) < 5) ) ? true : false; + } + + function isIE(){ + var agt=navigator.userAgent.toLowerCase(); + return( ( (agt.indexOf("msie") != -1) && (agt.indexOf("opera") == -1) ) ? true: false ); + } + + function waitUntilOK() { + if (!waitWin) openWait(); + if (isNS()) { + if (document.ChipViewerApplet.isActive()) closeWait(); + else settimeout("waitUntilOK()",100); + } + else { + if (document.ChipViewerApplet.readyState == 4) closeWait(); + else settimeout("waitUntilOK()",100); + } + } + + + // check that the applet if file has been generated + // this can only be done if the applets been loaded. + function fileExists(fileName){ + + if( document.ChipViewerApplet.readyState != 4 ) { + window.alert("Navigation disabled until the applet is loaded." ); + } + if( isIE() ){ + if( parent.leftnav.getAppletPermission() == 1 ){ + if( document.ChipViewerApplet.TestFileExists(fileName) == 1 ){ + window.alert("file exist tests true" ); + return( true ); + } + } + else{ + window.alert("file exist returns true no permission" ); + return( true ); + } + } + else{ + return( true ); + } + window.alert("file exist returns false" ); + return( false ); + } + + + + function setPermission(){ + + if( isIE() ){ + if( document.ChipViewerApplet.granted() ){ + parent.leftnav.setAppletPermission(); + } + } + else{ + return( true ); + } + } diff --git a/address_decoder_html/fit/appletref.htm b/address_decoder_html/fit/appletref.htm new file mode 100644 index 0000000..968eb0a --- /dev/null +++ b/address_decoder_html/fit/appletref.htm @@ -0,0 +1,15 @@ + + + + + + + + + + + + + + + diff --git a/address_decoder_html/fit/asciidoc.htm b/address_decoder_html/fit/asciidoc.htm new file mode 100644 index 0000000..3455598 --- /dev/null +++ b/address_decoder_html/fit/asciidoc.htm @@ -0,0 +1,71 @@ + + + + + + + + + + +Text Report + + + + + + + + + + + + + + + + + + + + + + + + +

Text Report

+ +

Selecting Text + Report from the left-hand frame will give you a printable text version + of the fitter report.  It + contains sections similar to those of the XML report (a summary section, + errors and warnings, mapped logic, function blocks, function block details, + a text-graphical display of the pinout, and a summary of compiler options), + but it is not easily navigable.  It + is best to use the text report only when you need to print out a hard + copy of the fitter results.

+ + + + diff --git a/address_decoder_html/fit/backtop.jpg b/address_decoder_html/fit/backtop.jpg new file mode 100644 index 0000000..c537825 Binary files /dev/null and b/address_decoder_html/fit/backtop.jpg differ diff --git a/address_decoder_html/fit/beginstraight.gif b/address_decoder_html/fit/beginstraight.gif new file mode 100644 index 0000000..1a75177 Binary files /dev/null and b/address_decoder_html/fit/beginstraight.gif differ diff --git a/address_decoder_html/fit/blank.gif b/address_decoder_html/fit/blank.gif new file mode 100644 index 0000000..1d11fa9 Binary files /dev/null and b/address_decoder_html/fit/blank.gif differ diff --git a/address_decoder_html/fit/blank.htm b/address_decoder_html/fit/blank.htm new file mode 100644 index 0000000..18ecdcb --- /dev/null +++ b/address_decoder_html/fit/blank.htm @@ -0,0 +1 @@ + diff --git a/address_decoder_html/fit/briefview.jpg b/address_decoder_html/fit/briefview.jpg new file mode 100644 index 0000000..3006953 Binary files /dev/null and b/address_decoder_html/fit/briefview.jpg differ diff --git a/address_decoder_html/fit/check.htm b/address_decoder_html/fit/check.htm new file mode 100644 index 0000000..4dc9562 --- /dev/null +++ b/address_decoder_html/fit/check.htm @@ -0,0 +1,10 @@ + + + + + + + + + + diff --git a/address_decoder_html/fit/checkNS4.htm b/address_decoder_html/fit/checkNS4.htm new file mode 100644 index 0000000..349d05d --- /dev/null +++ b/address_decoder_html/fit/checkNS4.htm @@ -0,0 +1,10 @@ + + + + + + + + + + diff --git a/address_decoder_html/fit/contact.gif b/address_decoder_html/fit/contact.gif new file mode 100644 index 0000000..418b282 Binary files /dev/null and b/address_decoder_html/fit/contact.gif differ diff --git a/address_decoder_html/fit/coolrunnerII_logo.jpg b/address_decoder_html/fit/coolrunnerII_logo.jpg new file mode 100644 index 0000000..1b57ddc Binary files /dev/null and b/address_decoder_html/fit/coolrunnerII_logo.jpg differ diff --git a/address_decoder_html/fit/coolrunner_logo.jpg b/address_decoder_html/fit/coolrunner_logo.jpg new file mode 100644 index 0000000..01e20a5 Binary files /dev/null and b/address_decoder_html/fit/coolrunner_logo.jpg differ diff --git a/address_decoder_html/fit/education.gif b/address_decoder_html/fit/education.gif new file mode 100644 index 0000000..07e9507 Binary files /dev/null and b/address_decoder_html/fit/education.gif differ diff --git a/address_decoder_html/fit/endmkt.gif b/address_decoder_html/fit/endmkt.gif new file mode 100644 index 0000000..15371dc Binary files /dev/null and b/address_decoder_html/fit/endmkt.gif differ diff --git a/address_decoder_html/fit/eqns.js b/address_decoder_html/fit/eqns.js new file mode 100644 index 0000000..3d35fc4 --- /dev/null +++ b/address_decoder_html/fit/eqns.js @@ -0,0 +1,902 @@ +var eqnType = 0; +var spcStr = " "; +var nlStr = "
"; +var tabStr = spcStr + spcStr + spcStr + spcStr + spcStr; +var nlTabStr = nlStr + tabStr; +var rClrS = ""; +var rClrE = ""; +var cClrS = ""; +var cClrE = ""; + +var abelOper = new Array(); +abelOper["GND"] = new Array("Gnd"); +abelOper["VCC"] = new Array("Vcc"); +abelOper["NOT"] = new Array(rClrS + "!" + rClrE); +abelOper["AND"] = new Array(rClrS + "*" + rClrE); +abelOper["OR"] = new Array(rClrS + "#" + rClrE); +abelOper["XOR"] = new Array(rClrS + "$" + rClrE); +abelOper["EQUAL_COLON"] = new Array(":= "); +abelOper["EQUAL"] = new Array("= "); +abelOper["ASSIGN"] = new Array(""); +abelOper["OPEN_NEGATE"] = new Array("("); +abelOper["CLOSE_NEGATE"] = new Array(")"); +abelOper["OPEN_PTERM"] = new Array(""); +abelOper["CLOSE_PTERM"] = new Array(""); +abelOper["OPEN_BRACE"] = new Array("<"); +abelOper["CLOSE_BRACE"] = new Array(">"); +abelOper["INVALID_OPEN_BRACE"] = new Array("<"); +abelOper["INVALID_CLOSE_BRACE"] = new Array(">"); + +abelOper["ENDLN"] = new Array(";"); +abelOper["COMMENT"] = new Array("//"); +abelOper["IMPORT"] = new Array(";Imported pterms "); +abelOper["GCK_COM"] = new Array("GCK"); +abelOper["GTS_COM"] = new Array("GTS"); +abelOper["GSR_COM"] = new Array("GSR"); +abelOper["START_EQN"] = new Array(""); +abelOper["END_EQN"] = new Array(""); + +abelOper["_I"] = new Array(".I"); +abelOper["_T"] = new Array(".T"); +abelOper["_D"] = new Array(".D"); +abelOper["_C"] = new Array(".CLK"); +abelOper["_LH"] = new Array(".LH"); +abelOper["_CLR"] = new Array(".AR"); +abelOper["_PRE"] = new Array(".AP"); +abelOper["_CE"] = new Array(".CE"); +abelOper["_OE"] = new Array(".OE"); + +abelOper["OE_START"] = new Array(" <= "); +abelOper["OE_WHEN"] = new Array(" when "); +abelOper["OE_EQUAL"] = new Array(" = "); +abelOper["OE_ELSE"] = new Array(" else "); +abelOper["B0"] = new Array("'0'"); +abelOper["B1"] = new Array("'1'"); +abelOper["BZ"] = new Array("'Z'"); + +abelOper["FD"] = new Array(".D"); +abelOper["FT"] = new Array(".T"); +abelOper["FDD"] = new Array(".D"); +abelOper["FTD"] = new Array(".T"); +abelOper["LD"] = new Array(".LH"); +abelOper["Q"] = new Array(".Q"); + +var vhdlOper = new Array(); +vhdlOper["GND"] = new Array("'0'"); +vhdlOper["VCC"] = new Array("'1'"); +vhdlOper["NOT"] = new Array(rClrS + "NOT " + rClrE); +vhdlOper["AND"] = new Array(rClrS + "AND" + rClrE); +vhdlOper["OR"] = new Array(rClrS + "OR" + rClrE); +vhdlOper["XOR"] = new Array(rClrS + "XOR" + rClrE); +vhdlOper["EQUAL_COLON"] = new Array("<= "); +vhdlOper["EQUAL"] = new Array("<= "); +vhdlOper["ASSIGN"] = new Array(""); +vhdlOper["OPEN_NEGATE"] = new Array("("); +vhdlOper["CLOSE_NEGATE"] = new Array(")"); +vhdlOper["OPEN_PTERM"] = new Array("("); +vhdlOper["CLOSE_PTERM"] = new Array(")"); +vhdlOper["OPEN_BRACE"] = new Array("("); +vhdlOper["CLOSE_BRACE"] = new Array(")"); +vhdlOper["INVALID_OPEN_BRACE"] = new Array("<"); +vhdlOper["INVALID_CLOSE_BRACE"] = new Array(">"); + +vhdlOper["ENDLN"] = new Array(";"); +vhdlOper["COMMENT"] = new Array("--"); +vhdlOper["IMPORT"] = new Array(""); +vhdlOper["GCK_COM"] = new Array("GCK"); +vhdlOper["GTS_COM"] = new Array("GTS"); +vhdlOper["GSR_COM"] = new Array("GSR"); +vhdlOper["START_EQN"] = new Array(rClrS + "port map" + rClrE + " ("); +vhdlOper["END_EQN"] = new Array(")"); + +vhdlOper["_I"] = new Array("_I"); +vhdlOper["_T"] = new Array("_T"); +vhdlOper["_D"] = new Array("_D"); +vhdlOper["_C"] = new Array("_C"); +vhdlOper["_LH"] = new Array("_C"); +vhdlOper["_CLR"] = new Array("_CLR"); +vhdlOper["_PRE"] = new Array("_PRE"); +vhdlOper["_CE"] = new Array("_CE"); +vhdlOper["_OE"] = new Array("_OE"); + +vhdlOper["OE_START"] = new Array(" <= "); +vhdlOper["OE_WHEN"] = new Array(" when "); +vhdlOper["OE_EQUAL"] = new Array(" = "); +vhdlOper["OE_ELSE"] = new Array(" else "); +vhdlOper["B0"] = new Array("'0'"); +vhdlOper["B1"] = new Array("'1'"); +vhdlOper["BZ"] = new Array("'Z'"); + +vhdlOper["FD"] = new Array("FDCPE"); +vhdlOper["FT"] = new Array("FTCPE"); +vhdlOper["FDD"] = new Array("FDDCPE"); +vhdlOper["FTD"] = new Array("FTDCPE"); +vhdlOper["LD"] = new Array("LDCP"); +vhdlOper["Q"] = new Array(""); + +var verOper = new Array(); +verOper["GND"] = new Array("1'b0"); +verOper["VCC"] = new Array("1'b1"); +verOper["NOT"] = new Array(rClrS + "!" + rClrE); +verOper["AND"] = new Array(rClrS + "&&" + rClrE); +verOper["OR"] = new Array(rClrS + "||" + rClrE); +verOper["XOR"] = new Array(rClrS + "XOR" + rClrE); +verOper["EQUAL_COLON"] = new Array("= "); +verOper["EQUAL"] = new Array("= "); +verOper["ASSIGN"] = new Array("assign "); +verOper["OPEN_NEGATE"] = new Array("("); +verOper["CLOSE_NEGATE"] = new Array(")"); +verOper["OPEN_PTERM"] = new Array("("); +verOper["CLOSE_PTERM"] = new Array(")"); +verOper["OPEN_BRACE"] = new Array("["); +verOper["CLOSE_BRACE"] = new Array("]"); +verOper["INVALID_OPEN_BRACE"] = new Array("<"); +verOper["INVALID_CLOSE_BRACE"] = new Array(">"); + +verOper["ENDLN"] = new Array(";"); +verOper["COMMENT"] = new Array("//"); +verOper["IMPORT"] = new Array(""); +verOper["GCK_COM"] = new Array("GCK"); +verOper["GTS_COM"] = new Array("GTS"); +verOper["GSR_COM"] = new Array("GSR"); +verOper["START_EQN"] = new Array(" ("); +verOper["END_EQN"] = new Array(")"); + +verOper["_I"] = new Array("_I"); +verOper["_T"] = new Array("_T"); +verOper["_D"] = new Array("_D"); +verOper["_C"] = new Array("_C"); +verOper["_LH"] = new Array("_C"); +verOper["_CLR"] = new Array("_CLR"); +verOper["_PRE"] = new Array("_PRE"); +verOper["_CE"] = new Array("_CE"); +verOper["_OE"] = new Array("_OE"); + +verOper["OE_START"] = new Array(" = "); +verOper["OE_WHEN"] = new Array(" ? "); +verOper["OE_EQUAL"] = new Array(""); +verOper["OE_ELSE"] = new Array(" : "); +verOper["B0"] = new Array("1'b0"); +verOper["B1"] = new Array("1'b1"); +verOper["BZ"] = new Array("1'bz"); + +verOper["FD"] = new Array("FDCPE"); +verOper["FT"] = new Array("FTCPE"); +verOper["FDD"] = new Array("FDDCPE"); +verOper["FTD"] = new Array("FTDCPE"); +verOper["LD"] = new Array("LDCP"); +verOper["Q"] = new Array(""); + +var operator = abelOper; + +var pterms = new Array(); +var d1 = new Array(); +var d2 = new Array(); +var clk = new Array(); +var set = new Array(); +var rst = new Array(); +var trst = new Array(); +var d1imp = new Array(); +var d2imp = new Array(); +var clkimp = new Array(); +var setimp = new Array(); +var rstimp = new Array(); +var trstimp = new Array(); +var gblclk = new Array(); +var gblset = new Array(); +var gblrst = new Array(); +var gbltrst = new Array(); +var ce = new Array(); +var ceimp = new Array(); +var prld = new Array(); +var specSig = new Array(); +var clkNegs = new Array(); +var setNegs = new Array(); +var rstNegs = new Array(); +var trstNegs = new Array(); +var ceNegs = new Array(); +var fbnand = new Array(); +var inreg = new Array(); + +var dOneLit = true; + +function setOper(type) { + if (type == "1") { operator = vhdlOper; eqnType = 1; } + else if (type == "2") { operator = verOper; eqnType = 2; } + else { operator = abelOper; eqnType = 0; } +} + +function isXC95() { + if (device.indexOf("95") != -1) return true; + return false; +} + +function is9500() { + if ((device.indexOf("95") != -1) && + (device.indexOf("XL") == -1) && + (device.indexOf("XV") == -1)) return true; + return false; +} + +function retSigType(s) { + var sigType = sigTypes[s]; + var str = operator["Q"]; + if (sigType == "D") str = operator["FD"]; + else if (sigType == "T") str = operator["FT"]; + else if (sigType.indexOf("LATCH") != -1) str = operator["LD"]; + else if (sigType.indexOf("DDEFF") != -1) str = operator["FDD"]; + else if (sigType.indexOf("DEFF") != -1) str = operator["FD"]; + else if (sigType.indexOf("DDFF") != -1) str = operator["FDD"]; + else if (sigType.indexOf("TDFF") != -1) str = operator["FTD"]; + else if (sigType.indexOf("DFF") != -1) str = operator["FD"]; + else if (sigType.indexOf("TFF") != -1) str = operator["FT"]; + return str; +} + +function retSigIndex(signal) { + for (s=0; s 1) str += operator["OPEN_PTERM"]; + for (p=0; p0) str += " " + operator["AND"] + " "; + var neg = 0; + if (sig.indexOf("/") != -1) { + sig = sig.substring(1, sig.length); + str += operator["NOT"]; + neg = 1; + } + + str += retSigName(sig); + } + if (pterms[pt].length > 1) str += operator["CLOSE_PTERM"]; + + return str; +} + +function retFBMC(str) { + return str.substring(0,str.length-2); +} + +function retD1D2(signal) { + var str = ""; + + dOneLit = true; + if (d1[signal]) { + var currImp = ""; + for (i=0; i0) str += nlTabStr + operator["OR"] + spcStr; + str += retPterm(d1[signal][i]); + } + + if (d2[signal]) str += nlTabStr + operator["XOR"]+ spcStr; + } + + if (d2[signal]) { + var currImp = ""; + for (i=0; i0) str += nlTabStr + operator["OR"] + spcStr; + str += retPterm(d2[signal][i]); + } + } + + if (str == "GND") str = operator["GND"]; + else if (str == "VCC") str = operator["VCC"]; + else if (!isOneLiteral(str)) { + dOneLit = false; + + var type = retSigType(retSigIndex(signal)); + if ((type == operator["FD"]) || + (type == operator["FDD"])) type = operator["_D"]; + else if ((type == operator["FT"]) || + (type == operator["FTD"])) type = operator["_T"]; + else if (type == operator["LD"] && eqnType) type = "_D"; + + var tmpStr = updateName(retSigName(signal), type); + tmpStr += spcStr + operator["EQUAL_COLON"]; + var idx = retSigIndex(signal); + if (eqnType && sigNegs[idx] == "ON") tmpStr += operator["NOT"] + operator["OPEN_NEGATE"]; + str = tmpStr + str; + if (eqnType && sigNegs[idx] == "ON") str += operator["CLOSE_NEGATE"]; + str += operator["ENDLN"]; + + } + + return str; +} + +function retClk(signal) { + var str = ""; + + if (clk[signal]) { + if (clk[signal].length == 1) { + var pterm = retPterm(clk[signal][0]); + if (clkNegs[signal]) { + str += operator["NOT"]; + if (!isOneLiteral(pterm)) str += operator["OPEN_NEGATE"]; + } + str += pterm; + if (clkNegs[signal] && !isOneLiteral(pterm)) str += operator["CLOSE_NEGATE"]; + } + else { + if (clkNegs[signal]) str += operator["NOT"] + operator["OPEN_NEGATE"]; + var currImp = ""; + for (i=0; i0) str += nlTabStr + operator["OR"] + spcStr; + str += retPterm(clk[signal][i]); + } + if (clkNegs[signal]) str += operator["CLOSE_NEGATE"]; + str += operator["ENDLN"]; + } + } + else if (gblclk[signal]) { + if (gblclk[signal].length == 1) { + var pterm = retPterm(gblclk[signal][0]); + if (clkNegs[signal]) { + str += operator["NOT"]; + if (!isOneLiteral(pterm)) str += operator["OPEN_NEGATE"]; + } + str += pterm; + if (clkNegs[signal] && !isOneLiteral(pterm)) str += operator["CLOSE_NEGATE"]; + } + else { + if (clkNegs[signal]) str += operator["NOT"] + operator["OPEN_NEGATE"]; + for (i=0; i0) str += nlTabStr + operator["OR"] + spcStr; + str += retPterm(gblclk[signal][i]); + } + if (clkNegs[signal]) str += operator["CLOSE_NEGATE"]; + str += operator["ENDLN"] + tabStr + cClrS + + operator["COMMENT"] + spcStr + operator["GCK_COM"] + cClrE; + } + } + else if (eqnType) str += operator["B0"]; + + return str; +} + +function retRst(signal) { + var str = ""; + + if (rst[signal]) { + if (rst[signal].length == 1) { + var currImp; + if (!eqnType && rstimp[signal] && (rstimp[signal][0] == "1")) { + if (currImp != retFBMC(rst[signal][0])) { + currImp = retFBMC(rst[signal][0]); + str += nlStr + operator["IMPORT"] + currImp; + } + } + if (rstNegs[signal]) str += operator["NOT"]; + str += retPterm(rst[signal][0]); + } + else { + var currImp = ""; + if (rstNegs[signal]) str += operator["NOT"] + operator["OPEN_NEGATE"]; + for (i=0; i0) str += nlTabStr + operator["OR"] + spcStr; + str += retPterm(rst[signal][i]); + } + if (rstNegs[signal]) str += operator["CLOSE_NEGATE"]; + str += operator["ENDLN"]; + } + } + else if (gblrst[signal]) { + if (gblrst[signal].length == 1) { + if (rstNegs[signal]) str += operator["NOT"]; + str += retPterm(gblrst[signal][0]); + } + else { + if (rstNegs[signal]) str += operator["NOT"] + operator["OPEN_NEGATE"]; + for (i=0; i0) str += nlTabStr + operator["OR"] + spcStr; + str += retPterm(gblrst[signal][i]); + } + if (rstNegs[signal]) str += operator["CLOSE_NEGATE"]; + str += operator["ENDLN"] + tabStr + cClrS + + operator["COMMENT"] + spcStr + operator["GSR_COM"] + cClrE; + } + } + else if (eqnType) str += operator["B0"]; + + return str; +} + +function retSet(signal) { + var str = ""; + + if (set[signal]) { + if (set[signal].length == 1) { + var currImp = ""; + if (!eqnType && setimp[signal] && (setimp[signal][0] == "1")) { + if (currImp != retFBMC(set[signal][0])) { + currImp = retFBMC(set[signal][0]); + str += nlStr + operator["IMPORT"] + currImp; + } + } + if (setNegs[signal]) str += operator["NOT"]; + str += retPterm(set[signal][0]); + } + else { + var currImp = ""; + if (setNegs[signal]) str += operator["NOT"] + operator["OPEN_NEGATE"]; + for (i=0; i0) str += nlTabStr + operator["OR"] + spcStr; + str += retPterm(set[signal][i]); + } + if (setNegs[signal]) str += operator["CLOSE_NEGATE"]; + str += operator["ENDLN"]; + } + } + else if (gblset[signal]) { + if (gblset[signal].length == 1) { + if (setNegs[signal]) str += operator["NOT"]; + str += retPterm(gblset[signal][0]); + } + else { + if (setNegs[signal]) str += operator["NOT"] + operator["OPEN_NEGATE"]; + for (i=0; i0) str += nlTabStr + operator["OR"] + spcStr; + str += retPterm(gblset[signal][i]); + } + if (setNegs[signal]) str += operator["CLOSE_NEGATE"]; + str += operator["ENDLN"] + tabStr + cClrS + + operator["COMMENT"] + spcStr + operator["GSR_COM"] + cClrE; + } + } + else if (eqnType) str += operator["B0"]; + + return str; +} + +function retCE(signal) { + var str = ""; + + if (ce[signal]) { + if (ce[signal].length == 1) { + var currImp = ""; + if (!eqnType && ceimp[signal] && (ceimp[signal][0] == "1")) { + if (currImp != retFBMC(ce[signal][0])) { + currImp = retFBMC(ce[signal][0]); + str += nlStr + operator["IMPORT"] + currImp; + } + } + if (ceNegs[signal]) str += operator["NOT"]; + str += retPterm(ce[signal][0]); + } + else { + var currImp = ""; + if (ceNegs[signal]) str += operator["NOT"] + operator["OPEN_NEGATE"]; + for (i=0; i0) str += nlTabStr + operator["OR"] + spcStr; + str += retPterm(ce[signal][i]); + } + if (ceNegs[signal]) str += operator["CLOSE_NEGATE"]; + str += operator["ENDLN"]; + } + } + else if (eqnType) str += operator["B1"]; + + return str; +} + +function retTrst(signal) { + var str = ""; + if (trst[signal]) { + if (trstNegs[signal]) + str += operator["NOT"] + operator["OPEN_NEGATE"]; + for (i=0; i0) str += nlTabStr + operator["OR"] + spcStr; + str += retPterm(trst[signal][i]); + } + if (trstNegs[signal]) str += operator["CLOSE_NEGATE"]; + } + else if (gbltrst[signal]) { + if (trstNegs[signal]) + str += operator["NOT"] + operator["OPEN_NEGATE"]; + for (i=0; i0) str += nlTabStr + operator["OR"] + spcStr; + str += retPterm(gbltrst[signal][i]); + } + if (trstNegs[signal]) str += operator["CLOSE_NEGATE"]; + } + + str += operator["ENDLN"]; + return str; +} + +function retEqn(signal) { + var str = inregStr = ""; + var iStr = qStr = ""; + var dStr = dEqn = ""; + var cStr = cEqn = ""; + var clrStr = clrEqn = ""; + var preStr = preEqn = ""; + var ceStr = ceEqn = ""; + var oeStr = oeEqn = ""; + var sigName = retSigName(signal); + + var type = retSigType(retSigIndex(signal)); + + if (gbltrst[signal] || trst[signal]) iStr = operator["_I"]; + if (eqnType) qStr = updateName(sigName, iStr); + + if (inreg[signal]) { + if (!eqnType) + inregStr = operator["COMMENT"] + " Direct Input Register" + nlStr; + dStr = retSigName(inreg[signal][0]); + } + else dStr = retD1D2(signal); + if (eqnType && !dOneLit) { + dEqn = dStr; + dStr = dStr.substring(0,dStr.indexOf(operator["EQUAL_COLON"])); + } + else if (!eqnType) { + if (!dOneLit) dStr = dStr.substring(dStr.indexOf(operator["EQUAL_COLON"])+2); + if (sigNegs[retSigIndex(signal)] == "ON") dEqn += operator["NOT"]; + dEqn += sigName; + if ((type == operator["FT"]) || + (type == operator["FTD"])) dEqn += operator["_T"]; + else if ((type == operator["FD"]) || + (type == operator["FTD"])|| + (type == operator["LD"])) dEqn += operator["_D"]; + dEqn += " "; + if ((type != operator["Q"]) && (type != operator["LD"])) + dEqn += operator["EQUAL_COLON"]; + else dEqn += operator["EQUAL"]; + dEqn += dStr; + if (dOneLit) dEqn += operator["ENDLN"]; + } + + cStr = retClk(signal); + if (eqnType && !isOneLiteral(cStr)){ + cEqn = cStr; + if (cEqn.indexOf(operator["ENDLN"]) == -1) + cEqn += operator["ENDLN"]; + cStr = updateName(sigName, operator["_C"]); + } + else if (!eqnType && cStr) { + cEqn += cStr; + cStr = tabStr + sigName; + if (type == operator["LD"]) cStr += operator["_LH"]; + else cStr += operator["_C"]; + if (cEqn.indexOf(operator["ENDLN"]) == -1) + cEqn += operator["ENDLN"]; + if (gblclk[signal]) cEqn += tabStr + operator["COMMENT"] + " " + operator["GCK_COM"]; + } + + clrStr = retRst(signal); + if (eqnType && !isOneLiteral(clrStr)){ + clrEqn = clrStr; + if (cEqn.indexOf(operator["ENDLN"]) == -1) + clrEqn += operator["ENDLN"]; + clrStr = updateName(sigName, operator["_CLR"]); + } + else if (!eqnType && clrStr) { + clrEqn += clrStr; + clrStr = tabStr + sigName + operator["_CLR"]; + if (clrEqn.indexOf(operator["ENDLN"]) == -1) + clrEqn += operator["ENDLN"]; + if (gblrst[signal]) clrEqn += tabStr + operator["COMMENT"] + " " + operator["GSR_COM"]; + } + + preStr = retSet(signal); + if (eqnType && !isOneLiteral(preStr)){ + preEqn = preStr; + if (cEqn.indexOf(operator["ENDLN"]) == -1) + preEqn += operator["ENDLN"]; + preStr = updateName(sigName, operator["_PRE"]); + } + else if (!eqnType && preStr) { + preEqn += preStr; + preStr = tabStr + sigName + operator["_PRE"]; + if (preEqn.indexOf(operator["ENDLN"]) == -1) + preEqn += operator["ENDLN"]; + if (gblset[signal]) preEqn += tabStr + operator["COMMENT"] + " " + operator["GSR_COM"]; + } + + if (!is9500()) { + ceStr = retCE(signal); + if (eqnType && !isOneLiteral(ceStr)){ + ceEqn = ceStr; + if (cEqn.indexOf(operator["ENDLN"]) == -1) + ceEqn += operator["ENDLN"]; + ceStr = updateName(sigName, operator["_CE"]); + } + else if (!eqnType && ceStr) { + ceEqn += ceStr; + ceStr = tabStr + sigName + operator["_CE"]; + if (ceEqn.indexOf(operator["ENDLN"]) == -1) + ceEqn += operator["ENDLN"]; + } + } + + if (eqnType && trst[signal]) oeEqn = retTrst(signal) + else if (!eqnType && (trst[signal] || gbltrst[signal])) oeEqn = retTrst(signal); + + + var newline = false; + if (type == "") { + str += operator["ASSIGN"] + qStr + " " + operator["EQUAL"]; + if (dOneLit) str += dStr; + else str += dEqn.substring(dEqn.indexOf(operator["EQUAL"])+2); + if (oeEqn != "") { + var oeStr = updateName(sigName, operator["_OE"]); + if (eqnType == 1) { + str += nlStr + sigName + operator["OE_START"] + qStr + operator["OE_WHEN"] + oeStr + + operator["OE_EQUAL"] + operator["B1"] + operator["OE_ELSE"] + + operator["OE_EQUAL"] + operator["BZ"] + operator["ENDLN"]; + } + else if (eqnType == 2) { + str += nlStr + operator["ASSIGN"] + sigName + operator["OE_START"] + + oeStr + operator["OE_WHEN"] + qStr + + operator["OE_ELSE"] + operator["BZ"] + operator["ENDLN"]; + } + str += nlStr + operator["ASSIGN"] + oeStr + " " + operator["EQUAL"] + " " + oeEqn; + } + } + else { + if (eqnType == 1) { + str += type + "_" + removePar(retSigName(signal)) + + ": " + type + " " + operator["START_EQN"] + + qStr + ", " + dStr + ", " + cStr + ", " + + clrStr + ", " + preStr; + if (!is9500() && (type != operator["LD"])) str += ", " + ceStr; + str += operator["END_EQN"] + operator["ENDLN"]; + newline = true; + } + else if (eqnType == 2) { + str += type + " " + + type + "_" + removePar(retSigName(signal)) + + operator["START_EQN"] + + qStr + ", " + dStr + ", " + cStr + ", " + + clrStr + ", " + preStr; + if (!is9500() && (type != operator["LD"])) str += ", " + ceStr; + str += operator["END_EQN"] + operator["ENDLN"]; + newline = true; + } + + if (dEqn != "") { + if (newline) str += nlStr; + if (inregStr) str += inregStr; + str += operator["ASSIGN"] + dEqn; + } + + if (cEqn != "") { + if (newline || !eqnType) str += nlStr; + str += operator["ASSIGN"] + cStr + " " + operator["EQUAL"] + " " + cEqn; + } + + if (clrEqn != "") { + if (newline || !eqnType) str += nlStr; + str += operator["ASSIGN"] + clrStr + " " + operator["EQUAL"] + " " + clrEqn; + } + + + if (preEqn != "") { + if (newline || !eqnType) str += nlStr; + str += operator["ASSIGN"] + preStr + " " + operator["EQUAL"] + " " + preEqn; + } + + if (ceEqn != "") { + if (newline || !eqnType) str += nlStr; + str += operator["ASSIGN"] + ceStr + " " + operator["EQUAL"] + " " + ceEqn; + } + + if (oeEqn != "") { + if (eqnType == 1) { + var oeStr = updateName(sigName, operator["_OE"]); + str += nlStr + sigName + operator["OE_START"] + qStr + operator["OE_WHEN"] + oeStr + + operator["OE_EQUAL"] + operator["B1"] + operator["OE_ELSE"] + + operator["OE_EQUAL"] + operator["BZ"] + operator["ENDLN"]; + str += nlStr + oeStr + " " + operator["EQUAL"] + " " + oeEqn; + } + else if (eqnType == 2) { + var oeStr = updateName(sigName, operator["_OE"]); + str += nlStr + operator["ASSIGN"] + sigName + operator["OE_START"] + oeStr + operator["OE_WHEN"] + qStr + + operator["OE_ELSE"] + operator["BZ"] + operator["ENDLN"]; + str += nlStr + operator["ASSIGN"] + oeStr + " " + operator["EQUAL"] + " " + oeEqn; + } + else { + var oeStr = sigName + operator["_OE"]; + if (gbltrst[signal]) + oeEqn += tabStr + operator["COMMENT"] + " " + operator["GTS_COM"]; + str += nlStr + tabStr + oeStr + " " + operator["EQUAL"] + " " + oeEqn; + } + } + } + + return str; +} + +function retFamily() { + var family = "xc9500"; + if (device.indexOf("XC2C") != -1) { + if (device.indexOf("S") != -1) family = "cr2s"; + else family = "xbr"; + } + else if (device.indexOf("XCR3") != -1) family = "xpla3"; + else { + if (device.indexOf("XL") != -1) family = "xc9500xl"; + if (device.indexOf("XV") != -1) family = "xc9500xv"; + } + + return family; +} + +function retDesign() { return design; } + +function getPterm(pt, type) { + if (type) return type + " = " + retPterm(pt); + return "PT" + pt.substring(pt.indexOf('_')+1,pt.length) + " = " + retPterm(pt); +} + +function getPRLDName(prld) { + if (eqnType != 0) return prld; + else if (prld == "VCC") return "S"; + return "R"; +} + +function retFbnand(signal) { + var str = operator["COMMENT"] + spcStr + "Foldback NAND"; + str += nlStr + retSigName(signal) + spcStr + operator["EQUAL"] + spcStr; + for (i=0; i0) str += nlTabStr + operator["OR"] + spcStr; + str += retPterm(fbnand[signal][i]); + } + + return str; +} + +function getEqn(signal) { return retEqn(signal); } + +function retUimPterm(pt) { + var str = ""; + if (!uimPterms[pt]) return pt; + for (p=0; p0) str += spcStr + operator["AND"] + spcStr; + var sig = uimPterms[pt][p]; + if (sig.indexOf("/") != -1) sig = sig.substring(1, sig.length); + + str += retSigName(sig); + } + return str; +} + +function retUimEqn(signal) { + var str = operator["COMMENT"] + spcStr + "FC Node" + nlStr; + var neg = 0; + if (uimSigNegs[s] == "ON") str += operator["NOT"]; + str += retSigName(signal) + spcStr + operator["EQUAL"]; + str += retUimPterm(signal) + ";"; + + return str; +} + +function retLegend(url) { + var str = ""; + if (!eqnType && !isXC95()) { + str = "Legend: " + "<" + "signame" + ">" + ".COMB = combinational node mapped to "; + str += "the same physical macrocell as the FastInput \"signal\" (not logically related)"; + } + else if (eqnType) { + str = "Register Legend:"; + if (is9500()) { + str += nlTabStr + "FDCPE (Q,D,C,CLR,PRE);"; + str += nlTabStr + "FTCPE (Q,D,C,CLR,PRE);"; + str += nlTabStr + "LDCP (Q,D,G,CLR,PRE);"; + } + else if (retFamily() == "xbr") { + str += nlTabStr + "FDCPE (Q,D,C,CLR,PRE,CE);"; + str += nlTabStr + "FDDCPE (Q,D,C,CLR,PRE,CE);"; + str += nlTabStr + "FTCPE (Q,D,C,CLR,PRE,CE);"; + str += nlTabStr + "FTDCPE (Q,D,C,CLR,PRE,CE);"; + str += nlTabStr + "LDCP (Q,D,G,CLR,PRE);"; + } + else { + str += nlTabStr + "FDCPE (Q,D,C,CLR,PRE,CE);"; + str += nlTabStr + "FTCPE (Q,D,C,CLR,PRE,CE);"; + str += nlTabStr + "LDCP (Q,D,G,CLR,PRE);"; + } + } + return str; +} + diff --git a/address_decoder_html/fit/equations.gif b/address_decoder_html/fit/equations.gif new file mode 100644 index 0000000..d81602d Binary files /dev/null and b/address_decoder_html/fit/equations.gif differ diff --git a/address_decoder_html/fit/equations.htm b/address_decoder_html/fit/equations.htm new file mode 100644 index 0000000..39141d2 --- /dev/null +++ b/address_decoder_html/fit/equations.htm @@ -0,0 +1,13 @@ + + + + + + + diff --git a/address_decoder_html/fit/equationsdoc.htm b/address_decoder_html/fit/equationsdoc.htm new file mode 100644 index 0000000..e335b77 --- /dev/null +++ b/address_decoder_html/fit/equationsdoc.htm @@ -0,0 +1,53 @@ + + + + + + + + + + +Equations + + + + + + + + + + + + + + + + + + + + + + +

Equations

+ +

The Equations + page provides a list of equations organized by signal name.  You + can use the pulldown menu in the left-hand frame of the page to select + ABEL, VHDL, or Verilog as your language of display.

+ +

+ + + + diff --git a/address_decoder_html/fit/errors.js b/address_decoder_html/fit/errors.js new file mode 100644 index 0000000..9df6118 --- /dev/null +++ b/address_decoder_html/fit/errors.js @@ -0,0 +1,41 @@ +var infoList = new Array(); +var warnList = new Array(); +var errorList = new Array(); + +function updateError(type) { + with (document.options) { + switch (type) { + case 0: + if (info.checked) parent.leftnav.document.options.info.value = 1; + else parent.leftnav.document.options.info.value = 0; + break; + + case 1: + if (warn.checked) parent.leftnav.document.options.warn.value = 1; + else parent.leftnav.document.options.warn.value = 0; + break; + + case 2: + if (error.checked) parent.leftnav.document.options.error.value = 1; + else parent.leftnav.document.options.error.value = 0; + break; + } + } + + parent.leftnav.showError(); +} + +function init() { + if (!document.options) return; + with (document.options) { + if (parent.leftnav.document.options.info.value == 1) info.checked = 1; + else info.checked = 0; + if (parent.leftnav.document.options.warn.value == 1) warn.checked = 1; + else warn.checked = 0; + if (parent.leftnav.document.options.error.value == 1) error.checked = 1; + else error.checked = 0; + + } +} + +function showError(url) { parent.leftnav.showErrorLink(url); } diff --git a/address_decoder_html/fit/errors1.gif b/address_decoder_html/fit/errors1.gif new file mode 100644 index 0000000..7908568 Binary files /dev/null and b/address_decoder_html/fit/errors1.gif differ diff --git a/address_decoder_html/fit/errors2.gif b/address_decoder_html/fit/errors2.gif new file mode 100644 index 0000000..6a3df4c Binary files /dev/null and b/address_decoder_html/fit/errors2.gif differ diff --git a/address_decoder_html/fit/errorsdoc.htm b/address_decoder_html/fit/errorsdoc.htm new file mode 100644 index 0000000..460449a --- /dev/null +++ b/address_decoder_html/fit/errorsdoc.htm @@ -0,0 +1,91 @@ + + + + + + + + + + +Errors + + + + + + + + + + + + + + + + + + + + + + + + +

Errors/Warnings

+ +

The Errors/Warnings + section of the report lists all of the error, warning, and information + messages generated by the fitter. By default, this section will display + the number of each kind of message you have and the full text of the messages, + but checkboxes at the top of the screen allow you to filter message details + as you choose.

+ +

Checking all + the boxes will give you a display like this:

+ +

+ +

Deselecting + the Warning box in this particular example would result in this less detailed + display:

+ +

+ + + + diff --git a/address_decoder_html/fit/fb.gif b/address_decoder_html/fit/fb.gif new file mode 100644 index 0000000..9783d6a Binary files /dev/null and b/address_decoder_html/fit/fb.gif differ diff --git a/address_decoder_html/fit/fb1.gif b/address_decoder_html/fit/fb1.gif new file mode 100644 index 0000000..5d8b734 Binary files /dev/null and b/address_decoder_html/fit/fb1.gif differ diff --git a/address_decoder_html/fit/fbs.js b/address_decoder_html/fit/fbs.js new file mode 100644 index 0000000..8e97ea6 --- /dev/null +++ b/address_decoder_html/fit/fbs.js @@ -0,0 +1,9 @@ +function showFBApplet(fb) { parent.leftnav.showAppletFB(fb); } +function showFB(fb) { parent.leftnav.showFB(fb); } +function showMC(mc) { parent.leftnav.showAppletMC(mc); } +function showPT(pterm, type) { parent.leftnav.showPterm(pterm, type); } +function showPin(pin) { parent.leftnav.showAppletPin(pin); } +function showEqn(sig) { parent.leftnav.showEqn(sig); } +function showFBDetail(fb) { parent.leftnav.showFB(fb); } +function showLegend(url) { parent.leftnav.showLegend(url, 650, 350); } +function showTop() { parent.leftnav.showTop(); } diff --git a/address_decoder_html/fit/fbs_FBdoc.htm b/address_decoder_html/fit/fbs_FBdoc.htm new file mode 100644 index 0000000..5d529aa --- /dev/null +++ b/address_decoder_html/fit/fbs_FBdoc.htm @@ -0,0 +1,307 @@ + + + + + + + + + + +Function Block Specifics + + + + + + + + + + + + + + + + + + + + + + + + +

Function Block Specifics

+ +

To access specific + details for a particular function block, click on that function block + in either the Mapped Logic, Mapped + Inputs, or Function + Blocks sections of the fitter report.  The + function block details page displays a table of details about the particular + function block you selected, a view button you can click to show a graphical + display of the function block, and a pulldown menu you can use to select + other function blocks to see.

+ +

The Table

+ +

The View

+ +

+ +

The Table

+ +The table + at the top of the function block details page provides the following information + about the function block: + +
    + +
  • The + signal name
  • +
+ +

Note: Clicking on + a signal name will open a new window with the equations for that signal. +

+ +
    + +
  • The + total product terms used
  • + +
  •  A + list of product terms
  • +
+ +

Note: Clicking on + a  product + term will open a new window with the equations for that term.

+ +
    + +
  • The + macrocell number in which the function block is located
  • +
+ +

Note: Clicking on the underscored macrocell + number will provide a graphical display of the macrocell that looks like + this:

+ +

.

+ +
    + +
  • The + power mode
  • + +
  • The + pin number
  • +
+ +
    + +
  • Note: + Clicking on the underscored pin number will provide the pin layout diagram + for the highlighted pin.  Rolling + your mouse over the colored pin will pop up a tooltip with the signal + name assigned to the pin, the I/O standard,  the + I/O style, the slew rate, and/or any constraints assigned to the pin:

  • +
+ +

+ +
    + +
  • The + pin type
  • + +
  • The + pin use
  • +
+ +

Note: Moving your + mouse cursor over an "I" in the Pin Use column will display + that input signal as a tooltip.

+ +Below the + resource table you will find a list of signals used by logic in the function + block you are viewing.  The + list displays output signals as links.  Clicking + on an output signal link will open a new window showing the equations + for that signal. + +
  + + +

Note:  There + is also a button below the table.  Click + this button to open a new window describing all of the acronyms used in + the function block table.  You + can select either brief descriptions or more detailed descriptions by + clicking the "Verbose" button at the top of the window. +

+ +

The View

+ +

When you click + on the button above the table, a new window will open with + a graphical display of the function block you are examining.  The + pins are all color-coded: input pins are green, output pins are blue, + and clocks are magenta:

+ +

+ +

Right-click + anywhere within the window to pull up a menu that allows you to zoom in + or out for easier viewing.  

+ +

This menu also + allows you choose to see all of the input connections, all of the output + connections, or both at once.  Like + the pins, the signals are color-coded: inputs are red, outputs are yellow, + and macrocell connections are aqua:

+ +

+ +

To examine + the signals of single pins, simply click the pin whose signals you wish + to see.  To + examine multiple pins without having to see everything at once, hold down + the control key while you click the pins you want to view.

+ +

To view the + signals for individual macrocells:

+ +
    + +
  • Click + the inside edge of the macrocell to display its macrocell connections + and inputs.

  • + +
  • Click + the outer edge to display its output signals

  • + +
  • Click + in the center to display everything

  • + +
  • Double + click in the center to open a new window with a detailed macrocell diagram

  • +
+ +

 

+ + + + diff --git a/address_decoder_html/fit/fbsdoc.htm b/address_decoder_html/fit/fbsdoc.htm new file mode 100644 index 0000000..04a25ff --- /dev/null +++ b/address_decoder_html/fit/fbsdoc.htm @@ -0,0 +1,103 @@ + + + + + + + + + + +Function Blocks + + + + + + + + + + + + + + + + + + + + + + + + +

Function Blocks

+ +The Function + Blocks page provides a summary of all function blocks' resources. Clicking + on one of the function blocks in the summary table will display the specific details for that function block.   + +
  + + +
The summary table + contains the following:   + +
    + +
  • The + function block
  • + +
  • The + number of macrocell used
  • + +
  • The + number of function block inputs used
  • + +
  • The + number of product terms used
  • + +
  • The + pins used
  • + +
  • The + local control terms used
  • + +
  • The + number of foldback NANDs used (CoolRunner only)
  • +
+ + + + diff --git a/address_decoder_html/fit/fbview.jpg b/address_decoder_html/fit/fbview.jpg new file mode 100644 index 0000000..7f43c68 Binary files /dev/null and b/address_decoder_html/fit/fbview.jpg differ diff --git a/address_decoder_html/fit/functionblock.gif b/address_decoder_html/fit/functionblock.gif new file mode 100644 index 0000000..524cdd4 Binary files /dev/null and b/address_decoder_html/fit/functionblock.gif differ diff --git a/address_decoder_html/fit/genmsg.htm b/address_decoder_html/fit/genmsg.htm new file mode 100644 index 0000000..0146e6d --- /dev/null +++ b/address_decoder_html/fit/genmsg.htm @@ -0,0 +1,17 @@ + + + + + genmsg + + +  +
  +
+
+This file is currently being generated. Please recheck the link after some +time for this report data.
+
+ + + diff --git a/address_decoder_html/fit/header.gif b/address_decoder_html/fit/header.gif new file mode 100644 index 0000000..526171b Binary files /dev/null and b/address_decoder_html/fit/header.gif differ diff --git a/address_decoder_html/fit/home.gif b/address_decoder_html/fit/home.gif new file mode 100644 index 0000000..d441184 Binary files /dev/null and b/address_decoder_html/fit/home.gif differ diff --git a/address_decoder_html/fit/index.htm b/address_decoder_html/fit/index.htm new file mode 100644 index 0000000..831ba39 --- /dev/null +++ b/address_decoder_html/fit/index.htm @@ -0,0 +1,15 @@ + + + + + + + + + + + + + + + diff --git a/address_decoder_html/fit/leftnav.js b/address_decoder_html/fit/leftnav.js new file mode 100644 index 0000000..b06978e --- /dev/null +++ b/address_decoder_html/fit/leftnav.js @@ -0,0 +1,176 @@ +var noAppletOnClicked = 1; +var appletMsg = ""; +var waitWin; +var oldIn = oldOut = oldGbl = oldIsp = oldVcc = oldGnd = oldProhibit = oldUnuse = oldNc = 1; +var oldInfo = oldWarn = oldError = 1; +var verbose = 0; +var dispPage, mapLogPage, mapInPage, unLogPage, unInPage; +var javaPermission = 0; +var abelEqn = vhdlEqn = verEqn = ""; + +function IsNS() { + return ((navigator.appName.indexOf("Netscape") >= 0) && + (parseFloat(navigator.appVersion) >= 4)) ? true : false; +} + +function openWait() { + waitWin = window.open("wait.htm", "wait", + "toolbar=no,location=no,"+ + "directories=no,status=no,menubar=no,scrollbars=no,"+ + "resizable=no,width=300,height=50" ); +} + +function closeWait() { if (waitWin) waitWin.close(); } + +function popHTML(name, str) { + document.options.htmlStr.value = str; + if (name.indexOf(":") > -1) + name = name.substring(0,name.indexOf(":")) + "_COLON_" + + name.substring(name.indexOf(":")+1,name.length); + if (name.indexOf(".") > -1) + name = name.substring(0,name.indexOf(".")) + "_DOT_" + + name.substring(name.indexOf(".")+1,name.length); + var win = window.open("result.htm", "win_"+name, + "toolbar=no,location=no,"+ + "directories=no,status=no,menubar=no,scrollbars=yes,"+ + "resizable=yes,width=300,height=200" ); + win.focus(); +} + +function setAppletPermission() { appletPermission = 1; } +function getAppletPermission() { return( appletPermission); } +function getAppletMsg() { return(appletMsg); } +function setAppletMsg(msg) { appletMsg = msg; } + + +function showHTML(page, html) { + + dispPage = html; + document.options.currPage.value = page; + parent.content.location.href = html; +} + +function showTop() { showHTML(document.options.currPage.value, dispPage); } + +function setVerbose(value) { verbose = value; } + +function showLegend(url, w, h) { + if (verbose == 1) { + url = url.substring(0,name.indexOf(".htm")) + "V.htm"; + } + var win = window.open(url, 'win', + 'toolbar=no,location=no,directories=no,status=no,menubar=no,scrollbars=yes,resizable=yes,width='+w+',height='+h); + win.focus(); +} + +function showSummary() { showHTML("summary", "summary.htm"); } +function showOptions() { showHTML("options", "options.htm"); } +function showFBSum() { showHTML("fbs", "fbs.htm"); } +function showFB(fb) { showHTML("fbs_FB", "fbs_"+fb+".htm"); } +function showPinOut() { showHTML("pins", "pins.htm"); } +function showError() { showHTML("errors", "errs.htm"); } + +function showEqnAll() { + openWait(); + parent.eqns.setOper(currEqnType); + if (currEqnType == defEqnType) showHTML("equations", "defeqns.htm"); + else if (currEqnType == 0) { + if (abelEqn == "") abelEqn = parent.eqns.getEqnList(); + document.options.htmlStr.value = abelEqn; + showHTML("equations", "equations.htm"); + } + else if (currEqnType == 1) { + if (vhdlEqn == "") vhdlEqn = parent.eqns.getEqnList(); + document.options.htmlStr.value = vhdlEqn; + showHTML("equations", "equations.htm"); + } + else { + if (verEqn == "") verEqn = parent.eqns.getEqnList(); + document.options.htmlStr.value = verEqn; + showHTML("equations", "equations.htm"); + } + closeWait(); +} + +function showEqn(sig) { + popHTML(sig, parent.eqns.getEqn(sig)); +} + +function showPterm(pterm, type) { + popHTML(pterm, parent.eqns.getPterm(pterm, type)); +} + +function showAscii() { showHTML("ascii", "ascii.htm"); } +function showTiming() { showHTML("time", "time.htm"); } + +function showHelp() { + var helpDoc = document.options.currPage.value + "doc.htm"; + popWin(helpDoc); +} + +function getMapParam(type) { + var paramStr = ""; + switch(type) { + case 1: paramStr += "10"; break; + case 2: paramStr += "01"; break; + case 3: paramStr += "11"; break; + case 4: paramStr += "02"; break; + case 5: paramStr += "12"; break; + default: paramStr += "00"; + } + + return paramStr; +} + +function showMappedLogics(type) { + showHTML("maplogic", "maplogic_" + getMapParam(type) + ".htm"); +} + +function showMappedInputs(type) { + showHTML("mapinput", "mapinput_" + getMapParam(type) + ".htm"); +} + +function showUnMappedLogics(type) { + showHTML("unmaplogic", "unmaplogic_" + getMapParam(type) + ".htm"); +} + +function showUnMappedInputs(type) { + showHTML("unmapinput", "unmapinput_" + getMapParam(type) + ".htm"); +} + +function doEqnFormat() { + var type = document.options.eqnType.options[document.options.eqnType.options.selectedIndex].value; + currEqnType = type; + parent.eqns.setOper(currEqnType); + if (document.options.currPage.value == "equations") showEqnAll(); +} + +function showNoAppletAlert() { + window.alert("No Applet supported for this session!!!"); +} + +function showAppletMC(mc) { + if (parent.applets) parent.applets.showAppletGraphicMC(mc); + else showNoAppletAlert(); +} + +function showAppletFB(fb) { + if (parent.applets) parent.applets.showAppletGraphicFB(fb); + else showNoAppletAlert(); +} + +function showAppletPin(pin) { + if (parent.applets) parent.applets.showAppletGraphicPin(pin); + else showNoAppletAlert(); +} + +function printAppletPkg() { + if (parent.applets) parent.applets.printAppletPkg(); + else showNoAppletAlert(); +} + +function popWin(url) { + var win = window.open(url, 'win', + 'location=yes,directories=yes,menubar=yes,toolbar=yes,status=yes,scrollbars=yes,resizable=yes,width=800,height=600'); + win.focus(); +} diff --git a/address_decoder_html/fit/legend.gif b/address_decoder_html/fit/legend.gif new file mode 100644 index 0000000..0aad0eb Binary files /dev/null and b/address_decoder_html/fit/legend.gif differ diff --git a/address_decoder_html/fit/legend.jpg b/address_decoder_html/fit/legend.jpg new file mode 100644 index 0000000..1d04af0 Binary files /dev/null and b/address_decoder_html/fit/legend.jpg differ diff --git a/address_decoder_html/fit/logic_legXC95.htm b/address_decoder_html/fit/logic_legXC95.htm new file mode 100644 index 0000000..e253a0b --- /dev/null +++ b/address_decoder_html/fit/logic_legXC95.htm @@ -0,0 +1,2 @@ +
+
diff --git a/address_decoder_html/fit/logic_legXbr.htm b/address_decoder_html/fit/logic_legXbr.htm new file mode 100644 index 0000000..9883d2d --- /dev/null +++ b/address_decoder_html/fit/logic_legXbr.htm @@ -0,0 +1,15 @@ +
+I/O Style - OD    - OpenDrain
+          - PU    - Pullup
+          - KPR   - Keeper
+          - S     - SchmittTrigger
+          - DG    - DataGate
+Reg Use   - LATCH - Transparent latch
+          - DFF   - D-flip-flop
+          - DEFF  - D-flip-flop with clock enable
+          - TFF   - T-flip-flop
+          - TDFF  - Dual-edge-triggered T-flip-flop
+          - DDFF  - Dual-edge-triggered flip-flop
+          - DDEFF - Dual-edge-triggered flip-flop with clock enable
+          /S (after any above flop/latch type) indicates initial state is Set
+
diff --git a/address_decoder_html/fit/logic_legXpla3.htm b/address_decoder_html/fit/logic_legXpla3.htm new file mode 100644 index 0000000..c0e6f4a --- /dev/null +++ b/address_decoder_html/fit/logic_legXpla3.htm @@ -0,0 +1,3 @@ +
+Legend: PU  - Pull Up
+
diff --git a/address_decoder_html/fit/macrocell.gif b/address_decoder_html/fit/macrocell.gif new file mode 100644 index 0000000..ec9e68e Binary files /dev/null and b/address_decoder_html/fit/macrocell.gif differ diff --git a/address_decoder_html/fit/mapinputdoc.htm b/address_decoder_html/fit/mapinputdoc.htm new file mode 100644 index 0000000..9f7a3c9 --- /dev/null +++ b/address_decoder_html/fit/mapinputdoc.htm @@ -0,0 +1,158 @@ + + + + + + + + + + +Mapped Inputs + + + + + + + + + + + + + + + + + + + + + + + + +

Mapped Inputs

+ +Like the + Mapped Logic section, the Mapped Inputs + section of the report displays a table detailing the resources allocated + by the fitter to mapped inputs.  Again, + the table can be sorted by Signal Name, Function Block, or Pin Number + by clicking on the appropriate table headings.   + +
  + + +
The inputs table + contains the following: + +
    + +
  • The + input signal name
  • + +
  • The + function block number
  • +
+ +

Note: + Clicking on the function block will provide a detailed table of all the + block's resources and a graphical display of the function block diagram + (see Function Block Specifics for more details).

+ +
    + +
  • The + macrocell number
  • +
+ +
    + +
  • Note: + Clicking on the underscored macrocell number will provide a graphical + display of the macrocell that looks like this:

  • + +
  • .The pin number
  • +
+ +

Note: Clicking on + the underscored pin number will provide the pin layout diagram for the + highlighted pin.  Rolling + your mouse over the colored pin will pop up a tooltip with the signal + name assigned to the pin, the I/O standard,  the + I/O style, the slew rate, and/or any constraints assigned to the pin:

+ +

+ +

 

+ +
    + +
  • The + pin type
  • + +
  • The + pin use
  • + +
  • The + I/O standard
  • + +
  • The + I/O style
  • +
+ + + + diff --git a/address_decoder_html/fit/maplogic.js b/address_decoder_html/fit/maplogic.js new file mode 100644 index 0000000..e40c8f2 --- /dev/null +++ b/address_decoder_html/fit/maplogic.js @@ -0,0 +1,23 @@ +function showFB(fb) { parent.leftnav.showFB(fb); } +function showMC(mc) { parent.leftnav.showAppletMC(mc); } +function showEqn(sig) { parent.leftnav.showEqn(sig); } +function showPin(pin) { parent.leftnav.showAppletPin(pin); } +function showLegend(url) { parent.leftnav.showLegend(url, 650, 350); } +function showTop() { parent.leftnav.showTop(); } + +function Sort(x) { + switch (x) { + case 0: parent.leftnav.showMappedLogics(0); break; + case 1: parent.leftnav.showMappedLogics(2); break; + case 2: parent.leftnav.showMappedLogics(4); break; + case 10: parent.leftnav.showMappedInputs(0); break; + case 11: parent.leftnav.showMappedInputs(2); break; + case 12: parent.leftnav.showMappedInputs(4); break; + case 20: parent.leftnav.showUnMappedLogics(0); break; + case 21: parent.leftnav.showUnMappedLogics(2); break; + case 22: parent.leftnav.showUnMappedLogics(4); break; + case 30: parent.leftnav.showUnMappedInputs(0); break; + case 31: parent.leftnav.showUnMappedInputs(2); break; + case 32: parent.leftnav.showUnMappedInputs(4); break; + } +} diff --git a/address_decoder_html/fit/maplogicdoc.htm b/address_decoder_html/fit/maplogicdoc.htm new file mode 100644 index 0000000..efed6ca --- /dev/null +++ b/address_decoder_html/fit/maplogicdoc.htm @@ -0,0 +1,185 @@ + + + + + + + + + + +Mapped Logic + + + + + + + + + + + + + + + + + + + + + + + + +

Mapped Logic

+ +The Mapped + Logic section provides a table listing resources allocated by the fitter + to mapped logic. The page will appear in your browser sorted by Signal + Name, but you can choose to sort it by Signal Name, Function Block, and + Pin Number by clicking on the appropriate table headers. + +
  + + +
The Mapped Logic + table contains the following: + +
    + +
  • The + output signal name
  • +
+ +

Note: Clicking on + the signal name will open a new window with the equations for that signal. +

+ +
    + +
  • The + total number of product terms
  • + +
  • The + number of signals used
  • + +
  • The + function block number
  • +
+ +

Note: + Clicking on the function block will provide a detailed table of all the + block's resources and a graphical display of the function block diagram + (see Function Block Specifics for more details). +

+ +
    + +
  • The + macrocell number
  • +
+ +

Note: + Clicking on the underscored macrocell number will provide a graphical + display of the macrocell that looks like this:

+ +

.

+ +
    + +
  • The + slew rate
  • + +
  • The + pin number
  • +
+ +

Note: + Clicking on the underscored pin number will provide the pin layout diagram + for the highlighted pin.  Rolling + your mouse over the colored pin will pop up a tooltip with the signal + name assigned to the pin, the I/O standard,  the + I/O style, the slew rate, and/or any constraints assigned to the pin:

+ +

+ +
    + +
  • The + pin type
  • + +
  • The + pin use
  • + +
  • The + input register use
  • + +
  • The + I/O standard
  • + +
  • The + I/O style
  • +
+ + + + diff --git a/address_decoder_html/fit/newappletref.htm b/address_decoder_html/fit/newappletref.htm new file mode 100644 index 0000000..186e949 --- /dev/null +++ b/address_decoder_html/fit/newappletref.htm @@ -0,0 +1,15 @@ + + + + + + + + + + + + + + + diff --git a/address_decoder_html/fit/next.jpg b/address_decoder_html/fit/next.jpg new file mode 100644 index 0000000..b8bbb99 Binary files /dev/null and b/address_decoder_html/fit/next.jpg differ diff --git a/address_decoder_html/fit/ns4plugin.js b/address_decoder_html/fit/ns4plugin.js new file mode 100644 index 0000000..0292ee1 --- /dev/null +++ b/address_decoder_html/fit/ns4plugin.js @@ -0,0 +1,55 @@ + +function checkJre(){ + +var agt=navigator.userAgent.toLowerCase(); +var is_major = parseInt(navigator.appVersion); + + +var is_nav = ((agt.indexOf('mozilla')!=-1) && (agt.indexOf('spoofer')== -1) +&& (agt.indexOf('compatible') == -1) && (agt.indexOf('opera')== -1) +&& (agt.indexOf('webtv')==-1) && (agt.indexOf('hotjava')== -1)); +var is_nav4up = (is_nav && (is_major >= 4)); + +var pluginDetected = false; + +// we can check for plugin existence only when browser is 'is_ie5up' or 'is_nav4up' +if(is_nav4up) { + + // Refresh 'navigator.plugins' to get newly installed plugins. + // Use 'navigator.plugins.refresh(false)' to refresh plugins + // without refreshing open documents (browser windows) + if(navigator.plugins) { + navigator.plugins.refresh(false); + } + + // check for Java plugin in installed plugins + if(navigator.mimeTypes) { + // window.alert( navigator.mimeTypes.length); + for (i=0; i < navigator.mimeTypes.length; i++) { + // window.alert( navigator.mimeTypes[i].type); + if( (navigator.mimeTypes[ i].type != null) + &&(navigator.mimeTypes[ i].type.indexOf( + "application/x-java-applet;jpi-version=1.4") != -1) ) { + //window.alert("Found"); + pluginDetected = true; + break; + } + + } + } + +} + +if (pluginDetected) { + // show applet page + document.location.href="appletref.htm"; + +} else if (confirm("Java Plugin 1.4+ not found, Do you want to download it?\n" + + "if you choose not to install the plugin the reports graphical applets will not be available.")) { + document.location.href=XilinxD; +} else { + document.location.href="appletref.htm"; +} + +} + diff --git a/address_decoder_html/fit/optionsdoc.htm b/address_decoder_html/fit/optionsdoc.htm new file mode 100644 index 0000000..f1ee543 --- /dev/null +++ b/address_decoder_html/fit/optionsdoc.htm @@ -0,0 +1,760 @@ + + + + + + + + + + +Compiler Options + + + + + + + + + + + + + + + + + + + + + + + + +

Compiler Options

+ +

The Compiler + Options page provides all the fitter options settings for the device family + the fitter has selected.

+ +

Fitter Options

+ +

Basic Tab

+ +

XPLA3 Advanced + Options

+ +

CoolRunner-II + Advanced Options

+ +

XC9500/XL/XV + Advanced Options

+ +

Basic + Tab

+ +

The CPLD devices + have the following fitter Implementation + Options available in + the Basic tab:

+ +
    + +
  • Use + Multi-Level Logic Optimization

  • +
+ +

This option simplifies the total number + of logic expressions in a design, and then collapses the logic in order + to meet user objectives such as density, speed and timing constraints. + This optimization targets CPLD architecture, making it possible to collapse + to the macrocell limits, reduce levels of logic, and minimize the total + number of p-terms.

+ +

Multi-level Logic Optimization optimizes + all combinatorial logic arcs spanning from an input pad or register output + to an output pad or register input.

+ +

Multi-level Logic Optimization operates + on combinatorial logic according to the following rules.

+ +

If timing constraints are set, the program + optimizes for speed to meet timing constraints.

+ +

If timing constraints are not set, the + program optimizes either for speed or density, depending on the user setting + for the Use Timing Optimization + option.

+ +
    + +
      + +
        + +
      • If + Use Timing Optimization is turned + on, the combinatorial logic will be mapped for speed.

      • + +
      • If + Use Timing Optimization is turned + off, the combinatorial logic will be mapped for density. The goal of optimization + will then be to reduce the total number of p-terms.

      • +
      +
    +
+ +

Logic + marked with the NOREDUCE property will not be extracted or optimized.

+ +

By + default, this option is on.

+ +
    + +
  • Use Timing Constraints -- This + option instructs the fitter use Timing Constraints when fitting the design. +  If this + box is not checked, the fitter will ignore timing constraints, if necessary.

  • + +
  • Enable WYSIWYG + Mode -- (CoolRunner only) The + goal of the WYSIWYG options is to have a netlist reflect the user's specifications, + as much as possible. All the nodes declared in the HDL design are preserved. + By default, this property is set to Off (Checkbox is not checked) When + this property is On (checkbox is checked), XST:

  • + +
      + +
    • Preserves + all the user internal signals (nodes)

    • + +
    • Creates + source_node constraints in NGC file for all these nodes.

    • + +
    • Skips + the design optimization (collapse, factorization). Only the Boolean equation + minimization is performed.

    • +
    + +
  • Optimization Style-- The Optimization + Method allows you to select from one of two basic optimization strategies: + Density or Speed. + Density focuses on solely + on density, and Speed focuses + solely on speed.

  • + +
  • Location Constraints -- The Try selection + will attempt to fit the design with the pin assignments specified in the + design source. If the design cannot be fit with these pin assignments, + the fitter will remove the location constraints and attempt to fit the + design with no location constraints. A warning message will tell the user + if the location constraints have been removed.

  • + +
      + +
    • The + Try selection will attempt + to fit the design with the pin assignments specified in the design source. + If the design cannot be fit with these pin assignments, the fitter will + ignore the pin assignments.

    • +
    + +
  • The + On selection will attempt to fit + the design with the pin assignments specified in the design source. If + the design cannot be fit with these pin assignments, the fitter will notify + the user that the device could not fit. It will not unlock the pins under + this option.

  • + +
  • The + Off selection will attempt to + fit the design and will ignore the pin assignments specified in the design + source. If the design can be fit with no pre-assigned pins, the fitter + will assign pins, which can be viewed in the fitter report (filename.fit). + The user should take these pin assignments and incorporate them back into + the design source file. The user will be notified whether the fitting + operation was successful.

  • + +
  • Output + Slew Rate -- Use this option + to control the default output slew rate. You can control the transition + time of device output pins by setting the slew rate to Slow or Fast. Limiting + the slew rate (Slow) reduces output switching surges in the device. The + default is Fast.

  • +
+ +

Note: + Any explicit slew rate control properties in the design or constraints + file take precedence over this Output Slew Rate setting.

+ +
    + +
  • FF Initial State -- Sets + the initial state for all Flip-Flops.  The + options are Low, High and FPGA.

  • + +
  • Collapsing + P-Term Limit -- This option + controls the degree to which the fitter flattens a design netlist. A logic + gate can collapse forward into a subsequent gate only if the number of + product terms in the resulting logic function does not exceed the p-term + limit. If the path delay of a logic function is not acceptable, increase + the p-term limit to allow the larger functions to be further flattened. + Choose a number from 3 to 48.

  • + +
  • Collapsing + Input Limit -- This is a + secondary option for controlling the degree to which the fitter flattens + a design netlist. A logic gate can collapse forward into a subsequent + gate only if the number of inputs in the resulting logic function does + not exceed the input limit. If the design fails to fit the target device + because flattening uses up too many of the function block inputs, decrease + the input limit to prevent flattening of certain high fan-in functions. +  

  • +
+ +

XPLA Advanced + Options

+ +

The + following options are available under XPLA Implementation + Options, Advanced tab.

+ +
    + +
  • Enable Fast + Input Registers -- Enables the use + of the Fast Input path in XPLA3 devices.

  • + +
  • Enable Use + of Foldback NANDs -- When selected, + the software will use foldback NANDs. This increases the capability to + fit a design, sometimes at the expense of speed.

  • + +
  • Reserve JTAG Pins for ISP -- Checking + this box will instruct the fitter to reserve JTAG pins.

  • +
+ +

CoolRunner-II + Advanced Options

+ +

The following + options are found under the Advanced tab for CoolRunner-II devices.

+ +
    + +
  • Use + Global Clock(s) -- Select this option + to allow the fitter to assign input pins used as clocks to dedicated global + clock (GCK) pins of the device. If this option is disabled, only pins + identified with the BUFG=CLK property in the design (or UCF file) will + be assigned to GCK device pins. By default, this option is on. +

  • + +
  • Use Global Output Enable(s) -- Select + this option to allow the fitter to assign input pins used as output enable + control to dedicated global OE (GTS) pins of the device. If this option + is disabled, only pins identified with the BUFG=OE property in the design + (or UCF file) will be assigned to GTS device pins. By default, this option + is on.

  • + +
  • Use Global Set/Reset -- Select this + option to allow the fitter to assign input pins used as register asynchronous + reset or preset control to the dedicated global set/reset (GSR) pin of + the device. If this option is disabled, only a pin identified with the + BUFG=SR property in the design (or UCF file) will be assigned to the GSR + device pin. By default, this option is on.

  • + +
  • Enable Fast Input Registers -- Enables + fast input registers.

  • + +
  • Ignore DATA_GATE Attributes -- Data + Gate is a power saving property that can be used in CoolRunner-II designs. +  This option + allows you to turn Data Gate off in case you want the fitter to ignore + data gate.

  • + +
  • Tristate Outputs Termination Node -- + The Tristate Output Termination Mode globally sets all tristate outputs + to the specified termination mode. By default, this field is set to Pullup.. +  The options + are Pullup, Keeper and Float.

  • + +
  • Create Programmable Ground Pins on Unused I/O + -- The Create Programmable GND Pins on Unused I/O property controls the + option to indicate that you want all unused I/O pads to be configured + as ground pins. This can reduce ground bounce. By default, this option + is set to ground.  The + options are Ground, Pullup, Keeper and Float.

  • + +
  • +

    Default + Output Voltage Standard -- set a default voltage standard for CoolRunner-II + device pins.

    + +

    IOSTANDARD + names supported by CoolRunner-II are:

    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    +

    I/O Standard

    +

    VCCIO

    +

    Input VREF

    +

    Board Termination Voltage (VTT)

    +

    LVTTL

    +

    3.3V

    +

    N/A

    +

    N/A

    +

    LVCMOS33

    +

    3.3V

    +

    N/A

    +

    N/A

    +

    LVCMOS25

    +

    2.5V

    +

    N/A

    +

    N/A

    +

    LVCMOS18

    +

    1.8V

    +

    N/A

    +

    N/A

    +

    LVCMOS15

    +

    1.5V

    +

    N/A

    +

    N/A

    +

    HSTL_I

    +

    1.5V

    +

    0.75V

    +

    0.75V

    +

    SSTL2_I

    +

    2.5V

    +

    1.25V

    +

    1.25V

    +

    SSTL3_I

    +

    3.3V

    +

    1.5V

    +

    1.5V

    + +

    The software + automatically groups outputs with similar IOSTANDARD settings into the + same bank when no location constraints are specified.

    +
  • +
+ +

XC9500/XL/XV + Advanced Options

+ +

The following + options are found under the Advanced tab for XC9500/XL/XV.  Note + that additional options for XC9500 only are also described below.

+ +
    + +
  • Use + Global Clock(s) -- Select this option + to allow the fitter to assign input pins used as clocks to dedicated global + clock (GCK) pins of the device. If this option is disabled, only pins + identified with the BUFG=CLK property in the design (or UCF file) will + be assigned to GCK device pins. By default, this option is on. +

  • + +
  • Use Global Output Enable(s) -- Select + this option to allow the fitter to assign input pins used as output enable + control to dedicated global OE (GTS) pins of the device. If this option + is disabled, only pins identified with the BUFG=OE property in the design + (or UCF file) will be assigned to GTS device pins. By default, this option + is on.

  • + +
  • Use Global Set/Reset -- Select this + option to allow the fitter to assign input pins used as register asynchronous + reset or preset control to the dedicated global set/reset (GSR) pin of + the device. If this option is disabled, only a pin identified with the + BUFG=SR property in the design (or UCF file) will be assigned to the GSR + device pin. By default, this option is on.

  • + +
  • Create Programmable Ground Pins on Unused I/O + -- Select this option to indicate that you want all unused I/O pads to + be configured as ground pins. This can reduce ground bounce. By default, + this option is off.

  • + +
  • Macrocell Power Setting -- Use this + option to control device power consumption. Select Low or Standard to + set the default power mode for the macrocells used to implement the design. + Select Timing Driven to automatically reduce power on paths covered by + timing specifications that can meet speed requirements while operating + in low power. The default is Standard, which results in highest speed.

  • +
+ +

Note: Any explicit power control (PWR_MODE) + properties in the design or constraints file take precedence over this + Macrocell Power Setting.

+ +
    + +
  • Enable FASTConnect/UIM Optimization (XC9500 + only) -- Enables optimization of the FASTConnect/UIM for XC9500 + devices.

  • + +
  • Use + Local Feedback (XC9500 only)

  • +
+ +

Select this option to enable the software + to use local macrocell feedback whenever possible. The local feedback + path, running from each macrocell output to an input of the same function + block, has shorter propagation delay than the global feedback path. The + fitter always tries to use local macrocell feedback (if possible) to satisfy + timing constraints. This option allows the fitter to use local feedback + to generally improve timing on remaining paths. Using local feedback can + speed up your design but could also make it difficult to maintain the + same timing after a design change. By default, this option is on.

+ + + +
+ + +

Note: + To force the fitter to use local feedback, manually map both + the source and load functions into the same function block using the property + LOC=FBnn, + then apply a timespec across the path. 

+ + + +
+ + +

Note: + The XC9536 device does not have local feedback.

+ + + +
+ + +
    + +
  • Use + Pin Feedback (XC9500 only)

  • +
+ +

Select this option to enable the software + to use I/O pin feedback whenever possible. The pin feedback path has slightly + shorter propagation delay than the global feedback path. If this option + is enabled, the software uses the pin feedback path instead of the global + feedback path for macrocell signals that do not drive 3-state outputs + or slew-rate-limited outputs, and where the associated I/O pin is not + used as input-only. By default, this option is on.

+ + + + diff --git a/address_decoder_html/fit/paths.js b/address_decoder_html/fit/paths.js new file mode 100644 index 0000000..22107b3 --- /dev/null +++ b/address_decoder_html/fit/paths.js @@ -0,0 +1,37 @@ +rootURL = "http://www.xilinx.com/"; +prodURL = "xlnx/xil_prodcat_product.jsp?title="; +cpldURL = "CPLD+Products"; +xbrURL = "coolrunner2_page"; +xpla3URL = "xpla3_page"; +xc9500URL = "xc9500_page"; +xc9500xlURL = "xc9500xl_page"; +xc9500xvURL = "xc9500xv_page"; +marketURL= "esp"; +supportURL = "http://www.support.xilinx.com/"; +educationURL = "support/education-home.htm"; +buyURL = "http://toolbox.xilinx.com/cgi-bin/xilinx.storefront"; +contactURL = "company/contact.htm"; +searchURL = "company/search.htm"; + +docURL = rootURL + "xlnx/xweb/xil_publications_display.jsp?" + + "iLanguageID=1" + + "&category=/Data+Sheets/CPLD+Device+Families/"; +doc95URL = docURL + "XC9500"; +doc95xlURL = docURL + "XC9500XL"; +doc95xvURL = docURL + "XC9500XV"; +docXpla3URL = docURL + "CoolRunner+XPLA3"; +docXbrURL = docURL + "CoolRunner-II"; +docCr2sURL = docURL + "CoolRunner-IIS"; + +var messages = new Array(); +messages["fastinreg"] = "Direct Input Register"; +messages["inreg"] = "Direct Input Register"; +messages["fbnand"] = "Foldback NAND"; +messages["fcnode"] = "FC node"; +messages["LATCH"] = "Transparent latch"; +messages["DFF"] = "D-flip-flop"; +messages["DEFF"] = "D-flip-flop with clock enable"; +messages["TFF"] = "T-flip-flop"; +messages["TDFF"] = "Dual-edge-triggered T-flip-flop"; +messages["DDFF"] = "Dual-edge-triggered flip-flop"; +messages["DDEFF"] = "Dual-edge-triggered flip-flop with clock enable"; diff --git a/address_decoder_html/fit/pin.gif b/address_decoder_html/fit/pin.gif new file mode 100644 index 0000000..f110f3d Binary files /dev/null and b/address_decoder_html/fit/pin.gif differ diff --git a/address_decoder_html/fit/pin_legXC95.htm b/address_decoder_html/fit/pin_legXC95.htm new file mode 100644 index 0000000..db88bcb --- /dev/null +++ b/address_decoder_html/fit/pin_legXC95.htm @@ -0,0 +1,13 @@ +
+Legend :  NC  = Not Connected, unbonded pin
+         PGND = Unused I/O configured as additional Ground pin
+         TIE  = Unused I/O floating -- must tie to VCC, GND or other signal
+         VCC  = Dedicated Power Pin
+         GND  = Dedicated Ground Pin
+         TDI  = Test Data In, JTAG pin
+         TDO  = Test Data Out, JTAG pin
+         TCK  = Test Clock, JTAG pin
+         TMS  = Test Mode Select, JTAG pin
+         PE   = Port Enable pin
+  PROHIBITED  = User reserved pin
+
diff --git a/address_decoder_html/fit/pin_legXbr.htm b/address_decoder_html/fit/pin_legXbr.htm new file mode 100644 index 0000000..c70585b --- /dev/null +++ b/address_decoder_html/fit/pin_legXbr.htm @@ -0,0 +1,20 @@ +
+Legend :  NC  = Not Connected, unbonded pin
+        PGND  = Unused I/O configured as additional Ground pin
+         KPR  = Unused I/O with weak keeper (leave unconnected)
+         WPU  = Unused I/O with weak pull up (leave unconnected)
+         TIE  = Unused I/O floating -- must tie to VCC, GND or other signal
+         VCC  = Dedicated Power Pin
+      VCCAUX  = Power supply for JTAG pins
+   VCCIO-3.3  = I/O supply voltage for LVTTL, LVCMOS33, SSTL3_I
+   VCCIO-2.5  = I/O supply voltage for LVCMOS25, SSTL2_I
+   VCCIO-1.8  = I/O supply voltage for LVCMOS18
+   VCCIO-1.5  = I/O supply voltage for LVCMOS15, HSTL_I
+        VREF  = Reference voltage for indicated input standard
+         GND  = Dedicated Ground Pin
+         TDI  = Test Data In, JTAG pin
+         TDO  = Test Data Out, JTAG pin
+         TCK  = Test Clock, JTAG pin
+         TMS  = Test Mode Select, JTAG pin
+  PROHIBITED  = User reserved pin
+
diff --git a/address_decoder_html/fit/pin_legXpla3.htm b/address_decoder_html/fit/pin_legXpla3.htm new file mode 100644 index 0000000..8dad55d --- /dev/null +++ b/address_decoder_html/fit/pin_legXpla3.htm @@ -0,0 +1,13 @@ +
+Legend :  NC  = Not Connected, unbonded pin
+          PE  = Port Enable pin
+         WPU  = Unused with Internal Weak Pull Up
+         TIE  = Unused I/O floating -- must tie to VCC, GND or other signal
+         VCC  = Dedicated Power Pin
+         GND  = Dedicated Ground Pin
+         TDI  = Test Data In, JTAG pin
+         TDO  = Test Data Out, JTAG pin
+         TCK  = Test Clock, JTAG pin
+         TMS  = Test Mode Select, JTAG pin
+  PROHIBITED  = User reserved pin
+
diff --git a/address_decoder_html/fit/pindiagram.gif b/address_decoder_html/fit/pindiagram.gif new file mode 100644 index 0000000..504bb2b Binary files /dev/null and b/address_decoder_html/fit/pindiagram.gif differ diff --git a/address_decoder_html/fit/pins.js b/address_decoder_html/fit/pins.js new file mode 100644 index 0000000..591e563 --- /dev/null +++ b/address_decoder_html/fit/pins.js @@ -0,0 +1,62 @@ +var specSig = new Array(); +var pins = new Array(); +var pinsAssign = new Array(); +var prohibit = new Array(); +var unusedStr = "WPU"; +var gndStr = "GND"; +var vccStr = "VCC"; +var tdiStr = "TDI"; +var tdoStr = "TDO"; +var tmsStr = "TMS"; +var tckStr = "TCK"; + +function showPin(pin) { parent.leftnav.showAppletPin(pin); } + +function printPage() { window.print(); parent.leftnav.printAppletPkg(); } + +function showEqn(signal) { parent.leftnav.showEqn(signal); } + +function updatePin(type) { + with (document.options) { + switch (type) { + case 0: + if (inp.checked) parent.leftnav.document.options.inOn.value = 1; + else parent.leftnav.document.options.inOn.value = 0; + break; + + case 1: + if (out.checked) parent.leftnav.document.options.outOn.value = 1; + else parent.leftnav.document.options.outOn.value = 0; + break; + + case 2: + if (glb.checked) parent.leftnav.document.options.glbOn.value = 1; + else parent.leftnav.document.options.glbOn.value = 0; + break; + + case 3: + if (isp.checked) parent.leftnav.document.options.ispOn.value = 1; + else parent.leftnav.document.options.ispOn.value = 0; + break; + + case 4: + if (vcc.checked) parent.leftnav.document.options.vccOn.value = 1; + else parent.leftnav.document.options.vccOn.value = 0; + break; + + case 5: + if (gnd.checked) parent.leftnav.document.options.gndOn.value = 1; + else parent.leftnav.document.options.gndOn.value = 0; + break; + + case 6: + if (unuse.checked) parent.leftnav.document.options.unuseOn.value = 1; + else parent.leftnav.document.options.unuseOn.value = 0; + break; + } + } + + parent.leftnav.showPinOut(); +} + +function showLegend(url) { parent.leftnav.showLegend(url, 650, 350); } diff --git a/address_decoder_html/fit/pinsdoc.htm b/address_decoder_html/fit/pinsdoc.htm new file mode 100644 index 0000000..9da2708 --- /dev/null +++ b/address_decoder_html/fit/pinsdoc.htm @@ -0,0 +1,265 @@ + + + + + + + + + + +Pin List + + + + + + + + + + + + + + + + + + + + + + + + +

Pin List

+ +

The Pin List + page lists each pin of your design with its pin type and associated signal. +  Check boxes + at the top of the table allow you to select and deselect which pin types + you want displayed in the table (the default view will display all of + them).

+ +

Note: +  There is + a button below the table.  Click + this button to open a new window describing all of the acronyms used in + the function block table.  You + can select either brief descriptions or more detailed descriptions by + clicking the "Verbose" button at the top of the window.

+ +Clicking + on the underscored pin numbers in the first column of the table will open + a new window displaying the pin layout diagram for the selected pin.  Rolling + your mouse over the colored pin will pop up a tooltip with the signal + name assigned to the pin, the I/O standard,  the + I/O style, the slew rate, and/or any constraints assigned to the pin: + + +

+ +

Clicking any underscored signal in the + third column of the table will open a new window displaying the equations + for that particular signal.

+ +

Clicking on the button + at the top of the screen will open a new window with a graphical, top + view of all of the pins:  

+ +

+ +

They are color-coded as follows:

+ + + +++ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+

Color

+

Signal

+

Green

+

Input

+

Aqua

+

Bidirectional

+

Blue

+

Output

+

Magenta

+

Clock

+

Red

+

VCC

+

Black

+

GND

+

Yellow

+

TDO

+

Gray

+

TDI

+

White

+

Unused Pin

+

Black Outline

+

No available + Pad

+ +

As with the single pin display, rolling + your mouse over any colored pin will pop up a tooltip with the signal + name assigned to the pin, the I/O standard,  the + I/O style, the slew rate, and/or any constraints assigned to the pin.

+ + + + diff --git a/address_decoder_html/fit/pinview.jpg b/address_decoder_html/fit/pinview.jpg new file mode 100644 index 0000000..c3a27ca Binary files /dev/null and b/address_decoder_html/fit/pinview.jpg differ diff --git a/address_decoder_html/fit/plugin.js b/address_decoder_html/fit/plugin.js new file mode 100644 index 0000000..cc34368 --- /dev/null +++ b/address_decoder_html/fit/plugin.js @@ -0,0 +1,96 @@ + +function checkJre(){ + +var agt=navigator.userAgent.toLowerCase(); +var is_major = parseInt(navigator.appVersion); + + +var is_nav = ((agt.indexOf('mozilla')!=-1) && (agt.indexOf('spoofer')== -1) +&& (agt.indexOf('compatible') == -1) && (agt.indexOf('opera')== -1) +&& (agt.indexOf('webtv')==-1) && (agt.indexOf('hotjava')== -1)); +var is_nav4up = (is_nav && (is_major >= 4)); + +var is_ie = ((agt.indexOf("msie") != -1) && (agt.indexOf("opera") == -1)); +var is_ie5 = (is_ie && (is_major == 4) && (agt.indexOf("msie 5.0")!= -1) ); +var is_ie5_5 = (is_ie && (is_major == 4) && (agt.indexOf("msie 5.5") != -1)); +var is_ie6 = (is_ie && (is_major == 4) && (agt.indexOf("msie 6.0") != -1)); + +var is_ie5up = (is_ie && (is_major == 4) && ( (agt.indexOf("msie 5.0")!=-1) || (agt.indexOf("msie 5.5")!=-1) || (agt.indexOf("msie 6.0")!=-1) ) ); + +var pluginDetected = false; +var activeXDisabled = false; + +// we can check for plugin existence only when browser is 'is_ie5up' or 'is_nav4up' +if(is_nav4up) { + + // Refresh 'navigator.mimeTypes' to get newly installed mimeTypes. + // Use 'navigator.mimeTypes.refresh(false)' to refresh mimeTypes + // without refreshing open documents (browser windows) + + // check for Java plugin in installed mimeTypes + if(navigator.mimeTypes ) { + //window.alert( "length"); + //window.alert( navigator.mimeTypes.length); + for (i=0; i < navigator.mimeTypes.length; i++) { + //window.alert(navigator.mimeTypes[i].type); + if( (navigator.mimeTypes[ i].type != null) + &&(navigator.mimeTypes[ i].type.indexOf( + "application/x-java-applet;jpi-version=1.4") != -1) ) { + + pluginDetected = true; + break; + } + + } + } + +} else if (is_ie5up) { + var javaVersion; + var shell; + try { + // Create WSH(WindowsScriptHost) shell, available on Windows only + shell = new ActiveXObject("WScript.Shell"); + + if (shell != null) { + // Read JRE version from Window Registry + try { + javaVersion = shell.regRead + ("HKEY_LOCAL_MACHINE\\Software\\JavaSoft\\Java Runtime Environment\\CurrentVersion"); + } catch(e) { + // handle exceptions raised by 'shell.regRead(...)' here + // so that the outer try-catch block would receive only + // exceptions raised by 'shell = new ActiveXObject(...)' + } + } + } catch(e) { + window.alert(" Creating ActiveX controls thru script is disabled \n in InternetExplorer security options \n To enable it: \n a. Go to the 'Tools -->; Internet Options' menu\n b. Select the 'Security' tab\n c. Select zone (Internet/Intranet)\n d. Click the 'Custom Level..' button which will display the\n 'Security Settings' window.\n e. Enable the option 'Initialize and script ActiveX controls\n not marked as safe' "); + + activeXDisabled = true; + } + + // Check whether we got required (1.4+) Java Plugin + if ( (javaVersion != null) && (javaVersion.indexOf("1.4") != -1) ) { + pluginDetected = true; + } + +} + + +if (pluginDetected) { + + // show applet page + document.location.href="newappletref.htm"; + +} else if (confirm("Java Plugin 1.4+ not found, Do you want to download it?\n" + + "if you choose not to install the plugin the reports graphical applets will not be available.")) { + + // show install page + document.location.href=XilinxD; + +} else { + // show error page + document.location.href="newappletref.htm"; +} + +} + diff --git a/address_decoder_html/fit/prev.jpg b/address_decoder_html/fit/prev.jpg new file mode 100644 index 0000000..eb29285 Binary files /dev/null and b/address_decoder_html/fit/prev.jpg differ diff --git a/address_decoder_html/fit/print.jpg b/address_decoder_html/fit/print.jpg new file mode 100644 index 0000000..b558dec Binary files /dev/null and b/address_decoder_html/fit/print.jpg differ diff --git a/address_decoder_html/fit/products.gif b/address_decoder_html/fit/products.gif new file mode 100644 index 0000000..7680404 Binary files /dev/null and b/address_decoder_html/fit/products.gif differ diff --git a/address_decoder_html/fit/purchase.gif b/address_decoder_html/fit/purchase.gif new file mode 100644 index 0000000..177f4ba Binary files /dev/null and b/address_decoder_html/fit/purchase.gif differ diff --git a/address_decoder_html/fit/report.htm b/address_decoder_html/fit/report.htm new file mode 100644 index 0000000..eceb1bb --- /dev/null +++ b/address_decoder_html/fit/report.htm @@ -0,0 +1,27 @@ + + + + + + + diff --git a/address_decoder_html/fit/result.htm b/address_decoder_html/fit/result.htm new file mode 100644 index 0000000..a63a253 --- /dev/null +++ b/address_decoder_html/fit/result.htm @@ -0,0 +1,14 @@ + + + + + + + diff --git a/address_decoder_html/fit/search.gif b/address_decoder_html/fit/search.gif new file mode 100644 index 0000000..714dc20 Binary files /dev/null and b/address_decoder_html/fit/search.gif differ diff --git a/address_decoder_html/fit/spacer.gif b/address_decoder_html/fit/spacer.gif new file mode 100644 index 0000000..0eba199 Binary files /dev/null and b/address_decoder_html/fit/spacer.gif differ diff --git a/address_decoder_html/fit/style.css b/address_decoder_html/fit/style.css new file mode 100644 index 0000000..5f32596 --- /dev/null +++ b/address_decoder_html/fit/style.css @@ -0,0 +1,19 @@ + +.tocRef A:link {font-family:arial black; font-size:14px;} +.tocRef A:visited {font-family:arial black; font-size:14px;} +.tocRef A:active {font-family:arial black; font-size:14px;} +.tocRef A:hover {font-family:arial black; font-size:14px;} +.tocBgnd {background:#CCCCCC;} + + +.pgRef A:link { } +.pgRef A:visited { } +.pgRef A:active { } +.pgRef A:hover { } +.pgHeader {background:#E7CF5A;} +.pgBgnd {background:#FFFFFF;} + + +#tipBox {position: absolute; width: 150px; z-index: 100;border: 1pt black solid; background: white; visibility: hidden;} +.tipBoxCursor {cursor:crosshair;} + diff --git a/address_decoder_html/fit/summary.js b/address_decoder_html/fit/summary.js new file mode 100644 index 0000000..6fe7722 --- /dev/null +++ b/address_decoder_html/fit/summary.js @@ -0,0 +1,26 @@ +function popWin(url, w, h) { + var win = window.open(url, 'win', + 'toolbar=no,location=no,directories=no,status=no,menubar=no,scrollbars=yes,resizable=yes,width='+w+',height='+h); + win.focus(); +} + +function showTop() { parent.leftnav.showTop(); } + +function showDoc(device) { + var url = docURL; + + if ((device.indexOf("XC2") != -1) && (device.indexOf("S") != -1)) + url = docCr2sURL; + else if (device.indexOf("XC2") != -1) url = docXbrURL; + else if (device.indexOf("XCR3") != -1) url = docXpla3URL; + else if (device.indexOf("XV") != -1) url = doc95xvURL; + else if (device.indexOf("XL") != -1) url = doc95xlURL; + else url = doc95URL; + + popWin(url); +} + +function priceDev(device) { + var url = "http://toolbox.xilinx.com/cgi-bin/xilinx.storefront/1816638537/Catalog"; + popWin(url); +} diff --git a/address_decoder_html/fit/summarydoc.htm b/address_decoder_html/fit/summarydoc.htm new file mode 100644 index 0000000..71bad37 --- /dev/null +++ b/address_decoder_html/fit/summarydoc.htm @@ -0,0 +1,102 @@ + + + + + + + + + + +Summary + + + + + + + + + + + + + + + + + + + + + + +

Summary

+ +

The + Summary section of the WebFITTER XML report contains several tables summarizing + the fitting results for your design.

+ +The general + Summary table contains the following: + +
    + +
  • The + design name
  • + +
  • The + fitting status
  • + +
  • The + software version
  • + +
  • The + device used, with a link to a PDF version of the device documentation
  • + +
  • The + time and date of the fitter's completion
  • +
+ +The Resources + Summary table includes: + +
    + +
  • The + number and percentage of macrocells used
  • + +
  • The + number and percentage of product terms used
  • + +
  • The + number and percentage of registers used
  • + +
  • The + number and percentage of pins used  
  • + +
  • The + number and percentage of function block inputs used
  • +
+ +The tables + that follow give more detailed summaries (when appropriate, depending + on your design and device) of the pin resources, macrocell resources, + global resources, and block resources utilized by the fitter, as well + as the macrocell power data for the design. + + + + diff --git a/address_decoder_html/fit/support.gif b/address_decoder_html/fit/support.gif new file mode 100644 index 0000000..a154620 Binary files /dev/null and b/address_decoder_html/fit/support.gif differ diff --git a/address_decoder_html/fit/tooltips.js b/address_decoder_html/fit/tooltips.js new file mode 100644 index 0000000..790ce27 --- /dev/null +++ b/address_decoder_html/fit/tooltips.js @@ -0,0 +1,143 @@ +/* Your are permitted to reuse this code as long as the following copyright + notice is not removed: + + This HTML tip handling is copyright 1998 by insideDHTML.com, LLC. More information about this + code can be found at Inside Dynamic HTML: HTTP://www.insideDHTML.com +*/ + + +// Support for all collection +var allSupport = document.all!=null; + +function setupEventObject(e) { + // Map NS event object to IEs + if (e==null) return; // IE returns + window.event = e; + window.event.fromElement = e.target; + window.event.toElement = e.target; + window.event.srcElement = e.target; + window.event.x = e.x; + window.event.y = e.y; + // Route the event to the original element + // Necessary to make sure _tip is set. + window.event.srcElement.handleEvent(e); +} + +function checkName(src) { + // Look for tooltip in IE + while ((src!=null) && (src._tip==null)) + src = src.parentElement; + return src; +} + +function getElement(elName) { + // Get an element from its ID + if (allSupport) return document.all[elName]; + else return document.layers[elName]; +} + +function writeContents(el, tip) { + // Replace the contents of the tooltip + if (allSupport) + el.innerHTML = tip; + else { + // In NS, insert a table to work around + // stylesheet rendering bug. + // NS fails to apply style sheets when writing + // contents into a positioned element. + el.document.open(); + el.document.write("
"); + el.document.write(tip); + el.document.write("
"); + el.document.close(); + } +} + +function getOffset(el, which) { + // Function for IE to calculate position + // of an element. + var amount = el["offset"+which]; + if (which=="Top") amount+=el.offsetHeight; + el = el.offsetParent; + while (el!=null) { + amount+=el["offset"+which]; + el = el.offsetParent; + } + return amount; +} + + +function setPosition(el) { + // Set the position of an element + + src = window.event.srcElement + if (allSupport) { + el.style.pixelTop = getOffset(src, "Top"); + el.style.pixelLeft = getOffset(src, "Left"); + } + else { + el.top = src.y + 20; //window.event.y + 15 + el.left = src.x; //window.event.x + } +} + +function setVisibility(el, bDisplay) { + // Hide or show to tip + if (bDisplay) { + if (allSupport) el.style.visibility = "visible"; + else el.visibility = "show"; + } + else { + if (allSupport) el.style.visibility = "hidden"; + else el.visibility = "hidden"; + } +} + + +function displayContents(tip) { + // Display the tooltip. + var el = getElement("tipBox"); + writeContents(el, tip); + setPosition(el); + setVisibility(el, true); +} + + +function doMouseOver(e) { + // Mouse moves over an element + setupEventObject(e); + var el, tip; + if ((el = checkName(window.event.srcElement))!=null) { + if (!el._display) { + displayContents(el._tip); + el._display = true; + } + } +} + +function doMouseOut(e) { + // Mouse leaves an element + setupEventObject(e); + el = checkName(window.event.srcElement); + var el, tip; + if ((el = checkName(window.event.srcElement))!=null) { + if (el._display) { + if ((el.contains==null) || (!el.contains(window.event.toElement))) { + setVisibility(getElement("tipBox"), false); + el._display = false; + } + } + } +} + +function doLoad() { + // Do Loading + if ((window.document.captureEvents==null) && (!allSupport)) + return; // Not IE4 or NS4 + if (window.document.captureEvents!=null) // NS - capture events + window.document.captureEvents(Event.MOUSEOVER | Event.MOUSEOUT) + window.document.onmouseover = doMouseOver; + window.document.onmouseout = doMouseOut; +} + +window.onload = doLoad; diff --git a/address_decoder_html/fit/topnav.js b/address_decoder_html/fit/topnav.js new file mode 100644 index 0000000..0e85b7b --- /dev/null +++ b/address_decoder_html/fit/topnav.js @@ -0,0 +1,28 @@ +function popWin(url) { + var win = window.open(url, 'win', + 'location=yes,directories=yes,menubar=yes,toolbar=yes,status=yes,scrollbars=yes,resizable=yes,width=800,height=600'); + win.focus(); +} + +function openTab(type, device) { + var url = rootURL; + switch (type) { + case 0: url = rootURL; break; + case 1: + if (device.indexOf('XC2') != -1) url += prodURL + xbrURL; + else if (device.indexOf('XCR3') != -1) url += prodURL + xpla3URL; + else if (device.indexOf('XV') != -1) url += prodURL + xc9500xvURL; + else if (device.indexOf('XL') != -1) url += prodURL + xc9500xlURL; + else url += prodURL + xc9500URL; + break; + case 2: url += marketURL; break; + case 3: url = supportURL; break; + case 4: url += educationURL; break; + case 5: url = buyURL; break; + case 6: url += contactURL; break; + case 7: url += searchURL; break; + default: url = rootURL; + } + + popWin(url); +} diff --git a/address_decoder_html/fit/unmapinputdoc.htm b/address_decoder_html/fit/unmapinputdoc.htm new file mode 100644 index 0000000..fe242d6 --- /dev/null +++ b/address_decoder_html/fit/unmapinputdoc.htm @@ -0,0 +1,65 @@ + + + + + + + + + + +unmapinputdoc + + + + + + + + + + + + + + + + + + + + + + + + +

Unmapped Inputs

+ +

This page shows + input signals which were either not mapped or not + routed.

+ + + + diff --git a/address_decoder_html/fit/unmaplogicdoc.htm b/address_decoder_html/fit/unmaplogicdoc.htm new file mode 100644 index 0000000..6371313 --- /dev/null +++ b/address_decoder_html/fit/unmaplogicdoc.htm @@ -0,0 +1,68 @@ + + + + + + + + + + +unmaplogicdoc + + + + + + + + + + + + + + + + + + + + + + + + +

Unmapped Logic

+ +

This page shows those equations whose + logic was either not placed or not completely + placed in the specified device.

+ + + + diff --git a/address_decoder_html/fit/verboseview.jpg b/address_decoder_html/fit/verboseview.jpg new file mode 100644 index 0000000..819132e Binary files /dev/null and b/address_decoder_html/fit/verboseview.jpg differ diff --git a/address_decoder_html/fit/view.gif b/address_decoder_html/fit/view.gif new file mode 100644 index 0000000..6167497 Binary files /dev/null and b/address_decoder_html/fit/view.gif differ diff --git a/address_decoder_html/fit/xc9500_logo.gif b/address_decoder_html/fit/xc9500_logo.gif new file mode 100644 index 0000000..ad995ff Binary files /dev/null and b/address_decoder_html/fit/xc9500_logo.gif differ diff --git a/address_decoder_html/fit/xc9500_logo.jpg b/address_decoder_html/fit/xc9500_logo.jpg new file mode 100644 index 0000000..a5c7128 Binary files /dev/null and b/address_decoder_html/fit/xc9500_logo.jpg differ diff --git a/address_decoder_html/fit/xc9500xl_logo.gif b/address_decoder_html/fit/xc9500xl_logo.gif new file mode 100644 index 0000000..d1549b3 Binary files /dev/null and b/address_decoder_html/fit/xc9500xl_logo.gif differ diff --git a/address_decoder_html/fit/xc9500xl_logo.jpg b/address_decoder_html/fit/xc9500xl_logo.jpg new file mode 100644 index 0000000..ff4054a Binary files /dev/null and b/address_decoder_html/fit/xc9500xl_logo.jpg differ diff --git a/address_decoder_html/fit/xc9500xv_logo.jpg b/address_decoder_html/fit/xc9500xv_logo.jpg new file mode 100644 index 0000000..90ddb36 Binary files /dev/null and b/address_decoder_html/fit/xc9500xv_logo.jpg differ diff --git a/address_decoder_html/fit/xcenter.gif b/address_decoder_html/fit/xcenter.gif new file mode 100644 index 0000000..dcff6a3 Binary files /dev/null and b/address_decoder_html/fit/xcenter.gif differ diff --git a/address_decoder_html/fit/xlogo.gif b/address_decoder_html/fit/xlogo.gif new file mode 100644 index 0000000..7694fae Binary files /dev/null and b/address_decoder_html/fit/xlogo.gif differ diff --git a/address_decoder_html/fit/xml5.jpg b/address_decoder_html/fit/xml5.jpg new file mode 100644 index 0000000..8e7a8d7 Binary files /dev/null and b/address_decoder_html/fit/xml5.jpg differ diff --git a/address_decoder_html/fit/xml6.jpg b/address_decoder_html/fit/xml6.jpg new file mode 100644 index 0000000..a2e0420 Binary files /dev/null and b/address_decoder_html/fit/xml6.jpg differ diff --git a/address_decoder_html/fit/xml7.jpg b/address_decoder_html/fit/xml7.jpg new file mode 100644 index 0000000..5853ddb Binary files /dev/null and b/address_decoder_html/fit/xml7.jpg differ diff --git a/address_decoder_html/fit/xml8.jpg b/address_decoder_html/fit/xml8.jpg new file mode 100644 index 0000000..ffea08c Binary files /dev/null and b/address_decoder_html/fit/xml8.jpg differ diff --git a/address_decoder_html/images/CVS/Entries b/address_decoder_html/images/CVS/Entries new file mode 100644 index 0000000..1d2c42f --- /dev/null +++ b/address_decoder_html/images/CVS/Entries @@ -0,0 +1,12 @@ +/blackBar.jpg/1.3/Fri May 2 20:51:16 2003/-kb/Tbuild+G-38+0 +/cpldBanner.jpg/1.3/Fri May 2 20:51:16 2003/-kb/Tbuild+G-38+0 +/cr2s_logo.jpg/1.4/Fri May 2 20:51:16 2003/-kb/Tbuild+G-38+0 +/fitterRpt.jpg/1.3/Fri May 2 20:51:17 2003/-kb/Tbuild+G-38+0 +/spacer.jpg/1.2/Thu Mar 20 05:15:49 2003/-kb/Tbuild+G-38+0 +/timingRpt.jpg/1.3/Fri May 2 20:51:17 2003/-kb/Tbuild+G-38+0 +/xbr_logo.jpg/1.3/Fri May 2 20:51:17 2003/-kb/Tbuild+G-38+0 +/xc9500_logo.jpg/1.3/Fri Mar 28 22:16:03 2003/-kb/Tbuild+G-38+0 +/xc9500xl_logo.jpg/1.4/Fri May 2 20:51:17 2003/-kb/Tbuild+G-38+0 +/xc9500xv_logo.jpg/1.4/Fri May 2 20:51:17 2003/-kb/Tbuild+G-38+0 +/xpla3_logo.jpg/1.3/Fri May 2 20:51:17 2003/-kb/Tbuild+G-38+0 +D diff --git a/address_decoder_html/images/CVS/Repository b/address_decoder_html/images/CVS/Repository new file mode 100644 index 0000000..42ba63c --- /dev/null +++ b/address_decoder_html/images/CVS/Repository @@ -0,0 +1 @@ +env/Jobs/Cpld/CPLDTa/ta/data/images diff --git a/address_decoder_html/images/CVS/Root b/address_decoder_html/images/CVS/Root new file mode 100644 index 0000000..5726da0 --- /dev/null +++ b/address_decoder_html/images/CVS/Root @@ -0,0 +1 @@ +:pserver:relman@igor-174:/devl/xcs/repo diff --git a/address_decoder_html/images/CVS/Tag b/address_decoder_html/images/CVS/Tag new file mode 100644 index 0000000..5231551 --- /dev/null +++ b/address_decoder_html/images/CVS/Tag @@ -0,0 +1 @@ +Nbuild+G-38+0 diff --git a/address_decoder_html/images/blackBar.jpg b/address_decoder_html/images/blackBar.jpg new file mode 100644 index 0000000..af8f6d6 Binary files /dev/null and b/address_decoder_html/images/blackBar.jpg differ diff --git a/address_decoder_html/images/cpldBanner.jpg b/address_decoder_html/images/cpldBanner.jpg new file mode 100644 index 0000000..7686e80 Binary files /dev/null and b/address_decoder_html/images/cpldBanner.jpg differ diff --git a/address_decoder_html/images/cr2s_logo.jpg b/address_decoder_html/images/cr2s_logo.jpg new file mode 100644 index 0000000..ca81eb8 Binary files /dev/null and b/address_decoder_html/images/cr2s_logo.jpg differ diff --git a/address_decoder_html/images/fitterRpt.jpg b/address_decoder_html/images/fitterRpt.jpg new file mode 100644 index 0000000..42de6ff Binary files /dev/null and b/address_decoder_html/images/fitterRpt.jpg differ diff --git a/address_decoder_html/images/logo.jpg b/address_decoder_html/images/logo.jpg new file mode 100644 index 0000000..dbc01d5 Binary files /dev/null and b/address_decoder_html/images/logo.jpg differ diff --git a/address_decoder_html/images/spacer.jpg b/address_decoder_html/images/spacer.jpg new file mode 100644 index 0000000..d9a9aa5 Binary files /dev/null and b/address_decoder_html/images/spacer.jpg differ diff --git a/address_decoder_html/images/timingRpt.jpg b/address_decoder_html/images/timingRpt.jpg new file mode 100644 index 0000000..376924c Binary files /dev/null and b/address_decoder_html/images/timingRpt.jpg differ diff --git a/address_decoder_html/images/xbr_logo.jpg b/address_decoder_html/images/xbr_logo.jpg new file mode 100644 index 0000000..f7f6626 Binary files /dev/null and b/address_decoder_html/images/xbr_logo.jpg differ diff --git a/address_decoder_html/images/xc9500_logo.jpg b/address_decoder_html/images/xc9500_logo.jpg new file mode 100644 index 0000000..86638a7 Binary files /dev/null and b/address_decoder_html/images/xc9500_logo.jpg differ diff --git a/address_decoder_html/images/xc9500xl_logo.jpg b/address_decoder_html/images/xc9500xl_logo.jpg new file mode 100644 index 0000000..dbc01d5 Binary files /dev/null and b/address_decoder_html/images/xc9500xl_logo.jpg differ diff --git a/address_decoder_html/images/xc9500xv_logo.jpg b/address_decoder_html/images/xc9500xv_logo.jpg new file mode 100644 index 0000000..ba2110d Binary files /dev/null and b/address_decoder_html/images/xc9500xv_logo.jpg differ diff --git a/address_decoder_html/images/xpla3_logo.jpg b/address_decoder_html/images/xpla3_logo.jpg new file mode 100644 index 0000000..3089121 Binary files /dev/null and b/address_decoder_html/images/xpla3_logo.jpg differ diff --git a/address_decoder_html/tim/CVS/Entries b/address_decoder_html/tim/CVS/Entries new file mode 100644 index 0000000..8598ea8 --- /dev/null +++ b/address_decoder_html/tim/CVS/Entries @@ -0,0 +1,9 @@ +/cpldta_glossary.htm/1.3/Wed Apr 9 21:33:40 2003//Tbuild+G-38+0 +/cpldta_style.css/1.2/Thu Mar 20 05:15:52 2003//Tbuild+G-38+0 +/genreport.htm/1.2/Fri Mar 28 22:16:03 2003//Tbuild+G-38+0 +/leftnav.htm/1.2/Thu Mar 20 05:15:53 2003//Tbuild+G-38+0 +/report.htm/1.3/Fri Mar 28 22:16:03 2003//Tbuild+G-38+0 +/timing_report.htm/1.2/Fri Mar 28 22:16:03 2003//Tbuild+G-38+0 +/toc.css/1.3/Fri May 2 20:51:18 2003//Tbuild+G-38+0 +/topnav.htm/1.4/Fri May 2 20:51:18 2003//Tbuild+G-38+0 +D diff --git a/address_decoder_html/tim/CVS/Repository b/address_decoder_html/tim/CVS/Repository new file mode 100644 index 0000000..38b3dea --- /dev/null +++ b/address_decoder_html/tim/CVS/Repository @@ -0,0 +1 @@ +env/Jobs/Cpld/CPLDTa/ta/data/tim diff --git a/address_decoder_html/tim/CVS/Root b/address_decoder_html/tim/CVS/Root new file mode 100644 index 0000000..5726da0 --- /dev/null +++ b/address_decoder_html/tim/CVS/Root @@ -0,0 +1 @@ +:pserver:relman@igor-174:/devl/xcs/repo diff --git a/address_decoder_html/tim/CVS/Tag b/address_decoder_html/tim/CVS/Tag new file mode 100644 index 0000000..5231551 --- /dev/null +++ b/address_decoder_html/tim/CVS/Tag @@ -0,0 +1 @@ +Nbuild+G-38+0 diff --git a/address_decoder_html/tim/cpldta_glossary.htm b/address_decoder_html/tim/cpldta_glossary.htm new file mode 100644 index 0000000..3bb390e --- /dev/null +++ b/address_decoder_html/tim/cpldta_glossary.htm @@ -0,0 +1,250 @@ + + + + + + + + + + +CPLD Timing Analysis Glossary + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +

Introduction

+ +

This report is the result of a static timing analysis of your design + after it has been fit in the device that you selected. The timing values + given represent the worst-case values over the recommended operating conditions + for the part.

+ +

Overview

+ +

The timing report consists of a series of sections:

+ +

Summary

+ +

This table summarizes the external timing parameters for your device, + including tPD, + tCO, + tSU, + tCYC, + and fSYSTEM. +  For a more + detailed description of the timing model for your device, please refer + to the application notes linked below.

+ +

Timing Constraints

+ +

This section reports on any timing constraints that you created for + your design. Timing constraints can be entered using the Constraints Editor + tool, or by editing an Implementation Constraints File directly. For more + information on creating timing constraints, see the Constraints Guide. +

+ +

Note that if you + did not define any constraints for your design, then the timing analysis + software will automatically create a default set of constraints for you. + These include pad-to-pad, register-to-register, pad-to-register, and period + constraints. A constraint value of 0 ns + will be used for all of these automatically generated constraints. As + a result, all paths listed under each constraint will violate the constraint, + and will have a negative value for slack.

+ +

Note also that to + limit the size of the report, each path endpoint involved in a timing + path will only be listed once, under a single constraint.  

+ +

For each timing path listed under a constraint, there is a hyperlink + that can be used to open a window listing the individual internal delay + elements traversed in the path. To understand these delay elements, consult + the Definitions section below, or the following + application notes and white papers:

+ +

XAPP375: Understanding + the CoolRunner-II + Timing Model

+ +

WP122: + Using the CoolRunner + XPLA3 Timing Model

+ +

XAPP071: Using + the XC9500 Timing Model

+ +

XAPP111: Using + the XC9500XL Timing Model

+ +

XAPP + 362: Using the XC9500XV Timing Model

+ +

available in the literature section of www.xilinx.com. +

+ +

Data Sheet Report

+ +

This section of the report lists the external timing parameters for + your design. This includes; maximum external clock speed for each clock, + setup and hold times for each registered input, clock-to-output pad timing + for each registered output, clock to setup time for each register-to-register + timing path, and pad-to-pad time for each combinatorial path through your + design.

+ +

Going Further

+ +

To do more advanced timing analysis of your design, select the process + Analyze Post-Fit Static Timing + in iSE. This + will run Xilinx's + Timing Analyzer tool interactively.  The + Timing Analyzer provides a powerful, flexible, and easy way to perform + static timing analysis on FPGA + and CPLD designs. + With Timing Analyzer, analysis can be performed immediately after mapping, + placing or routing an FPGA + design, and after fitting and routing a CPLD + design.

+ +

Timing Analyzer verifies that the delay along a given path or paths + meets specified timing requirements. It organizes and displays data that + allows you to analyze critical paths in a circuit, the cycle time of the + circuit, the delay along any specified path(s), + and the path with the greatest delay. It also provides a quick analysis + of the effect different speed grades have on the same design.  

+ +

Timing Analyzer performs setup and hold checks (skew analysis). It works + with synchronous systems composed of synchronous elements and combinatorial + logic. In synchronous design, Timing Analyzer takes into account all path + delays, including clock-to-out and setup requirements, while calculating + the worst-case timing of the design.

+ +

Timing Analyzer creates timing analysis reports based on existing timing + constraints or user specified paths within the program. Timing reports + have a hierarchical browser to quickly jump to different sections of the + reports. Timing paths in reports can be cross probed to synthesis tools + (Exemplar and Synplicity) + and Floorplanner. +

+ +

There are several ways to issue commands in Timing Analyzer. Timing + Analyzer can be controlled through GUI + features (menu commands) or its comprehensive macro command language facility. + You can select from menus, click toolbar buttons, type keyboard commands + in the console window, and run macros.

+ +

Definitions

+ +

Pad to Pad (tPD) +

+ +

Reports pad to pad paths that start at input pads and end at output + pads. The maximum external pad to pad delay.  Combinatorial + pad-to-pad paths begin at input pads, propagate through one or more levels + of combinatorial logic and end at output pads. Combinatorial paths also + trace through the enable inputs of 3-state controlled pads. Combinatorial + paths are not traced through clock, and asynchronous set and reset inputs + of registers. These paths are also broken at bidirectional pins

+ +

Clock Pad to Output Pad (tCO) +

+ +

The maximum external clock pad to output pad delay.  Reports + paths that start at input  pads + trace through clock inputs of  registers + and end at output pads. Paths are not traced through PRE/CLR +  inputs + of registers.  You + can directly specify tCO + for all registered output paths in your design using the Pad-to-Pad timespec. + Clock-Pad-to-Pad paths for global clocks begin at global clock pads, propagate + through global clock buffers, and propagate through the flip-flop Q + output and any number of levels of combinatorial logic and end at the + output pad. Clock-Pad-to-Pad paths for product term clock paths begin + at input pads, propagate through any number of logic levels feeding into + a clock product term, propagate through the flip-flop Q + output and any number of levels of combinatorial logic and end at the + output pad. Clock-Pad-to-Pad paths also trace through the enable inputs + of 3-state controlled pads.

+ +

Setup to Clock at Pad (tSU + or tSUF)

+ +

Reports external setup time of data  to + clock at pad. Data path starts at an input pad and ends at register  (Fast + Input Register for tSUF) + D/T  input. + Clock path starts at input pad and ends at the register clock input.  Paths + are not traced through registers. Pin-to-pin setup requirement is not + reported or guaranteed for product-term clocks derived from macrocell + feedback signals.

+ +

The minimum required setup time for flip-flops.  You + can specify the tSU + (setup-to-clock) for all inputs in your design relative to a global clock + or product term clock. Each tSU + OFFSET timespec involves an input path and a clock path. Input paths start + at input pads, propagate through input buffers and any number of combinatorial + logic levels before ending at a flip-flop D/T input, including the receiving + flip-flop's tSU.  Input + paths are not traced through flip-flop clock pins, asynchronous set/reset + inputs or bidirectional I/O pins. Global clock paths start at global clock + pads, propagate through global clock buffers and end at the flip-flop + clock pin. Product term clock paths start at input pads, propagate through + a single level of logic implemented in a clock product term and end at + the flip-flop clock pin.

+ +

Clock to Setup (tCYC)

+ +

Register to register cycle time. Includes source register tCO and destination + register tSU.

+ +

Note that when the + computed Maximum Clock Speed is limited by tCYC, it is computed assuming + that all registers are rising-edge sensitive.

+ +

fSYSTEM

+ +

Maximum clock operating frequency.  You + can specify the fSYSTEM (clock frequency or period) for all registered + paths in your design using a Register-to-Register timespec. Register-to-Register + paths begin at flip-flop clock inputs, propagate through the flip-flop + Q output and any number of levels of combinatorial logic and end at the + receiving flip-flop D/T input, including the receiving flip-flop's tSU. + When these flip-flops are clocked by the same clock, the delay on this + path is equivalent to the cycle time of the clock. Registered paths do + not propagate through clock, and asynchronous set and reset inputs of + registers as shown below. These paths are also broken at bidirectional + pins.

+ +

 

+ + + + diff --git a/address_decoder_html/tim/cpldta_style.css b/address_decoder_html/tim/cpldta_style.css new file mode 100644 index 0000000..4b82019 --- /dev/null +++ b/address_decoder_html/tim/cpldta_style.css @@ -0,0 +1,144 @@ + + + + +.cpldta_text_report_header { + font-style: normal; + font-weight: bold; + font-size: 25pt; + font-family: Arial, Helvetica, sans-serif; + text-align: center;} + +.cpldta_text_section_header { + font-style: normal; + font-weight: bold; + font-size: 15pt; + font-family: Arial, Helvetica, sans-serif; + text-align: center;} + +.cpldta_text_subsection_header { + font-style: normal; + font-weight: bold; + font-size: 10pt; + font-family: Arial, Helvetica, sans-serif; + text-align: left;} + + +.cpldta_warnings_header { + font-style: normal; + font-weight: normal; + font-size: 10pt; + font-family: Arial, Helvetica, sans-serif; + text-align: center; + background-color: #FFFFCC; } + + +.cpldta_text_normal { + font-style: normal; + font-weight: normal; + font-size: 10pt; + font-family: Arial, Helvetica, sans-serif; + text-align: left;} + + +.cpldta_text_normal_bold { + font-style: normal; + font-weight: bold; + font-size: 10pt; + font-family: Arial, Helvetica, sans-serif; + text-align: left;} + + +.cpldta_constraint_description_normal { + font-style: normal; + font-weight: normal; + font-size: 10pt; + font-family: Arial, Helvetica, sans-serif; + text-align: left;} +.cpldta_constraint_description_bold { + font-style: normal; + font-weight: bold; + font-size: 10pt; + font-family: Arial, Helvetica, sans-serif; + text-align: left;} + + +.cpldta_constraint_name { + font-style: normal; + font-weight: normal; + font-size: 10pt; + font-family: Arial, Helvetica, sans-serif; + text-align: left;} + + +.cpldta_constraint_name_error { + font-style: normal; + font-weight: normal; + font-size: 10pt; + font-family: Arial, Helvetica, sans-serif; + text-align: left; + background-color: #FFCCCC; } + + +.cpldta_time_value { + font-style: normal; + font-weight: normal; + font-size: 10pt; + font-family: Arial, Helvetica, sans-serif; + text-align: center;} + + +.cpldta_time_value_error { + font-style: normal; + font-weight: normal; + font-size: 10pt; + font-family: Arial, Helvetica, sans-serif; + text-align: center; + background-color: #FFCCCC; } + + +.cpldta_delaytable_header { + font-style: normal; + font-weight: bold; + font-size: 12pt; + font-family: Arial, Helvetica, sans-serif; + text-align: center; + background-color: #FFFFCC; } +.cpldta_constraint_header { + font-style: normal; + font-weight: bold; + font-size: 10pt; + font-family: Arial, Helvetica, sans-serif; + text-align: left; + background-color: #FFFFCC; } +.cpldta_time_header { + font-style: normal; + font-weight: bold; + font-size: 10pt; + font-family: Arial, Helvetica, sans-serif; + text-align: center; + background-color: #FFFFCC; } +.cpldta_text_caption { + font-style: normal; + font-weight: Bold; + font-size: 10pt; + font-family: Arial, Helvetica, sans-serif; + text-align: center;} + + +.cpldta_datasheet_pathname { + font-style: normal; + font-weight: normal; + font-size: 10pt; + font-family: Arial, Helvetica, sans-serif; + text-align: left;} +.cpldta_datasheet_time_value { + font-style: normal; + font-weight: normal; + font-size: 10pt; + font-family: Arial, Helvetica, sans-serif; + text-align: center;} + + + + diff --git a/address_decoder_html/tim/genreport.htm b/address_decoder_html/tim/genreport.htm new file mode 100644 index 0000000..43aca47 --- /dev/null +++ b/address_decoder_html/tim/genreport.htm @@ -0,0 +1,17 @@ + + +Reports + + +; + + + + + + + +<body bgcolor="#FFFFFF" text="#000000"> +</body> + + diff --git a/address_decoder_html/tim/leftnav.htm b/address_decoder_html/tim/leftnav.htm new file mode 100644 index 0000000..e72761d --- /dev/null +++ b/address_decoder_html/tim/leftnav.htm @@ -0,0 +1,37 @@ + + +Timing Navigation + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
Timing Report
+
 Description
 Summary
 Constraints
 Definitions
  
+ + diff --git a/address_decoder_html/tim/report.htm b/address_decoder_html/tim/report.htm new file mode 100644 index 0000000..fcd16d4 --- /dev/null +++ b/address_decoder_html/tim/report.htm @@ -0,0 +1,14 @@ + + +Reports + + +; + + + + +<body bgcolor="#FFFFFF" text="#000000"> +</body> + + diff --git a/address_decoder_html/tim/timing_report.htm b/address_decoder_html/tim/timing_report.htm new file mode 100644 index 0000000..8dd7141 --- /dev/null +++ b/address_decoder_html/tim/timing_report.htm @@ -0,0 +1,10 @@ + + +Timing report + +

No timing data is available + for your design.

+

Please double click on the + Generate Timing process in the "Process for Current Sources" + window.

+ \ No newline at end of file diff --git a/address_decoder_html/tim/toc.css b/address_decoder_html/tim/toc.css new file mode 100644 index 0000000..29af0e1 --- /dev/null +++ b/address_decoder_html/tim/toc.css @@ -0,0 +1,36 @@ +.HEADING { + font-size: 15px; + font-family: Arial, Geneva, Verdana, Helvetica; + font-weight: bold; color: #000000; + text-align: normal; + margin-left: 0px; } +.Fitting { + font-size: 11px; + font-family: Arial, Geneva, Verdana, Helvetica; + font-weight: bold; color: #000000; + text-align: normal; + margin-left: 0px; } +.SECONDARY-NAV { + font-size: 11px; + font-family: Arial, Geneva, Verdana, Helvetica; + font-weight: bold; color: #FFFFFF; + margin-left: 0px; + text-decoration: none; ; + list-style-type: disc ; + list-style-position: inside } +.Timing { + font-size: 11px; + font-family: Arial, Geneva, Verdana, Helvetica; + font-weight: bold; color: #333333; + margin-left: 0px; + text-decoration: none; ; + list-style-type: disc ; + list-style-position: inside } +.Timing-Error { + font-size: 11px; + font-family: Arial, Geneva, Verdana, Helvetica; + font-weight: bold; color: #990000; + margin-left: 0px; + text-decoration: none; ; + list-style-type: disc ; + list-style-position: inside } diff --git a/address_decoder_html/tim/topnav.htm b/address_decoder_html/tim/topnav.htm new file mode 100644 index 0000000..00e11b8 --- /dev/null +++ b/address_decoder_html/tim/topnav.htm @@ -0,0 +1,31 @@ + + +CPLD Reports Banner + + + + + + + + + + + + + + +
 
+ + + + +   
+ + + + + + + + diff --git a/spi65.dhp b/spi65.dhp index dccbe65..1cadedc 100644 --- a/spi65.dhp +++ b/spi65.dhp @@ -1,3 +1,3 @@ XILINX-XDB 0.1 STUB 0.1 ASCII XILINX-XDM V1.2e -$7dx4>763-Xnzd}foo8#5+72(-k0=989971304g<9=<=5;=841c851011?9<;=o415567623:?k0=99:410;13g<9=3:94>855c851?381>?95o415;74=31>;20MmgN`off>GukaEifhm{s89Ahjel\pzn56M@MLKWP@B03JXNMYKK8:AliuOi{}k0IczftxKmwqg2FDMIKK8:Nbjbsfolh0@dl}as{Mehc692Fbno}yRvbp`kbf}y37@k|s`efwg=Wijajkh@nmd;8Tdttm]cfi95_asv:?Tsfd`lbih4SQK5154E9';%zej>3:QSM337:K;%=#xgd,33245<[YC=9=4018WUO1=98I=#?!vif.5436;2Y[E;;?2C3-5+pol$;9><=4SQK5154E9';%zej"13127>UWA??;>O?!1/tk`(71:890_]G9510A5+7)~an&=;=>1:QSM337:K;%=#xgd,025>UWA??;>O?!1/tk`(56:2Y[E;;?2C3-5+pol$9?=?5\PH4647D6&8$}di#<5008WUO1=98I=#?!vif.07753ZZB:8>=B0,2*snc%=9:>6]_I7736G7)9'|ch 6=139PTL028;H:"< yhe/;73=Tm{|no5[ervUafrhzzh0Xb~IimgOmvrc3\yn_yo{elgmpv7c3QCGECV"XE@#4+7'[]_I,= > @Q@ML0gkdh1iieoyinpbh`=emak}eb|nl,326`=emak}eb|nl,314`=emak}eb|nl,31<`=emak}eb|nl,35db`h|bcoc-5:`?gcoicd~lb"8e9aamgqafxj` 67a:`jjaCi|`~rn6lfneRbgng`m=1bihkm;hl`hpbb%8:3n6gacmwga(76>k1bbnbzdd/261d86;hl`hpbb%=k0ecmcueg.01guwa??;>o#>26a8wuo1=98i!<88b:qsm337:k'85o5|ph4647d*<>k0}g9510a)2d<{yc=9=17626>uslVfdkdmPuoqjckcc?2xi ??969vw`+699=0y~k"1054?pub%8;3;6{|e,3112=r{l':>;94urg.53303|yn!<896:wpa(50>2xi =76:wpa(22>2xi :95:wpa(323|yn!;84urg.<00<}zo&4;sO@q61?EF=:0M605=93;8?l>82;0b=16|f:21=6`<9;08 61=;?1v_i4:3;39565f8>81>l7;1:Q2o?7381e<253Zn19>4>:010e5152;kon;5\19867?7=9:9j<:<52`fee>Uc2<91=7?<3`246?4fm?k0_<655282>454i9ij6?m=5`9P`?34280:?>o?c`81`5c13Z;368=51;307d6dj3;:j?94Se867?7=9:9jU603?86<4>32c3`g<6lkin7^j55282>454i9ni6=n13396d44j2Yo68=51;307d75938j>9?4S0:916<62898m32c2a<<5=n1dc95<13;2Y:47;<:08276g6mh0:5o><;Rf916<62898m?7?5121`2d1=:m:nm6]k:4195?74;j==:0:6<=733i;1Xh7;<:08276e08h0999l;;R3;>05=93;8?n9?a;062`6<[m0>?7?5121`35g=:8;70>4<6;:i<7119l1o9>4?:082Vc==:0:6<=7g><91e8k4n8:M00?61<6xI2983>4<629qXi7;<:08276e08h099n99;o3:>4=e:00;6=4::1ym17<63gi1=6A<2;28 4e=82.:?7<>;%36>5=#9o0;7)?j:89L57<73F;=6=5@5082xd3i3:1<7>50zl66?`anolmjk5ac;034>I4:3:0C>;52zL5g<73th=97>50;294~h2:38:7cm51128K64=82.:m7:9;%3g>0b<,;:1?l5@4483?J3628qvC<951z~f30=83:1<7>tn40967=ik3;;<6A<2;28 4g=>6=5@5082xI6?3;pqpl:8;294?6=8rd>>7I4:3:0(2dh695@3383?!g=;k1/?>4?;%32>02k4=3:M04?4?3F9?6?=4O2796==H;009?6A763-Xnzd}foo8#5+72(-k0=989971304g<9=<=5;=841c851011?9<;=o415567623:?k0=99:410;13g<9=3:94>855c851?381>?95o415;74=31>;k0=97626711=g<9=32>:;=58:8EweoFhgnn6O}ciMan`es{01I`bmdTxrf=>EHEDC_XHJ8;BPFEQCC02Ida}Gasuc8Akrn|pCeyo4Eovjp|Hfelh0JdbjDdrfjau?3OcgiCobec9EmicHjcFby64FhnfTdroi2Lb`h]jrwgpe>OikeoiBle8:KmwqHfel<0@BOKEE:8Hdh`}hmnn6Bfbscq}Kgjm8;0@dl}as{Ppdrbeld55Berqbc`ue3YkhglijN`of=>Vfzzo_e`k;;Qcqp<=V}hfbjdk}f:QSM337:K;%=#xgd018WUO1=98I=#?!vif.5506;2Y[E;;?2C3-5+pol$;;;<=4SQK5154E9';%zej"10627>UWA??;>O?!1/tk`(76=890_]G9510A5+7)~an&=?<>3:QSM337:K;%=#xgd,31745<[YC=9=;>2:QSM337:K;%=#xgd,6157=TX@<>.0,ula+3;880_]G9510A5+7)~an&4??=;RRJ2065J8$:"{fk-915?Vcu~lyi7Yk|tWg`pjttj2^d|KgceMkpp==Rf`~Em`kk;TqfWqgsmdoex~?k;YKOMK^*PMH+<#?/SUWA$5(6(HYHED84Xe`\Ma773QnfS@oeosTfvvohf8:0TicPMhllvScu{`ee;6okd^ffgg=flmUoin#>76d8eabumzyThhm`ddp27>gcl{oxRjjcnffv(7?9890mij}erq\``ehllx&=5<>3:cg`wct{Vnnobjjr/hk`417:cg`wct{Vnnobjjr/hk`(7?8:1j`ao4bdjbrliuieo0nhfnvhmqei+69;o0nhfnvhmqei+6:9o0nhfnvhmqei+6:1o0nhfnvhmqei+6>1o0nhfnvhmqei+6??n0nhfnvhmqei+38m1iieoyinpbh(2?k2hndlxfosco)=b65`8mkek}mo&=:udgyoGeckAul48wlkwdl20}g9510ag>uwa??;>o#>10a8wuo1=98i!:m4sqk5154e%8<=b,64e>uwa??;>o#8b:qsm337:k'3;h5|te]okbodW8;=8<<4suf\hjankVediaee58qvc*993<7x}j-0333>stm$;:;:5zsd/25=1<}zo&=?;8;tqf)441?2xi ?9569vw`+6>?=0y~k"1614?pub%8=?:6{|e,142>stm$93:6{|e,662>stm$>=96{|e,76?pub%?<0y~k"8448qvc*0?wKL};l;AB{210;47>4<6;:k;;?4=a863k5b281e?k4=;%1g>6e:010e5152;k28<5\1c850?7=9:9j<:<52`;76>Ua2?>1=7?<3`246?4flk<0_454i9=96?okf`9Pb?03280:?>o?7381e`0f3Z;i6;:51;307d6di38h>8o4Sg850?7=9:9jU6j332c3gg<69o8<7^h56582>454i9ii6<=n0e`95addm2Ym6;:51;307d6cj3;nm=;4S0`921<62898m<<>:3c17g=Tn332c264<5i;>:7^?m:7695?74;h;n57<;b438Wc<1<3;1=>=n1d;961c212Y:n78;:08276g6mh0:;=9i;Rd921<62898m=0:6<=7b7mh1Xj78;:08276e1i>09h87:;R3a>32=93;8?n9?a;060d4<[o0=87?5121`35g=:<>i86]>b;47>4<6;:i<=0:6<=73c181X=o494;39565d?9k1>::i2:Qe>32=93;8?n9?a;0424c<[8h1:94>:010g2b62;k;=l5\f;47>4<6;:ih183h=7B=6:19L53<73L9h7>51;394~U68332a4`4<5i9;j7c?l:09a6`<7290>6=ua6282?kc=92E8;7>4$0d94>"6=38?7)?8:19'67<73-8:6l5@1583?J7?291D:?4>{|`6f?6=83:1vs@1d83?xd013:1<7>50zl57?463go1==>4O2594>"6l3?=7)4}zG831=vsrb6c94?6=83:pb;=5239ma?7782E8;7>4$0f913=#:90<<6*=3;6;?J32291D:?4>{|M2=?7|uth=m7>50;294~h1;39;7ck52:M03?6<,891:85@d;28K34=9rwD=44>{|a0`<7290:6=ua62866>hb2=1D?:4?;%a90<=#;10;7)?<:778K47=82E:>7>4O4694>I1:3;pqB8>:0y~f17=83:1<7>tn719=1=im320C>950:&2e?273-9j69<4O4694>I2;3:0C;<51zL24<6stwvqpxI4783>4<629qX==494;39565d?m;1>l>>b:l2g?752;2xj35=92dn6<5@3683?!7a291/=84;5:&23?6<,;81<6*=1;`8K42=82E:47>4O7095~{e<:0;6=4?:1ym26<63go1>6A<7;28 45=<;1Dh7>4O7095~{zj=>1<7>50;2xj35=92dn695@3683?!e=<;1/?54?;%30>142;28K02=82E=>7?t}N42>4}zutwvqMNL{4a922>fkoimqMNM{1CDU}H:00996A=c;0f?J4d2:90C>?5249L77<5i2E8:7<:;N14>7g85@3b81e>I3:38>7B:<:2f8K1>=:<1D84496sO@ \ No newline at end of file diff --git a/spi65.npl b/spi65.npl index 8001aeb..2b350a0 100644 --- a/spi65.npl +++ b/spi65.npl @@ -19,6 +19,7 @@ SIMULATORTIME 0 DEVGENERATEDSIMULATIONMODEL VHDL GENERATEDSIMULATIONMODELTIME 0 SOURCE SPI6502B1.1.vhd +SOURCE address_decoder.sch DEPASSOC spi6502b SPI6502B.ucf [STRATEGY-LIST] Normal=True diff --git a/spi6502b.bld b/spi6502b.bld index 0c7736a..68992fb 100644 --- a/spi6502b.bld +++ b/spi6502b.bld @@ -16,7 +16,7 @@ NGDBUILD Design Results Summary: Number of errors: 0 Number of warnings: 0 -Total memory usage is 59352 kilobytes +Total memory usage is 58712 kilobytes Writing NGD file "spi6502b.ngd" ... diff --git a/spi6502b.gyd b/spi6502b.gyd index 731f132..bccfda3 100644 --- a/spi6502b.gyd +++ b/spi6502b.gyd @@ -2,16 +2,23 @@ Pin Freeze File: version G.38 9572XL44PC XC9572XL-10-PC44 Ncs2 S:PIN18 +a10 S:PIN38 +a8 S:PIN36 +a9 S:PIN37 cpu_Nphi2 S:PIN5 cpu_Nres S:PIN19 cpu_a<0> S:PIN22 cpu_a<1> S:PIN24 cpu_rnw S:PIN7 -cs1 S:PIN20 extclk S:PIN6 +nio_sel S:PIN40 +nio_stb S:PIN43 spi_int S:PIN42 spi_miso S:PIN44 -cpu_Nirq S:PIN14 +b10 S:PIN27 +b8 S:PIN25 +b9 S:PIN26 +cpu_Nirq S:PIN39 cpu_d<0> S:PIN2 cpu_d<1> S:PIN3 cpu_d<2> S:PIN4 @@ -23,31 +30,32 @@ cpu_d<7> S:PIN13 spi_mosi S:PIN35 spi_sclk S:PIN34 led S:PIN29 +ng S:PIN20 +noe S:PIN14 spi_Nsel S:PIN28 ;The remaining section of the .gyd file is for documentation purposes only. ;It shows where your internal equations were placed in the last successful fit. -PARTITION FB1_2 spidataout<2> spidataout<1> spidataout<0> int_dout<0> - int_dout<1> tmo int_dout<2> slaveinten - frx ece divisor<2> divisor<1> - divisor<0> int_dout<3> cpol int_dout<4> - cpha -PARTITION FB2_1 EXP6_ int_mosi shifting2 shiftdone - shiftcnt<0> $OpTx$INV$22__$INT spidatain<7> spidatain<6> - spidatain<5> spidatain<4> spidatain<3> spidatain<2> - spidatain<1> spidatain<0> shiftcnt<3> shiftcnt<2> - shiftcnt<1> start_shifting/start_shifting_RSTF__$INT +PARTITION FB1_1 spidataout<3> spidataout<2> spidataout<1> spidataout<0> + int_dout<0> int_dout<1> tmo int_dout<2> + slaveinten frx ece divisor<2> + divisor<1> divisor<0> int_dout<3> cpol + int_dout<4> cpha +PARTITION FB2_1 EXP6_ int_mosi shifting2 tc + shiftcnt<0> $OpTx$INV$24__$INT spidatain<7> spidatain<6> + cpu_Nirq_OBUFE spidatain<5> spidatain<4> spidatain<3> + spidatain<2> +PARTITION FB2_18 start_shifting/start_shifting_RSTF__$INT PARTITION FB3_2 int_dout<5> PARTITION FB3_5 int_dout<6> -PARTITION FB3_8 int_dout<7> cpu_Nirq_OBUFE - -PARTITION FB4_1 cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST tc start_shifting spidataout<7> - spidataout<6> spidataout<5> spidataout<4> spidataout<3> - ier -PARTITION FB4_11 slavesel -PARTITION FB4_14 led_OBUF -PARTITION FB4_16 EXP7_ int_sclk - +PARTITION FB3_8 int_dout<7> noe_OBUF +PARTITION FB3_15 ng_OBUF +PARTITION FB3_17 add_dec/XLXN_11 cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST +PARTITION FB4_1 shiftdone b8_OBUF start_shifting spidataout<7> + b9_OBUF spidataout<6> spidataout<5> b10_OBUF + spidataout<4> spidatain<1> slavesel spidatain<0> + shiftcnt<3> led_OBUF shiftcnt<2> shiftcnt<1> + int_sclk ier diff --git a/spi6502b.jed b/spi6502b.jed index eb99912..8252845 100644 --- a/spi6502b.jed +++ b/spi6502b.jed @@ -1,5 +1,5 @@ Programmer Jedec Bit Map -Date Extracted: Sat May 06 17:48:01 2017 +Date Extracted: Thu May 11 02:09:28 2017 QF46656* QP44* @@ -42,39 +42,39 @@ N PPMAP 21 6* N PPMAP 24 7* N PPMAP 26 8* N PPMAP 27 9* -L0000000 00000000 00000000 00000000 00000000* -L0000032 00000000 00000000 00000000 00000000* -L0000064 00000000 00000000 00000000 00000000* -L0000096 01111100 00000000 00000000 01100000* -L0000128 00000000 00000000 00000000 00000000* +L0000000 00000000 10000000 00000000 00000000* +L0000032 10000000 10000000 00000000 00000000* +L0000064 00000000 10000000 00000000 00000000* +L0000096 00000000 10000000 00000000 00000000* +L0000128 00000000 10000000 00000000 00000100* L0000160 00000000 00000000 00000000 00000000* -L0000192 00000000 00000000 00000000 00000000* -L0000224 00000000 00000000 00000000 00010000* -L0000256 10011000 00000000 00000000 01100000* -L0000288 000000 000000 000000 000000* -L0000312 000000 000000 000000 000000* -L0000336 000000 000000 000000 100000* -L0000360 000000 000000 000000 000000* -L0000384 101101 000000 000000 011000* +L0000192 00000000 00000000 00000000 00000100* +L0000224 00000000 10000000 00000000 00000000* +L0000256 00000000 00000000 00000000 00000000* +L0000288 000000 100000 000000 000000* +L0000312 000000 000001 000000 000000* +L0000336 000000 100000 000000 000000* +L0000360 000000 100000 000000 000000* +L0000384 000000 000000 000000 000000* L0000408 000000 000000 000000 000000* L0000432 00000000 00000000 00000000 00000000* L0000464 00000000 00000000 00000000 00000000* -L0000496 00000000 00000000 00000000 00000000* -L0000528 00000000 00000000 00000000 00000000* +L0000496 10000000 00000000 00000000 00000000* +L0000528 00000000 01000000 00000000 00000000* L0000560 00000000 00000000 00000000 00000000* -L0000592 01100100 00000000 11100000 00000000* -L0000624 01100100 00000000 11100000 00000000* -L0000656 01100100 00000000 11100000 00000000* -L0000688 01100100 00000000 10000000 00000000* -L0000720 010001 000000 000000 000000* -L0000744 010010 000000 000000 000000* -L0000768 010010 000000 000000 000000* -L0000792 010010 000000 000000 000000* -L0000816 010000 000000 000000 000000* +L0000592 00000000 00000000 11100000 00000000* +L0000624 00000000 00000000 11100000 00000000* +L0000656 00000000 00000000 11100000 00000000* +L0000688 00000000 00000000 10000000 00000000* +L0000720 000000 000000 000000 000000* +L0000744 000000 000000 000000 000000* +L0000768 000000 000000 000000 000000* +L0000792 000000 000000 000000 000000* +L0000816 000000 000000 000000 000000* L0000840 000000 000000 000000 000000* L0000864 00000000 00000000 00000000 00000000* -L0000896 00000000 10000000 00000000 00000000* -L0000928 00000000 00000000 00000000 00000000* +L0000896 00000000 00000000 00000000 00000000* +L0000928 00000010 00000000 00000000 00000000* L0000960 00000000 00000000 00000000 00000000* L0000992 00000000 00000000 00000000 00000000* L0001024 00000000 00000000 00000000 00000000* @@ -87,12 +87,12 @@ L0001200 000000 000000 000000 000000* L0001224 000000 000000 000000 000000* L0001248 000000 000000 000000 000000* L0001272 000000 000000 000000 000000* -L0001296 00000000 00000000 00000000 10000000* +L0001296 00000000 00000000 00000000 00000000* L0001328 00000000 00000000 00000000 00000000* L0001360 00000000 00000000 00000000 00000000* L0001392 00000000 00000000 00000000 00000000* L0001424 00000000 00000000 00000000 00000000* -L0001456 00000000 00000000 00100000 00000000* +L0001456 00000000 00000000 00000000 00000000* L0001488 00000000 00000000 00000000 00000000* L0001520 10000000 00000000 00000000 00000000* L0001552 00000000 00000000 00000000 00000000* @@ -103,7 +103,7 @@ L0001656 000000 000000 000000 000000* L0001680 000000 000000 000000 000000* L0001704 000000 000000 000000 000000* L0001728 00000000 00000000 00000000 00000000* -L0001760 00000000 00000000 00000000 00000000* +L0001760 00000000 00000000 00000000 00001000* L0001792 00000000 00000000 00000000 00000000* L0001824 00000000 00000000 00000000 00000000* L0001856 00000000 00000000 00000000 00000000* @@ -113,28 +113,28 @@ L0001952 00000000 00000000 00000000 00000000* L0001984 00000000 00000000 00000000 00000000* L0002016 000000 000000 000000 000000* L0002040 000000 010000 000000 000000* -L0002064 000000 000000 000000 100000* +L0002064 100000 000000 000000 000010* L0002088 000000 000000 000000 000000* -L0002112 000000 000000 000000 100000* +L0002112 000000 000000 000000 000000* L0002136 000000 000000 000000 000000* L0002160 00000000 00000000 00000000 00000000* -L0002192 00000000 00000000 00000000 00000000* +L0002192 00000000 00000000 00000000 00000100* L0002224 00000000 00000000 00000000 00000000* -L0002256 00000000 00000000 00000000 00000000* -L0002288 00000000 00000000 00000000 00000000* -L0002320 00000000 00000000 00000000 00000000* -L0002352 00000000 00000000 00000000 00000000* -L0002384 00000000 00000000 10000000 00000000* +L0002256 00000000 00000000 00000000 00011100* +L0002288 00000000 00000000 00000000 00000100* +L0002320 00000000 00000000 10000000 00000000* +L0002352 00000000 00000000 00000000 00000100* +L0002384 00000000 00000000 00000000 00000000* L0002416 00000000 00000000 00000000 00000000* L0002448 000000 000000 000000 000000* L0002472 000000 000000 000000 000000* L0002496 000000 100000 000000 000000* -L0002520 000000 000000 000000 000000* -L0002544 000000 000000 000000 000000* +L0002520 100000 000000 000000 000000* +L0002544 000000 000000 000000 000110* L0002568 000000 000000 000000 000000* L0002592 00000010 00000000 00000000 00000000* -L0002624 01000011 00000000 00000000 01000000* -L0002656 00000000 00000000 00000000 00000000* +L0002624 00000011 00000000 00000000 01000000* +L0002656 01000000 00000000 00000000 00000000* L0002688 00000011 00000000 00000000 00000000* L0002720 00000010 10000000 00000000 00000000* L0002752 00000011 00000000 00000000 00000000* @@ -148,119 +148,119 @@ L0002952 000000 000000 000000 000000* L0002976 000000 000000 000000 000000* L0003000 000000 000000 000000 000000* L0003024 00000010 00000000 00000000 00000000* -L0003056 00000001 00000000 00000000 00000000* -L0003088 01000001 00000000 00000000 01000000* +L0003056 01000001 00000000 00000000 00000000* +L0003088 00000001 00000000 00000000 01000000* L0003120 00000010 00000000 00000000 00000000* L0003152 00000000 00000000 00000000 00000000* -L0003184 00000011 00000000 00000000 00000000* +L0003184 00000011 00000000 00100000 00000000* L0003216 00000010 00000000 00000000 00000000* L0003248 00000001 00000000 00000000 00000000* L0003280 00000000 00000000 00000000 00000000* L0003312 000000 000000 000000 000000* -L0003336 000000 000000 000000 000000* +L0003336 000000 000000 000001 000000* L0003360 000000 000000 000000 000000* L0003384 000000 000000 000000 000000* L0003408 000000 000000 000000 000000* L0003432 000000 000000 000000 000000* L0003456 00000000 00000000 00000000 00000000* -L0003488 00000000 00000000 00000000 00000000* -L0003520 00000000 00000000 00000000 00000000* +L0003488 00100000 00000000 00000000 00000100* +L0003520 10000000 00000000 00000000 00000000* L0003552 00000000 00000000 00000000 00000000* -L0003584 00000000 00000000 00000000 00000000* +L0003584 00000000 00000000 00000000 00000100* L0003616 00000000 00000000 00000000 00000000* -L0003648 00000000 00000000 00000000 01000000* +L0003648 00000000 00000000 00000000 00000000* L0003680 00000000 00000000 00000000 00000000* L0003712 00000000 00000000 00000000 00000000* L0003744 000000 000000 000000 000000* L0003768 000000 000000 000000 000000* L0003792 000000 000000 000000 000000* -L0003816 000000 100000 000000 000000* +L0003816 000000 000000 000000 000000* L0003840 000000 000000 000000 000000* L0003864 000000 000000 000000 000000* L0003888 00000000 00000000 00000000 00000000* -L0003920 00000000 00000000 00000000 00000000* +L0003920 10000000 00000000 00000000 10000000* L0003952 00000000 00000000 00000000 00000000* -L0003984 00000000 00000000 00000000 00000000* -L0004016 00000000 00000000 00000000 00000000* +L0003984 00000000 00000000 00000000 00011100* +L0004016 00100000 00000000 00000000 00000000* L0004048 00000000 00000000 00000000 00000000* -L0004080 00000000 00000000 00000000 00000000* -L0004112 00000000 00000000 00000000 01000000* +L0004080 00000000 00000000 00000000 00000100* +L0004112 00000000 00000000 00000000 00000000* L0004144 00000000 00000000 00000000 00000000* L0004176 000000 000000 000000 000000* L0004200 000000 000000 000000 000000* L0004224 000000 000000 000000 000000* L0004248 000000 000000 000000 000000* -L0004272 000000 000000 000000 000000* +L0004272 000000 000000 000000 000110* L0004296 000000 000000 000000 000000* -L0004320 01111100 00000000 00000000 01100000* +L0004320 00000000 00000000 00000000 00000000* L0004352 00000000 00000000 00000000 00000000* L0004384 00000000 00000000 00000000 00000000* -L0004416 00000000 00000000 00000000 00000000* +L0004416 00000000 10000000 00000000 00000000* L0004448 00000000 00000000 00000000 00000000* -L0004480 11111100 00000000 11100000 11110000* -L0004512 01100100 00000000 11100000 00000000* -L0004544 01100100 00000000 11100000 00000000* -L0004576 01100100 00000000 10000000 00000000* -L0004608 010001 100000 000000 000000* -L0004632 111111 000000 000000 111000* -L0004656 010010 000000 000000 000000* -L0004680 010010 000000 000000 000000* -L0004704 010000 000000 000000 000000* +L0004480 00000000 00000000 11100000 00000000* +L0004512 00000000 00000000 11100000 00000000* +L0004544 00000000 00000000 11100000 00000000* +L0004576 00000000 00000000 10000000 00000000* +L0004608 000000 000000 000000 000000* +L0004632 000000 000000 000000 000000* +L0004656 000000 000000 000000 000000* +L0004680 000000 000000 000000 000000* +L0004704 000000 000000 000000 000000* L0004728 000000 000000 000000 000000* -L0004752 00000000 00000000 00000000 00000000* +L0004752 00000000 00000000 00000000 01100000* L0004784 00000000 00000000 00000000 00000000* L0004816 00000000 00000000 00000000 00000000* L0004848 00000000 00000000 00000000 00000000* L0004880 00000000 00000000 00000000 00000000* -L0004912 00000000 00000000 00000000 00000000* +L0004912 00000000 00000000 00000000 00010000* L0004944 00000000 00000000 00000000 00000000* L0004976 00000000 00000000 00000000 00000000* L0005008 00000000 00000000 00000000 00000000* L0005040 000000 000000 000000 000000* -L0005064 000000 000000 000000 000000* +L0005064 000000 000000 000010 111001* L0005088 000000 000000 000000 000000* L0005112 000000 000000 000000 000000* L0005136 000000 000000 000000 000000* L0005160 000000 000000 000000 000000* -L0005184 00000001 00000001 00000000 00000010* +L0005184 00000011 00000001 00000000 00000011* L0005216 00000011 00000011 00000010 00100011* -L0005248 00000011 00000011 00000000 00000010* -L0005280 00000011 00000011 00000000 00000010* -L0005312 00100011 00000011 00000010 00000011* -L0005344 00000011 00000011 00000000 00000010* -L0005376 00000011 10000011 00000000 00000010* -L0005408 00000011 00000011 00000010 00000011* -L0005440 00000011 00000011 00000000 00000010* +L0005248 00000011 00000011 00000000 00000011* +L0005280 00000011 00000011 00000000 00000011* +L0005312 00100011 00000010 00000010 00000011* +L0005344 00000011 00000010 00000001 00000011* +L0005376 00000011 00000010 00000000 00000011* +L0005408 00000011 00000010 00000011 00000011* +L0005440 00000011 00000001 00000011 00000011* L0005472 000000 000000 000000 000000* L0005496 000000 000000 000000 000000* L0005520 000000 000000 000000 000000* L0005544 000000 000000 000000 000000* L0005568 000000 000000 000000 000000* L0005592 000000 000000 000000 000000* -L0005616 00000001 00000011 00000000 00000000* -L0005648 00100011 00000011 00000000 00000011* -L0005680 00000011 00000011 00000000 00100010* -L0005712 00000011 00000011 00000000 00000010* -L0005744 00000001 00000011 00000000 00000010* -L0005776 00000000 00000001 00000000 00000010* -L0005808 00000011 00000011 00000000 00000011* -L0005840 00000000 00000011 00100000 00000011* -L0005872 00000011 00000010 00000000 00000010* +L0005616 00000011 00000011 00000000 00000011* +L0005648 00100011 00000011 00000000 00000001* +L0005680 00000011 00000011 00000000 00100011* +L0005712 00000011 00000011 00000000 00000011* +L0005744 00000001 00000010 00000000 00000000* +L0005776 00000000 00000000 00000000 00000011* +L0005808 00000011 00000010 00000000 00000011* +L0005840 00000000 00000010 00100000 00000001* +L0005872 00000011 00000000 00000000 00000011* L0005904 000000 000000 000000 000000* L0005928 000010 000000 000000 000000* L0005952 000000 000000 000000 000000* L0005976 000000 000000 000000 000000* L0006000 000000 000000 000000 000000* L0006024 000000 000000 000000 000000* -L0006048 00000001 00000001 00000000 00000010* +L0006048 00000011 00000001 00000000 00000011* L0006080 00000011 00000011 00000010 00000001* -L0006112 00000011 10000011 00000000 00000010* -L0006144 00000011 00000011 00000000 00000010* -L0006176 00000011 00000011 00000010 00000010* -L0006208 00000011 00000011 00000000 00000010* -L0006240 00000011 00000011 00000000 00100010* -L0006272 00000011 00000011 00000010 00000011* -L0006304 00000011 00000010 00000010 00000010* +L0006112 00000011 00000011 00000000 00000011* +L0006144 00000011 00000001 00000000 00000011* +L0006176 00000011 00000010 00000010 00000000* +L0006208 00000011 00000010 00000000 00000011* +L0006240 00000011 00000010 00000000 00000011* +L0006272 00000011 00000010 00000010 00000001* +L0006304 00000011 00000010 00000011 00000011* L0006336 000000 000000 000000 000000* L0006360 000000 000000 000000 000000* L0006384 000000 000000 000000 000000* @@ -268,79 +268,79 @@ L0006408 000000 000000 000000 000000* L0006432 000000 000000 000000 000000* L0006456 000000 000000 000000 000000* L0006480 00000000 00000010 00000000 00000000* -L0006512 00000000 00000010 00000010 00000000* +L0006512 00000000 00100010 00000010 00000000* L0006544 00000000 00000000 00000000 00000000* L0006576 00000000 00000000 00000000 00000000* L0006608 00000010 00000000 00000010 00000000* L0006640 00000011 00000000 00000000 00000000* L0006672 00000000 00000000 00000000 00000000* -L0006704 00000011 00000000 01000010 00100000* -L0006736 00000000 00000000 00000010 00000000* +L0006704 00000011 00000000 00000010 00000000* +L0006736 00000000 00000010 00000000 00000000* L0006768 000000 000000 000000 000000* L0006792 000000 000000 000000 000000* L0006816 000000 000000 000000 000000* L0006840 000000 000000 000000 000000* L0006864 000000 000000 000000 000000* L0006888 000000 000000 000000 000000* -L0006912 00000001 00000001 00000000 00000000* -L0006944 00000011 00000011 00000010 10000011* -L0006976 00000011 00000001 00000000 00000010* -L0007008 00000011 00000011 00000000 00000010* -L0007040 00000011 00000011 00000010 00000010* -L0007072 00000011 00000011 00000000 00000010* -L0007104 00000011 00000011 00000000 00000010* -L0007136 00000011 00000011 00000010 00000011* -L0007168 00000111 00000010 00000000 00000010* +L0006912 00000011 00000001 00000000 00000011* +L0006944 00000011 00000011 00000010 00000001* +L0006976 00000011 00000001 00000000 00000011* +L0007008 00000011 00000011 00000000 00000011* +L0007040 00000011 00000010 00000010 00000000* +L0007072 00000011 00000010 00000000 00000011* +L0007104 00000011 00000010 00000000 00000011* +L0007136 00000011 00000010 00000010 00000001* +L0007168 00000011 00000000 00000000 00000011* L0007200 000000 000000 000000 000000* L0007224 000000 000000 000000 000000* -L0007248 000000 000000 000000 000000* -L0007272 000000 000000 000000 000000* +L0007248 001000 000000 000000 000000* +L0007272 000000 000000 000000 001000* L0007296 000000 000000 000000 000000* L0007320 000000 000000 000000 000000* -L0007344 00000001 00000011 00000000 00000000* -L0007376 00000001 00000001 00000000 00000011* -L0007408 00000001 00000011 00000000 00000010* -L0007440 00000001 00000011 00000000 00000000* -L0007472 00000001 00000011 00000000 00000000* -L0007504 00000000 00000001 00000000 00000000* -L0007536 00000011 00000011 00000000 00000000* -L0007568 00000000 00000011 00000000 00000001* -L0007600 00000011 00000010 00000000 00000010* +L0007344 00000001 00000011 00000000 00000011* +L0007376 00000001 00000001 00000000 00000001* +L0007408 00000001 00000011 00000000 00000011* +L0007440 00000001 00000011 00000000 00000001* +L0007472 00000001 00000010 00000000 00000000* +L0007504 00000100 00000000 00000100 00000001* +L0007536 00000011 00000010 00000000 00000001* +L0007568 00000000 00000010 00000000 00000001* +L0007600 00000011 00000000 00000000 00000001* L0007632 000000 000000 000000 000000* L0007656 000000 000000 000000 000000* -L0007680 000000 000000 000000 000000* +L0007680 000000 000000 000000 001000* L0007704 000000 000000 000000 000000* L0007728 000000 000000 000000 000000* -L0007752 000000 000000 000000 000000* -L0007776 00000001 00000001 00000000 00000000* -L0007808 00000011 00000011 00000010 00000011* -L0007840 00000011 00000001 00000000 00000010* -L0007872 00000011 00000001 00000000 00000010* -L0007904 00000011 00000001 00000000 00000010* -L0007936 00000010 00000001 00000000 00000010* -L0007968 00000011 00000011 00000000 00000010* -L0008000 00000011 00000011 00000000 00000011* -L0008032 00000011 00000010 00000000 00000010* +L0007752 001000 000000 000000 000000* +L0007776 00000011 00000001 00000000 00000001* +L0007808 00000011 00000011 00000010 00000001* +L0007840 00000011 00000001 00000000 00000011* +L0007872 00000011 00000011 00000000 00000011* +L0007904 00000011 00000000 00000000 00000000* +L0007936 00000010 00000000 00000000 00000011* +L0007968 00000011 00000010 00000000 00000011* +L0008000 00000011 00000010 00000000 00000001* +L0008032 00000011 00000000 00000000 00000011* L0008064 000000 000000 000000 000000* L0008088 000000 000000 000000 000000* L0008112 000000 000000 000000 000000* L0008136 000000 000000 000000 000000* L0008160 000000 000000 000000 000000* L0008184 000000 000000 000000 000000* -L0008208 00000001 00000011 00000000 00000000* -L0008240 00000011 00000011 00000000 00000011* -L0008272 00000011 00000001 00000000 00000000* -L0008304 00000011 00000001 00000000 00000010* -L0008336 00000001 00000001 00000000 00000010* +L0008208 00000011 00000011 00000000 00000001* +L0008240 00000011 00000011 00000000 00000001* +L0008272 00000011 00000001 00000000 00000001* +L0008304 00000011 00000011 00000000 00000010* +L0008336 00000001 00000000 00000000 00000000* L0008368 00000000 00000000 00000000 00000010* -L0008400 00000011 00000010 00000000 00000010* -L0008432 00000000 00000010 00000000 00000011* -L0008464 00000011 00000010 00000000 00000010* -L0008496 000001 000000 000000 000000* +L0008400 00000011 00100010 00000000 00000010* +L0008432 00000000 00000010 00000000 00000001* +L0008464 00000011 00000000 00000000 00000011* +L0008496 000000 000000 000000 000000* L0008520 000000 000000 000000 000000* -L0008544 000000 001000 000000 000000* +L0008544 000000 000000 000000 000000* L0008568 000000 000000 000000 000000* -L0008592 000000 000000 000000 100000* +L0008592 010000 000000 000000 100000* L0008616 000000 000000 000000 000000* L0008640 00000001 00000000 00000000 00000000* L0008672 00000001 00000010 00000000 00000001* @@ -348,25 +348,25 @@ L0008704 00000001 00000000 00000000 00000000* L0008736 00000001 00000000 00000000 00000000* L0008768 00000011 00000000 00000000 00000000* L0008800 00000000 00000000 00000000 00000000* -L0008832 00000011 00001000 00000000 00010000* +L0008832 00010011 00000000 00000000 00010000* L0008864 00000001 00000000 00000000 00000001* -L0008896 00000011 00000000 00000000 00000010* +L0008896 00000011 00000000 00000000 00000001* L0008928 000000 000000 000000 000000* L0008952 000000 000000 000000 000000* -L0008976 000000 000000 000000 000000* +L0008976 000000 000000 000000 000100* L0009000 000000 000000 000000 000000* L0009024 000000 000000 000000 000000* L0009048 000000 000000 000000 000000* L0009072 00000000 00000010 00000000 00000000* -L0009104 00000000 00000000 00000000 00000000* +L0009104 00000000 00010000 00000000 00000000* L0009136 00000000 00000000 00000000 00000000* L0009168 00000000 00000000 00000000 00000000* L0009200 00000000 00000000 00000000 00000000* -L0009232 01000000 00000000 00000000 00001000* -L0009264 00000000 00000000 00000000 00000000* +L0009232 00100000 00000000 00000100 00001000* +L0009264 00000000 00000000 00000000 00000001* L0009296 00000000 00000000 00000000 00000001* L0009328 00000000 00000000 00000000 00000000* -L0009360 000000 000000 000000 000100* +L0009360 000100 000000 000000 000100* L0009384 000000 000000 000000 000000* L0009408 000000 000000 000000 000000* L0009432 000000 000000 000000 000000* @@ -379,31 +379,31 @@ L0009600 00000000 00000000 00000000 00000000* L0009632 00000000 00000000 00000000 00000000* L0009664 00000000 00000000 00000000 00000000* L0009696 00000000 00000000 00000000 00000000* -L0009728 00000000 00000000 00000000 00000000* -L0009760 00000000 00000000 00000000 00000000* +L0009728 00000000 00000000 00000001 00000000* +L0009760 00000000 00000000 00000010 00000000* L0009792 000000 000000 000000 000000* L0009816 000000 000000 000000 000000* -L0009840 000000 000000 000000 000000* +L0009840 000100 000000 000000 000000* L0009864 000000 000000 000000 000000* L0009888 000000 000000 000000 000000* L0009912 000000 000000 000000 000000* L0009936 00000000 00000000 00000000 00000000* -L0009968 00000000 00000010 00000000 00000000* +L0009968 00000000 00000010 00000000 00010000* L0010000 00000000 00000000 00000000 00000000* L0010032 00000000 00000000 00000000 00000000* L0010064 00000000 00000000 00000000 00000000* L0010096 00000000 00000000 00000000 00000000* L0010128 00000000 00010000 00000000 00000000* -L0010160 00000000 00000000 00000000 00000001* -L0010192 00100000 00000000 00000000 00000000* +L0010160 00100000 00000000 00000000 00000001* +L0010192 00000000 00000000 00000000 00000000* L0010224 000000 000000 000000 000000* L0010248 000000 000000 000000 000000* L0010272 000000 000000 000000 000000* L0010296 000000 000000 000000 000000* L0010320 000000 000000 000000 000000* -L0010344 000000 000000 000000 000000* +L0010344 000100 000000 000000 000000* L0010368 00000000 00000000 00000000 00000000* -L0010400 00000000 00000010 00000000 00000000* +L0010400 00001000 00000010 00000000 00000000* L0010432 00000000 00000000 00000000 00000000* L0010464 00000000 00000000 00000000 00000000* L0010496 00000000 00000000 00000000 00000000* @@ -418,194 +418,194 @@ L0010728 000000 000000 000000 000000* L0010752 000000 000000 000000 000000* L0010776 000000 000000 000000 000000* L0010800 00000000 00000000 00000000 00000000* -L0010832 00000000 00000000 00000000 00000000* +L0010832 00000000 00000000 00000000 10001000* L0010864 00000000 00000010 00000000 00000000* L0010896 00000000 00000000 00000000 00000000* -L0010928 00000000 00000000 00000000 00000000* -L0010960 00000000 00000000 00000000 00000000* +L0010928 00001000 00000000 00000000 00000000* +L0010960 00000000 00000000 00000100 00000000* L0010992 00000000 00000000 00000000 00000000* L0011024 00000000 00000000 00000000 00000000* L0011056 00000000 00000000 00000000 00000000* L0011088 000000 000000 000000 000000* L0011112 000000 000000 000000 000000* -L0011136 000000 000000 000000 000000* -L0011160 000000 000000 000000 000000* +L0011136 000000 000100 000000 000000* +L0011160 010000 000000 000000 000000* L0011184 000000 000000 000000 000000* L0011208 000000 000000 000000 000000* -L0011232 00000001 00000001 00000000 00000010* -L0011264 01001011 00000011 00000010 01100011* -L0011296 01000011 00000011 00000000 01100010* -L0011328 00000011 00000011 00000000 00000010* -L0011360 00001011 00000011 00000010 00000011* -L0011392 00000011 00000011 00000000 00000010* -L0011424 10001011 00000011 00000000 01100010* -L0011456 10100011 00000011 01100010 11100011* -L0011488 01100111 00000011 10000010 00000010* -L0011520 010011 000000 000000 000000* +L0011232 00000011 00000001 00000000 00000011* +L0011264 00000011 00000011 00000010 01100011* +L0011296 00000011 00000011 00000000 01100011* +L0011328 00000011 00000011 00000000 00000011* +L0011360 00000011 00000010 00000010 00000011* +L0011392 00000011 00000010 00000001 00000011* +L0011424 00001011 00000010 00000000 00000011* +L0011456 00000011 00000010 00000011 00000011* +L0011488 00000011 00000011 00000011 00000011* +L0011520 000000 000000 000000 000000* L0011544 000000 000000 000000 000000* -L0011568 100100 000000 000000 110000* -L0011592 110010 000000 000000 010000* -L0011616 010000 000000 000000 100000* -L0011640 000100 000000 000000 000000* +L0011568 000000 000000 000000 111000* +L0011592 000000 000000 000000 011000* +L0011616 000000 000000 000000 100000* +L0011640 000000 000000 000000 000000* L0011664 00000000 00000000 00000000 00000000* -L0011696 00110100 00001000 00000000 00000000* +L0011696 00000000 00000000 00000000 00000000* L0011728 00000000 00000000 00000000 00000000* L0011760 00000000 00000000 00000000 00000000* -L0011792 00110100 00000000 00000000 00000000* -L0011824 01100100 00000000 11100000 00000000* -L0011856 00010000 00000000 00000000 00010000* -L0011888 01000100 00000000 10000000 00000000* -L0011920 00000000 00000000 00000000 00000000* -L0011952 000100 000000 000000 000100* -L0011976 010010 000000 000000 000000* -L0012000 001001 000000 000000 001000* +L0011792 00000000 00000000 00000000 00000000* +L0011824 00000000 00000000 00000000 00000000* +L0011856 00000000 00000000 00000000 00010000* +L0011888 00000000 00000000 00000000 00000000* +L0011920 01000000 00000000 00000000 00000000* +L0011952 000010 000000 000000 000100* +L0011976 000000 000000 000000 000000* +L0012000 000000 000000 000000 000001* L0012024 000000 000000 000000 000000* L0012048 000000 000000 000000 000000* -L0012072 001001 000000 000000 001000* +L0012072 000000 000000 000000 000001* L0012096 00000000 00000000 00000000 00000000* L0012128 00000000 00000000 00000000 00000000* L0012160 00000000 00000000 00000000 00000000* -L0012192 00000000 10000000 00000000 00000000* -L0012224 00000000 10000000 00000000 00000000* +L0012192 00000000 00000000 00000000 00000000* +L0012224 00000000 00000000 00000000 00000000* L0012256 00000000 00000000 00000000 00000000* L0012288 00000000 00000000 00000000 00000000* L0012320 00000000 00000000 00000000 00000000* L0012352 00000000 00000000 00000000 00000000* -L0012384 000000 100000 000000 000000* +L0012384 000000 000000 000000 000000* L0012408 000000 000000 000000 000000* -L0012432 000000 000000 000000 000000* -L0012456 000000 100000 000000 000000* +L0012432 000000 010000 000000 000000* +L0012456 000000 000000 000000 000000* L0012480 000000 000000 000000 000000* L0012504 000000 000000 000000 000000* -L0012528 00000000 10000000 00000000 00000000* -L0012560 00000000 11000000 00000000 00000000* -L0012592 00000000 10000000 00000000 00000000* -L0012624 00000000 00000000 00000000 00000000* +L0012528 00000000 00000000 00000000 00000000* +L0012560 00000000 00000000 00000000 10000000* +L0012592 00000000 00000000 00000000 00000000* +L0012624 00000000 00000000 00000000 00001000* L0012656 00000000 00000000 00000000 00000000* L0012688 00000000 00000000 00000000 00000000* L0012720 00000000 00000000 00000000 00000000* -L0012752 00000000 10000000 00000000 00000000* +L0012752 00000000 00000000 00000000 00000000* L0012784 00000000 00000000 00000000 00000000* L0012816 000000 000000 000000 000000* L0012840 000000 000000 000000 000000* -L0012864 000000 000010 000000 000000* +L0012864 000000 000000 000000 000010* L0012888 000000 000000 000000 000000* L0012912 000000 000000 000000 000000* L0012936 000000 000000 000000 000000* L0012960 00000000 00000000 00000000 00000000* -L0012992 00000100 00000000 00000000 00000001* -L0013024 00000000 00000000 00000000 00000000* +L0012992 00000000 10000000 00000000 00000011* +L0013024 00000000 10000000 00000000 00000000* L0013056 00000000 00000000 00000000 00000000* -L0013088 00000000 00000000 00000000 00000001* -L0013120 00000000 00000000 00000000 00000000* +L0013088 00000000 10000000 00000000 00000011* +L0013120 00000000 00000000 00000001 00000000* L0013152 00000000 00000000 00000000 00000000* -L0013184 00000000 00000000 00000000 00000101* -L0013216 00000000 00000000 00000000 00000000* +L0013184 00000000 00000000 00000000 00000111* +L0013216 00000000 00000000 00000010 00000000* L0013248 000000 000000 000000 000000* L0013272 000000 000000 000000 000000* L0013296 000000 000000 000000 000000* -L0013320 000000 000000 000000 000000* +L0013320 000000 100000 000000 000000* L0013344 000000 000000 000000 000000* L0013368 000000 000000 000000 000000* -L0013392 00000000 00000000 00000000 00000000* +L0013392 00000000 10000000 00000000 00000000* L0013424 00000000 00000000 00000000 00000000* L0013456 00000000 00000000 00000000 00000000* -L0013488 00000000 00000000 00000000 00000000* -L0013520 00000100 00000000 00000000 00000000* +L0013488 00000000 10000000 00000000 00000000* +L0013520 00000000 00000000 00000000 00000000* L0013552 00000000 00000000 00000000 00000000* L0013584 00000000 00000000 00000000 00000000* -L0013616 00000000 00000000 00000000 00000000* +L0013616 00000000 10000000 00000000 00000000* L0013648 00000000 00000000 00000000 00000100* -L0013680 000000 000000 000000 000001* -L0013704 010000 000000 000000 000000* +L0013680 000000 100000 000000 000001* +L0013704 000000 000000 000000 000000* L0013728 000000 000000 000000 000000* L0013752 000000 000000 000000 000000* L0013776 000000 000000 000000 000000* L0013800 000000 000000 000000 000000* -L0013824 00000000 00000000 00000000 00000010* -L0013856 00000000 00000000 00000010 00000000* -L0013888 00000000 10000000 00000000 01000000* +L0013824 00000000 00000000 00000000 00000000* +L0013856 00000000 00000000 00000010 00000010* +L0013888 00000000 00000000 00000000 01000000* L0013920 00000000 00000000 00000000 00000000* -L0013952 00000010 10000000 00000010 00000001* -L0013984 00000011 00000010 00000000 00000000* +L0013952 00000010 00000000 00000010 00000011* +L0013984 00000011 00000010 00000001 00000000* L0014016 00000000 00000000 00000000 00000000* -L0014048 00000011 10000000 00000010 00000000* -L0014080 00000000 00000101 00000010 00000000* -L0014112 000000 100000 000000 000000* +L0014048 00000011 00000000 00000010 00000010* +L0014080 00000000 00000011 00000011 00000000* +L0014112 000000 000000 000000 000000* L0014136 000000 000000 000000 000000* L0014160 000000 000000 000000 000000* L0014184 000000 000000 000000 000000* L0014208 000000 000000 000000 000000* L0014232 000000 000000 000000 000000* -L0014256 00000001 10000001 00000000 00000000* -L0014288 00000011 11000011 00000000 01000011* -L0014320 00000011 00000011 00000000 00000010* -L0014352 00000011 10000111 00000000 00000010* -L0014384 00000001 00000011 00000000 00000010* -L0014416 00000000 00000001 00000000 00000010* -L0014448 00000011 00000111 00000000 00000010* -L0014480 00000000 00000011 00000000 00000011* -L0014512 00000011 00000010 00000000 00000010* +L0014256 00000011 00000001 00000000 00000011* +L0014288 00000011 00000011 00000000 01000001* +L0014320 00000011 00000011 00000000 00000011* +L0014352 00000011 00000011 00000000 00000011* +L0014384 00000001 00000010 00000000 00000000* +L0014416 00000000 00000000 00000000 00000011* +L0014448 00000011 00000010 00000000 00000011* +L0014480 00000000 00000010 00000000 00000001* +L0014512 00000011 00000000 00000000 00000011* L0014544 000000 000000 000000 000000* -L0014568 000000 000000 000000 000000* -L0014592 000000 000000 000000 000000* -L0014616 000000 100000 000000 000000* -L0014640 000000 000010 000000 000000* +L0014568 000000 000000 001000 000000* +L0014592 000000 000000 001000 000000* +L0014616 000000 000000 000000 000000* +L0014640 000000 000000 000000 000000* L0014664 000000 000000 000000 000000* -L0014688 00000001 00000001 00000000 00000000* -L0014720 00000011 00000011 00000000 00000011* -L0014752 00111111 01111111 00000000 00000010* -L0014784 00000011 00000011 00000000 00000010* -L0014816 00000001 00000011 00000000 00000010* -L0014848 00000000 00000001 00000000 00000010* -L0014880 00000011 00000011 00000000 00000010* -L0014912 00011000 01111111 00000000 00000111* -L0014944 00000011 10000010 00000000 00010110* +L0014688 00000011 00000001 00000000 00000011* +L0014720 00000011 00000011 00000000 00000001* +L0014752 00111111 00000011 00000000 00000011* +L0014784 00000011 00000011 00000000 00000011* +L0014816 00000001 00000010 00000000 00000000* +L0014848 00000000 00000000 00000000 00000011* +L0014880 00000011 00000010 00000000 00000111* +L0014912 00011000 00000010 00000000 00000001* +L0014944 00000011 00000000 00000000 00000011* L0014976 000000 000000 000000 000000* L0015000 000000 000000 000000 000000* L0015024 000000 000000 000000 000000* -L0015048 001101 001110 000000 001000* +L0015048 001101 000000 000000 000000* L0015072 000000 000000 000000 000000* L0015096 000000 000000 000000 000000* -L0015120 00000001 00000000 00000000 00000100* -L0015152 00000011 00000000 00000000 00000011* -L0015184 00000011 00000000 00000000 00000010* -L0015216 01000011 00000000 00000000 01100010* -L0015248 00000001 00000000 00000000 00000010* -L0015280 00000000 00000000 00000000 00000010* -L0015312 00000011 00000000 00000000 00000110* -L0015344 00000000 00000000 00000000 00000010* -L0015376 10000011 00000000 00000000 01100010* +L0015120 00000000 00000000 00000000 00000000* +L0015152 00000000 00000000 00000000 00000000* +L0015184 00000000 00000000 00000000 00000000* +L0015216 11000000 00000000 00000000 00000000* +L0015248 00000000 00000000 00000000 00000100* +L0015280 00000000 00000000 01000000 00000000* +L0015312 00000000 00000000 00000000 00000000* +L0015344 00000000 00000000 00000000 00000000* +L0015376 10000000 00000000 00000000 00000000* L0015408 000000 000000 000000 000000* -L0015432 000000 000001 000000 000000* -L0015456 000000 000000 000000 000000* +L0015432 000000 000000 000001 000000* +L0015456 000000 001000 000000 000000* L0015480 000000 000000 000000 000000* -L0015504 100000 000000 000000 010000* +L0015504 100000 000000 000000 000000* L0015528 000000 000000 000000 000000* -L0015552 00000000 10000000 00000000 00000100* -L0015584 00000000 10000000 00000000 00000011* -L0015616 00000000 10000000 00000000 00000000* -L0015648 00000000 10000000 00000000 00000000* -L0015680 00000000 10000000 00000000 00000000* -L0015712 00000000 00000000 00000000 00000000* -L0015744 00000000 00000000 00000000 00000100* -L0015776 00000000 10000000 00000000 00000000* -L0015808 00000000 00000000 00000000 00000000* -L0015840 000000 100000 000000 000000* -L0015864 000000 000001 000000 000000* -L0015888 000000 100000 000000 000000* -L0015912 000000 100000 000000 000000* +L0015552 00000000 00000000 00000000 00000000* +L0015584 00000000 00000000 00000000 00000001* +L0015616 00000000 01000000 00000000 00000000* +L0015648 00000000 00000010 00000000 00000000* +L0015680 00000000 00000000 00000000 00000000* +L0015712 00000000 00000000 11100000 00000000* +L0015744 00000000 00000000 00000000 00000000* +L0015776 00000000 00000000 11100000 00000000* +L0015808 00000000 00000000 10000000 00000000* +L0015840 000000 000000 000000 000000* +L0015864 000000 000000 000000 000000* +L0015888 000000 000000 000000 000000* +L0015912 000000 000000 000000 000000* L0015936 000000 000000 000000 000000* L0015960 000000 000000 000000 000000* -L0015984 00000001 00000001 00000000 00000000* +L0015984 00000011 00000001 00000000 00000001* L0016016 00000011 00000001 00000000 00000000* -L0016048 00000011 00000001 00000000 00000000* +L0016048 00000011 00000001 00000000 00000001* L0016080 00000011 00000001 00000000 00000010* -L0016112 00000001 00000001 00000000 00000010* +L0016112 00000001 00000000 00000000 00000000* L0016144 00000000 00000000 00000000 00000010* L0016176 00000011 00000010 00000000 00000010* -L0016208 00000000 00000010 00000000 00000010* -L0016240 00000011 00000010 00000000 10000010* +L0016208 00000000 00000010 00000000 00000000* +L0016240 00000011 00000000 00000000 00000011* L0016272 000000 000000 000000 000000* L0016296 000000 000000 000000 000000* L0016320 000000 000000 000000 000000* @@ -619,58 +619,58 @@ L0016512 00000000 00000000 00000000 00000000* L0016544 00000000 00000000 00000000 00000000* L0016576 00000000 00000000 00000000 00000000* L0016608 00000000 00000000 00000000 00000000* -L0016640 10000000 00000000 00000000 00000000* +L0016640 00000000 00000000 00000000 00000000* L0016672 00000000 00000000 00000000 00000000* -L0016704 000100 000000 000000 000000* +L0016704 000000 000000 000000 000000* L0016728 000000 000000 000000 000000* L0016752 000000 000000 000000 000000* L0016776 000000 000000 000000 000000* L0016800 000000 000000 000000 000000* -L0016824 000100 000000 000000 000000* -L0016848 00000001 00000000 00000000 00000000* +L0016824 000000 000000 000000 000000* +L0016848 00000011 00000000 00000000 00000000* L0016880 00000011 00000000 00000000 00000001* L0016912 00000011 00000000 00000000 00000010* -L0016944 00000011 00000000 00000000 00000010* -L0016976 00000001 00000000 00000000 00000010* -L0017008 00000000 00000001 00000000 00000010* -L0017040 10010011 00001001 00000000 00000010* -L0017072 00000000 00000000 00000000 00000010* -L0017104 00000011 00000000 00000000 00000010* +L0016944 00000011 00000000 00000000 00000011* +L0016976 00000001 00000000 00000000 00000000* +L0017008 00000000 00000000 00000000 00000011* +L0017040 00000011 00000000 00000000 00000010* +L0017072 00000000 00000000 00000000 00000000* +L0017104 00000011 00000000 00000000 00000011* L0017136 000000 000000 000000 000000* L0017160 000000 000000 000000 000000* -L0017184 000100 000000 000000 000000* +L0017184 000000 000000 000000 000100* L0017208 000000 000000 000000 000000* L0017232 000000 000000 000000 000000* L0017256 000000 000000 000000 000000* L0017280 00000000 00000000 00000000 00000000* L0017312 00000000 00000000 00000000 00000000* -L0017344 01000000 00000000 00000000 00000000* +L0017344 00000000 00000000 00000000 00000000* L0017376 00000000 00000000 00000000 00000000* L0017408 00000000 00000000 00000000 00000000* L0017440 00000000 00000000 00000000 00000000* -L0017472 00000000 01000100 00000000 00000000* +L0017472 00000000 00000000 00000000 00000000* L0017504 00000000 00000000 00000000 00000000* L0017536 00000000 00000000 00000000 00000000* -L0017568 000010 000000 000000 000100* +L0017568 000000 000000 000000 000000* L0017592 000000 000000 000000 000000* L0017616 000000 000000 000000 000000* L0017640 000000 000000 000000 000000* L0017664 000000 000000 000000 000000* -L0017688 000001 000000 000000 000000* +L0017688 000000 000000 000000 000000* L0017712 00000000 00000000 00000000 00000000* -L0017744 01000000 01000000 00000000 00000000* +L0017744 00000000 00000000 00000000 00000000* L0017776 00000000 00000000 00000000 00000000* -L0017808 00000000 00111100 00000000 00000000* +L0017808 00000000 00000000 00000000 00000000* L0017840 00000000 00000000 00000000 00000000* L0017872 00000000 00000000 00000000 00000000* -L0017904 00001000 00000000 00000000 00010000* +L0017904 00000000 00000000 00000000 00000000* L0017936 00000000 00000000 00000000 00000000* -L0017968 00000000 00111100 00000000 00000000* +L0017968 00000000 00000000 00000000 00000000* L0018000 000000 000000 000000 000000* L0018024 000000 000000 000000 000000* -L0018048 000001 000000 000000 000000* +L0018048 000000 000000 000000 000000* L0018072 000000 000000 000000 000000* -L0018096 000000 001110 000000 000000* +L0018096 000000 000000 000000 000000* L0018120 000000 000000 000000 000000* L0018144 00000000 00000000 00000000 00000000* L0018176 00000000 00000000 00000000 00000000* @@ -679,169 +679,169 @@ L0018240 00000000 00000000 00000000 00000000* L0018272 00000000 00000000 00000000 00000000* L0018304 00000000 00000000 00000000 00000000* L0018336 00000000 00000000 00000000 00000000* -L0018368 00000000 00000000 00000000 01000000* +L0018368 00000000 00000000 00000000 00000000* L0018400 00000000 00000000 00000000 00000000* L0018432 000000 000000 000000 000000* L0018456 000000 000000 000000 000000* L0018480 000000 000000 000000 000000* -L0018504 000000 000000 000000 000000* +L0018504 000000 000000 000000 010000* L0018528 000000 000000 000000 000000* -L0018552 000000 000000 000000 001000* +L0018552 000000 000000 000000 000001* L0018576 00000000 00000000 00000000 00000000* -L0018608 00000000 00010000 00000000 00000000* +L0018608 00000000 00000000 00000000 00000000* L0018640 00000000 00000000 00000000 00000000* L0018672 00000000 00000000 00000000 00000000* L0018704 00000000 00000000 00000000 00000000* L0018736 00000000 00000000 00000000 00000000* -L0018768 00000000 00000000 00000000 01000000* +L0018768 00000000 00000000 00000000 00000000* L0018800 00000000 00000000 00000000 00000000* L0018832 00000000 00000000 00000000 00000000* -L0018864 000000 000000 000000 000000* +L0018864 000001 000000 000000 000000* L0018888 000000 000000 000000 000000* -L0018912 000000 000000 000000 001000* -L0018936 000010 000000 000000 000000* +L0018912 000000 000000 000000 010001* +L0018936 000000 000000 000000 000000* L0018960 000000 000000 000000 000000* L0018984 000000 000000 000000 000000* L0019008 00000000 00000000 00000000 00000000* -L0019040 00000000 00000010 00000010 00000001* +L0019040 00000000 00000010 00000010 00000011* L0019072 00000000 00000000 00000000 00000000* L0019104 00000000 00000000 00000000 00000000* -L0019136 00000010 00000000 00000010 00000001* -L0019168 00000011 00000000 00000000 00000000* +L0019136 00000010 00000000 00000010 00000011* +L0019168 00000011 00000000 00000001 00000000* L0019200 00000000 00000000 00000000 00000000* -L0019232 00000011 00000000 00000010 00000001* -L0019264 00000000 00000000 00000010 00000000* +L0019232 00000011 00000000 00000010 00000011* +L0019264 00000100 00000010 00000010 00000000* L0019296 000000 000000 000000 000000* L0019320 000000 000000 000000 000000* -L0019344 000000 000000 000000 000000* +L0019344 000000 000000 000001 000000* L0019368 000000 000000 000000 000000* L0019392 000000 000000 000000 000000* L0019416 000000 000000 000000 000000* -L0019440 00000001 00000011 00000000 00000010* +L0019440 00000011 00000011 00000000 00000011* L0019472 00000011 00000011 00000010 00000011* -L0019504 00000011 00000011 00000000 00000010* -L0019536 00000011 00000011 00000000 00000010* -L0019568 00000011 00000011 00000010 00000011* -L0019600 00000011 00000011 00000000 00000010* -L0019632 00000011 00000011 00000000 00000011* -L0019664 00000011 00000011 00000010 00000011* -L0019696 00000011 00000011 00000000 00000010* +L0019504 00000011 00000011 00000000 00000011* +L0019536 00000011 00000011 00000000 00000011* +L0019568 00000011 00000010 00000010 00000011* +L0019600 00000011 00000010 00000001 00000011* +L0019632 00000011 00000010 00000000 00000011* +L0019664 00000011 00000010 00000011 00000011* +L0019696 00000011 00000001 00000011 00000011* L0019728 000000 000000 000000 000000* L0019752 000000 000000 000000 000000* L0019776 000000 000000 000000 000000* L0019800 000000 000000 000000 000000* L0019824 000000 000000 000000 000000* L0019848 000000 000000 000000 000000* -L0019872 00000001 00000011 00000000 00000010* +L0019872 00000011 00000011 00000000 00000011* L0019904 00000011 00000011 00000010 00000001* -L0019936 00000011 00000011 00000000 00000010* -L0019968 00000011 00000011 00000000 00000010* -L0020000 00000011 00000011 00000010 00000010* -L0020032 00000011 00000011 00000000 00000010* -L0020064 00000011 00000011 00000000 00000010* -L0020096 00000011 00000011 00000010 00000011* -L0020128 00000011 00000010 00000010 00000010* +L0019936 00000011 00000011 00000000 00000011* +L0019968 00000011 00000001 00000000 00000011* +L0020000 00000011 00000010 00000010 00000000* +L0020032 00000011 00000010 00000000 00000011* +L0020064 00000011 00000010 00000000 00000011* +L0020096 00000011 00000010 00000010 00000001* +L0020128 00000011 00000010 00000011 00000011* L0020160 000000 000000 000000 000000* L0020184 000000 000000 000000 000000* L0020208 000000 000000 000000 000000* L0020232 000000 000000 000000 000000* L0020256 000000 000000 000000 000000* L0020280 000000 000000 000000 000000* -L0020304 00000001 00000011 00000000 00000000* -L0020336 00000011 00000011 00000010 00000011* -L0020368 00000011 00000011 00000000 00000010* -L0020400 00000011 00000011 00000000 00000010* -L0020432 00000011 00000011 00000010 00000010* -L0020464 00000011 00000011 00000000 00000010* -L0020496 00000011 00000011 00000000 00000010* -L0020528 00000011 00000011 00000010 00000011* -L0020560 00000011 00000010 00000000 00000010* +L0020304 00000011 00000011 00000000 00000011* +L0020336 00000011 00000011 00000010 00000001* +L0020368 00000011 00000011 00000000 00000011* +L0020400 00000011 00000011 00000000 00000011* +L0020432 00000011 00000010 00000010 00000000* +L0020464 00000011 00000010 00000000 10000011* +L0020496 00000011 00000010 00000000 00000011* +L0020528 00000011 00000010 00000010 00000001* +L0020560 00000011 00000000 00000000 00000011* L0020592 000000 000000 000000 000000* L0020616 000000 000000 000000 000000* L0020640 000000 000000 000000 000000* L0020664 000000 000000 000000 000000* L0020688 000000 000000 000000 000000* L0020712 000000 000000 000000 000000* -L0020736 00000001 00000011 00000000 00000000* -L0020768 00000011 00000011 00000010 00000011* -L0020800 00000011 00000001 00000000 00000010* -L0020832 00000011 00000001 00000000 00000010* -L0020864 00000011 00000001 00000000 00000010* -L0020896 00000010 00000001 00000000 00000010* -L0020928 00000011 00000011 00000000 00000010* -L0020960 00000011 00000011 00000000 00000011* -L0020992 00000011 00000010 00000000 00000010* +L0020736 00000011 00000011 00000000 00000001* +L0020768 00000011 00000011 00000010 00000001* +L0020800 00000011 00000001 00000000 00000011* +L0020832 00000011 00000011 00000000 00000011* +L0020864 00000011 00000000 00000000 00000000* +L0020896 00000010 00000000 00000000 00000011* +L0020928 00000011 00000010 00000000 00000011* +L0020960 00000011 00000010 00000000 00000001* +L0020992 00000011 00000000 00000000 00000011* L0021024 000000 000000 000000 000000* L0021048 000000 000000 000000 000000* L0021072 000000 000000 000000 000000* L0021096 000000 000000 000000 000000* L0021120 000000 000000 000000 000000* L0021144 000000 000000 000000 000000* -L0021168 01111101 00000010 00000000 01100000* -L0021200 00000001 00100010 00000000 00000001* +L0021168 00000001 00000010 00000000 00000000* +L0021200 00000001 00000010 00000000 00000001* L0021232 00000001 00000000 00000000 00000000* L0021264 00000001 00000000 00000000 00000000* L0021296 00000011 00000000 00000000 00000000* -L0021328 11111100 00000000 11100000 11110000* -L0021360 01100111 00000000 11100000 00000000* -L0021392 01100101 00000000 11100000 00000001* -L0021424 01100111 00000000 10000000 00000010* -L0021456 010001 000000 000000 000000* -L0021480 111111 000000 000000 111000* -L0021504 010010 000000 000000 000000* -L0021528 010010 000000 000000 000000* -L0021552 010000 000000 000000 000000* +L0021328 00000000 00000000 00000000 00000000* +L0021360 00000011 00000000 00000000 00000001* +L0021392 00000001 00000000 00000000 00000001* +L0021424 00000011 00000000 00000000 00000001* +L0021456 000000 000000 000000 000000* +L0021480 000000 000000 000000 000000* +L0021504 000000 000000 000000 000000* +L0021528 000000 000000 000000 000000* +L0021552 000000 000000 000000 000000* L0021576 000000 000000 000000 000000* -L0021600 00000000 00000000 00000000 00000000* -L0021632 00000000 00000100 00000000 00000000* -L0021664 00000010 00000000 00000010 00000010* +L0021600 00000000 00000011 00000000 00000010* +L0021632 00000000 00000011 00000000 00000010* +L0021664 00000000 00000000 00000010 00000000* L0021696 00000000 00000000 00000000 00000000* -L0021728 00000000 00000000 00000000 00000000* -L0021760 00000000 00000000 00000000 00001000* +L0021728 00000010 00000011 00000000 00000010* +L0021760 00000000 00000000 00000000 00000000* L0021792 00000000 00000000 00000000 00000000* L0021824 00000000 00000000 00000000 00000000* L0021856 00000000 00000000 00000000 00000000* L0021888 000000 000000 000000 000000* -L0021912 000000 010000 000000 000000* -L0021936 000000 000010 000000 000000* +L0021912 000000 000000 000000 000000* +L0021936 000000 000000 000000 000000* L0021960 000000 000000 000000 000000* L0021984 000000 000000 000000 000000* L0022008 000000 000000 000000 000000* -L0022032 00000000 10000000 00000010 00000110* -L0022064 00000000 10000000 00000010 00000010* -L0022096 00000000 10000000 00000000 00000000* -L0022128 00000000 10111100 00000000 00000000* -L0022160 00000011 10000010 00000010 00000011* -L0022192 00000000 00000000 10000000 00000000* -L0022224 00000000 01000100 00000000 00000100* -L0022256 00000000 10000000 00000000 00000000* -L0022288 00000000 00111100 00000000 00000000* -L0022320 000000 100000 000000 000000* +L0022032 00000000 00000001 00000000 00000001* +L0022064 00000000 00000001 00000001 00000000* +L0022096 00000000 00000000 00000000 00000000* +L0022128 00000000 00000000 00000000 00000000* +L0022160 00000010 00000000 00000001 00000000* +L0022192 00000000 00000000 00000000 00000000* +L0022224 00000000 00000000 00000000 00000000* +L0022256 00000000 00000000 00000000 00000000* +L0022288 00000000 00000000 00000000 00000000* +L0022320 000000 000000 000000 000000* L0022344 000000 000000 000000 000000* L0022368 000000 000000 000000 000000* -L0022392 000000 100000 000000 000000* -L0022416 000000 001110 000000 000000* +L0022392 000000 000000 000000 000000* +L0022416 000000 000000 000000 000000* L0022440 000000 000000 000000 000000* -L0022464 00000000 01111110 00000010 00000010* -L0022496 00001001 00000010 00000010 00000010* +L0022464 00000001 00000010 00000000 10011101* +L0022496 00000001 00000010 00000010 00000011* L0022528 00000000 00000000 00000000 00000000* L0022560 00000000 00000000 00000000 00000000* -L0022592 00000001 00000011 00000010 00000010* -L0022624 00000000 11111100 00000000 00000100* +L0022592 00000010 00000010 00000010 00000010* +L0022624 00000000 00000000 00000000 00000100* L0022656 00000000 00000000 00000000 00000000* L0022688 00000000 00000000 00000000 00000000* L0022720 00000000 00000000 00000000 00000000* L0022752 000000 000000 000000 000000* -L0022776 000000 101110 000000 000000* +L0022776 000000 000000 000000 000110* L0022800 000000 000000 000000 000000* L0022824 000000 000000 000000 000000* L0022848 000000 000000 000000 000000* L0022872 000000 000000 000000 000000* L0022896 00000000 00000010 00000000 00000011* -L0022928 00000000 00000010 00000000 00000011* +L0022928 00000000 00000010 00000010 00000011* L0022960 00000000 00000000 00000000 00000000* L0022992 00000000 00000000 00000000 00000000* -L0023024 00001011 00000010 00000000 00000011* +L0023024 00000010 00000010 00000010 00000011* L0023056 00000000 00000000 00000000 00000000* L0023088 00000000 00000000 00000000 00000000* L0023120 00000000 00000000 00000000 00000000* @@ -849,14 +849,14 @@ L0023152 00000000 00000000 00000000 00000000* L0023184 000000 000000 000000 000000* L0023208 000000 000000 000000 000000* L0023232 000000 000000 000000 000000* -L0023256 010000 000000 000000 000000* +L0023256 000000 000000 000000 000000* L0023280 000000 000000 000000 000000* L0023304 000000 000000 000000 000000* -L0023328 00000000 00000010 00000000 00000010* -L0023360 00000000 00000010 00000000 00000010* -L0023392 00000000 00000000 00000000 00000000* -L0023424 00000000 00000000 00000000 00000000* -L0023456 00000000 00000010 00000000 00000010* +L0023328 00000000 10000000 00000000 00000000* +L0023360 00000000 10000001 00000000 00000010* +L0023392 00000011 00000000 00000000 00000001* +L0023424 00000000 10000000 00000000 00000000* +L0023456 00000000 10000001 00000000 00000010* L0023488 00000000 00000000 00000000 00000000* L0023520 00000000 00000000 00000000 00000000* L0023552 00000000 00000000 00000000 00000000* @@ -867,146 +867,146 @@ L0023664 000000 000000 000000 000000* L0023688 000000 000000 000000 000000* L0023712 000000 000000 000000 000000* L0023736 000000 000000 000000 000000* -L0023760 00000000 00000010 00000000 00000000* -L0023792 00000000 00000011 00000001 00000000* -L0023824 00000010 00000000 00000010 00000010* +L0023760 00000000 00000010 00000000 00000001* +L0023792 00000000 00000010 00000000 00000000* +L0023824 00000001 10000000 00000010 00000010* L0023856 00000000 00000000 00000000 00000000* -L0023888 00000000 00000011 00000001 00000000* +L0023888 00000000 00000010 00000000 00000000* L0023920 00000000 00000000 00000000 00000000* L0023952 00000000 00000000 00000000 00000000* -L0023984 00000000 00000000 00000000 00000000* +L0023984 00000000 10000000 00000000 00000000* L0024016 00000000 00000000 00000000 00000000* -L0024048 000000 000000 000000 000000* +L0024048 000000 100000 000000 000000* L0024072 000000 000000 000000 000000* L0024096 000000 000000 000000 000000* -L0024120 000000 000000 000000 000000* +L0024120 000000 100000 000000 000000* L0024144 000000 000000 000000 000000* L0024168 000000 000000 000000 000000* -L0024192 00000001 00000000 00000001 00000011* -L0024224 00000001 00000000 00000011 00000011* -L0024256 00000000 00000000 00000000 00000000* +L0024192 00000001 00000000 00000000 00000010* +L0024224 00000001 00000000 00000010 00000010* +L0024256 00000000 00111000 00000000 00000000* L0024288 00000000 00000000 00000000 00000000* -L0024320 00000010 00000010 00000011 00000011* +L0024320 00000010 00000001 00000010 00000010* L0024352 00000000 00000000 00000000 00000000* L0024384 00000000 00000000 00000000 00000000* -L0024416 00000000 00000000 00000000 00000000* -L0024448 00000000 00000000 00000000 00000000* +L0024416 00000000 01110000 00000000 00000000* +L0024448 00000000 10000000 00000000 00000000* L0024480 000000 000000 000000 000000* L0024504 000000 000000 000000 000000* -L0024528 001000 000000 000000 000000* -L0024552 000000 000000 000000 000000* +L0024528 000000 000000 000000 000000* +L0024552 000000 000100 000000 000000* L0024576 000000 000000 000000 000000* L0024600 000000 000000 000000 000000* -L0024624 00000000 00000010 00000000 00000010* -L0024656 00000000 00000010 00000010 10000010* +L0024624 00000000 00000000 00000000 00000000* +L0024656 00000000 00000010 00000000 00000000* L0024688 00000000 00000000 00000000 00000000* L0024720 00000000 00000000 00000000 00000000* -L0024752 00000000 00000010 00000010 00000010* -L0024784 00000100 00000000 00000000 00000000* +L0024752 00000000 00000010 00000000 00000000* +L0024784 00000000 00000000 00000000 01000000* L0024816 00000000 00000000 00000000 00000000* -L0024848 00000000 00000000 00000000 00000000* +L0024848 00000000 00000000 01000000 00000000* L0024880 00000000 00000000 00000000 00000000* L0024912 000000 000000 000000 000000* -L0024936 000000 000000 000000 000000* +L0024936 000000 000001 000000 000000* L0024960 000000 000000 000000 000000* L0024984 000000 000000 000000 000000* L0025008 000000 000000 000000 000000* -L0025032 001000 000000 000000 000000* -L0025056 00000000 00000000 00000000 00000000* -L0025088 00000010 00000000 00000000 00000010* +L0025032 000000 000000 000000 000000* +L0025056 00000000 00000000 00000010 00000011* +L0025088 01000000 00000000 00000000 00000011* L0025120 00000000 00000000 00000000 00000000* L0025152 00000000 00000000 00000000 00000000* -L0025184 00000000 00000000 00000000 00000000* +L0025184 00000010 00000000 00000000 00000011* L0025216 00000000 00000000 00000000 00000000* L0025248 00000000 00000000 00000000 00000000* -L0025280 00000000 10000000 00000000 00000000* +L0025280 00000000 00000000 00000000 00000000* L0025312 00000000 00000000 00000000 00000000* -L0025344 000000 000000 000000 000000* +L0025344 000010 000000 000000 000100* L0025368 000000 000000 000000 000000* L0025392 000000 000000 000000 000000* L0025416 000000 000000 000000 000000* L0025440 000000 000000 000000 000000* -L0025464 000000 000000 000000 000000* -L0025488 00000000 00000000 00000000 00000000* -L0025520 00000011 00000011 00000000 00000000* -L0025552 00000000 00000000 00000000 00000000* +L0025464 000001 000000 000000 000000* +L0025488 00000011 00000001 00000001 00000000* +L0025520 00000011 00000011 00000001 00000000* +L0025552 01000000 00000000 00000000 00000000* L0025584 00000000 00000000 00000000 00000000* -L0025616 00000011 00000011 00000000 00000010* +L0025616 00000010 00000011 00000000 00000010* L0025648 00000000 00000000 00000000 00000000* -L0025680 00000000 00000000 00000000 00000000* +L0025680 00001000 00000000 00000000 00010000* L0025712 00000000 00000000 00000000 00000000* L0025744 00000000 00000000 00000000 00000000* -L0025776 010000 000000 000000 000000* +L0025776 000000 000000 000000 000000* L0025800 000000 000000 000000 000000* -L0025824 000000 000000 000000 000000* +L0025824 000001 000000 000000 000000* L0025848 000000 000000 000000 000000* L0025872 000000 000000 000000 000000* L0025896 000000 000000 000000 000000* -L0025920 00000010 00000010 00000001 00000010* -L0025952 00000010 00000010 00000001 00000010* +L0025920 00000000 00000000 00000010 00000010* +L0025952 00000000 00000010 00000000 00000010* L0025984 00000000 00000000 00000000 00000000* L0026016 00000000 00000000 00000000 00000000* -L0026048 00000011 00000010 00000001 00000010* +L0026048 00000010 00000011 00000000 00000010* L0026080 00000000 00000000 00000000 00000000* -L0026112 00001000 00000000 00000000 00000000* +L0026112 00000000 00000000 00000000 00000000* L0026144 00000000 00000000 00000000 00000000* L0026176 00000000 00000000 00000000 00000000* L0026208 000000 000000 000000 000000* L0026232 000000 000000 000000 000000* -L0026256 000000 000000 000000 000000* -L0026280 000000 000000 000000 010000* +L0026256 000000 000000 000000 010000* +L0026280 000000 000000 000000 000000* L0026304 000000 000000 000000 000000* L0026328 000000 000000 000000 000000* -L0026352 00000000 00000000 00000000 00000000* -L0026384 00000010 00000010 00000000 00000000* +L0026352 00000000 00000001 00000000 00000010* +L0026384 00000000 00000011 00000000 00000010* L0026416 00000000 00000000 00000000 00000000* L0026448 00000000 00000000 00000000 00000000* -L0026480 00000011 00000010 00000000 00000000* +L0026480 00000010 00000011 00000000 00000010* L0026512 00000000 00000000 00000000 00000000* L0026544 00000000 00000000 00000000 00000000* L0026576 00000000 00000000 00000000 00000000* -L0026608 01000000 00000000 00000000 00000000* -L0026640 000010 000000 000000 000000* +L0026608 00000000 00000000 00000000 00000000* +L0026640 000000 000000 000000 000000* L0026664 000000 000000 000000 000000* -L0026688 000000 000000 000000 010000* -L0026712 000000 000000 000000 000000* +L0026688 000000 000000 000000 000000* +L0026712 000000 000000 000000 010000* L0026736 000000 000000 000000 000000* L0026760 000000 000000 000000 000000* -L0026784 00000001 00000001 00000001 00000001* -L0026816 00000001 00000001 00000001 00000001* +L0026784 00000001 00111000 00000010 00000011* +L0026816 00000001 00000010 00000000 00000011* L0026848 00000000 00000000 00000000 00000000* -L0026880 00000000 00000000 00000000 00000000* -L0026912 00000000 00000001 00000000 00000000* -L0026944 00000000 00000000 00000000 00000000* +L0026880 11111100 00000000 00000000 01100000* +L0026912 00000010 00000011 00000000 00000010* +L0026944 00000000 11110000 00000000 00000000* L0026976 00000000 00000000 00000000 00000000* -L0027008 00000000 00000000 00000000 00000000* -L0027040 00000000 00000000 00000000 00000000* +L0027008 00000000 00000000 00000000 00010000* +L0027040 10011000 00000000 00000000 00000000* L0027072 000000 000000 000000 000000* -L0027096 000000 000000 000000 000000* -L0027120 000000 000000 000000 000000* +L0027096 000000 100100 000000 000000* +L0027120 000000 000000 000000 100000* L0027144 000000 000000 000000 000000* -L0027168 000000 000000 000000 000000* +L0027168 101101 000000 000000 011001* L0027192 000000 000000 000000 000000* -L0027216 00000010 00000000 00000010 00000010* -L0027248 00000010 00000010 00000010 00000011* +L0027216 00000001 00000000 00000000 00000010* +L0027248 00000001 00000001 00000000 00000010* L0027280 00000000 00000000 00000000 00000000* L0027312 00000000 00000000 00000000 00000000* -L0027344 00000000 00000010 00000000 00000001* -L0027376 00000000 00000000 00000000 00000000* -L0027408 00000000 00000000 00000000 00000000* -L0027440 00000000 00000000 00000000 00000000* -L0027472 00000000 00000000 00000000 00000000* -L0027504 000000 000000 000000 000000* -L0027528 000000 000000 000000 000000* -L0027552 000000 000000 000000 000000* -L0027576 000000 000000 000000 000000* -L0027600 000000 000000 000000 000000* +L0027344 00000010 00000001 00000000 00000000* +L0027376 01100100 00000000 00000000 00000000* +L0027408 01100100 00000000 00000000 00000000* +L0027440 01100100 00000000 00000000 00000000* +L0027472 01100100 00000000 00000000 00000000* +L0027504 010001 000000 000000 000000* +L0027528 010010 000000 000000 000000* +L0027552 010010 000000 000000 000000* +L0027576 010010 000000 000000 000000* +L0027600 010000 000000 000000 000000* L0027624 000000 000000 000000 000000* -L0027648 00000000 00000000 00000000 00000000* -L0027680 00000000 00000010 00000000 00000000* +L0027648 00000000 00000010 00000000 00000010* +L0027680 00000001 00000010 00000000 00000010* L0027712 00000000 00000000 00000000 00000000* L0027744 00000000 00000000 00000000 00000000* -L0027776 00000000 00000010 00000000 00000000* +L0027776 00010001 00000000 00000001 00000010* L0027808 00000000 00000000 00000000 00000000* L0027840 00000000 00000000 00000000 00000000* L0027872 00000000 00000000 00000000 00000000* @@ -1016,57 +1016,57 @@ L0027960 000000 000000 000000 000000* L0027984 000000 000000 000000 000000* L0028008 000000 000000 000000 000000* L0028032 000000 000000 000000 000000* -L0028056 000000 000000 000000 000000* -L0028080 00000000 00000000 00000000 00000000* -L0028112 00000000 00000000 00000000 00000000* +L0028056 001000 000000 000000 000000* +L0028080 00000001 00000010 00000000 00000000* +L0028112 00010001 00000010 00000000 00000000* L0028144 00000000 00000000 00000000 00000001* L0028176 00000000 00000000 00000000 00000000* -L0028208 00000010 00000000 00000000 00000010* -L0028240 00000000 00000000 00000000 00000000* -L0028272 00000000 00100000 00000000 00000000* +L0028208 00000001 00000010 00000000 00000010* +L0028240 00000000 00000000 00000000 00100000* +L0028272 00000000 00000000 00000000 00000000* L0028304 00000000 00000000 00000000 00000000* -L0028336 00000000 00000000 10000000 00000000* +L0028336 00000000 00000000 00000000 00000000* L0028368 000000 000000 000000 000000* L0028392 000000 000000 000000 000000* -L0028416 000000 000000 000000 000000* +L0028416 001000 000000 000000 000000* L0028440 000000 000000 000000 000000* L0028464 000000 000000 000000 000000* L0028488 000000 000000 000000 000000* -L0028512 00000000 00000000 00000000 00000000* -L0028544 00000000 00000010 00000000 00000000* +L0028512 00000000 00000001 00000011 00000001* +L0028544 00000000 10000001 00000001 00000001* L0028576 00000000 00000000 00000000 00000010* L0028608 00000000 00000000 00000000 00000000* -L0028640 00001101 00000010 00000000 00000001* +L0028640 00001101 00000000 00000011 00000001* L0028672 00000000 00000000 00000000 00000000* L0028704 00000000 00000000 00000000 00000000* L0028736 00000000 00000000 00000000 00000000* L0028768 00000000 00000000 00000000 00000000* L0028800 000000 000000 000000 000000* L0028824 000000 000000 000000 000000* -L0028848 100000 000000 000000 001000* -L0028872 000000 000000 000000 000000* +L0028848 000000 000000 000000 000000* +L0028872 100000 000000 000000 000000* L0028896 000000 000000 000000 000000* L0028920 000000 000000 000000 000000* -L0028944 00000010 00000010 00000000 10000010* -L0028976 00001110 00000011 00000000 00000010* -L0029008 00000001 00000000 00000000 00000001* +L0028944 00000011 00000010 00000010 00000000* +L0028976 00001111 00000000 00000010 00000000* +L0029008 00000000 00000000 00000000 00000001* L0029040 00000000 00000000 00000000 00000000* -L0029072 00000000 00000001 00000000 00000000* -L0029104 00000000 00000000 01000000 00000000* +L0029072 00000001 00000011 00000010 00000010* +L0029104 00000000 00000000 00000000 00000000* L0029136 00000000 00000000 00000000 00000000* L0029168 00000000 00000000 00000000 00000000* L0029200 00000000 00000000 00000000 00000000* L0029232 000000 000000 000000 000000* L0029256 000000 000000 000000 000000* -L0029280 000000 000000 000000 000000* -L0029304 100000 000000 000000 000000* +L0029280 100000 000000 000000 000000* +L0029304 000000 000000 000000 000000* L0029328 000000 000000 000000 000000* -L0029352 000000 000000 000000 001000* -L0029376 00000000 00000000 00000000 00000000* -L0029408 00000000 00000010 00000000 00000010* +L0029352 000000 000000 000000 000000* +L0029376 00000000 00000010 00000010 00000000* +L0029408 00000000 00000010 00000011 00000000* L0029440 00000000 00000000 00000000 00000000* L0029472 00000000 00000000 00000000 00000000* -L0029504 00000001 00000010 00000000 00000010* +L0029504 00000001 00000000 00000000 00000000* L0029536 00000000 00000000 00000000 00000000* L0029568 00000000 00000000 00000000 00000000* L0029600 00000000 00000000 00000000 00000000* @@ -1077,8 +1077,8 @@ L0029712 000000 000000 000000 000000* L0029736 000000 000000 000000 000000* L0029760 000000 000000 000000 000000* L0029784 000000 000000 000000 000000* -L0029808 00000010 00000000 00000000 00000000* -L0029840 00000010 00000010 00000000 00000000* +L0029808 00000000 00000000 00000000 00000001* +L0029840 00000000 00000000 00000000 00000011* L0029872 00000000 00000000 00000000 00000000* L0029904 00000000 00000000 00000000 00000000* L0029936 00000000 00000001 00000000 00000000* @@ -1092,11 +1092,11 @@ L0030144 000000 000000 000000 000000* L0030168 000000 000000 000000 000000* L0030192 000000 000000 000000 000000* L0030216 000000 000000 000000 000000* -L0030240 00000010 00000000 00000000 00000010* -L0030272 00000010 00000010 00000000 00000010* -L0030304 00000000 00000000 00000000 00000000* -L0030336 00000000 00000000 00000000 00000000* -L0030368 00000000 00000010 00000000 00000000* +L0030240 00000000 00000000 00000000 00000001* +L0030272 00000000 00000000 00000000 00000001* +L0030304 00000001 00000001 00000000 00000000* +L0030336 00000000 00000000 00000000 00000100* +L0030368 00000000 00000000 00000000 00000001* L0030400 00000000 00000000 00000000 00000000* L0030432 00000000 00000000 00000000 00000000* L0030464 00000000 00000000 00000000 00000000* @@ -1107,11 +1107,11 @@ L0030576 000000 000000 000000 000000* L0030600 000000 000000 000000 000000* L0030624 000000 000000 000000 000000* L0030648 000000 000000 000000 000000* -L0030672 00000000 00000001 00000000 00000000* -L0030704 00000010 00000011 00000000 00000000* +L0030672 00000000 00000000 00000000 00000000* +L0030704 00000010 00000001 00000000 10000100* L0030736 00000000 00000000 00000000 00000010* -L0030768 00000000 00000000 00000000 00000000* -L0030800 00000010 00000010 00000000 00000000* +L0030768 00000000 00000000 00000000 00001000* +L0030800 00000010 00000001 00000000 00000000* L0030832 00000000 00000000 00000000 00000000* L0030864 00000000 00000000 00000000 00000000* L0030896 00000000 00000000 00000000 00000000* @@ -1120,43 +1120,43 @@ L0030960 000000 000000 000000 000000* L0030984 000000 000000 000000 000000* L0031008 000000 000000 000000 000000* L0031032 000000 000000 000000 000000* -L0031056 000000 000000 000000 000000* +L0031056 000000 000000 000000 000010* L0031080 000000 000000 000000 000000* -L0031104 00000000 00000000 00000000 00000000* -L0031136 00000000 00000000 00000000 00000001* -L0031168 00000000 00000000 00000000 00000000* +L0031104 00000000 00000001 00000000 00000000* +L0031136 11001010 00000001 00000010 00000000* +L0031168 11000000 00000000 00000000 00000000* L0031200 00000000 00000000 00000000 00000000* -L0031232 00000000 00000000 00000000 00000001* +L0031232 00001000 00000001 00000000 00000000* L0031264 00000000 00000000 00000000 00000000* -L0031296 00000000 00000000 00000000 00000000* -L0031328 00000000 00000000 00000000 00000000* -L0031360 00000000 00000000 00000000 00000000* -L0031392 000000 000000 000000 000000* +L0031296 10001000 00000000 00000000 00000000* +L0031328 10100000 00000000 01100000 00000000* +L0031360 01100100 00000000 10000000 00000000* +L0031392 010011 100000 000000 000000* L0031416 000000 000000 000000 000000* -L0031440 000000 000000 000000 000000* -L0031464 000000 000000 000000 000000* -L0031488 000000 000000 000000 000000* -L0031512 000000 000000 000000 000000* -L0031536 00000000 00000000 00000000 00000000* -L0031568 00000000 00000000 00000000 00000000* +L0031440 100100 000000 000000 000000* +L0031464 110010 000000 000000 000000* +L0031488 010000 000000 000000 000000* +L0031512 000100 000000 000000 000000* +L0031536 00000000 00000000 00000000 00000010* +L0031568 00110101 00000000 00000001 00000001* L0031600 00000000 00000000 00000000 00000000* L0031632 00000000 00000000 00000000 00000000* -L0031664 00000001 00000001 00000000 00000000* -L0031696 00000000 00000000 00000000 00000000* -L0031728 00000000 00000000 00000000 00000000* -L0031760 00000000 00000000 00000000 00000000* +L0031664 00110101 00000001 00000000 00000000* +L0031696 01100100 00000000 11100000 00000000* +L0031728 00010000 00000000 00000000 00000000* +L0031760 01000100 00000000 10000000 00000000* L0031792 00000000 00000000 00000000 00000000* -L0031824 000000 000000 000000 000000* -L0031848 000000 000000 000000 000000* -L0031872 000000 000100 000000 000000* +L0031824 000100 000000 000000 000000* +L0031848 010010 000000 000000 000000* +L0031872 001001 000000 000000 000000* L0031896 000000 000000 000000 000000* -L0031920 010000 000000 000000 000000* -L0031944 000000 000000 000000 000000* -L0031968 00000011 00000001 00000011 00000010* -L0032000 00000011 00000011 00000011 00000010* +L0031920 000000 000000 000000 000000* +L0031944 001001 000000 000000 000000* +L0031968 00000000 00000001 00000000 00000000* +L0032000 00000000 00000001 00000000 00000000* L0032032 00000000 00000000 00000000 00000000* L0032064 00000000 00000000 00000000 00000000* -L0032096 00000000 00000010 00000000 00000000* +L0032096 00000000 00000001 00000000 00000000* L0032128 00000000 00000000 00000000 00000000* L0032160 00000000 00000000 00000000 00000000* L0032192 00000000 00000000 00000000 00000000* @@ -1164,69 +1164,69 @@ L0032224 00000000 00000000 00000000 00000000* L0032256 000000 000000 000000 000000* L0032280 000000 000000 000000 000000* L0032304 000000 000000 000000 000000* -L0032328 100000 000000 000000 000000* +L0032328 000000 100000 000000 000000* L0032352 000000 000000 000000 000000* L0032376 000000 000000 000000 000000* L0032400 00000000 00000000 00000000 00000000* -L0032432 00000000 00000010 00000010 00000010* +L0032432 00000000 00000000 00000001 00000000* L0032464 00000000 00000000 00000000 00000000* L0032496 00000000 00000000 00000000 00000000* -L0032528 00000000 00000010 00000010 00000010* +L0032528 00000001 00000000 00000001 00000000* L0032560 00000000 00000000 00000000 00000000* L0032592 00000000 00000000 00000000 00000000* L0032624 00000000 00000000 00000000 00000000* L0032656 00000000 00000000 00000000 00000000* L0032688 000000 000000 000000 000000* L0032712 000000 000000 000000 000000* -L0032736 100000 000000 001000 000000* +L0032736 000000 000000 000000 000000* L0032760 000000 000000 000000 000000* L0032784 000000 000000 000000 000000* L0032808 000000 000000 000000 000000* -L0032832 00000000 00000000 00000000 00000000* -L0032864 00000000 00000010 00000000 00000010* -L0032896 00000001 00000000 00000000 00000001* +L0032832 00000001 00000001 00000001 00000000* +L0032864 00000001 00000001 00000001 00000011* +L0032896 00000000 00000000 00000000 00000000* L0032928 00000000 00000000 00000000 00000000* -L0032960 00000010 00000010 00000000 00000010* +L0032960 00000000 00000000 00000000 00000011* L0032992 00000000 00000000 00000000 00000000* L0033024 00000000 00000000 00000000 00000000* L0033056 00000000 00000000 00000000 00000000* L0033088 00000000 00000000 00000000 00000000* L0033120 000000 000000 000000 000000* L0033144 000000 000000 000000 000000* -L0033168 000100 000000 000000 000000* +L0033168 000000 000000 000000 000000* L0033192 000000 000000 000000 000000* L0033216 000000 000000 000000 000000* L0033240 000000 000000 000000 000000* L0033264 00000000 00000000 00000000 00000000* -L0033296 00000000 00000000 00000000 00000000* +L0033296 00000000 00001000 00000000 00000000* L0033328 00000000 00000000 00000000 00000000* L0033360 00000000 00000000 00000000 00000000* L0033392 00000000 00000000 00000000 00000000* L0033424 00000000 00000000 00000000 00000000* L0033456 00000000 00000000 00000000 00000000* -L0033488 00100000 00000000 00000000 00000000* +L0033488 00000000 00000000 00000000 00000000* L0033520 00000000 00000000 00000000 00000000* L0033552 000000 000000 000000 000000* L0033576 000000 000000 000000 000000* L0033600 000000 000000 000000 000000* L0033624 000000 000000 000000 000000* L0033648 000000 000000 000000 000000* -L0033672 000100 000000 000000 000000* +L0033672 000000 000000 000000 000000* L0033696 00000010 00000010 00000010 00000010* -L0033728 01110100 00000010 00000000 01100010* -L0033760 01000000 00000000 00000000 01100000* -L0033792 00000000 10000000 00000000 00000000* +L0033728 11110100 00000010 00000000 01100010* +L0033760 11000000 00000000 00000000 01100000* +L0033792 00000000 00000000 00000000 00000000* L0033824 00110100 00000000 00000000 00000000* -L0033856 00100000 00000000 11100000 00000000* -L0033888 10010010 00000010 00000010 01100010* -L0033920 11000100 00000000 11100000 11100000* -L0033952 00100000 00000000 10000000 00000000* +L0033856 00100000 00000000 00000000 00000000* +L0033888 10010010 10000010 00000010 00000010* +L0033920 11000100 00000000 00000000 00000000* +L0033952 00100000 00000000 00000000 00000000* L0033984 010101 000000 000000 000000* L0034008 010010 000000 000000 000000* -L0034032 100001 000000 000000 111000* -L0034056 100010 000000 000000 010000* +L0034032 100001 000000 000000 111001* +L0034056 100010 000000 000000 011000* L0034080 010000 000000 000000 100000* -L0034104 000001 000000 000000 001000* +L0034104 000001 000000 000000 000001* L0034128 00000000 00000000 00000000 00000000* L0034160 00001000 00000000 00000000 00000000* L0034192 00000000 00000000 00000000 00000000* @@ -1242,35 +1242,35 @@ L0034464 001100 000000 000000 000000* L0034488 010000 000000 000000 000000* L0034512 000000 000000 000000 000000* L0034536 001100 000000 000000 000000* -L0034560 00000000 00000000 00000000 00000100* +L0034560 00000000 00000000 00000000 00000000* L0034592 00000000 00000000 00000000 00000000* L0034624 00000000 00000000 00000000 00000000* L0034656 00000000 00000000 00000000 00000000* L0034688 00000000 00000000 00000000 00000000* L0034720 00000000 00000000 00000000 00000000* -L0034752 00000000 00000000 00000000 00000000* -L0034784 00000000 00000000 00000000 00000000* +L0034752 00000000 01000000 00000000 00000000* +L0034784 10000000 00000000 00000000 00000000* L0034816 00000000 00000000 00000000 00000000* -L0034848 000000 000000 000000 000000* +L0034848 000100 000000 000000 000000* L0034872 000000 000000 000000 000000* L0034896 000000 000000 000000 000000* L0034920 000000 000000 000000 000000* L0034944 000000 000000 000000 000000* -L0034968 000000 000000 000000 000000* +L0034968 000100 000000 000000 000000* L0034992 00000000 00000000 00000000 00000000* L0035024 00000000 00000000 00000000 00000000* L0035056 00000000 00000000 00000000 00000000* -L0035088 00000000 00000000 00000000 00000000* +L0035088 00000000 00111000 00000000 00000000* L0035120 00000000 00000000 00000000 00000000* L0035152 00000000 00000000 00000000 00000000* -L0035184 00000000 00000000 00000000 00000100* +L0035184 10010000 00000000 00000000 00000000* L0035216 00000000 00000000 00000000 00000000* -L0035248 00000000 00000000 00000000 00000000* +L0035248 00000000 00110000 00000000 00000000* L0035280 000000 000000 000000 000000* L0035304 000000 000000 000000 000000* -L0035328 000000 000000 000000 000000* +L0035328 000100 000000 000000 000000* L0035352 000000 000000 000000 000000* -L0035376 000000 000000 000000 000000* +L0035376 000000 000100 000000 000000* L0035400 000000 000000 000000 000000* L0035424 00000000 00000000 00000000 00000000* L0035456 00000000 00000000 00000000 00000000* @@ -1295,16 +1295,16 @@ L0035984 00000000 00000000 00000000 00000000* L0036016 00000000 00000000 00000000 00000000* L0036048 00000000 00000000 00000000 00000000* L0036080 00000000 00000000 00000000 00000000* -L0036112 00000000 00000000 00000000 00000000* +L0036112 00100000 00000000 00000000 00000000* L0036144 000000 000000 000000 000000* L0036168 000000 000000 000000 000000* -L0036192 000000 000000 000000 000000* +L0036192 000000 000000 000001 000000* L0036216 000000 000000 000000 000000* L0036240 000000 000000 000000 000000* L0036264 000000 000000 000000 000000* L0036288 00000000 00000000 00000000 00000000* L0036320 00000000 00000000 00000000 00000000* -L0036352 00000000 00000000 00000000 00000000* +L0036352 00000000 00000000 00000000 00100000* L0036384 00000000 00000000 00000000 00000000* L0036416 00000000 00000000 00000000 00000000* L0036448 00000000 00000000 00000000 00000000* @@ -1313,48 +1313,48 @@ L0036512 00000000 00000000 00000000 00000000* L0036544 00000000 00000000 00000000 00000000* L0036576 000000 000000 000000 000000* L0036600 000000 000000 000000 000000* -L0036624 000000 000000 000000 010000* +L0036624 000000 000000 000000 000000* L0036648 000000 000000 000000 000000* L0036672 000000 000000 000000 000000* L0036696 000000 000000 000000 000000* L0036720 00000000 00000000 00000000 00000000* -L0036752 00000000 00000000 00000000 00000000* +L0036752 00000000 00000000 00000000 00100000* L0036784 00000000 00000000 00000000 00000000* L0036816 00000000 00000000 00000000 00000000* L0036848 00000000 00000000 00000000 00000000* -L0036880 00000000 00000000 00000000 00000000* +L0036880 01000000 00000000 00000000 00000000* L0036912 00000000 00000000 00000000 00000000* L0036944 00000000 00000000 00000000 00000000* L0036976 00000000 00000000 00000000 00000000* L0037008 000000 000000 000000 000000* L0037032 000000 000000 000000 000000* L0037056 000000 000000 000000 000000* -L0037080 000000 000000 000000 010000* +L0037080 000000 000000 000000 000000* L0037104 000000 000000 000000 000000* L0037128 000000 000000 000000 000000* L0037152 00000000 00000000 00000000 00000000* L0037184 00000000 00000000 00000000 00000000* -L0037216 00000000 00000000 00000000 00000000* +L0037216 00000000 01000000 00000000 00000000* L0037248 00000000 00000000 00000000 00000000* L0037280 00000000 00000000 00000000 00000000* -L0037312 00000000 00000000 00000000 00000000* -L0037344 00000000 00000000 00000000 00000100* +L0037312 00000000 00000000 00000000 00001000* +L0037344 00000000 00000000 00000000 00000000* L0037376 00000000 00000000 00000000 00000000* L0037408 00000000 00000000 00000000 00000000* L0037440 000000 000000 000000 000000* L0037464 000000 000000 000000 000000* -L0037488 000001 000000 000000 000000* +L0037488 000001 000000 000000 100000* L0037512 000000 000000 000000 000000* -L0037536 000000 000000 000000 000000* +L0037536 000000 000000 000000 100000* L0037560 000000 000000 000000 000000* -L0037584 00000000 00000000 00000000 00000100* +L0037584 00000000 00000000 00000000 00000000* L0037616 00000000 00000000 00000000 00000000* L0037648 00000000 00000000 00000000 00000000* L0037680 00000000 00000000 00000000 00000000* L0037712 00000000 00000000 00000000 00000000* L0037744 00000000 00000000 00000000 00000000* L0037776 00000000 00000000 00000000 00000000* -L0037808 01000000 00000000 00000000 00000000* +L0037808 01000000 00000000 10000000 00000000* L0037840 00000000 00000000 00000000 00000000* L0037872 000000 000000 000000 000000* L0037896 000000 000000 000000 000000* @@ -1362,47 +1362,47 @@ L0037920 000000 000000 000000 000000* L0037944 000000 000000 000000 000000* L0037968 000000 000000 000000 000000* L0037992 000001 000000 000000 000000* -L0038016 00000000 00000000 00000000 00000000* -L0038048 00000000 10000000 00000000 00000000* -L0038080 00000000 10000000 00000000 00100000* +L0038016 00000000 10000000 00000000 00000000* +L0038048 00000000 00000000 00000000 00000000* +L0038080 00000000 00000000 00000000 00000000* L0038112 00000000 00000000 00000000 00000000* -L0038144 00010000 10000000 00000000 00000000* +L0038144 00000000 00000000 00000000 00000000* L0038176 00000000 00000000 00000000 00000000* L0038208 00000000 00000000 00000000 00000000* L0038240 00000000 00000000 00000000 00000000* L0038272 00000000 00000000 00000000 00000000* L0038304 000000 000000 000000 000000* L0038328 000000 000000 000000 000000* -L0038352 000000 000000 000000 000000* -L0038376 000000 100000 000000 000000* +L0038352 000000 000000 000000 001000* +L0038376 000000 000000 000000 000000* L0038400 000000 000000 000000 000000* -L0038424 001000 000000 000000 000000* -L0038448 00000000 10000000 00000000 00000000* -L0038480 00010000 01000100 00000000 00100000* +L0038424 000000 000000 000000 000000* +L0038448 00000000 00000000 00000000 00000000* +L0038480 00000000 00000000 00000000 00000000* L0038512 00000000 00000000 00000000 00000000* -L0038544 00000000 10000000 00000000 00000000* +L0038544 00000000 00000000 00000000 00000000* L0038576 00000000 00000000 00000000 00000000* L0038608 00000000 00000000 00000000 00000000* L0038640 00000000 00000000 00000000 00000000* -L0038672 00000000 10000000 00000000 00000000* +L0038672 00000000 00000000 00000000 00000000* L0038704 00000000 00000000 00000000 00000000* -L0038736 000000 100000 000000 000000* +L0038736 010000 000000 000000 000000* L0038760 000000 000000 000000 000000* -L0038784 001000 000000 000000 000000* -L0038808 000000 000000 000000 000000* -L0038832 000000 000010 000000 000000* +L0038784 000000 000000 000000 000000* +L0038808 000000 000000 000000 001000* +L0038832 000000 000000 000000 000000* L0038856 000000 000000 000000 000000* L0038880 00000000 00000000 00000000 00000000* L0038912 00010000 00000000 00000000 00000000* L0038944 00000000 00000000 00000000 00000000* L0038976 00000000 00000000 00000000 00000000* L0039008 00000000 00000000 00000000 00000000* -L0039040 00000000 00000000 00000000 00000000* +L0039040 00000000 00000000 00000100 00000000* L0039072 00000000 00000000 00000000 00000000* L0039104 00000000 00000000 00000000 00000000* L0039136 00000000 00000000 00000000 00000000* L0039168 000000 000000 000000 000000* -L0039192 000000 000000 000000 000000* +L0039192 000000 000000 001000 000000* L0039216 000000 000000 000000 000000* L0039240 000000 000000 000000 000000* L0039264 000000 000000 000000 000000* @@ -1417,71 +1417,71 @@ L0039504 00000000 00000000 00000000 00000000* L0039536 00000100 00000000 00000000 00000000* L0039568 00000000 00000000 00000000 00000000* L0039600 000000 000000 000000 000000* -L0039624 000000 000000 000000 000000* +L0039624 000000 000000 000010 000000* L0039648 000000 000000 000000 000000* L0039672 000000 000000 000000 000000* L0039696 000000 000000 000000 000000* L0039720 000000 000000 000000 000000* -L0039744 00000000 10000000 00000000 00000000* +L0039744 00000000 00000000 00000000 00000000* L0039776 00000000 00000000 00000000 00000000* -L0039808 00000000 00000000 00000000 00000000* +L0039808 00000000 00000000 00000000 10011100* L0039840 00000000 00000000 00000000 00000000* L0039872 00000000 00000000 00000000 00000000* L0039904 00000000 00000000 00000000 00000000* L0039936 00000000 00000000 00000000 00000000* -L0039968 00000000 00000000 00000000 00000000* -L0040000 00000000 00000000 00000000 00000000* +L0039968 00000000 10000000 00000000 00000100* +L0040000 00000000 00000000 00000000 00010100* L0040032 000000 000000 000000 000000* L0040056 000000 000000 000000 000000* L0040080 000000 000000 000000 000000* -L0040104 000000 000000 000000 000000* +L0040104 000000 000000 000000 000111* L0040128 000000 000000 000000 000000* L0040152 000000 000000 000000 000000* L0040176 00000000 00000000 00000000 00000000* L0040208 00000000 00000000 00000000 00000000* L0040240 00000000 00000000 00000000 00000000* -L0040272 00000000 00000000 00000000 00000000* -L0040304 00000000 00000000 00000000 00000000* +L0040272 00000000 00000000 00000000 01100000* +L0040304 00000000 00000000 00000000 00000100* L0040336 00000000 00000000 00000000 00000000* -L0040368 00000000 00000000 00000000 00000000* +L0040368 00000000 00000000 00000000 00000100* L0040400 00000000 00000000 00000000 00000000* L0040432 00000000 00000000 00000000 00000000* L0040464 000000 000000 000000 000000* L0040488 000000 000000 000000 000000* L0040512 000000 000000 000000 000000* L0040536 000000 000000 000000 000000* -L0040560 000000 000000 000000 000000* +L0040560 000000 000000 000000 011000* L0040584 000000 000000 000000 000000* L0040608 00000000 00000000 00000000 00000000* L0040640 00000000 00000000 00000000 00000000* L0040672 00000000 00000000 00000000 00000000* L0040704 00000000 00000000 00000000 00000000* L0040736 00000000 00000000 00000000 00000000* -L0040768 00000000 00000000 00000000 00000000* -L0040800 00000000 00000000 00000000 00000000* -L0040832 00000000 00000000 00000000 00000000* -L0040864 00000000 00000000 00000000 00000000* -L0040896 000000 000000 000000 000000* -L0040920 000000 000000 000000 000000* -L0040944 000000 000000 000000 000000* -L0040968 000000 000000 000000 000000* -L0040992 000000 000000 000000 000000* +L0040768 01100100 00000000 00000000 00000000* +L0040800 01100100 00000000 00000000 00000000* +L0040832 01100100 00000000 00000000 00000000* +L0040864 01100100 00000000 00000000 00000000* +L0040896 010001 000000 000000 000000* +L0040920 010010 000000 000000 000000* +L0040944 010010 000000 000000 000001* +L0040968 010010 000000 000000 000000* +L0040992 010000 000000 000000 000000* L0041016 000000 000000 000000 000000* -L0041040 00000000 00000000 00000000 00000000* +L0041040 11111100 01000000 00000000 00000000* L0041072 00000000 00000000 00000000 00000000* L0041104 00000000 00000000 00000000 00000000* L0041136 00000000 00000000 00000000 00000000* L0041168 00000000 00000000 00000000 00000000* -L0041200 00000000 00000000 00000000 00000000* +L0041200 10011000 00000000 00000000 00000000* L0041232 00000000 00000000 00000000 00000000* L0041264 00000000 00000000 00000000 00000000* L0041296 00000000 00000000 00000000 00000000* L0041328 000000 000000 000000 000000* -L0041352 000000 000000 000000 000000* +L0041352 101101 000000 000000 000000* L0041376 000000 000000 000000 000000* L0041400 000000 000000 000000 000000* L0041424 000000 000000 000000 000000* -L0041448 000000 000000 000000 000000* +L0041448 000000 000000 000000 000001* L0041472 00000000 00000000 00000000 00000000* L0041504 00000000 00000000 00000000 00000000* L0041536 00000000 00000000 00000000 00000000* @@ -1492,29 +1492,29 @@ L0041664 00000000 00000000 00000000 00000000* L0041696 00000000 00000000 00000000 00000000* L0041728 00000000 00000000 00000000 00000000* L0041760 000000 000000 000000 000000* -L0041784 000000 000000 000000 000000* -L0041808 000000 010000 000000 000000* +L0041784 000000 010000 000000 000000* +L0041808 000000 000000 000000 000000* L0041832 000000 000000 000000 000000* L0041856 000000 000000 000000 000000* L0041880 000000 000000 000000 000000* -L0041904 00000000 00000000 00000000 00000000* -L0041936 00000000 00000000 00000000 00000000* -L0041968 00000000 00000000 00000000 00000000* -L0042000 00000000 00000000 00000000 00000000* -L0042032 00000000 00000000 00000000 00000000* +L0041904 00000000 10000000 00000000 00000000* +L0041936 00000000 10000000 00000000 00000000* +L0041968 00000000 10000000 00000000 00000000* +L0042000 00000000 10111000 00000000 00000000* +L0042032 00000000 10000000 00000000 00000000* L0042064 00000000 00000000 00000000 00000000* -L0042096 00000000 00000000 00000000 00000000* -L0042128 00000000 00000000 00000000 00000000* -L0042160 00000000 00000000 00000000 00000000* -L0042192 000000 000000 000000 000000* +L0042096 00000000 01000000 00000000 00000000* +L0042128 00000000 10000000 00000000 00000000* +L0042160 00000000 00110000 00000000 00000000* +L0042192 000000 100000 000000 000000* L0042216 000000 000000 000000 000000* L0042240 000000 000000 000000 000000* -L0042264 000000 000000 000000 000000* -L0042288 000000 000000 000000 000000* +L0042264 000000 100000 000000 000000* +L0042288 000000 000100 000000 000000* L0042312 000000 000000 000000 000000* L0042336 00000000 00000000 00000000 00000000* L0042368 00000000 00000000 00000000 00000000* -L0042400 00000000 00000000 00000000 00000000* +L0042400 00000000 10000000 00000000 00000000* L0042432 00000000 00000000 00000000 00000000* L0042464 00000000 00000000 00000000 00000000* L0042496 00000000 00000000 00000000 00000000* @@ -1524,7 +1524,7 @@ L0042592 00000000 00000000 00000000 00000000* L0042624 000000 000000 000000 000000* L0042648 000000 000000 000000 000000* L0042672 000000 000000 000000 000000* -L0042696 000000 000000 000000 100000* +L0042696 000000 000000 000000 000000* L0042720 000000 000000 000000 000000* L0042744 000000 000000 000000 000000* L0042768 00000000 00000000 00000000 00000000* @@ -1548,12 +1548,12 @@ L0043264 00000000 00000000 00000000 00000000* L0043296 00000000 00000000 00000000 00000000* L0043328 00000000 00000000 00000000 00000000* L0043360 00000000 00000000 00000000 00000000* -L0043392 00010000 00000000 00000000 00000000* +L0043392 00000000 00000000 00000000 00000000* L0043424 00000000 00000000 00000000 00000000* L0043456 00000000 00000000 00000000 00000000* L0043488 000000 000000 000000 000000* L0043512 000000 000000 000000 000000* -L0043536 000000 000000 000000 000000* +L0043536 000000 000000 001000 000000* L0043560 000000 010000 000000 000000* L0043584 000000 000000 000000 000000* L0043608 000000 000000 000000 000000* @@ -1562,48 +1562,48 @@ L0043664 00000000 00000000 00000000 00000000* L0043696 00000000 00000000 00000000 00000000* L0043728 00000000 00000000 00000000 00000000* L0043760 00000000 00000000 00000000 00000000* -L0043792 00100000 00000000 00000000 00000000* +L0043792 00000000 00000000 00000000 11100000* L0043824 00000000 00000000 00000000 00000000* L0043856 00000000 00000000 00000000 00000000* L0043888 00000000 00000000 00000000 00000000* -L0043920 000100 000000 000000 000000* -L0043944 000000 000000 000000 000000* +L0043920 000000 000000 000000 000000* +L0043944 000000 000000 000010 000000* L0043968 000000 010000 000000 000000* -L0043992 000000 000000 000000 000000* +L0043992 000010 000000 000000 000000* L0044016 000000 000000 000000 000000* L0044040 000000 000000 000000 000000* L0044064 00000000 00000000 00000000 00000000* L0044096 00000000 00000000 00000000 00000000* -L0044128 00000000 00000000 00000000 00000000* -L0044160 00000000 00000000 00000000 00000000* -L0044192 00000000 00000000 00000000 00000000* +L0044128 00000000 10000000 00000000 00000000* +L0044160 00000000 10000000 00000000 00000000* +L0044192 00000000 10000000 00000000 00000000* L0044224 00000000 00000000 00000000 00000000* L0044256 00000000 00000000 00000000 00000000* L0044288 00000000 00000000 00000000 00000000* L0044320 00000000 00000000 00000000 00000000* -L0044352 000000 000000 000000 000000* +L0044352 000000 100000 000000 000000* L0044376 000000 000000 000000 000000* L0044400 000000 000000 000000 000000* -L0044424 000000 010000 000000 000000* +L0044424 000000 000000 000000 000000* L0044448 000000 000000 000000 000000* L0044472 000000 000000 000000 000000* -L0044496 00000000 00000000 00000000 00000000* -L0044528 00000000 00000000 00000000 00000000* +L0044496 00000000 10000000 00000000 00000000* +L0044528 00000000 10000000 00000000 00000000* L0044560 00000000 00000000 00000000 00000000* L0044592 00000000 00000000 00000000 00000000* L0044624 00000000 00000000 00000000 00000000* -L0044656 01100100 00000000 11100000 00000000* -L0044688 01100100 00000000 11100000 00000000* -L0044720 01100100 00000000 11100000 00000000* -L0044752 01100100 00000000 10000000 00000000* -L0044784 010001 000000 000000 000000* -L0044808 010010 000000 000000 000000* -L0044832 010010 000000 000000 000000* -L0044856 010010 000000 000000 000000* -L0044880 010000 000000 000000 000000* +L0044656 00000000 00000000 00000000 00000000* +L0044688 00000000 00000000 00000000 00000000* +L0044720 00000000 10000000 00000000 00000000* +L0044752 00000000 00000000 00000000 00000000* +L0044784 000000 000000 000000 000000* +L0044808 000000 000000 000000 000000* +L0044832 000000 000000 000000 000000* +L0044856 000000 100000 000000 000000* +L0044880 000000 000000 000000 000000* L0044904 000000 000000 000000 000000* L0044928 00000000 00000000 00000000 00000000* -L0044960 00000000 00000000 00000000 00000000* +L0044960 00000100 00000000 00000000 00000000* L0044992 00000000 00000000 00000000 00000000* L0045024 00000000 00000000 00000000 00000000* L0045056 00000000 00000000 00000000 00000000* @@ -1621,46 +1621,46 @@ L0045360 00000000 00000000 00000000 00000000* L0045392 00000000 00000000 00000000 00000000* L0045424 00000000 00000000 00000000 00000000* L0045456 00000000 00000000 00000000 00000000* -L0045488 00000000 00000000 00000000 00000000* +L0045488 00000100 00000000 00000000 00000000* L0045520 00000000 00000000 00000000 00000000* L0045552 00000000 00000000 00000000 00000000* L0045584 00000000 00000000 00000000 00000000* -L0045616 00000000 00000000 00000000 00000000* +L0045616 00000000 00000000 10000000 00000000* L0045648 000000 000000 000000 000000* -L0045672 000000 000000 000000 000000* +L0045672 010000 000000 000000 000000* L0045696 000000 000000 000000 000000* L0045720 000000 000000 000000 000000* L0045744 000000 000000 000000 000000* L0045768 000000 000000 000000 000000* L0045792 00000000 00000000 00000000 00000000* -L0045824 00100000 00000000 00000000 00000000* +L0045824 00000000 00000000 00000000 00000000* L0045856 00000000 00000000 00000000 00000000* L0045888 00000000 00000000 00000000 00000000* L0045920 00000000 00000000 00000000 00000000* L0045952 00000000 00000000 00000000 00000000* L0045984 00000000 00000000 00000000 00000000* -L0046016 00000000 00000000 00000000 00100000* +L0046016 00000000 00000000 00000000 00000000* L0046048 00000000 00000000 00000000 00000000* L0046080 000000 000000 000000 000000* L0046104 000000 000000 000000 000000* L0046128 000000 000000 000000 000000* -L0046152 000000 000000 000000 000000* +L0046152 000000 010000 000000 100000* L0046176 000000 000000 000000 000000* L0046200 000000 000000 000000 000000* L0046224 00000000 00000000 00000000 00000000* L0046256 00000000 00000000 00000000 00000000* L0046288 00000000 00000000 00000000 00000000* L0046320 00000000 00000000 00000000 00000000* -L0046352 00100000 00000000 00000000 00000000* -L0046384 00000000 00000000 00000000 00000000* -L0046416 00000000 00000000 00000000 00100000* -L0046448 00000000 00000000 00000000 00000000* -L0046480 00000000 00000000 00000000 00000000* -L0046512 000000 000000 000000 000000* -L0046536 000000 000000 000000 000000* -L0046560 000000 000000 000000 000000* -L0046584 000000 000000 000000 000000* -L0046608 000000 000000 000000 000000* +L0046352 00000000 00000000 00000000 00000000* +L0046384 01100100 00000000 11100000 00000000* +L0046416 01100100 00000000 11100000 00000000* +L0046448 01100100 00000000 11100000 00000000* +L0046480 01100100 00000000 10000000 00000000* +L0046512 010001 000000 000000 000000* +L0046536 010010 000000 000000 000000* +L0046560 010010 000000 000000 000000* +L0046584 010010 000000 000000 000000* +L0046608 010000 000000 000000 000000* L0046632 000000 000000 000000 000000* -C6FA2* -190B +C9205* +18E9 diff --git a/spi6502b.mfd b/spi6502b.mfd index 5dee314..a1c2f4f 100644 --- a/spi6502b.mfd +++ b/spi6502b.mfd @@ -2,276 +2,276 @@ MDF Database: version 1.0 MDF_INFO | spi6502b | XC9572XL-10-PC44 MACROCELL | 1 | 1 | int_mosi ATTRIBUTES | 8652706 | 0 -INPUTS | 12 | shiftcnt<3> | shiftcnt<2> | shiftcnt<1> | shiftdone | spidataout<5> | shifting2 | spidataout<1> | EXP6_.EXP | shifting2.EXP | $OpTx$INV$22__$INT | cpu_Nres | tmo -INPUTMC | 11 | 1 | 14 | 1 | 15 | 1 | 16 | 1 | 3 | 3 | 5 | 1 | 2 | 0 | 2 | 1 | 0 | 1 | 2 | 1 | 5 | 0 | 6 +INPUTS | 12 | shiftcnt<3> | shiftcnt<2> | shiftcnt<1> | shiftdone | spidataout<4> | shifting2 | spidataout<0> | EXP6_.EXP | shifting2.EXP | $OpTx$INV$24__$INT | cpu_Nres | tmo +INPUTMC | 11 | 3 | 12 | 3 | 14 | 3 | 15 | 3 | 0 | 3 | 8 | 1 | 2 | 0 | 3 | 1 | 0 | 1 | 2 | 1 | 5 | 0 | 6 INPUTP | 1 | 49 IMPORTS | 2 | 1 | 0 | 1 | 2 EQ | 21 | - !spi_mosi.D = shiftcnt<3> & shiftcnt<2> & !shiftcnt<1> & - !shiftdone & !spidataout<1> & shifting2 - # !shiftcnt<3> & shiftcnt<2> & !shiftcnt<1> & - !shiftdone & !spidataout<5> & shifting2 -;Imported pterms FB2_1 - # shiftcnt<3> & shiftcnt<2> & shiftcnt<1> & + !spi_mosi.D = shiftcnt<3> & shiftcnt<2> & shiftcnt<1> & !shiftdone & !spidataout<0> & shifting2 + # !shiftcnt<3> & shiftcnt<2> & shiftcnt<1> & + !shiftdone & !spidataout<4> & shifting2 +;Imported pterms FB2_1 # shiftcnt<3> & !shiftcnt<2> & shiftcnt<1> & !shiftdone & !spidataout<2> & shifting2 # shiftcnt<3> & !shiftcnt<2> & !shiftcnt<1> & !shiftdone & !spidataout<3> & shifting2 - # !shiftcnt<3> & shiftcnt<2> & shiftcnt<1> & - !shiftdone & !spidataout<4> & shifting2 + # !shiftcnt<3> & shiftcnt<2> & !shiftcnt<1> & + !shiftdone & !spidataout<5> & shifting2 + # !shiftcnt<3> & !shiftcnt<2> & shiftcnt<1> & + !shiftdone & !spidataout<6> & shifting2 # !shiftcnt<3> & !shiftcnt<2> & !shiftcnt<1> & !shiftdone & !spidataout<7> & shifting2 ;Imported pterms FB2_3 - # !shiftcnt<3> & !shiftcnt<2> & shiftcnt<1> & - !shiftdone & !spidataout<6> & shifting2; - spi_mosi.CLK = !$OpTx$INV$22__$INT; + # shiftcnt<3> & shiftcnt<2> & !shiftcnt<1> & + !shiftdone & !spidataout<1> & shifting2; + spi_mosi.CLK = !$OpTx$INV$24__$INT; spi_mosi.AP = !cpu_Nres; spi_mosi.OE = !tmo; MACROCELL | 3 | 10 | slavesel ATTRIBUTES | 4588514 | 0 -OUTPUTMC | 4 | 3 | 10 | 1 | 13 | 0 | 4 | 3 | 13 -INPUTS | 8 | spi_Nsel | cpu_a<1> | cpu_a<0> | cpu_d<0>.PIN | cs1 | Ncs2 | cpu_Nres | cpu_rnw +OUTPUTMC | 4 | 3 | 10 | 3 | 11 | 0 | 4 | 3 | 13 +INPUTS | 7 | spi_Nsel | cpu_a<1> | cpu_a<0> | cpu_d<0>.PIN | Ncs2 | cpu_Nres | cpu_rnw INPUTMC | 1 | 3 | 10 -INPUTP | 7 | 59 | 52 | 12 | 50 | 46 | 49 | 24 +INPUTP | 6 | 59 | 52 | 12 | 46 | 49 | 24 EQ | 5 | spi_Nsel.T = spi_Nsel & cpu_a<1> & cpu_a<0> & !cpu_d<0>.PIN # !spi_Nsel & cpu_a<1> & cpu_a<0> & cpu_d<0>.PIN; - !spi_Nsel.CLK = cs1 & !Ncs2; + spi_Nsel.CLK = Ncs2; spi_Nsel.AP = !cpu_Nres; spi_Nsel.CE = !cpu_rnw; MACROCELL | 0 | 15 | cpol ATTRIBUTES | 4326256 | 0 OUTPUTMC | 3 | 0 | 15 | 3 | 16 | 0 | 5 -INPUTS | 8 | cpol | cpu_a<1> | cpu_a<0> | cpu_d<1>.PIN | cs1 | Ncs2 | cpu_Nres | cpu_rnw +INPUTS | 7 | cpol | cpu_a<1> | cpu_a<0> | cpu_d<1>.PIN | Ncs2 | cpu_Nres | cpu_rnw INPUTMC | 1 | 0 | 15 -INPUTP | 7 | 59 | 52 | 13 | 50 | 46 | 49 | 24 +INPUTP | 6 | 59 | 52 | 13 | 46 | 49 | 24 EQ | 5 | cpol.T = cpol & !cpu_a<1> & cpu_a<0> & !cpu_d<1>.PIN # !cpol & !cpu_a<1> & cpu_a<0> & cpu_d<1>.PIN; - !cpol.CLK = cs1 & !Ncs2; + cpol.CLK = Ncs2; cpol.AR = !cpu_Nres; cpol.CE = !cpu_rnw; MACROCELL | 0 | 10 | ece ATTRIBUTES | 4326256 | 0 OUTPUTMC | 3 | 0 | 10 | 0 | 7 | 1 | 5 -INPUTS | 8 | ece | cpu_a<1> | cpu_a<0> | cpu_d<2>.PIN | cs1 | Ncs2 | cpu_Nres | cpu_rnw +INPUTS | 7 | ece | cpu_a<1> | cpu_a<0> | cpu_d<2>.PIN | Ncs2 | cpu_Nres | cpu_rnw INPUTMC | 1 | 0 | 10 -INPUTP | 7 | 59 | 52 | 15 | 50 | 46 | 49 | 24 +INPUTP | 6 | 59 | 52 | 15 | 46 | 49 | 24 EQ | 5 | ece.T = ece & !cpu_a<1> & cpu_a<0> & !cpu_d<2>.PIN # !ece & !cpu_a<1> & cpu_a<0> & cpu_d<2>.PIN; - !ece.CLK = cs1 & !Ncs2; + ece.CLK = Ncs2; ece.AR = !cpu_Nres; ece.CE = !cpu_rnw; MACROCELL | 0 | 17 | cpha ATTRIBUTES | 4326256 | 0 OUTPUTMC | 4 | 0 | 17 | 3 | 16 | 0 | 4 | 3 | 15 -INPUTS | 8 | cpha | cpu_a<1> | cpu_a<0> | cpu_d<0>.PIN | cs1 | Ncs2 | cpu_Nres | cpu_rnw +INPUTS | 7 | cpha | cpu_a<1> | cpu_a<0> | cpu_d<0>.PIN | Ncs2 | cpu_Nres | cpu_rnw INPUTMC | 1 | 0 | 17 -INPUTP | 7 | 59 | 52 | 12 | 50 | 46 | 49 | 24 +INPUTP | 6 | 59 | 52 | 12 | 46 | 49 | 24 EQ | 5 | cpha.T = cpha & !cpu_a<1> & cpu_a<0> & !cpu_d<0>.PIN # !cpha & !cpu_a<1> & cpu_a<0> & cpu_d<0>.PIN; - !cpha.CLK = cs1 & !Ncs2; + cpha.CLK = Ncs2; cpha.AR = !cpu_Nres; cpha.CE = !cpu_rnw; MACROCELL | 0 | 9 | frx ATTRIBUTES | 4326256 | 0 OUTPUTMC | 3 | 0 | 9 | 3 | 2 | 0 | 16 -INPUTS | 8 | frx | cpu_a<1> | cpu_a<0> | cpu_d<4>.PIN | cs1 | Ncs2 | cpu_Nres | cpu_rnw +INPUTS | 7 | frx | cpu_a<1> | cpu_a<0> | cpu_d<4>.PIN | Ncs2 | cpu_Nres | cpu_rnw INPUTMC | 1 | 0 | 9 -INPUTP | 7 | 59 | 52 | 27 | 50 | 46 | 49 | 24 +INPUTP | 6 | 59 | 52 | 27 | 46 | 49 | 24 EQ | 5 | frx.T = frx & !cpu_a<1> & cpu_a<0> & !cpu_d<4>.PIN # !frx & !cpu_a<1> & cpu_a<0> & cpu_d<4>.PIN; - !frx.CLK = cs1 & !Ncs2; + frx.CLK = Ncs2; frx.AR = !cpu_Nres; frx.CE = !cpu_rnw; -MACROCELL | 3 | 8 | ier +MACROCELL | 3 | 17 | ier ATTRIBUTES | 4326256 | 0 -OUTPUTMC | 3 | 3 | 8 | 2 | 4 | 3 | 0 -INPUTS | 8 | ier | cpu_a<1> | cpu_a<0> | cpu_d<6>.PIN | cs1 | Ncs2 | cpu_Nres | cpu_rnw -INPUTMC | 1 | 3 | 8 -INPUTP | 7 | 59 | 52 | 31 | 50 | 46 | 49 | 24 +OUTPUTMC | 3 | 3 | 17 | 2 | 4 | 2 | 17 +INPUTS | 7 | ier | cpu_a<1> | cpu_a<0> | cpu_d<6>.PIN | Ncs2 | cpu_Nres | cpu_rnw +INPUTMC | 1 | 3 | 17 +INPUTP | 6 | 59 | 52 | 31 | 46 | 49 | 24 EQ | 5 | ier.T = ier & !cpu_a<1> & cpu_a<0> & !cpu_d<6>.PIN # !ier & !cpu_a<1> & cpu_a<0> & cpu_d<6>.PIN; - !ier.CLK = cs1 & !Ncs2; + ier.CLK = Ncs2; ier.AR = !cpu_Nres; ier.CE = !cpu_rnw; MACROCELL | 0 | 8 | slaveinten ATTRIBUTES | 4326256 | 0 -OUTPUTMC | 3 | 0 | 8 | 0 | 16 | 3 | 0 -INPUTS | 8 | slaveinten | cpu_a<1> | cpu_a<0> | cpu_d<4>.PIN | cs1 | Ncs2 | cpu_Nres | cpu_rnw +OUTPUTMC | 3 | 0 | 8 | 0 | 16 | 2 | 17 +INPUTS | 7 | slaveinten | cpu_a<1> | cpu_a<0> | cpu_d<4>.PIN | Ncs2 | cpu_Nres | cpu_rnw INPUTMC | 1 | 0 | 8 -INPUTP | 7 | 59 | 52 | 27 | 50 | 46 | 49 | 24 +INPUTP | 6 | 59 | 52 | 27 | 46 | 49 | 24 EQ | 5 | slaveinten.T = slaveinten & cpu_a<1> & cpu_a<0> & !cpu_d<4>.PIN # !slaveinten & cpu_a<1> & cpu_a<0> & cpu_d<4>.PIN; - !slaveinten.CLK = cs1 & !Ncs2; + slaveinten.CLK = Ncs2; slaveinten.AR = !cpu_Nres; slaveinten.CE = !cpu_rnw; MACROCELL | 0 | 6 | tmo ATTRIBUTES | 4326256 | 0 OUTPUTMC | 3 | 1 | 1 | 0 | 6 | 0 | 14 -INPUTS | 8 | tmo | cpu_a<1> | cpu_a<0> | cpu_d<3>.PIN | cs1 | Ncs2 | cpu_Nres | cpu_rnw +INPUTS | 7 | tmo | cpu_a<1> | cpu_a<0> | cpu_d<3>.PIN | Ncs2 | cpu_Nres | cpu_rnw INPUTMC | 1 | 0 | 6 -INPUTP | 7 | 59 | 52 | 26 | 50 | 46 | 49 | 24 +INPUTP | 6 | 59 | 52 | 26 | 46 | 49 | 24 EQ | 5 | tmo.T = tmo & !cpu_a<1> & cpu_a<0> & !cpu_d<3>.PIN # !tmo & !cpu_a<1> & cpu_a<0> & cpu_d<3>.PIN; - !tmo.CLK = cs1 & !Ncs2; + tmo.CLK = Ncs2; tmo.AR = !cpu_Nres; tmo.CE = !cpu_rnw; MACROCELL | 0 | 13 | divisor<0> ATTRIBUTES | 4326256 | 0 OUTPUTMC | 2 | 0 | 13 | 0 | 4 -INPUTS | 8 | divisor<0> | cpu_a<1> | cpu_a<0> | cpu_d<0>.PIN | cs1 | Ncs2 | cpu_Nres | cpu_rnw +INPUTS | 7 | divisor<0> | cpu_a<1> | cpu_a<0> | cpu_d<0>.PIN | Ncs2 | cpu_Nres | cpu_rnw INPUTMC | 1 | 0 | 13 -INPUTP | 7 | 59 | 52 | 12 | 50 | 46 | 49 | 24 +INPUTP | 6 | 59 | 52 | 12 | 46 | 49 | 24 EQ | 5 | divisor<0>.T = divisor<0> & cpu_a<1> & !cpu_a<0> & !cpu_d<0>.PIN # !divisor<0> & cpu_a<1> & !cpu_a<0> & cpu_d<0>.PIN; - !divisor<0>.CLK = cs1 & !Ncs2; + divisor<0>.CLK = Ncs2; divisor<0>.AR = !cpu_Nres; divisor<0>.CE = !cpu_rnw; MACROCELL | 0 | 12 | divisor<1> ATTRIBUTES | 4326256 | 0 OUTPUTMC | 2 | 0 | 12 | 0 | 5 -INPUTS | 8 | divisor<1> | cpu_a<1> | cpu_a<0> | cpu_d<1>.PIN | cs1 | Ncs2 | cpu_Nres | cpu_rnw +INPUTS | 7 | divisor<1> | cpu_a<1> | cpu_a<0> | cpu_d<1>.PIN | Ncs2 | cpu_Nres | cpu_rnw INPUTMC | 1 | 0 | 12 -INPUTP | 7 | 59 | 52 | 13 | 50 | 46 | 49 | 24 +INPUTP | 6 | 59 | 52 | 13 | 46 | 49 | 24 EQ | 5 | divisor<1>.T = divisor<1> & cpu_a<1> & !cpu_a<0> & !cpu_d<1>.PIN # !divisor<1> & cpu_a<1> & !cpu_a<0> & cpu_d<1>.PIN; - !divisor<1>.CLK = cs1 & !Ncs2; + divisor<1>.CLK = Ncs2; divisor<1>.AR = !cpu_Nres; divisor<1>.CE = !cpu_rnw; MACROCELL | 0 | 11 | divisor<2> ATTRIBUTES | 4326256 | 0 OUTPUTMC | 2 | 0 | 11 | 0 | 7 -INPUTS | 8 | divisor<2> | cpu_a<1> | cpu_a<0> | cpu_d<2>.PIN | cs1 | Ncs2 | cpu_Nres | cpu_rnw +INPUTS | 7 | divisor<2> | cpu_a<1> | cpu_a<0> | cpu_d<2>.PIN | Ncs2 | cpu_Nres | cpu_rnw INPUTMC | 1 | 0 | 11 -INPUTP | 7 | 59 | 52 | 15 | 50 | 46 | 49 | 24 +INPUTP | 6 | 59 | 52 | 15 | 46 | 49 | 24 EQ | 5 | divisor<2>.T = divisor<2> & cpu_a<1> & !cpu_a<0> & !cpu_d<2>.PIN # !divisor<2> & cpu_a<1> & !cpu_a<0> & cpu_d<2>.PIN; - !divisor<2>.CLK = cs1 & !Ncs2; + divisor<2>.CLK = Ncs2; divisor<2>.AR = !cpu_Nres; divisor<2>.CE = !cpu_rnw; -MACROCELL | 1 | 13 | spidatain<0> +MACROCELL | 3 | 11 | spidatain<0> ATTRIBUTES | 8520560 | 0 -OUTPUTMC | 2 | 1 | 12 | 0 | 4 -INPUTS | 6 | spi_Nsel | spi_miso | $OpTx$INV$22__$INT | cpu_Nres | shiftcnt<0> | shifting2 +OUTPUTMC | 2 | 3 | 9 | 0 | 4 +INPUTS | 6 | spi_Nsel | spi_miso | $OpTx$INV$24__$INT | cpu_Nres | shiftcnt<0> | shifting2 INPUTMC | 4 | 3 | 10 | 1 | 5 | 1 | 4 | 1 | 2 INPUTP | 2 | 10 | 49 EQ | 4 | spidatain<0>.D = !spi_Nsel & spi_miso; - spidatain<0>.CLK = !$OpTx$INV$22__$INT; + spidatain<0>.CLK = !$OpTx$INV$24__$INT; spidatain<0>.AR = !cpu_Nres; spidatain<0>.CE = shiftcnt<0> & shifting2; -MACROCELL | 1 | 12 | spidatain<1> +MACROCELL | 3 | 9 | spidatain<1> ATTRIBUTES | 8520560 | 0 -OUTPUTMC | 2 | 1 | 11 | 0 | 5 -INPUTS | 5 | spidatain<0> | $OpTx$INV$22__$INT | cpu_Nres | shiftcnt<0> | shifting2 -INPUTMC | 4 | 1 | 13 | 1 | 5 | 1 | 4 | 1 | 2 +OUTPUTMC | 2 | 1 | 12 | 0 | 5 +INPUTS | 5 | spidatain<0> | $OpTx$INV$24__$INT | cpu_Nres | shiftcnt<0> | shifting2 +INPUTMC | 4 | 3 | 11 | 1 | 5 | 1 | 4 | 1 | 2 INPUTP | 1 | 49 EQ | 4 | spidatain<1>.D = spidatain<0>; - spidatain<1>.CLK = !$OpTx$INV$22__$INT; + spidatain<1>.CLK = !$OpTx$INV$24__$INT; spidatain<1>.AR = !cpu_Nres; spidatain<1>.CE = shiftcnt<0> & shifting2; -MACROCELL | 1 | 11 | spidatain<2> +MACROCELL | 1 | 12 | spidatain<2> ATTRIBUTES | 8520560 | 0 -OUTPUTMC | 2 | 1 | 10 | 0 | 7 -INPUTS | 5 | spidatain<1> | $OpTx$INV$22__$INT | cpu_Nres | shiftcnt<0> | shifting2 -INPUTMC | 4 | 1 | 12 | 1 | 5 | 1 | 4 | 1 | 2 +OUTPUTMC | 2 | 1 | 11 | 0 | 7 +INPUTS | 5 | spidatain<1> | $OpTx$INV$24__$INT | cpu_Nres | shiftcnt<0> | shifting2 +INPUTMC | 4 | 3 | 9 | 1 | 5 | 1 | 4 | 1 | 2 INPUTP | 1 | 49 EQ | 4 | spidatain<2>.D = spidatain<1>; - spidatain<2>.CLK = !$OpTx$INV$22__$INT; + spidatain<2>.CLK = !$OpTx$INV$24__$INT; spidatain<2>.AR = !cpu_Nres; spidatain<2>.CE = shiftcnt<0> & shifting2; -MACROCELL | 1 | 10 | spidatain<3> +MACROCELL | 1 | 11 | spidatain<3> ATTRIBUTES | 8520560 | 0 -OUTPUTMC | 2 | 1 | 9 | 0 | 14 -INPUTS | 5 | spidatain<2> | $OpTx$INV$22__$INT | cpu_Nres | shiftcnt<0> | shifting2 -INPUTMC | 4 | 1 | 11 | 1 | 5 | 1 | 4 | 1 | 2 +OUTPUTMC | 2 | 1 | 10 | 0 | 14 +INPUTS | 5 | spidatain<2> | $OpTx$INV$24__$INT | cpu_Nres | shiftcnt<0> | shifting2 +INPUTMC | 4 | 1 | 12 | 1 | 5 | 1 | 4 | 1 | 2 INPUTP | 1 | 49 EQ | 4 | spidatain<3>.D = spidatain<2>; - spidatain<3>.CLK = !$OpTx$INV$22__$INT; + spidatain<3>.CLK = !$OpTx$INV$24__$INT; spidatain<3>.AR = !cpu_Nres; spidatain<3>.CE = shiftcnt<0> & shifting2; -MACROCELL | 1 | 9 | spidatain<4> +MACROCELL | 1 | 10 | spidatain<4> ATTRIBUTES | 8520560 | 0 -OUTPUTMC | 2 | 1 | 8 | 0 | 16 -INPUTS | 5 | spidatain<3> | $OpTx$INV$22__$INT | cpu_Nres | shiftcnt<0> | shifting2 -INPUTMC | 4 | 1 | 10 | 1 | 5 | 1 | 4 | 1 | 2 +OUTPUTMC | 2 | 1 | 9 | 0 | 16 +INPUTS | 5 | spidatain<3> | $OpTx$INV$24__$INT | cpu_Nres | shiftcnt<0> | shifting2 +INPUTMC | 4 | 1 | 11 | 1 | 5 | 1 | 4 | 1 | 2 INPUTP | 1 | 49 EQ | 4 | spidatain<4>.D = spidatain<3>; - spidatain<4>.CLK = !$OpTx$INV$22__$INT; + spidatain<4>.CLK = !$OpTx$INV$24__$INT; spidatain<4>.AR = !cpu_Nres; spidatain<4>.CE = shiftcnt<0> & shifting2; -MACROCELL | 1 | 8 | spidatain<5> +MACROCELL | 1 | 9 | spidatain<5> ATTRIBUTES | 8520560 | 0 OUTPUTMC | 2 | 1 | 7 | 2 | 1 -INPUTS | 5 | spidatain<4> | $OpTx$INV$22__$INT | cpu_Nres | shiftcnt<0> | shifting2 -INPUTMC | 4 | 1 | 9 | 1 | 5 | 1 | 4 | 1 | 2 +INPUTS | 5 | spidatain<4> | $OpTx$INV$24__$INT | cpu_Nres | shiftcnt<0> | shifting2 +INPUTMC | 4 | 1 | 10 | 1 | 5 | 1 | 4 | 1 | 2 INPUTP | 1 | 49 EQ | 4 | spidatain<5>.D = spidatain<4>; - spidatain<5>.CLK = !$OpTx$INV$22__$INT; + spidatain<5>.CLK = !$OpTx$INV$24__$INT; spidatain<5>.AR = !cpu_Nres; spidatain<5>.CE = shiftcnt<0> & shifting2; MACROCELL | 1 | 7 | spidatain<6> ATTRIBUTES | 8520560 | 0 OUTPUTMC | 2 | 1 | 6 | 2 | 4 -INPUTS | 5 | spidatain<5> | $OpTx$INV$22__$INT | cpu_Nres | shiftcnt<0> | shifting2 -INPUTMC | 4 | 1 | 8 | 1 | 5 | 1 | 4 | 1 | 2 +INPUTS | 5 | spidatain<5> | $OpTx$INV$24__$INT | cpu_Nres | shiftcnt<0> | shifting2 +INPUTMC | 4 | 1 | 9 | 1 | 5 | 1 | 4 | 1 | 2 INPUTP | 1 | 49 EQ | 4 | spidatain<6>.D = spidatain<5>; - spidatain<6>.CLK = !$OpTx$INV$22__$INT; + spidatain<6>.CLK = !$OpTx$INV$24__$INT; spidatain<6>.AR = !cpu_Nres; spidatain<6>.CE = shiftcnt<0> & shifting2; MACROCELL | 1 | 6 | spidatain<7> ATTRIBUTES | 8520560 | 0 OUTPUTMC | 1 | 2 | 7 -INPUTS | 5 | spidatain<6> | $OpTx$INV$22__$INT | cpu_Nres | shiftcnt<0> | shifting2 +INPUTS | 5 | spidatain<6> | $OpTx$INV$24__$INT | cpu_Nres | shiftcnt<0> | shifting2 INPUTMC | 4 | 1 | 7 | 1 | 5 | 1 | 4 | 1 | 2 INPUTP | 1 | 49 EQ | 4 | spidatain<7>.D = spidatain<6>; - spidatain<7>.CLK = !$OpTx$INV$22__$INT; + spidatain<7>.CLK = !$OpTx$INV$24__$INT; spidatain<7>.AR = !cpu_Nres; spidatain<7>.CE = shiftcnt<0> & shifting2; MACROCELL | 3 | 16 | int_sclk ATTRIBUTES | 8651698 | 0 -INPUTS | 8 | cpol | cpu_Nres | cpha | shiftcnt<0> | shiftdone | shifting2 | $OpTx$INV$22__$INT | EXP7_.EXP -INPUTMC | 7 | 0 | 15 | 0 | 17 | 1 | 4 | 1 | 3 | 1 | 2 | 1 | 5 | 3 | 15 +INPUTS | 8 | cpol | cpu_Nres | cpha | shiftcnt<0> | shiftdone | shifting2 | $OpTx$INV$24__$INT | shiftcnt<1>.EXP +INPUTMC | 7 | 0 | 15 | 0 | 17 | 1 | 4 | 3 | 0 | 1 | 2 | 1 | 5 | 3 | 15 INPUTP | 1 | 49 IMPORTS | 1 | 3 | 15 EQ | 9 | @@ -281,327 +281,368 @@ EQ | 9 | ;Imported pterms FB4_16 # cpu_Nres & cpha & !shiftcnt<0> & !shiftdone & shifting2; - spi_sclk.CLK = !$OpTx$INV$22__$INT; + spi_sclk.CLK = !$OpTx$INV$24__$INT; spi_sclk.AP = !cpu_Nres & cpol; spi_sclk.AR = !cpu_Nres & !cpol; MACROCELL | 0 | 14 | int_dout<3> ATTRIBUTES | 265986 | 0 -INPUTS | 8 | cpu_rnw | spidatain<3> | cpu_a<1> | cs1 | Ncs2 | cpu_a<0> | cpu_Nphi2 | tmo -INPUTMC | 2 | 1 | 10 | 0 | 6 -INPUTP | 6 | 24 | 59 | 50 | 46 | 52 | 20 +INPUTS | 7 | Ncs2 | cpu_rnw | spidatain<3> | cpu_a<1> | cpu_a<0> | cpu_Nphi2 | tmo +INPUTMC | 2 | 1 | 11 | 0 | 6 +INPUTP | 5 | 46 | 24 | 59 | 52 | 20 EQ | 5 | - cpu_d<3> = cpu_rnw & tmo & !cpu_a<1> & cs1 & !Ncs2 & - cpu_a<0> & cpu_Nphi2 - # cpu_rnw & spidatain<3> & !cpu_a<1> & cs1 & !Ncs2 & + cpu_d<3> = !Ncs2 & cpu_rnw & tmo & !cpu_a<1> & cpu_a<0> & + cpu_Nphi2 + # !Ncs2 & cpu_rnw & spidatain<3> & !cpu_a<1> & !cpu_a<0> & cpu_Nphi2; - cpu_d<3>.OE = cpu_rnw & cs1 & !Ncs2 & cpu_Nphi2; + cpu_d<3>.OE = !Ncs2 & cpu_rnw & cpu_Nphi2; MACROCELL | 2 | 1 | int_dout<5> ATTRIBUTES | 265986 | 0 -INPUTS | 9 | cpu_rnw | cpu_a<1> | start_shifting | cs1 | Ncs2 | cpu_a<0> | cpu_Nphi2 | shifting2 | spidatain<5> -INPUTMC | 3 | 3 | 2 | 1 | 2 | 1 | 8 -INPUTP | 6 | 24 | 59 | 50 | 46 | 52 | 20 +INPUTS | 8 | Ncs2 | cpu_rnw | cpu_a<1> | start_shifting | cpu_a<0> | cpu_Nphi2 | shifting2 | spidatain<5> +INPUTMC | 3 | 3 | 2 | 1 | 2 | 1 | 9 +INPUTP | 5 | 46 | 24 | 59 | 52 | 20 EQ | 7 | - cpu_d<5> = cpu_rnw & spidatain<5> & !cpu_a<1> & cs1 & !Ncs2 & + cpu_d<5> = !Ncs2 & cpu_rnw & spidatain<5> & !cpu_a<1> & !cpu_a<0> & cpu_Nphi2 - # cpu_rnw & !cpu_a<1> & start_shifting & cs1 & - !Ncs2 & cpu_a<0> & cpu_Nphi2 - # cpu_rnw & !cpu_a<1> & cs1 & !Ncs2 & cpu_a<0> & + # !Ncs2 & cpu_rnw & !cpu_a<1> & start_shifting & + cpu_a<0> & cpu_Nphi2 + # !Ncs2 & cpu_rnw & !cpu_a<1> & cpu_a<0> & shifting2 & cpu_Nphi2; - cpu_d<5>.OE = cpu_rnw & cs1 & !Ncs2 & cpu_Nphi2; + cpu_d<5>.OE = !Ncs2 & cpu_rnw & cpu_Nphi2; MACROCELL | 2 | 4 | int_dout<6> ATTRIBUTES | 265986 | 0 -INPUTS | 8 | cpu_rnw | spidatain<6> | cpu_a<1> | cs1 | Ncs2 | cpu_a<0> | cpu_Nphi2 | ier -INPUTMC | 2 | 1 | 7 | 3 | 8 -INPUTP | 6 | 24 | 59 | 50 | 46 | 52 | 20 +INPUTS | 7 | Ncs2 | cpu_rnw | spidatain<6> | cpu_a<1> | cpu_a<0> | cpu_Nphi2 | ier +INPUTMC | 2 | 1 | 7 | 3 | 17 +INPUTP | 5 | 46 | 24 | 59 | 52 | 20 EQ | 5 | - cpu_d<6> = cpu_rnw & ier & !cpu_a<1> & cs1 & !Ncs2 & - cpu_a<0> & cpu_Nphi2 - # cpu_rnw & spidatain<6> & !cpu_a<1> & cs1 & !Ncs2 & + cpu_d<6> = !Ncs2 & cpu_rnw & ier & !cpu_a<1> & cpu_a<0> & + cpu_Nphi2 + # !Ncs2 & cpu_rnw & spidatain<6> & !cpu_a<1> & !cpu_a<0> & cpu_Nphi2; - cpu_d<6>.OE = cpu_rnw & cs1 & !Ncs2 & cpu_Nphi2; + cpu_d<6>.OE = !Ncs2 & cpu_rnw & cpu_Nphi2; MACROCELL | 2 | 7 | int_dout<7> ATTRIBUTES | 265986 | 0 -INPUTS | 8 | cpu_rnw | spidatain<7> | cpu_a<1> | cs1 | Ncs2 | cpu_a<0> | cpu_Nphi2 | tc -INPUTMC | 2 | 1 | 6 | 3 | 1 -INPUTP | 6 | 24 | 59 | 50 | 46 | 52 | 20 +INPUTS | 7 | Ncs2 | cpu_rnw | spidatain<7> | cpu_a<1> | cpu_a<0> | cpu_Nphi2 | tc +INPUTMC | 2 | 1 | 6 | 1 | 3 +INPUTP | 5 | 46 | 24 | 59 | 52 | 20 EQ | 5 | - cpu_d<7> = cpu_rnw & spidatain<7> & !cpu_a<1> & cs1 & !Ncs2 & + cpu_d<7> = !Ncs2 & cpu_rnw & spidatain<7> & !cpu_a<1> & !cpu_a<0> & cpu_Nphi2 - # cpu_rnw & !cpu_a<1> & tc & cs1 & !Ncs2 & - cpu_a<0> & cpu_Nphi2; - cpu_d<7>.OE = cpu_rnw & cs1 & !Ncs2 & cpu_Nphi2; + # !Ncs2 & cpu_rnw & !cpu_a<1> & tc & cpu_a<0> & + cpu_Nphi2; + cpu_d<7>.OE = !Ncs2 & cpu_rnw & cpu_Nphi2; -MACROCELL | 1 | 14 | shiftcnt<3> +MACROCELL | 3 | 12 | shiftcnt<3> ATTRIBUTES | 4326192 | 0 -OUTPUTMC | 5 | 1 | 1 | 1 | 14 | 1 | 3 | 1 | 0 | 1 | 2 -INPUTS | 7 | shiftcnt<2> | shiftcnt<0> | shiftcnt<1> | shifting2 | shiftcnt<3> | $OpTx$INV$22__$INT | cpu_Nres -INPUTMC | 6 | 1 | 15 | 1 | 4 | 1 | 16 | 1 | 2 | 1 | 14 | 1 | 5 +OUTPUTMC | 5 | 1 | 1 | 3 | 12 | 3 | 0 | 1 | 0 | 1 | 2 +INPUTS | 7 | shiftcnt<2> | shiftcnt<0> | shiftcnt<1> | shifting2 | shiftcnt<3> | $OpTx$INV$24__$INT | cpu_Nres +INPUTMC | 6 | 3 | 14 | 1 | 4 | 3 | 15 | 1 | 2 | 3 | 12 | 1 | 5 INPUTP | 1 | 49 EQ | 5 | shiftcnt<3>.T = shiftcnt<3> & !shifting2 # shiftcnt<2> & shiftcnt<0> & shiftcnt<1> & shifting2; - shiftcnt<3>.CLK = !$OpTx$INV$22__$INT; + shiftcnt<3>.CLK = !$OpTx$INV$24__$INT; shiftcnt<3>.AR = !cpu_Nres; -MACROCELL | 1 | 15 | shiftcnt<2> +MACROCELL | 3 | 14 | shiftcnt<2> ATTRIBUTES | 4326192 | 0 -OUTPUTMC | 6 | 1 | 1 | 1 | 14 | 1 | 15 | 1 | 3 | 1 | 0 | 1 | 2 -INPUTS | 6 | shiftcnt<0> | shiftcnt<1> | shifting2 | shiftcnt<2> | $OpTx$INV$22__$INT | cpu_Nres -INPUTMC | 5 | 1 | 4 | 1 | 16 | 1 | 2 | 1 | 15 | 1 | 5 +OUTPUTMC | 6 | 1 | 1 | 3 | 12 | 3 | 14 | 3 | 0 | 1 | 0 | 1 | 2 +INPUTS | 6 | shiftcnt<0> | shiftcnt<1> | shifting2 | shiftcnt<2> | $OpTx$INV$24__$INT | cpu_Nres +INPUTMC | 5 | 1 | 4 | 3 | 15 | 1 | 2 | 3 | 14 | 1 | 5 INPUTP | 1 | 49 EQ | 4 | shiftcnt<2>.T = shiftcnt<2> & !shifting2 # shiftcnt<0> & shiftcnt<1> & shifting2; - shiftcnt<2>.CLK = !$OpTx$INV$22__$INT; + shiftcnt<2>.CLK = !$OpTx$INV$24__$INT; shiftcnt<2>.AR = !cpu_Nres; MACROCELL | 1 | 4 | shiftcnt<0> ATTRIBUTES | 8520496 | 0 -OUTPUTMC | 15 | 1 | 13 | 1 | 12 | 1 | 11 | 1 | 10 | 1 | 9 | 1 | 8 | 1 | 7 | 1 | 6 | 3 | 16 | 1 | 14 | 1 | 15 | 1 | 4 | 1 | 16 | 1 | 3 | 3 | 15 -INPUTS | 4 | shiftcnt<0> | shifting2 | $OpTx$INV$22__$INT | cpu_Nres +OUTPUTMC | 14 | 3 | 11 | 3 | 9 | 1 | 12 | 1 | 11 | 1 | 10 | 1 | 9 | 1 | 7 | 1 | 6 | 3 | 16 | 3 | 12 | 3 | 14 | 1 | 4 | 3 | 15 | 3 | 0 +INPUTS | 4 | shiftcnt<0> | shifting2 | $OpTx$INV$24__$INT | cpu_Nres INPUTMC | 3 | 1 | 4 | 1 | 2 | 1 | 5 INPUTP | 1 | 49 EQ | 3 | shiftcnt<0>.D = !shiftcnt<0> & shifting2; - shiftcnt<0>.CLK = !$OpTx$INV$22__$INT; + shiftcnt<0>.CLK = !$OpTx$INV$24__$INT; shiftcnt<0>.AR = !cpu_Nres; -MACROCELL | 1 | 16 | shiftcnt<1> +MACROCELL | 3 | 15 | shiftcnt<1> ATTRIBUTES | 8520496 | 0 -OUTPUTMC | 7 | 1 | 1 | 1 | 14 | 1 | 15 | 1 | 16 | 1 | 3 | 1 | 0 | 1 | 2 -INPUTS | 5 | shiftcnt<0> | shiftcnt<1> | shifting2 | $OpTx$INV$22__$INT | cpu_Nres -INPUTMC | 4 | 1 | 4 | 1 | 16 | 1 | 2 | 1 | 5 +OUTPUTMC | 8 | 1 | 1 | 3 | 12 | 3 | 14 | 3 | 15 | 3 | 0 | 1 | 0 | 1 | 2 | 3 | 16 +INPUTS | 7 | shiftcnt<0> | shiftcnt<1> | shifting2 | $OpTx$INV$24__$INT | cpu_Nres | cpha | shiftdone +INPUTMC | 6 | 1 | 4 | 3 | 15 | 1 | 2 | 1 | 5 | 0 | 17 | 3 | 0 INPUTP | 1 | 49 -EQ | 4 | +EXPORTS | 1 | 3 | 16 +EQ | 6 | shiftcnt<1>.D = shiftcnt<0> & !shiftcnt<1> & shifting2 # !shiftcnt<0> & shiftcnt<1> & shifting2; - shiftcnt<1>.CLK = !$OpTx$INV$22__$INT; + shiftcnt<1>.CLK = !$OpTx$INV$24__$INT; shiftcnt<1>.AR = !cpu_Nres; + shiftcnt<1>.EXP = cpu_Nres & cpha & !shiftcnt<0> & !shiftdone & + shifting2 -MACROCELL | 1 | 3 | shiftdone +MACROCELL | 3 | 0 | shiftdone ATTRIBUTES | 8520496 | 0 -OUTPUTMC | 7 | 1 | 1 | 3 | 16 | 3 | 1 | 1 | 2 | 1 | 17 | 1 | 0 | 3 | 15 -INPUTS | 6 | shiftcnt<3> | shiftcnt<2> | shiftcnt<0> | shiftcnt<1> | $OpTx$INV$22__$INT | cpu_Nres -INPUTMC | 5 | 1 | 14 | 1 | 15 | 1 | 4 | 1 | 16 | 1 | 5 +OUTPUTMC | 7 | 1 | 1 | 3 | 16 | 1 | 3 | 1 | 2 | 1 | 17 | 1 | 0 | 3 | 15 +INPUTS | 6 | shiftcnt<3> | shiftcnt<2> | shiftcnt<0> | shiftcnt<1> | $OpTx$INV$24__$INT | cpu_Nres +INPUTMC | 5 | 3 | 12 | 3 | 14 | 1 | 4 | 3 | 15 | 1 | 5 INPUTP | 1 | 49 EQ | 4 | shiftdone.D = shiftcnt<3> & shiftcnt<2> & shiftcnt<0> & shiftcnt<1>; - shiftdone.CLK = !$OpTx$INV$22__$INT; + shiftdone.CLK = !$OpTx$INV$24__$INT; shiftdone.AR = !cpu_Nres; MACROCELL | 3 | 2 | start_shifting ATTRIBUTES | 4326192 | 0 OUTPUTMC | 5 | 2 | 1 | 3 | 2 | 1 | 2 | 3 | 13 | 1 | 5 -INPUTS | 8 | frx | cpu_a<1> | start_shifting | cpu_a<0> | cpu_rnw | cs1 | Ncs2 | start_shifting/start_shifting_RSTF__$INT +INPUTS | 7 | frx | cpu_a<1> | start_shifting | cpu_a<0> | cpu_rnw | Ncs2 | start_shifting/start_shifting_RSTF__$INT INPUTMC | 3 | 0 | 9 | 3 | 2 | 1 | 17 -INPUTP | 5 | 59 | 52 | 24 | 50 | 46 +INPUTP | 4 | 59 | 52 | 24 | 46 EQ | 4 | start_shifting.T = !cpu_rnw & !cpu_a<1> & !start_shifting & !cpu_a<0> # frx & !cpu_a<1> & !start_shifting & !cpu_a<0>; - !start_shifting.CLK = cs1 & !Ncs2; + start_shifting.CLK = Ncs2; start_shifting.AR = !start_shifting/start_shifting_RSTF__$INT; -MACROCELL | 3 | 1 | tc +MACROCELL | 1 | 3 | tc ATTRIBUTES | 8520672 | 0 -OUTPUTMC | 2 | 2 | 7 | 3 | 0 -INPUTS | 5 | cs1 | Ncs2 | shiftdone | cpu_a<1> | cpu_a<0> -INPUTMC | 1 | 1 | 3 -INPUTP | 4 | 50 | 46 | 59 | 52 +OUTPUTMC | 2 | 2 | 7 | 2 | 17 +INPUTS | 4 | Ncs2 | shiftdone | cpu_a<1> | cpu_a<0> +INPUTMC | 1 | 3 | 0 +INPUTP | 3 | 46 | 59 | 52 EQ | 4 | tc.D = Gnd; - !tc.CLK = cs1 & !Ncs2; + tc.CLK = Ncs2; tc.AP = shiftdone; tc.CE = !cpu_a<1> & !cpu_a<0>; MACROCELL | 0 | 3 | spidataout<0> ATTRIBUTES | 4326240 | 0 -OUTPUTMC | 2 | 1 | 0 | 0 | 3 -INPUTS | 8 | cpu_a<1> | spidataout<0> | cpu_a<0> | cpu_d<0>.PIN | cs1 | Ncs2 | cpu_Nres | cpu_rnw +OUTPUTMC | 2 | 1 | 1 | 0 | 3 +INPUTS | 7 | cpu_a<1> | spidataout<0> | cpu_a<0> | cpu_d<0>.PIN | Ncs2 | cpu_Nres | cpu_rnw INPUTMC | 1 | 0 | 3 -INPUTP | 7 | 59 | 52 | 12 | 50 | 46 | 49 | 24 +INPUTP | 6 | 59 | 52 | 12 | 46 | 49 | 24 EQ | 6 | spidataout<0>.T = !cpu_a<1> & spidataout<0> & !cpu_a<0> & !cpu_d<0>.PIN # !cpu_a<1> & !spidataout<0> & !cpu_a<0> & cpu_d<0>.PIN; - !spidataout<0>.CLK = cs1 & !Ncs2; + spidataout<0>.CLK = Ncs2; spidataout<0>.CE = cpu_Nres & !cpu_rnw; MACROCELL | 0 | 2 | spidataout<1> ATTRIBUTES | 4326240 | 0 -OUTPUTMC | 2 | 1 | 1 | 0 | 2 -INPUTS | 8 | cpu_a<1> | spidataout<1> | cpu_a<0> | cpu_d<1>.PIN | cs1 | Ncs2 | cpu_Nres | cpu_rnw +OUTPUTMC | 2 | 0 | 2 | 1 | 2 +INPUTS | 7 | cpu_a<1> | spidataout<1> | cpu_a<0> | cpu_d<1>.PIN | Ncs2 | cpu_Nres | cpu_rnw INPUTMC | 1 | 0 | 2 -INPUTP | 7 | 59 | 52 | 13 | 50 | 46 | 49 | 24 +INPUTP | 6 | 59 | 52 | 13 | 46 | 49 | 24 EQ | 6 | spidataout<1>.T = !cpu_a<1> & spidataout<1> & !cpu_a<0> & !cpu_d<1>.PIN # !cpu_a<1> & !spidataout<1> & !cpu_a<0> & cpu_d<1>.PIN; - !spidataout<1>.CLK = cs1 & !Ncs2; + spidataout<1>.CLK = Ncs2; spidataout<1>.CE = cpu_Nres & !cpu_rnw; MACROCELL | 0 | 1 | spidataout<2> ATTRIBUTES | 4326240 | 0 OUTPUTMC | 2 | 1 | 0 | 0 | 1 -INPUTS | 8 | cpu_a<1> | spidataout<2> | cpu_a<0> | cpu_d<2>.PIN | cs1 | Ncs2 | cpu_Nres | cpu_rnw +INPUTS | 7 | cpu_a<1> | spidataout<2> | cpu_a<0> | cpu_d<2>.PIN | Ncs2 | cpu_Nres | cpu_rnw INPUTMC | 1 | 0 | 1 -INPUTP | 7 | 59 | 52 | 15 | 50 | 46 | 49 | 24 +INPUTP | 6 | 59 | 52 | 15 | 46 | 49 | 24 EQ | 6 | spidataout<2>.T = !cpu_a<1> & spidataout<2> & !cpu_a<0> & !cpu_d<2>.PIN # !cpu_a<1> & !spidataout<2> & !cpu_a<0> & cpu_d<2>.PIN; - !spidataout<2>.CLK = cs1 & !Ncs2; + spidataout<2>.CLK = Ncs2; spidataout<2>.CE = cpu_Nres & !cpu_rnw; -MACROCELL | 3 | 7 | spidataout<3> +MACROCELL | 0 | 0 | spidataout<3> ATTRIBUTES | 4326240 | 0 -OUTPUTMC | 2 | 1 | 0 | 3 | 7 -INPUTS | 8 | cpu_a<1> | spidataout<3> | cpu_a<0> | cpu_d<3>.PIN | cs1 | Ncs2 | cpu_Nres | cpu_rnw -INPUTMC | 1 | 3 | 7 -INPUTP | 7 | 59 | 52 | 26 | 50 | 46 | 49 | 24 +OUTPUTMC | 2 | 1 | 0 | 0 | 0 +INPUTS | 7 | cpu_a<1> | spidataout<3> | cpu_a<0> | cpu_d<3>.PIN | Ncs2 | cpu_Nres | cpu_rnw +INPUTMC | 1 | 0 | 0 +INPUTP | 6 | 59 | 52 | 26 | 46 | 49 | 24 EQ | 6 | spidataout<3>.T = !cpu_a<1> & spidataout<3> & !cpu_a<0> & !cpu_d<3>.PIN # !cpu_a<1> & !spidataout<3> & !cpu_a<0> & cpu_d<3>.PIN; - !spidataout<3>.CLK = cs1 & !Ncs2; + spidataout<3>.CLK = Ncs2; spidataout<3>.CE = cpu_Nres & !cpu_rnw; -MACROCELL | 3 | 6 | spidataout<4> +MACROCELL | 3 | 8 | spidataout<4> ATTRIBUTES | 4326240 | 0 -OUTPUTMC | 2 | 1 | 0 | 3 | 6 -INPUTS | 8 | cpu_a<1> | spidataout<4> | cpu_a<0> | cpu_d<4>.PIN | cs1 | Ncs2 | cpu_Nres | cpu_rnw -INPUTMC | 1 | 3 | 6 -INPUTP | 7 | 59 | 52 | 27 | 50 | 46 | 49 | 24 +OUTPUTMC | 2 | 1 | 1 | 3 | 8 +INPUTS | 7 | cpu_a<1> | spidataout<4> | cpu_a<0> | cpu_d<4>.PIN | Ncs2 | cpu_Nres | cpu_rnw +INPUTMC | 1 | 3 | 8 +INPUTP | 6 | 59 | 52 | 27 | 46 | 49 | 24 EQ | 6 | spidataout<4>.T = !cpu_a<1> & spidataout<4> & !cpu_a<0> & !cpu_d<4>.PIN # !cpu_a<1> & !spidataout<4> & !cpu_a<0> & cpu_d<4>.PIN; - !spidataout<4>.CLK = cs1 & !Ncs2; + spidataout<4>.CLK = Ncs2; spidataout<4>.CE = cpu_Nres & !cpu_rnw; -MACROCELL | 3 | 5 | spidataout<5> +MACROCELL | 3 | 6 | spidataout<5> ATTRIBUTES | 4326240 | 0 -OUTPUTMC | 2 | 1 | 1 | 3 | 5 -INPUTS | 8 | cpu_a<1> | spidataout<5> | cpu_a<0> | cpu_d<5>.PIN | cs1 | Ncs2 | cpu_Nres | cpu_rnw -INPUTMC | 1 | 3 | 5 -INPUTP | 7 | 59 | 52 | 29 | 50 | 46 | 49 | 24 +OUTPUTMC | 2 | 1 | 0 | 3 | 6 +INPUTS | 7 | cpu_a<1> | spidataout<5> | cpu_a<0> | cpu_d<5>.PIN | Ncs2 | cpu_Nres | cpu_rnw +INPUTMC | 1 | 3 | 6 +INPUTP | 6 | 59 | 52 | 29 | 46 | 49 | 24 EQ | 6 | spidataout<5>.T = !cpu_a<1> & spidataout<5> & !cpu_a<0> & !cpu_d<5>.PIN # !cpu_a<1> & !spidataout<5> & !cpu_a<0> & cpu_d<5>.PIN; - !spidataout<5>.CLK = cs1 & !Ncs2; + spidataout<5>.CLK = Ncs2; spidataout<5>.CE = cpu_Nres & !cpu_rnw; -MACROCELL | 3 | 4 | spidataout<6> +MACROCELL | 3 | 5 | spidataout<6> ATTRIBUTES | 4326240 | 0 -OUTPUTMC | 2 | 3 | 4 | 1 | 2 -INPUTS | 8 | cpu_a<1> | spidataout<6> | cpu_a<0> | cpu_d<6>.PIN | cs1 | Ncs2 | cpu_Nres | cpu_rnw -INPUTMC | 1 | 3 | 4 -INPUTP | 7 | 59 | 52 | 31 | 50 | 46 | 49 | 24 +OUTPUTMC | 2 | 1 | 0 | 3 | 5 +INPUTS | 7 | cpu_a<1> | spidataout<6> | cpu_a<0> | cpu_d<6>.PIN | Ncs2 | cpu_Nres | cpu_rnw +INPUTMC | 1 | 3 | 5 +INPUTP | 6 | 59 | 52 | 31 | 46 | 49 | 24 EQ | 6 | spidataout<6>.T = !cpu_a<1> & spidataout<6> & !cpu_a<0> & !cpu_d<6>.PIN # !cpu_a<1> & !spidataout<6> & !cpu_a<0> & cpu_d<6>.PIN; - !spidataout<6>.CLK = cs1 & !Ncs2; + spidataout<6>.CLK = Ncs2; spidataout<6>.CE = cpu_Nres & !cpu_rnw; MACROCELL | 3 | 3 | spidataout<7> ATTRIBUTES | 4326240 | 0 OUTPUTMC | 2 | 1 | 0 | 3 | 3 -INPUTS | 8 | cpu_a<1> | spidataout<7> | cpu_a<0> | cpu_d<7>.PIN | cs1 | Ncs2 | cpu_Nres | cpu_rnw +INPUTS | 7 | cpu_a<1> | spidataout<7> | cpu_a<0> | cpu_d<7>.PIN | Ncs2 | cpu_Nres | cpu_rnw INPUTMC | 1 | 3 | 3 -INPUTP | 7 | 59 | 52 | 33 | 50 | 46 | 49 | 24 +INPUTP | 6 | 59 | 52 | 33 | 46 | 49 | 24 EQ | 6 | spidataout<7>.T = !cpu_a<1> & spidataout<7> & !cpu_a<0> & !cpu_d<7>.PIN # !cpu_a<1> & !spidataout<7> & !cpu_a<0> & cpu_d<7>.PIN; - !spidataout<7>.CLK = cs1 & !Ncs2; + spidataout<7>.CLK = Ncs2; spidataout<7>.CE = cpu_Nres & !cpu_rnw; +MACROCELL | 2 | 14 | ng_OBUF +ATTRIBUTES | 264962 | 0 +INPUTS | 3 | Ncs2 | nio_stb | nio_sel +INPUTP | 3 | 46 | 9 | 3 +EQ | 1 | + ng = Ncs2 & nio_stb & nio_sel; + MACROCELL | 0 | 4 | int_dout<0> ATTRIBUTES | 265986 | 0 -INPUTS | 10 | cpu_rnw | spidatain<0> | cpu_a<1> | cs1 | Ncs2 | cpu_a<0> | cpu_Nphi2 | divisor<0> | cpha | spi_Nsel -INPUTMC | 4 | 1 | 13 | 0 | 13 | 0 | 17 | 3 | 10 -INPUTP | 6 | 24 | 59 | 50 | 46 | 52 | 20 +INPUTS | 9 | Ncs2 | cpu_rnw | spidatain<0> | cpu_a<1> | cpu_a<0> | cpu_Nphi2 | divisor<0> | cpha | spi_Nsel +INPUTMC | 4 | 3 | 11 | 0 | 13 | 0 | 17 | 3 | 10 +INPUTP | 5 | 46 | 24 | 59 | 52 | 20 EQ | 9 | - cpu_d<0> = cpu_rnw & spi_Nsel & cpu_a<1> & cs1 & !Ncs2 & + cpu_d<0> = !Ncs2 & cpu_rnw & spi_Nsel & cpu_a<1> & cpu_a<0> & cpu_Nphi2 - # cpu_rnw & cpha & !cpu_a<1> & cs1 & !Ncs2 & - cpu_a<0> & cpu_Nphi2 - # cpu_rnw & divisor<0> & cpu_a<1> & cs1 & !Ncs2 & + # !Ncs2 & cpu_rnw & cpha & !cpu_a<1> & cpu_a<0> & + cpu_Nphi2 + # !Ncs2 & cpu_rnw & divisor<0> & cpu_a<1> & !cpu_a<0> & cpu_Nphi2 - # cpu_rnw & spidatain<0> & !cpu_a<1> & cs1 & !Ncs2 & + # !Ncs2 & cpu_rnw & spidatain<0> & !cpu_a<1> & !cpu_a<0> & cpu_Nphi2; - cpu_d<0>.OE = cpu_rnw & cs1 & !Ncs2 & cpu_Nphi2; + cpu_d<0>.OE = !Ncs2 & cpu_rnw & cpu_Nphi2; MACROCELL | 0 | 5 | int_dout<1> ATTRIBUTES | 265986 | 0 -INPUTS | 9 | cpu_rnw | spidatain<1> | cpu_a<1> | cs1 | Ncs2 | cpu_a<0> | cpu_Nphi2 | cpol | divisor<1> -INPUTMC | 3 | 1 | 12 | 0 | 15 | 0 | 12 -INPUTP | 6 | 24 | 59 | 50 | 46 | 52 | 20 +INPUTS | 8 | Ncs2 | cpu_rnw | spidatain<1> | cpu_a<1> | cpu_a<0> | cpu_Nphi2 | cpol | divisor<1> +INPUTMC | 3 | 3 | 9 | 0 | 15 | 0 | 12 +INPUTP | 5 | 46 | 24 | 59 | 52 | 20 EQ | 7 | - cpu_d<1> = cpu_rnw & cpol & !cpu_a<1> & cs1 & !Ncs2 & - cpu_a<0> & cpu_Nphi2 - # cpu_rnw & divisor<1> & cpu_a<1> & cs1 & !Ncs2 & + cpu_d<1> = !Ncs2 & cpu_rnw & cpol & !cpu_a<1> & cpu_a<0> & + cpu_Nphi2 + # !Ncs2 & cpu_rnw & divisor<1> & cpu_a<1> & !cpu_a<0> & cpu_Nphi2 - # cpu_rnw & spidatain<1> & !cpu_a<1> & cs1 & !Ncs2 & + # !Ncs2 & cpu_rnw & spidatain<1> & !cpu_a<1> & !cpu_a<0> & cpu_Nphi2; - cpu_d<1>.OE = cpu_rnw & cs1 & !Ncs2 & cpu_Nphi2; + cpu_d<1>.OE = !Ncs2 & cpu_rnw & cpu_Nphi2; MACROCELL | 0 | 7 | int_dout<2> ATTRIBUTES | 265986 | 0 -INPUTS | 9 | cpu_rnw | spidatain<2> | cpu_a<1> | cs1 | Ncs2 | cpu_a<0> | cpu_Nphi2 | ece | divisor<2> -INPUTMC | 3 | 1 | 11 | 0 | 10 | 0 | 11 -INPUTP | 6 | 24 | 59 | 50 | 46 | 52 | 20 +INPUTS | 8 | Ncs2 | cpu_rnw | spidatain<2> | cpu_a<1> | cpu_a<0> | cpu_Nphi2 | ece | divisor<2> +INPUTMC | 3 | 1 | 12 | 0 | 10 | 0 | 11 +INPUTP | 5 | 46 | 24 | 59 | 52 | 20 EQ | 7 | - cpu_d<2> = cpu_rnw & ece & !cpu_a<1> & cs1 & !Ncs2 & - cpu_a<0> & cpu_Nphi2 - # cpu_rnw & divisor<2> & cpu_a<1> & cs1 & !Ncs2 & + cpu_d<2> = !Ncs2 & cpu_rnw & ece & !cpu_a<1> & cpu_a<0> & + cpu_Nphi2 + # !Ncs2 & cpu_rnw & divisor<2> & cpu_a<1> & !cpu_a<0> & cpu_Nphi2 - # cpu_rnw & spidatain<2> & !cpu_a<1> & cs1 & !Ncs2 & + # !Ncs2 & cpu_rnw & spidatain<2> & !cpu_a<1> & !cpu_a<0> & cpu_Nphi2; - cpu_d<2>.OE = cpu_rnw & cs1 & !Ncs2 & cpu_Nphi2; + cpu_d<2>.OE = !Ncs2 & cpu_rnw & cpu_Nphi2; MACROCELL | 0 | 16 | int_dout<4> ATTRIBUTES | 265986 | 0 -INPUTS | 10 | cpu_rnw | spidatain<4> | cpu_a<1> | cs1 | Ncs2 | cpu_a<0> | cpu_Nphi2 | spi_int | frx | slaveinten -INPUTMC | 3 | 1 | 9 | 0 | 9 | 0 | 8 -INPUTP | 7 | 24 | 59 | 50 | 46 | 52 | 20 | 7 +INPUTS | 9 | Ncs2 | cpu_rnw | spidatain<4> | cpu_a<1> | cpu_a<0> | cpu_Nphi2 | spi_int | frx | slaveinten +INPUTMC | 3 | 1 | 10 | 0 | 9 | 0 | 8 +INPUTP | 6 | 46 | 24 | 59 | 52 | 20 | 7 EQ | 9 | - cpu_d<4> = cpu_rnw & frx & !cpu_a<1> & cs1 & !Ncs2 & + cpu_d<4> = !Ncs2 & cpu_rnw & frx & !cpu_a<1> & cpu_a<0> & + cpu_Nphi2 + # !Ncs2 & cpu_rnw & slaveinten & cpu_a<1> & cpu_a<0> & cpu_Nphi2 - # cpu_rnw & slaveinten & cpu_a<1> & cs1 & !Ncs2 & - cpu_a<0> & cpu_Nphi2 - # cpu_rnw & spidatain<4> & !cpu_a<1> & cs1 & !Ncs2 & + # !Ncs2 & cpu_rnw & spidatain<4> & !cpu_a<1> & !cpu_a<0> & cpu_Nphi2 - # cpu_rnw & cpu_a<1> & cs1 & !Ncs2 & !cpu_a<0> & - !spi_int & cpu_Nphi2; - cpu_d<4>.OE = cpu_rnw & cs1 & !Ncs2 & cpu_Nphi2; + # !Ncs2 & cpu_rnw & cpu_a<1> & !cpu_a<0> & !spi_int & + cpu_Nphi2; + cpu_d<4>.OE = !Ncs2 & cpu_rnw & cpu_Nphi2; MACROCELL | 1 | 2 | shifting2 ATTRIBUTES | 8520480 | 0 -OUTPUTMC | 20 | 1 | 1 | 1 | 13 | 1 | 12 | 1 | 11 | 1 | 10 | 1 | 9 | 1 | 8 | 1 | 7 | 1 | 6 | 3 | 16 | 2 | 1 | 1 | 14 | 1 | 15 | 1 | 4 | 1 | 16 | 3 | 13 | 1 | 5 | 1 | 0 | 1 | 2 | 3 | 15 -INPUTS | 8 | shiftdone | start_shifting | $OpTx$INV$22__$INT | shiftcnt<3> | shiftcnt<2> | shiftcnt<1> | spidataout<6> | shifting2 -INPUTMC | 8 | 1 | 3 | 3 | 2 | 1 | 5 | 1 | 14 | 1 | 15 | 1 | 16 | 3 | 4 | 1 | 2 +OUTPUTMC | 19 | 1 | 1 | 3 | 11 | 3 | 9 | 1 | 12 | 1 | 11 | 1 | 10 | 1 | 9 | 1 | 7 | 1 | 6 | 3 | 16 | 2 | 1 | 3 | 12 | 3 | 14 | 1 | 4 | 3 | 15 | 3 | 13 | 1 | 5 | 1 | 0 | 1 | 2 +INPUTS | 8 | shiftdone | start_shifting | $OpTx$INV$24__$INT | shiftcnt<3> | shiftcnt<2> | shiftcnt<1> | spidataout<1> | shifting2 +INPUTMC | 8 | 3 | 0 | 3 | 2 | 1 | 5 | 3 | 12 | 3 | 14 | 3 | 15 | 0 | 2 | 1 | 2 EXPORTS | 1 | 1 | 1 EQ | 4 | shifting2.D = !shiftdone & start_shifting; - shifting2.CLK = !$OpTx$INV$22__$INT; - shifting2.EXP = !shiftcnt<3> & !shiftcnt<2> & shiftcnt<1> & - !shiftdone & !spidataout<6> & shifting2 + shifting2.CLK = !$OpTx$INV$24__$INT; + shifting2.EXP = shiftcnt<3> & shiftcnt<2> & !shiftcnt<1> & + !shiftdone & !spidataout<1> & shifting2 + +MACROCELL | 2 | 16 | add_dec/XLXN_11 +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 1 | 2 | 8 +INPUTS | 4 | nio_stb | a9 | a8 | a10 +INPUTP | 4 | 9 | 89 | 88 | 90 +EQ | 2 | + !add_dec/XLXN_11.D = !nio_stb & a9 & a8 & a10; + add_dec/XLXN_11.CLK = extclk; // GCK +GLOBALS | 1 | 2 | extclk + +MACROCELL | 3 | 7 | b10_OBUF +ATTRIBUTES | 264962 | 0 +INPUTS | 2 | a10 | nio_sel +INPUTP | 2 | 90 | 3 +EQ | 1 | + b10 = a10 & nio_sel; + +MACROCELL | 3 | 1 | b8_OBUF +ATTRIBUTES | 264962 | 0 +INPUTS | 2 | a8 | nio_sel +INPUTP | 2 | 88 | 3 +EQ | 1 | + b8 = a8 & nio_sel; + +MACROCELL | 3 | 4 | b9_OBUF +ATTRIBUTES | 264962 | 0 +INPUTS | 2 | a9 | nio_sel +INPUTP | 2 | 89 | 3 +EQ | 1 | + b9 = a9 & nio_sel; MACROCELL | 3 | 13 | led_OBUF ATTRIBUTES | 264962 | 0 @@ -610,22 +651,31 @@ INPUTMC | 3 | 3 | 10 | 3 | 2 | 1 | 2 EQ | 1 | led = spi_Nsel & !start_shifting & !shifting2; -MACROCELL | 2 | 8 | cpu_Nirq_OBUFE +MACROCELL | 2 | 8 | noe_OBUF +ATTRIBUTES | 264962 | 0 +INPUTS | 3 | nio_sel | add_dec/XLXN_11 | nio_stb +INPUTMC | 1 | 2 | 16 +INPUTP | 2 | 3 | 9 +EQ | 2 | + !noe = !nio_stb & add_dec/XLXN_11 + # !nio_sel & add_dec/XLXN_11; + +MACROCELL | 1 | 8 | cpu_Nirq_OBUFE ATTRIBUTES | 265986 | 0 INPUTS | 1 | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST -INPUTMC | 1 | 3 | 0 +INPUTMC | 1 | 2 | 17 EQ | 2 | cpu_Nirq = Gnd; cpu_Nirq.OE = cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST; -MACROCELL | 1 | 5 | $OpTx$INV$22__$INT +MACROCELL | 1 | 5 | $OpTx$INV$24__$INT ATTRIBUTES | 133888 | 0 -OUTPUTMC | 16 | 1 | 1 | 1 | 13 | 1 | 12 | 1 | 11 | 1 | 10 | 1 | 9 | 1 | 8 | 1 | 7 | 1 | 6 | 3 | 16 | 1 | 14 | 1 | 15 | 1 | 4 | 1 | 16 | 1 | 3 | 1 | 2 +OUTPUTMC | 16 | 1 | 1 | 3 | 11 | 3 | 9 | 1 | 12 | 1 | 11 | 1 | 10 | 1 | 9 | 1 | 7 | 1 | 6 | 3 | 16 | 3 | 12 | 3 | 14 | 1 | 4 | 3 | 15 | 3 | 0 | 1 | 2 INPUTS | 5 | ece | cpu_Nphi2 | extclk | start_shifting | shifting2 INPUTMC | 3 | 0 | 10 | 3 | 2 | 1 | 2 INPUTP | 2 | 20 | 21 EQ | 3 | - $OpTx$INV$22__$INT = ece & !extclk + $OpTx$INV$24__$INT = ece & !extclk # !ece & !cpu_Nphi2 # !start_shifting & !shifting2; @@ -633,16 +683,16 @@ MACROCELL | 1 | 17 | start_shifting/start_shifting_RSTF__$INT ATTRIBUTES | 133888 | 0 OUTPUTMC | 1 | 3 | 2 INPUTS | 2 | cpu_Nres | shiftdone -INPUTMC | 1 | 1 | 3 +INPUTMC | 1 | 3 | 0 INPUTP | 1 | 49 EQ | 1 | start_shifting/start_shifting_RSTF__$INT = cpu_Nres & !shiftdone; -MACROCELL | 3 | 0 | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST +MACROCELL | 2 | 17 | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST ATTRIBUTES | 133888 | 0 -OUTPUTMC | 1 | 2 | 8 +OUTPUTMC | 1 | 1 | 8 INPUTS | 4 | ier | tc | slaveinten | spi_int -INPUTMC | 3 | 3 | 8 | 3 | 1 | 0 | 8 +INPUTMC | 3 | 3 | 17 | 1 | 3 | 0 | 8 INPUTP | 1 | 7 EQ | 2 | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST = ier & tc @@ -651,52 +701,50 @@ EQ | 2 | MACROCELL | 1 | 0 | EXP6_ ATTRIBUTES | 2048 | 0 OUTPUTMC | 1 | 1 | 1 -INPUTS | 10 | shiftcnt<3> | shiftcnt<2> | shiftcnt<1> | shiftdone | spidataout<0> | shifting2 | spidataout<2> | spidataout<3> | spidataout<4> | spidataout<7> -INPUTMC | 10 | 1 | 14 | 1 | 15 | 1 | 16 | 1 | 3 | 0 | 3 | 1 | 2 | 0 | 1 | 3 | 7 | 3 | 6 | 3 | 3 +INPUTS | 10 | shiftcnt<3> | shiftcnt<2> | shiftcnt<1> | shiftdone | spidataout<2> | shifting2 | spidataout<3> | spidataout<5> | spidataout<6> | spidataout<7> +INPUTMC | 10 | 3 | 12 | 3 | 14 | 3 | 15 | 3 | 0 | 0 | 1 | 1 | 2 | 0 | 0 | 3 | 6 | 3 | 5 | 3 | 3 EXPORTS | 1 | 1 | 1 EQ | 10 | - EXP6_.EXP = shiftcnt<3> & shiftcnt<2> & shiftcnt<1> & - !shiftdone & !spidataout<0> & shifting2 - # shiftcnt<3> & !shiftcnt<2> & shiftcnt<1> & + EXP6_.EXP = shiftcnt<3> & !shiftcnt<2> & shiftcnt<1> & !shiftdone & !spidataout<2> & shifting2 # shiftcnt<3> & !shiftcnt<2> & !shiftcnt<1> & !shiftdone & !spidataout<3> & shifting2 - # !shiftcnt<3> & shiftcnt<2> & shiftcnt<1> & - !shiftdone & !spidataout<4> & shifting2 + # !shiftcnt<3> & shiftcnt<2> & !shiftcnt<1> & + !shiftdone & !spidataout<5> & shifting2 + # !shiftcnt<3> & !shiftcnt<2> & shiftcnt<1> & + !shiftdone & !spidataout<6> & shifting2 # !shiftcnt<3> & !shiftcnt<2> & !shiftcnt<1> & !shiftdone & !spidataout<7> & shifting2 -MACROCELL | 3 | 15 | EXP7_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 3 | 16 -INPUTS | 5 | cpu_Nres | cpha | shiftcnt<0> | shiftdone | shifting2 -INPUTMC | 4 | 0 | 17 | 1 | 4 | 1 | 3 | 1 | 2 -INPUTP | 1 | 49 -EXPORTS | 1 | 3 | 16 -EQ | 2 | - EXP7_.EXP = cpu_Nres & cpha & !shiftcnt<0> & !shiftdone & - shifting2 - -PIN | cpu_Nres | 64 | 0 | N/A | 49 | 36 | 1 | 1 | 3 | 10 | 0 | 15 | 0 | 10 | 0 | 17 | 0 | 9 | 3 | 8 | 0 | 8 | 0 | 6 | 0 | 13 | 0 | 12 | 0 | 11 | 1 | 13 | 1 | 12 | 1 | 11 | 1 | 10 | 1 | 9 | 1 | 8 | 1 | 7 | 1 | 6 | 3 | 16 | 1 | 14 | 1 | 15 | 1 | 4 | 1 | 16 | 1 | 3 | 0 | 3 | 0 | 2 | 0 | 1 | 3 | 7 | 3 | 6 | 3 | 5 | 3 | 4 | 3 | 3 | 1 | 17 | 3 | 15 -PIN | cpu_rnw | 64 | 0 | N/A | 24 | 28 | 3 | 10 | 0 | 15 | 0 | 10 | 0 | 17 | 0 | 9 | 3 | 8 | 0 | 8 | 0 | 6 | 0 | 13 | 0 | 12 | 0 | 11 | 0 | 14 | 2 | 1 | 2 | 4 | 2 | 7 | 3 | 2 | 0 | 3 | 0 | 2 | 0 | 1 | 3 | 7 | 3 | 6 | 3 | 5 | 3 | 4 | 3 | 3 | 0 | 4 | 0 | 5 | 0 | 7 | 0 | 16 -PIN | Ncs2 | 64 | 0 | N/A | 46 | 29 | 3 | 10 | 0 | 15 | 0 | 10 | 0 | 17 | 0 | 9 | 3 | 8 | 0 | 8 | 0 | 6 | 0 | 13 | 0 | 12 | 0 | 11 | 0 | 14 | 2 | 1 | 2 | 4 | 2 | 7 | 3 | 2 | 3 | 1 | 0 | 3 | 0 | 2 | 0 | 1 | 3 | 7 | 3 | 6 | 3 | 5 | 3 | 4 | 3 | 3 | 0 | 4 | 0 | 5 | 0 | 7 | 0 | 16 -PIN | cs1 | 64 | 0 | N/A | 50 | 29 | 3 | 10 | 0 | 15 | 0 | 10 | 0 | 17 | 0 | 9 | 3 | 8 | 0 | 8 | 0 | 6 | 0 | 13 | 0 | 12 | 0 | 11 | 0 | 14 | 2 | 1 | 2 | 4 | 2 | 7 | 3 | 2 | 3 | 1 | 0 | 3 | 0 | 2 | 0 | 1 | 3 | 7 | 3 | 6 | 3 | 5 | 3 | 4 | 3 | 3 | 0 | 4 | 0 | 5 | 0 | 7 | 0 | 16 -PIN | cpu_a<0> | 64 | 0 | N/A | 52 | 29 | 3 | 10 | 0 | 15 | 0 | 10 | 0 | 17 | 0 | 9 | 3 | 8 | 0 | 8 | 0 | 6 | 0 | 13 | 0 | 12 | 0 | 11 | 0 | 14 | 2 | 1 | 2 | 4 | 2 | 7 | 3 | 2 | 3 | 1 | 0 | 3 | 0 | 2 | 0 | 1 | 3 | 7 | 3 | 6 | 3 | 5 | 3 | 4 | 3 | 3 | 0 | 4 | 0 | 5 | 0 | 7 | 0 | 16 -PIN | cpu_a<1> | 64 | 0 | N/A | 59 | 29 | 3 | 10 | 0 | 15 | 0 | 10 | 0 | 17 | 0 | 9 | 3 | 8 | 0 | 8 | 0 | 6 | 0 | 13 | 0 | 12 | 0 | 11 | 0 | 14 | 2 | 1 | 2 | 4 | 2 | 7 | 3 | 2 | 3 | 1 | 0 | 3 | 0 | 2 | 0 | 1 | 3 | 7 | 3 | 6 | 3 | 5 | 3 | 4 | 3 | 3 | 0 | 4 | 0 | 5 | 0 | 7 | 0 | 16 -PIN | spi_miso | 64 | 0 | N/A | 10 | 1 | 1 | 13 +PIN | cpu_Nres | 64 | 0 | N/A | 49 | 35 | 1 | 1 | 3 | 10 | 0 | 15 | 0 | 10 | 0 | 17 | 0 | 9 | 3 | 17 | 0 | 8 | 0 | 6 | 0 | 13 | 0 | 12 | 0 | 11 | 3 | 11 | 3 | 9 | 1 | 12 | 1 | 11 | 1 | 10 | 1 | 9 | 1 | 7 | 1 | 6 | 3 | 16 | 3 | 12 | 3 | 14 | 1 | 4 | 3 | 15 | 3 | 0 | 0 | 3 | 0 | 2 | 0 | 1 | 0 | 0 | 3 | 8 | 3 | 6 | 3 | 5 | 3 | 3 | 1 | 17 +PIN | Ncs2 | 64 | 0 | N/A | 46 | 30 | 3 | 10 | 0 | 15 | 0 | 10 | 0 | 17 | 0 | 9 | 3 | 17 | 0 | 8 | 0 | 6 | 0 | 13 | 0 | 12 | 0 | 11 | 0 | 14 | 2 | 1 | 2 | 4 | 2 | 7 | 3 | 2 | 1 | 3 | 0 | 3 | 0 | 2 | 0 | 1 | 0 | 0 | 3 | 8 | 3 | 6 | 3 | 5 | 3 | 3 | 2 | 14 | 0 | 4 | 0 | 5 | 0 | 7 | 0 | 16 +PIN | cpu_rnw | 64 | 0 | N/A | 24 | 28 | 3 | 10 | 0 | 15 | 0 | 10 | 0 | 17 | 0 | 9 | 3 | 17 | 0 | 8 | 0 | 6 | 0 | 13 | 0 | 12 | 0 | 11 | 0 | 14 | 2 | 1 | 2 | 4 | 2 | 7 | 3 | 2 | 0 | 3 | 0 | 2 | 0 | 1 | 0 | 0 | 3 | 8 | 3 | 6 | 3 | 5 | 3 | 3 | 0 | 4 | 0 | 5 | 0 | 7 | 0 | 16 +PIN | cpu_a<0> | 64 | 0 | N/A | 52 | 29 | 3 | 10 | 0 | 15 | 0 | 10 | 0 | 17 | 0 | 9 | 3 | 17 | 0 | 8 | 0 | 6 | 0 | 13 | 0 | 12 | 0 | 11 | 0 | 14 | 2 | 1 | 2 | 4 | 2 | 7 | 3 | 2 | 1 | 3 | 0 | 3 | 0 | 2 | 0 | 1 | 0 | 0 | 3 | 8 | 3 | 6 | 3 | 5 | 3 | 3 | 0 | 4 | 0 | 5 | 0 | 7 | 0 | 16 +PIN | cpu_a<1> | 64 | 0 | N/A | 59 | 29 | 3 | 10 | 0 | 15 | 0 | 10 | 0 | 17 | 0 | 9 | 3 | 17 | 0 | 8 | 0 | 6 | 0 | 13 | 0 | 12 | 0 | 11 | 0 | 14 | 2 | 1 | 2 | 4 | 2 | 7 | 3 | 2 | 1 | 3 | 0 | 3 | 0 | 2 | 0 | 1 | 0 | 0 | 3 | 8 | 3 | 6 | 3 | 5 | 3 | 3 | 0 | 4 | 0 | 5 | 0 | 7 | 0 | 16 +PIN | spi_miso | 64 | 0 | N/A | 10 | 1 | 3 | 11 +PIN | nio_stb | 64 | 0 | N/A | 9 | 3 | 2 | 14 | 2 | 16 | 2 | 8 +PIN | a9 | 64 | 0 | N/A | 89 | 2 | 2 | 16 | 3 | 4 +PIN | a8 | 64 | 0 | N/A | 88 | 2 | 2 | 16 | 3 | 1 +PIN | a10 | 64 | 0 | N/A | 90 | 2 | 2 | 16 | 3 | 7 PIN | cpu_Nphi2 | 64 | 0 | N/A | 20 | 9 | 0 | 14 | 2 | 1 | 2 | 4 | 2 | 7 | 0 | 4 | 0 | 5 | 0 | 7 | 0 | 16 | 1 | 5 -PIN | spi_int | 64 | 0 | N/A | 7 | 2 | 0 | 16 | 3 | 0 -PIN | extclk | 64 | 0 | N/A | 21 | 1 | 1 | 5 +PIN | nio_sel | 64 | 0 | N/A | 3 | 5 | 2 | 14 | 3 | 7 | 3 | 1 | 3 | 4 | 2 | 8 +PIN | spi_int | 64 | 0 | N/A | 7 | 2 | 0 | 16 | 2 | 17 +PIN | extclk | 8256 | 0 | N/A | 21 | 2 | 1 | 5 | 2 | 16 PIN | spi_mosi | 536871040 | 0 | N/A | 87 PIN | spi_Nsel | 536871040 | 0 | N/A | 68 PIN | spi_sclk | 536871040 | 0 | N/A | 83 +PIN | ng | 536871040 | 0 | N/A | 50 +PIN | b10 | 536871040 | 0 | N/A | 65 +PIN | b8 | 536871040 | 0 | N/A | 62 +PIN | b9 | 536871040 | 0 | N/A | 63 PIN | led | 536871040 | 0 | N/A | 72 -PIN | cpu_Nirq | 536871040 | 0 | N/A | 38 -PIN | cpu_d<3> | 536870976 | 0 | N/A | 26 | 2 | 0 | 6 | 3 | 7 -PIN | cpu_d<5> | 536870976 | 0 | N/A | 29 | 1 | 3 | 5 -PIN | cpu_d<6> | 536870976 | 0 | N/A | 31 | 2 | 3 | 8 | 3 | 4 +PIN | noe | 536871040 | 0 | N/A | 38 +PIN | cpu_Nirq | 536871040 | 0 | N/A | 92 +PIN | cpu_d<3> | 536870976 | 0 | N/A | 26 | 2 | 0 | 6 | 0 | 0 +PIN | cpu_d<5> | 536870976 | 0 | N/A | 29 | 1 | 3 | 6 +PIN | cpu_d<6> | 536870976 | 0 | N/A | 31 | 2 | 3 | 17 | 3 | 5 PIN | cpu_d<7> | 536870976 | 0 | N/A | 33 | 1 | 3 | 3 PIN | cpu_d<0> | 536870976 | 0 | N/A | 12 | 4 | 3 | 10 | 0 | 17 | 0 | 13 | 0 | 3 PIN | cpu_d<1> | 536870976 | 0 | N/A | 13 | 3 | 0 | 15 | 0 | 12 | 0 | 2 PIN | cpu_d<2> | 536870976 | 0 | N/A | 15 | 3 | 0 | 10 | 0 | 11 | 0 | 1 -PIN | cpu_d<4> | 536870976 | 0 | N/A | 27 | 3 | 0 | 9 | 0 | 8 | 3 | 6 +PIN | cpu_d<4> | 536870976 | 0 | N/A | 27 | 3 | 0 | 9 | 0 | 8 | 3 | 8 diff --git a/spi6502b.ngc b/spi6502b.ngc index 6cd68ec..b81ac5d 100644 --- a/spi6502b.ngc +++ b/spi6502b.ngc @@ -1,3 +1,3 @@ XILINX-XDB 0.1 STUB 0.1 ASCII XILINX-XDM V1.2e -$32b44<:3CE\XZ5cqw\e97=87;9754FNNWW>fvrWm6<6=0;;@LG61=FFM9?7L@K499AQVYNFOE:7N<4CD18GIT>3JEFADZ[EE58GWCF\LN:7I94D`vb85803Mkm1?11:G1?CB43ONH86HKCD68BAEW;2LO\95IDQG2?B2O7:2C:>6G=2:K00>OE]O90ECX=;HM5?LIE]OO<7AAHIBCOe>JWEVRD^?Q>1`9NeabXWg:;8:QBxnp\V`urd}6:2?00321>KflmUTb=>;7^]m4563494:96Cnde]\j563?VUe<=>9<1<26>Kj}qUTb=>>9^Pfwpjs9:1FaxvP_o235?18]Qavsk|:;87@czx^]m457>W[oxyaz;129Nip~XWg:;=4Q]erwop0753Dg~tRQa0107[Wct}e~:?6Cbuy]\j565T^h}zlu626>Kj}qUTb=>=5^Pfwpjs9:1FaxvP_o2360YUmzgxS_k|umv156=Je|rTSc>?24]Qavsk|:;87@czx^]m4542W[oxyaz;139Nip~XWg:;>;Q]erwop45VXnxb{2018IhsWVd;?26]Qavsk|=;97@czx^]m454?W[oxyaz>3:Onq}YXf9:94R\jstnw5455Bmtz\[k67:0UYi~{ct030?HkrpVUe<=<6_Sgpqir59:1FaxvP_o236?<;Lov|ZYi8982S_k|umv757=Je|rTSc>?31]Qavsk|890A`{w_^l3466XZly~`y?>3:Onq}YXf9:8?PRdqvhq743Dg~tRQa0112[Wct}e~:=>5Bmtz\[k67;8UYi~{ct330?HkrpVUe<==>_Sgpqir49:1FaxvP_o2374YUmzgx9?:;Lov|ZYi8999SD>PRdqvhq713Dg~tRQa0111[L6XZly~`y?>6:Onq}YXf9:8>RG?_Sgpqir59?1FaxvP_o2377YN8VXnxb{3048IhsWVd;<>7@czx^]m4555W@;T^h}zlu35?HkrpVUe<===_H3\V`urd};::6Cbuy]\j564:VC:S_k|umv153=Je|rTSc>?33]J5ZTb{|f?<84Mlw{[Zh78:8TE2GfyuQPn1206ZO5W[oxyaz=179Nip~XWg:;??QF2^Pfwpjs;8<0A`{w_^l3464XA;UYi~{ct536?HkrpVUe<==;_H2\V`urd};=7@czx^]m4553W@:T^h}zlu322>Kj}qUTb=><4^K3[Wct}e~9=;5Bmtz\[k67;=UB6:Onq}YXf9:88RG>_Sgpqir59?1FaxvP_o2371YN9VXnxb{3048IhsWVd;<>:PI0]Qavsk|=;>7@czx^]m4553W@8T^h}zlu35?HkrpVUe<==;_H0\V`urd};::6Cbuy]\j564?35]J6ZTb{|f?<84Mlw{[Zh78:>TE?Q]erwop1723Dg~tRQa0117[L5XZly~`y?9;Lov|ZYi899?SD=PRdqvhq76>2GfyuQPn1200ZO4W[oxyaz=179Nip~XWg:;?9QF3^Pfwpjs;8<0A`{w_^l3462XA:UYi~{ct536?HkrpVUe<==;_H6\V`urd};=7@czx^]m4553W@>T^h}zlu322>Kj}qUTb=><4^K7[Wct}e~9=;5Bmtz\[k67;=UB8R\jstnw7406:Onq}YXf9:88RG:_Sgpqir59?1FaxvP_o2371YN=VXnxb{3048IhsWVd;<>:PI4]Qavsk|=;>7@czx^]m4553W@?35]J2ZTb{|f?<84Mlw{[Zh78:>TE;Q]erwop1723Dg~tRQa0117[L1XZly~`y?9;Lov|ZYi899?SD9PRdqvhq76>2GfyuQPn1200ZO0W[oxyaz=179Nip~XWg:;?9QF7^Pfwpjs;8<0A`{w_^l3462XA>UYi~{ct534?H~hzVUe<=:?_LzlvZTb{|f=:5Bxnp\[k67<9UFtb|P_o2345723Drd~RQa0163[Zh78987<3;4N3:;=0=I:13:96@=8816?K4?1=2D95=?:;O0:4620XT^Jc:WPAWYQAZCI@H=4VBA:?SOB_V^R\H?k;YKOMK^*PMH+<#?/SUWA$5(6(HYHED:4XNP12>^T\ECI;6V\T^EM@2=_[]U]ON84Xe`\Ma773QnfS@oeosTfvvohf8:0TicPMhllvScu{`ee:6V`<1<27>^t|01Tb=>>6=2=e>Yi89;=0=0>a:]m4571494956Qa0135848f3Vd;<<831?3:?Zh788<7>3o4_o2353:56830Sc>?17>0:d=Xf9:::1=1179\j566?>1Tb=>>7048[k6791=0Sc>?193;?Zh7882:<55Pn122<47?3Vd;<<6>299\j56608937R`?00:20==Xf9::4<;7;^l344>6>11Tb=>>805;?Zh7882:455Pn122<4?03Vd;<<6=8:]m457?:920Sc>?1902<>Yi89;3>?64_o235=4402Ue<=?725:8[k67918>46Qa013;63>?190:3>Yi89;3?:5Pn122<111?2Ue<=?7769\j56601=0Sc>?19;5?Zh7883=7R`?0324?Zh78;::;6Qa010362=Xf9:9<>84_o23641369\j5659=<0Sc>?2548[k67:<<0Sc>?2748[k67:><0Sc>?2948[k67:0<0Sc>?3148[k67;830Sc>?33>3:<=Xf9:8>1?19:]m45554;427R`?026?4;?>89\j564<58556Qa0117868>3Vd;<>:34?;8[k67;=6>245Pn120090912Ue<==;<6<5?Zh78:=<7R`?02522>Yi8993;6Qa011;52=Xf9:84?84_o230717:]m4523:>1Tb=>;4248[k67<<=0Sc>?4434?Zh78=?9:6Qa01653>Yi89>==:5Pn12727?>89\j563?58556Qa016486813Vd;<;>8;^l34366?2Ue<=8?279\j56?;>1Tb=>730;8[k670<6;2;5Pn13352=Xf9;;=<94_o2244403Vd;==?<7:]m4466<>1Tb=??1458[k6688<=7R`?1104?Zh7998:;6Qa002162=Xf9;;>>94_o2247203Vd;==<:7:]m4465>?1Tb=??369\j577;8=0Sc>>0204?Zh79998;6Qa002002=Xf9;;?894_o2246003Vd;===86:]m4463?2Ue<<>;199\j577<8:37R`?11625==Xf9;;8<<7;^l35526;11Tb=??4064?Zh799>9;6Qa002772=Xf9;;8994_o2241303Vd;==:97:]m4463?>1Tb=??4958[k668=3=7R`?1174?Zh799?:;6Qa002662=Xf9;;9>94_o2240203Vd;==;:7:]m4462>?1Tb=??769\j577?820Sc>>0633<>Yi88:<=<94_o2242403Vd;==9<7:]m4460<>1Tb=??7458[k668><<7R`?11543>Yi88:<4:5Pn1333<0099\j57718;<7R`?11;13>Yi88:2?:5Pn133=116769\j57711=0Sc>>08;5?Zh798;<7R`?1032<>Yi88;:==64_o22547602Ue<13:8[k6698;8;6Qa003262=Xf9;:=>94_o2254203Vd;=>1Tb=?>1658[k66982<7R`?103:7>dtq=1it?;;cqz61=e{p9?7n~ga59`tjj?3jz~SCg}qd9`tpYIa{{TCO[IE89`tpYIxac9i6mu^Lsll4XAK_M46mu^Lqavb2:f=dx|UjS=QFBTD`?fvrWhU:SDLZF99`tpYc49437n~z_e>2:==dx|Uo0?07;brv[a:4611h|xQk<5<;?fvrWm6>255lpt]g838f3jz~Si28:1<;?fvrWm6<2h5lpt]g[5YNGK_MIh5lpt]g[4YNGK_MIh5lpt]g[7YNGK_MIh5lpt]g[6YNGK_MIh5lpt]g[1YNGK_MIh5lpt]g[0YNGK_MIh5lpt]g[3YNGK_MIh5lpt]g[2YNGK_MI:5lpt]qjreet911h3mc}e~a}_1;8`lpn{fxT=45kiwkpkwY5m2nbzd}`r^0\J6>?n2nbzd}`r^0\J6>?9o1oe{g|os]1[K5?0;l0hdxfsnp\6ZH4019n7igyirmq[7YI;0:m7igyirmq[7YI;0::?6kle79f|qekck1ntymck^KAQC5oi|Vndyy2;>c9jjqYcg|~793l4iov\`jss4?4i7d`{_emvp91902cexRcfsn;8mkrXe`yd=45fnu]nmvi502cexRc`sh58mkrXg|~37d`{_nww5==nf}Udyy<7;hlw[jss;11bbyQ`uu6;?lhsWziggk5fnu]pgimXWg:;<=??;hlw[vekcVUe<=>?1028mkrX{jf`SR`?012155=nf}UxoaeP_o23455a3`dS~mck^]m4566991bbyQ|cmi\[k6788;:<6gat^q`hnYXf9:;=?94ir]qadb?3`yT~hok199jwZtbim837d}Prdcg7==n{Vxnmi:<;mgg0>jbl820`hjPOCWE1>tb{l~=7k|eu3;?vnnn}igg45|hhdwgim612ycekzllj0:?vnnn}igg>74sikepfjl<01xddh{cmi6=>uoao~h`f8m;rjjbqei|5:5n6}gigv`jq:66k1xddh{cov?6;d<{acmxn`{<2n;rjjbqei|V;j7~fffuampZ4f3zbbjymat^1:?vnnn}ndbh74sikeplh`:8:0egithld6ZYi89:;=<5|hhdwmka5WVd;<=>>109pll`sagm9SR`?0121e>ukiobbyka8:qoesctme20}g9510a<>uwaVDxiaj4sqk\JvckWFH^J:5|ph]jjqe<{ycTeczPICWE<>uwaVgbbj4sqk\iluhW@H^J55|ph]nkvob3zzbS`a|i^MAQCC?3zzbS~mcke9ptlYtkeaTCO[Ic:qsmagsi`d7<3m4sqkgeqgnf5;5o6}iecwelh;:7i0}gkaucjj959k2y{eio{ahl?0;e<{ycomyofn=7=g>uwamkmd`36?a8wuoci}kbb191b:qsmagsi`dTuwamkmd`P4c9ptlbf|hceS8l4sqkgeqgnfV1:a=tx`njxlazt=1=`>uwamkmb{{<5e9ptlbf|he~x191c:qsmagsifS=m4sqkgeqgh}}U:o6}iecwejssW;i0}gkauclqqY4k2y{eio{anww[1e<{ycomyo`uu]6g>uwamkmb{{_7a8wuoci}kdyyQ8e:qwewrX{acmxd`h2:v`7>rjg11so4;?0yn~DEv0ih1KLu?kb;D96?7|[h0i47?9:010g26f2;?h4=uab482?kd12;1/n94nf:P=?d?28<1=>=l71c960e?82n:ho4?:082Vg=j10::7?<3b53e?42k1:0zYon:182>4<68m70:&ba?463-k?6?>6;c3gf?6=9>0:?54>4`yY1?4|83;1q)om:0fa?Mgd3`;n<7>5;h3;b?6=3`;<97>5;h3;`?6=3`;5;h;`>5<5<7683>!g128=37co::098/41d290/m;4>829me0<032!:;i4?:%c5>4>43gk>6;54+05f>5<#i?0:4>5aa486?>-6?o0;6)o9:0:0?kg22=10'<6?:18'e3<60:1em84<;:)2<4<72-k=6<6<;oc6>7=<#8296=4+a782<6=ii<0:76%>8583>!g128287co::198k4162900c5<7s-ki6?5Gab9Kf4=n900;66g>a;29?j?b2900qo?:4;297?6=8r.jn7<4H`a8Lg7>i>m3:17pl>4983>6<729q/mo4=;Ic`?Md63`;26=44i0c94?=h1l0;66sm15694?2=83:p(ll53:Jbg>Ne92c:57>5;h3b>5<>{e9:?1<7=50;2x dd=:2Bjo6Fm1:k2=?6=3`;j6=44o8g94?=zj88n6=4;:183!ge2:1Cmn5Gb09j5<<722c:m7>5;h3a>5<5<3290;w)om:29Kef=Oj81b=44?::k2e?6=3`;i6=44o8g94?=zj8;m6=4;:183!ge2:1Cmn5Gb09j5<<722c:m7>5;h3a>5<5<3290;w)om:028Lde<@k;0eo4?::k;>5<5<=831vn4>50;195?5|,hh1>?5Gab9jg?6=3`n1<75`a883>>de?3:1?7>50z&bf?d73Akh7El>;h3:>5<>{tk3:1>vPl;<`4>4?f2<6i2wxm44?:3y]e<=:j>02i6srb3194?5=939p(ll5239Kef=nk3:17dj50;9le<<722hi;7>53;294~"fj3h;7Eol;I`2?l7>2900e52z\`?8d02830q~j50;0xZa=:j>0:m6s|a883>7}Yi016n:46e:~f4b4290?6=4?{%ca>46<@hi0Do?4ic83>>o?2900e;4?::mb5}#ik0:i6Fnc:Ja5>o603:17b7j:188yg4783:197>50z&bf?763Akh7El>;h`94?=n?3:17d650;9j2?6=3fk36=44}c3:0?6==3:15<7s-ki6<94H`a8Lg75<2290;w)om:038Lde<@k;0eo4?::k4>5<=831vno=50;394?6|,hh1mi5Gab9Kf4=hi:0;66sm10794?5=83:p(ll52:Jbg>Ne92c:57>5;h3b>5<Ne92c:57>5;h3b>5<5<2290;w)om:038Lde<@k;0eo4?::k4>5<=831vn:180>5<7s-ki6?5Gab9Kf4=n900;66g>a;29?j?b2900qo5<7s-ki6l?4H`a8Lg7>i>m3:17pl74;297?6=8r.jn7o>;Ic`?Md63`;26=44i0c94?=h1l0;66sm7g83>6<729q/mo4n1:Jbg>Ne92c:57>5;h3b>5<5<4290;w)om:`38Lde<@k;0e<750;9j5d<722e2i7>5;|`44?6=;3:1Nfk2Bi=6g>9;29?l7f2900c4k50;9~f37=8391<7>t$``9e4=Oij1Cn<5f1883>>o6i3:17b7j:188ygbf290?6=4?{%ca>d4<@hi0Do?4i0;94?=n9h0;66g>b;29?j?b2900qo??f;297?6=8r.jn7<4H`a8Lg7>i>m3:17pl>0b83>6<729q/mo4=;Ic`?Md63`;26=44i0c94?=h1l0;66sm11;94?5=83:p(ll52:Jbg>Ne92c:57>5;h3b>5<5<4290;w)om:39Kef=Oj81b=44?::k2e?6=3f3n6=44}c337?6=;3:15;|`245<72<0;6=u+ac87?Mgd3Ah:7d?l:188m4?=831b=l4?::k2f?6=3f3n6=44}c3eb?6==3:15<7s-ki6>{e000;6>4?:1y'eg>o12900cl650;9~f4d529086=4?{%ca>d7<@hi0Do?4i0;94?=n9h0;66a6e;29?xd6ij0;6>4?:1y'eg<53Akh7El>;h3:>5<>{e90n1<7;50;2x dd=981Cmn5Gb09jf?6=3`=1<75f8;29?l0=831dm54?::a5g`=8391<7>t$``96>Nfk2Bi=6g>9;29?l7f2900c4k50;9~f4?f29096=4?{%ca>4c<@hi0Do?4i0:94?=h1l0;66sm1b694?4=83:p(ll51d9Kef=Oj81b=54?::m:a?6=3th:jh4?:483>5}#ik0:=6Fnc:Ja5>oe2900e:4?::k;>5<5<0;66g7:188m3<722ej47>5;|`22`<72:0;6=u+ac81?Mgd3Ah:7d?6:188m4g=831d5h4?::a<`<72:0;6=u+ac8b5>Nfk2Bi=6g>9;29?l7f2900c4k50;9~f01=8391<7>t$``9e4=Oij1Cn<5f1883>>o6i3:17b7j:188yg3529086=4?{%ca>d7<@hi0Do?4i0;94?=n9h0;66a6e;29?xd3l3:1?7>50z&bf?g63Akh7El>;h3:>5<>{e<10;6>4?:1y'eg5;n;f>5<53;294~"fj3k:7Eol;I`2?l7>2900e5}#ik0j=6Fnc:Ja5>o613:17d?n:188k?5Gab9jg?6=3`n1<75`a883>>de?3:1?7>50z&bf?d73Akh7El>;h3:>5<>{tk3:1>vPl;<`4>4?f2<6i2wxm44?:3y]e<=:j>02i6srb4a94?5=83:p(ll5a09Kef=Oj81b=44?::k2e?6=3f3n6=44}c3f7?6=;3:15;|`237<72:0;6=u+ac8b4>Nfk2Bi=6g>8;29?lc=831d5h4?::a5=e=83>1<7>t$``965=Oij1Cn<5f1983>>ob2900c4k50;9l5c<722wi=nl50;194?6|,hh1>6Fnc:Ja5>o613:17d?n:188k5<7s-ki6=831d5h4?::a5a?=83?1<7>t$``954=Oij1Cn<5fb;29?l1=831b47>5;h494?=hi10;66sm1ec94?3=83:p(ll5159Kef=Oj81bn7>5;h594?=ni>0;66g9:188kd>=831vn:186>5<7s-ki6>o12900cl650;9~f4b229086=4?{%ca>c=Oij1Cn<5fb;29?l0=831dm54?::a5a2=83>1<7>t$``955=Oij1Cn<5fb;29?l>=831b:7>5;nc;>5<54;294~"fj3;;7Eol;I`2?ld=831b47>5;h494?=hi10;66sm1g794?3=83:p(ll5109Kef=Oj81bn7>5;h594?=n03:17d850;9le=<722wi=k:50;794?6|,hh1=<5Gab9Kf4=nj3:17d950;9j>{e9o91<7;50;2x dd=981Cmn5Gb09jf?6=3`=1<75f8;29?l0=831dm54?::a5c4=83?1<7>t$``954=Oij1Cn<5fb;29?l1=831b47>5;h494?=hi10;66sm1g394?3=83:p(ll5109Kef=Oj81bn7>5;h594?=n03:17d850;9le=<722wi=k>50;794?6|,hh1=<5Gab9Kf4=nj3:17d950;9j>{e9ll1<7;50;2x dd=981Cmn5Gb09jf?6=3`=1<75f8;29?l0=831dm54?::a5`c=83?1<7>t$``954=Oij1Cn<5fb;29?l1=831b47>5;h494?=hi10;66sm1`f94?2=83:p(ll5129Kef=Oj81bn7>5;hc4>5<5<t$``954=Oij1Cn<5fb;29?l1=831b47>5;h494?=hi10;66sm6c83>6<729q/mo4n1:Jbg>Ne92c:57>5;h3b>5<5<2290;w)om:068Lde<@k;0eo4?::k4>5<;Ic`?Md63`h1<75f7;29?l>=831b:7>5;nc;>5<55;294~"fj3;:7Eol;I`2?ld=831b;7>5;h:94?=n>3:17bo7:188yg47;3:197>50z&bf?763Akh7El>;h`94?=n?3:17d650;9j2?6=3fk36=44}c035?6==3:1>i>m3:17b?i:188yg7?>3:187>50z&bf?473Akh7El>;h3;>5<>i>m3:17b?i:188yg7?03:187>50z&bf?473Akh7El>;h3;>5<>i>m3:17b?i:188yg7?=3:187>50z&bf?473Akh7El>;h3;>5<>i>m3:17b?i:188yg7ei3:1?7>50z&bf?4<@hi0Do?4i0;94?=n9h0;66a6e;29?xd6j>0;6>4?:1y'eg<53Akh7El>;h3:>5<>{e9m21<7=50;2x dd=:2Bjo6Fm1:k2=?6=3`;j6=44o8g94?=zj1l1<7=51;1x dd=:;1Cmn5fc;29?lb=831dm44?::`a3?6=;3:1{ti00;6?uQa89>f2<>m2wvn?>=:186>5<7s-ki6{ti00;6?uQa89>f2<>m2wvn<;j:180>5<7s-ki6?5Gab9Kf4=n900;66g>a;29?j?b2900qo?93;296?6=8r.jn7?j;Ic`?Md63`;36=44o8g94?=zj85;n;f>5<52;294~"fj3;n7Eol;I`2?l7?2900c4k50;9~f40129096=4?{%ca>4c<@hi0Do?4i0:94?=h1l0;66sm17594?5=83:p(ll52:Jbg>Ne92c:57>5;h3b>5<5<4290;w)om:39Kef=Oj81b=44?::k2e?6=3f3n6=44}c35=?6=;3:1t$``9e4=Oij1Cn<5f1883>>o6i3:17b7j:188yg72n3:1?7>50z&bf?4<@hi0Do?4i0;94?=n9h0;66a6e;29?xd6>90;6>4?:1y'eg<53Akh7El>;h3:>5<>{e9?;1<7=50;2x dd=i81Cmn5Gb09j5<<722c:m7>5;n;f>5<>7>53;294~"fj380Dlm4Hc38m4?=831b=l4?::m:a?6=3th:984?:383>5}#ik0:i6Fnc:Ja5>o603:17b7j:188yg72>3:1>7>50z&bf?7b3Akh7El>;h3;>5<5<4290;w)om:39Kef=Oj81b=44?::k2e?6=3f3n6=44}c365<7s-ki6?5Gab9Kf4=n900;66g>a;29?j?b2900qo?:a;297?6=8r.jn7<4H`a8Lg7>i>m3:17pl>5c83>6<729q/mo4n1:Jbg>Ne92c:57>5;h3b>5<5<4290;w)om:39Kef=Oj81b=44?::k2e?6=3f3n6=44}c367?6=;3:1t$``96>Nfk2Bi=6g>9;29?l7f2900c4k50;9~f42>29096=4?{%ca>4c<@hi0Do?4i0:94?=h1l0;66sm15c94?4=83:p(ll51d9Kef=Oj81b=54?::m:a?6=3th:8o4?:283>5}#ik097Eol;I`2?l7>2900e4?:1y'eg<53Akh7El>;h3:>5<>{e9=o1<7=50;2x dd=:2Bjo6Fm1:k2=?6=3`;j6=44o8g94?=zj8>m6=4<:183!ge2h;0Dlm4Hc38m4?=831b=l4?::m:a?6=3th:9=4?:283>5}#ik097Eol;I`2?l7>2900ea;29?j?b2900qo?>i>m3:17pl>4183>7<729q/mo4>e:Jbg>Ne92c:47>5;n;f>5<52;294~"fj3;n7Eol;I`2?l7?2900c4k50;9~f42529086=4?{%ca>7=Oij1Cn<5f1883>>o6i3:17b7j:188yg73;3:1?7>50z&bf?g63Akh7El>;h3:>5<>{e9::1<7=50;2x dd=:2Bjo6Fm1:k2=?6=3`;j6=44o8g94?=zj89=6=4=:183!ge28o0Dlm4Hc38m4>=831d5h4?::a561=8381<7>t$``95`=Oij1Cn<5f1983>>i>m3:17pl>3983>7<729q/mo4>e:Jbg>Ne92c:47>5;n;f>5<52;294~"fj3;n7Eol;I`2?l7?2900c4k50;9~f45f29086=4?{%ca>7=Oij1Cn<5f1883>>o6i3:17b7j:188yg74j3:1?7>50z&bf?4<@hi0Do?4i0;94?=n9h0;66a6e;29?xd6;j0;6>4?:1y'eg<53Akh7El>;h3:>5<>{e9:n1<7=50;2x dd=i81Cmn5Gb09j5<<722c:m7>5;n;f>5<53;294~"fj3k:7Eol;I`2?l7>2900e<50;194?6|,hh1>6Fnc:Ja5>o613:17d?n:188k5<7s-ki6?5Gab9Kf4=n900;66g>a;29?j?b2900qo?<4;297?6=8r.jn7o>;Ic`?Md63`;26=44i0c94?=h1l0;66sm13:94?4=83:p(ll51d9Kef=Oj81b=54?::m:a?6=3th:>44?:383>5}#ik0:i6Fnc:Ja5>o603:17b7j:188yg75i3:1>7>50z&bf?7b3Akh7El>;h3;>5<5<4290;w)om:39Kef=Oj81b=44?::k2e?6=3f3n6=44}c31g?6=;3:15;|`26a<72:0;6=u+ac8b5>Nfk2Bi=6g>9;29?l7f2900c4k50;9~f44629086=4?{%ca>7=Oij1Cn<5f1883>>o6i3:17b7j:188yg75:3:1>7>50z&bf?7b3Akh7El>;h3;>5<5<5290;w)om:0g8Lde<@k;0e<650;9l=`<722wi=?:50;194?6|,hh1>6Fnc:Ja5>o613:17d?n:188k5<7s-ki6l?4H`a8Lg7>i>m3:17pl>1`83>6<729q/mo4=;Ic`?Md63`;26=44i0c94?=h1l0;66sm10`94?4=83:p(ll51d9Kef=Oj81b=54?::m:a?6=3th:=n4?:383>5}#ik0:i6Fnc:Ja5>o603:17b7j:188yg76l3:1?7>50z&bf?4<@hi0Do?4i0;94?=n9h0;66a6e;29?xd69l0;6>4?:1y'eg=831d5h4?::aa=<72;0;6=u+ac82a>Nfk2Bi=6g>8;29?j?b2900qok6:180>5<7s-ki6?5Gab9Kf4=n900;66g>a;29?j?b2900qokn:181>5<7s-ki6>{e:k0;6>4?:1y'eg<53Akh7El>;h3:>5<>{e:j0;694?:1y'eg<43Akh7El>;h3:>5<>i>m3:17pl=d;296?6=8r.jn7?j;Ic`?Md63`;36=44o8g94?=zj;o1<7=50;2x dd=:2Bjo6Fm1:k2=?6=3`;j6=44o8g94?=zj1;1<7=50;2x dd=:2Bjo6Fm1:k2=?6=3`;j6=44o8g94?=zj181<7<50;2x dd=9l1Cmn5Gb09j5=<722e2i7>5;|`;7?6=;3:15;|`4g?6=;3:15;|`4`?6=:3:16Fnc:Ja5>o613:17d?n:188k6Fnc:Ja5>o613:17d?n:188k50z&bf?4<@hi0Do?4i0;94?=n9h0;66a6e;29?xd0:3:1?7>50z&bf?4<@hi0Do?4i0;94?=n9h0;66a6e;29?xd0;3:1>7>50z&bf?7b3Akh7El>;h3;>5<Ne92c:57>5;h3b>5<Ne92c:57>5;h3b>5<53;294~"fj380Dlm4Hc38m4?=831b=l4?::m:a?6=3th=?7>53;294~"fj380Dlm4Hc38m4?=831b=l4?::m:a?6=3th=87>52;294~"fj3;n7Eol;I`2?l7?2900c4k50;9~f33=8391<7>t$``96>Nfk2Bi=6g>9;29?l7f2900c4k50;9~f0c=8391<7>t$``96>Nfk2Bi=6g>9;29?l7f2900c4k50;9~f0`=8381<7>t$``95`=Oij1Cn<5f1983>>i>m3:17pl90;297?6=8r.jn7<4H`a8Lg7>i>m3:17pll5;297?6=8r.jn7<4H`a8Lg7>i>m3:17plk0;296?6=8r.jn7?j;Ic`?Md63`;36=44o8g94?=zjmh1<7=50;2x dd=:2Bjo6Fm1:k2=?6=3`;j6=44o8g94?=zjmi1<7<50;2x dd=9l1Cmn5Gb09j5=<722e2i7>5;|`g`?6=:3:16Fnc:Ja5>o613:17d?n:188k50z&bf?4<@hi0Do?4i0;94?=n9h0;66a6e;29?xdb93:1>7>50z&bf?7b3Akh7El>;h3;>5<52;294~"fj3;n7Eol;I`2?l7?2900c4k50;9~ff>=8381<7>t$``95`=Oij1Cn<5f1983>>i>m3:17pll9;297?6=8r.jn7<4H`a8Lg7>i>m3:17plla;297?6=8r.jn7<4H`a8Lg7>i>m3:17pllb;297?6=8r.jn7<4H`a8Lg7>i>m3:17pllc;297?6=8r.jn7<4H`a8Lg7>i>m3:17plld;297?6=8r.jn7o>;Ic`?Md63`;26=44i0c94?=h1l0;66smcd83>6<729q/mo4n1:Jbg>Ne92c:57>5;h3b>5<5<4290;w)om:`38Lde<@k;0e<750;9j5d<722e2i7>5;|`g6?6=;3:15;|`g7?6=;3:15;|`g0?6=;3:15;|`g1?6=;3:15;|`g2?6=;3:1Nfk2Bi=6g>9;29?l7f2900c4k50;9~fa>=8391<7>t$``96>Nfk2Bi=6g>9;29?l7f2900c4k50;9~fa?=8391<7>t$``96>Nfk2Bi=6g>9;29?l7f2900c4k50;9~f46b29096=4?{%ca>4c<@hi0Do?4i0:94?=h1l0;66sm11`94?4=83:p(ll51d9Kef=Oj81b=54?::m:a?6=3th:<:4?:383>5}#ik0:i6Fnc:Ja5>o603:17b7j:188yg7703:1>7>50z&bf?7b3Akh7El>;h3;>5<5<5290;w)om:0g8Lde<@k;0e<650;9l=`<722wii94?:383>5}#ik0:i6Fnc:Ja5>o603:17b7j:188ygc229086=4?{%ca>7=Oij1Cn<5f1883>>o6i3:17b7j:188yg>129086=4?{%ca>7=Oij1Cn<5f1883>>o6i3:17b7j:188yg>029096=4?{%ca>4c<@hi0Do?4i0:94?=h1l0;66sm8983>6<729q/mo4=;Ic`?Md63`;26=44i0c94?=h1l0;66smb`83>7<729q/mo4>e:Jbg>Ne92c:47>5;n;f>5<53;294~"fj380Dlm4Hc38m4?=831b=l4?::m:a?6=3th:n=4?:383>5}#ik0:i6Fnc:Ja5>o603:17b7j:188yg7e93:1?7>50z&bf?4<@hi0Do?4i0;94?=n9h0;66a6e;29?xd6ik0;6?4?:1y'eg<6m2Bjo6Fm1:k25;|`2fa<72;0;6=u+ac82a>Nfk2Bi=6g>8;29?j?b2900qo?me;297?6=8r.jn7<4H`a8Lg7>i>m3:17pl>9983>7<729q/mo4>e:Jbg>Ne92c:47>5;n;f>5<53;294~"fj380Dlm4Hc38m4?=831b=l4?::m:a?6=3th::n4?:383>5}#ik0:i6Fnc:Ja5>o603:17b7j:188yg71l3:1>7>50z&bf?7b3Akh7El>;h3;>5<Ne92c:57>5;h3b>5<53;294~"fj380Dlm4Hc38m4?=831b=l4?::m:a?6=3th>87>53;294~"fj380Dlm4Hc38m4?=831b=l4?::m:a?6=3th>97>52;294~"fj3;n7Eol;I`2?l7?2900c4k50;9~f00=8391<7>t$``96>Nfk2Bi=6g>9;29?l7f2900c4k50;9~f1`=8391<7>t$``96>Nfk2Bi=6g>9;29?l7f2900c4k50;9~f06=8381<7>t$``95`=Oij1Cn<5f1983>>i>m3:17pl:1;297?6=8r.jn7<4H`a8Lg7>i>m3:17pl;a;297?6=8r.jn7<4H`a8Lg7>i>m3:17pl;b;296?6=8r.jn7?j;Ic`?Md63`;36=44o8g94?=zj=i1<7=50;2x dd=:2Bjo6Fm1:k2=?6=3`;j6=44o8g94?=zj=?1<7=50;2x dd=:2Bjo6Fm1:k2=?6=3`;j6=44o8g94?=zj=<1<7<50;2x dd=9l1Cmn5Gb09j5=<722e2i7>5;|`73?6=;3:15;|`74?6=;3:15;|`75?6=:3:16Fnc:Ja5>o613:17d?n:188kl50;194?6|,hh1>6Fnc:Ja5>o613:17d?n:188km50;094?6|,hh1=h5Gab9Kf4=n910;66a6e;29?xd4l3:1?7>50z&bf?4<@hi0Do?4i0;94?=n9h0;66a6e;29?xd4>3:1?7>50z&bf?4<@hi0Do?4i0;94?=n9h0;66a6e;29?xd4?3:1>7>50z&bf?7b3Akh7El>;h3;>5<Ne92c:57>5;h3b>5<Ne92c:57>5;h3b>5<53;294~"fj380Dlm4Hc38m4?=831b=l4?::m:a?6=3th>57>53;294~"fj380Dlm4Hc38m4?=831b=l4?::m:a?6=3th>m7>52;294~"fj3;n7Eol;I`2?l7?2900c4k50;9~f0d=8391<7>t$``96>Nfk2Bi=6g>9;29?l7f2900c4k50;9~f4e129086=4?{%ca>7=Oij1Cn<5f1883>>o6i3:17b7j:188yg7d?3:1>7>50z&bf?7b3Akh7El>;h3;>5<5<5290;w)om:0g8Lde<@k;0e<650;9l=`<722wi=n750;194?6|,hh1>6Fnc:Ja5>o613:17d?n:188k5<7s-ki6l?4H`a8Lg7>i>m3:17pl98;297?6=8r.jn7<4H`a8Lg7>i>m3:17pl99;296?6=8r.jn7?j;Ic`?Md63`;36=44o8g94?=zj?k1<7=50;2x dd=:2Bjo6Fm1:k2=?6=3`;j6=44o8g94?=zj8h26=4=:183!ge28o0Dlm4Hc38m4>=831d5h4?::a5g3=8381<7>t$``95`=Oij1Cn<5f1983>>i>m3:17pl>b783>7<729q/mo4>e:Jbg>Ne92c:47>5;n;f>5<52;294~"fj3;n7Eol;I`2?l7?2900c4k50;9~f4c629096=4?{%ca>4b<@hi0Do?4i0:94?=h1l0;66sm18294?4=83:p(ll51e9Kef=Oj81b=54?::m:a?6=3th:;;4?:383>5}#ik0:h6Fnc:Ja5>o603:17b7j:188yg7?m3:1>7>50z&bf?7c3Akh7El>;h3;>5<5<5290;w)om:0f8Lde<@k;0e<650;9l=`<722wi5i4?:383>5}#ik0:h6Fnc:Ja5>o603:17b7j:188yg7>n3:1>7>50z&bf?7c3Akh7El>;h3;>5<5<5290;w)om:0f8Lde<@k;0e<650;9l=`<722wi=:l50;094?6|,hh1=i5Gab9Kf4=n910;66a6e;29?xd6?h0;6?4?:1y'eg<6l2Bjo6Fm1:k25<7s-ki64h4H`a8Lg7>{e9j81<7<50;2x dd=1o1Cmn5Gb09j5=<722e2i7>5;|q23f<72;qU=:m4=0:6>4`52z\23a=:91<1=k5rs05f>5<5sW;8682b>{t9>l1<78183>7}Y91:01<66:0d8yv7?93:1>vP>809>5=g=9o1v<6=:181[7?:27:4o4>f:p5=2=838pR<6;;<3;g?7a3ty:i<4?:3y>5de=9016=h?59d9~w4?72908w0?me;3:?87>13;270?60;;f?xu6??0;65u210795<=:9j>1=5522c82=>;5k3;270k::0;894d>28201b290>w0?6c;3;?876<3;370k6:0;894dd28k01<6j:8g8yv70<3:1?v3>bb82=>;6k00:563>758:a>{t9mk1<78t=0a2>4?<58nj6l64=07f>4g<58ki6<64=4395<=:9mn1=55rsg694?4|583?6o52678:a>{t1m0;6>u21cf95==:9021=5529e8:a>{t90l1<74g<583m64k4}r3gb?6=;r7:?:4>8:?2f5<6027:hk46e:p5`4=838pR4?:3y>5g5=i116=h;5199~w4e52909w0?l1;;f?87d:3;37p}>ae83>7}:9l91=5521`f9e==z{8o<6=4<{<3e4?d<58om6l64=07:>4?55z?2=aa:?0`?7>34;h:7?6;<3`3?7?3ty:jl4?:2y>654=i116;44>9:?`=?7>3ty:h94?:4y>5a2=i116>=95a69>554=9116i94>8:?2`2<602wx=;l50;6x94e628k01<8j:8g8944?28201fc<6127h=7?6;<3g1?g?34;=o7?7;|q235<72>q65=4l;<344?g?34;im7?6;<366?7f348n6<74=2:95<=:9k<1=55rs0c2>5<3s4;j=7o7;<315?7f34;jj7?n;<6`>4?51`y>5a6=027:h?47;<3g7?><583?65521879<>;5810370?6d;:894e320o01<79:99>5a?=027:hl4n7:?2e4==:9m;1463>f48;?87a<32015c4=027:j<47;<3e4?><58om65521dg9<>;6im0j;63>6g8;?8708320q~?9f;290~;>83n01<8i:`:8940028301>=5189~w7612908w03=:9m81:63>d285?87dj33n70?k5;4894b32?16=i?56:?2b0<134;m8784=0d0>3=:9o81:63>f085?87a83<015`c=>27:mi49;<3a7?052z?2ef<>m27:ih4m;|q14=<72=q6=<95199>65>=i116=>h51`9>07<612wx=:<50;`x97672116n?4>5:?141==::9?1463>7382<>;58>0i70=<58:p5`>=839p1:c9>5c6=i116=9j5189~wf6=838p1g4<>m2wx=4?50;1x94?32h201<8;:0c8933=901v<78:1822~;5890=70?64;4894?22?16>=:56:?2bc<1348;4784=0;g>3=:90k15h521gg92>;58<0=70?66;4894b>2?16=io56:?2e4<134;j<784=325>3=::9=1:63>6g85?87083<01?><:79>657=>279651=i116=5d`=901v<7=:18087>=3k370?:7;3b?8072830q~l6:18187c83h01ol59d9~wgc=838p1fc<>m2wx=4l50;ax94?32>16=4;57:?14=<034;2h794=0;5>2=:90i15h521e;93>;6lh0<70?n1;5894g72>16=;h57:?235<03ty:ii4?:3y>5c3=i116=::c9>2g<>m2wxj84?:3y>5<3=j27==77j;|q2fg<728;p1<8=:0;8943328301<:7:0;8942328k01<=::0;8944b28k01<<9:0c8947a28k01d2l16=575e:?2<3`=:91h1i63>848f?87??3o0q~?n0;290~;6i90j463>3`82=>;b03;370:8:0;8yvc42909w0k9:8g89761211v<9m:1821~;68o0:563>0482e>;6=l0:563>6282<>;6>=0:563>6482<>;6=?0:463>5682=>;64c82=>;6<80:463>3182=>;6;?0:463>3982<>;6;k0:563>2`82<>;6::0:463>1b82<>;68k0:463>0982<>;6?k02i6s|e683>7}:mk02i63=0784?xu6n00;6>u22139e==:?l0:563j0;3b?xu6il0;6?u21c09=`=:9>81i6s|1c:94?4|58h86l94=0`b>6:521g693>;6n:0<70?i2;5894`62>16=k>57:?2ac<034;ni794}ra7>5<5s4nj64k4=0cg>g=z{li1<77}::o02i63>b28a?xua:3:1>v3:2;;f?87ci3h0q~kk:18185>20o01<9?:c9~w4d32909w0?m3;:894d020o0q~h<:18183020o017`<>m2wx=i750;6x94b>2h201<=?:0c894d628301885189~w7?=838p1?959d9>6052z?14=864k4}rd3>5<5s4>364k4=0c3>g=z{8k86=4={<360??b34;3:7?7;|qe5?6=:r7?h77j;<3b5?d53z?2b73ty:<94?:4y>553=1l16994>9:?61?7?34>m6<74=4295==z{ol1<75<3s4;;?77j;<0a>4g<5;i1=l52c982<>{t99<1<7?<{4?<58:264k4=324>2=:080:56372;3;?81d28301:j5199>32<6127<47?7;<51>4?<5>91=5526e82=>;1m3;37069:0;89=1=91164o4>9:?;g?7?34<36<74=7;95==z{8li6=4<{<037?g?34=?6<74=b`95<=z{o=1<7g=:0l02i6s|11c94?b|58:h64k4=5c95<=:5189>04<60278n7?6;<1`>4><5:<1=4523682<>;493;270==:0:8yv7f:3:1>v3>638:a>;60<0:46s|fe83>7}::9>1n6380;;f?xu68m0;6:u211d9=`=:>:0:56394;3;?83b283018h5199>1<<6127>m7?7;|q2a3<72:q6=hh5b:?2a`9:p5d1=838p1<f2820q~ll:1818dc20o01:c9~w4712909w0?>7;;f?87b;3o0q~?>0;296~;5;3k270lk:0c8yv76:3:1>v3l1;3b?8422h30q~7>:1818?72h3015h5c:pb=<72;q6=kh5b:?;=??b3ty:m94?:3y>51>=1l16=595199~w7>=839p1?:5c:?13?7f348=64k4}r;b>5<5s4h86l=4=325>g=z{8;86=46{<034?1<58;>64k4=327>2=:9ol1;63>fd84?847=3=01?><:69>657=?279556=9k16>94k;<04>4?<5mn1=552c782<>;c:3;270j;:0;8yv7a03:1?v3=018b<>;?;3;270jm:0c8yv7bj3:1?v3>f58a?87a;3k370?=c;3:?xu61:0;6>u21849e==:9=h1=l525c82=>{t9h?1<74n8:?245<6k27997j4=b795<=:l90:463kb;3:?8bd28201ik5189>`c<6027n<7?6;4>53z?2b`4?53z?1414?57z?2`54?<5j91=l528g8g?84128k01oo5199~wce=838p1:;59d9>655=j2wx=ho50;1x94`42k16=k<5a99>56e=901v;6l102i6s|fc83>7}:?h02i63=038a?xu6n>0;6>u21gd9e==:ll0:m6378;3:?xu6nm0;6>u22179e==:kj0:5639a;3:?xu6i?0;6?u21279=`=:9131=55rs05b>5<6?r7:9:?240<6127::;4>8:?21c<6127:9?4>9:?210<6027:954>8:?203<6127:844>8:?20f<6027:?k4>9:?205<6027:?44>8:?277<6127:>44>8:?26g<6127:><4>9:?267<6027:=l4>9:?25g<6027:

8:?242<6027:;l46e:pbd<72;q6;k46e:?144fc<6i27987o6;|q2e=<72;q6=?859d9>5=d=911v51`9>5a7=i116>;4>9:?`3?7?34n36<74}rd:>5<5s48;<7l4=969=`=z{8?o6=4={<36a??b34;=57?6;|q21`<72;q6=;=59d9>531=9h1v<8<:181871<33n70?9a;3:?xu6>=0;6?u21779=`=:9?21=l5rs046>5<5s4;=:77j;<354?7f3ty::;4?:3y>531=1l16=;751`9~w4002909w0?98;;f?871i3;j7p}>6983>7}:9?315h5214d95d=z{8<26=4={<35e??b34;=<7?6;|q22d<72;q6=8h59d9>537=901v<;i:181871833n70?91;3b?xu6>90;6?u217095d=:9?;15h5rs072>5<5s4;>>77j;<36f?7>3ty:9?4?:3y>503=1l16=8751`9~w4322909w0?:6;;f?872k3;j7p}>5783>7}:9<=15h5214c95<=z{8?<6=4={<36m7?n;|q21=<72;q6=8759d9>50d=9h1v<;6:181872i33n70?:3;3b?xu6=h0;6?u214`9=`=:95<5s4;>o77j;<367?7>3ty:9n4?:3y>502=9h16=8=59d9~w4222909w0?;6;;f?873n3;27p}>4783>7}:9=315h5215f95d=z{8>26=4={<37e??b34;><7?n;|q20d<72;q6=9l59d9>51c=901v<:m:181873k33n70?;e;3b?xu65<5s4;?i77j;<373?7f3ty:8h4?:3y>51`=1l16=8>5189~w42a2909w0?:0;;f?873?3;27p}>5183>7}:9=21=l521559=`=z{89n6=4={<30b??b34;??7?6;|q27c<72;q6=9>59d9>514=9h1v<:?:181873<3;i70?;1;;f?xu6<80;6?u21509=`=:9=91=l5rs061>5<5s4;?87?6;<377??b3ty:>k4?:3y>566=1l16=>j5189~w4572909w0?<6;;f?874i3;j7p}>3783>7}:9:=15h5212`95d=z{89<6=4={<30759d9>565=9h1v<=6:181874i33n70?5<5s4;8o77j;<305?7f3ty:?n4?:3y>56b=1l16=><51`9~w45c2909w0?<1;;f?874;3;27p}>3083>7}:9:815h5212695<=z{8996=4={<307??b34;887?n;|q276<72;q6=>;51`9>562=1l1v<<8:181875033n70?=b;3b?xu6:10;6?u213;9=`=:9;i1=l5rs00:>5<5s4;9i7?m;<31e??b3ty:>l4?:3y>57d=1l16=?j5189~w44e2909w0?=c;;f?875l3;j7p}>2b83>7}:9;o1=45213f9=`=z{88;6=4={<315??b34;997?6;|q264<72;q6=?<59d9>572=9h1v<<=:181875>3;i70?=3;;f?xu6::0;6?u21369=`=:9;?1=l5rs007>5<5s4;9:7?6;<311??b3ty:=44?:3y>54g=1l16=b;;f?876l3;j7p}>1c83>7}:98l1=o5210a9=`=z{8;h6=4={<32`??b34;:i7?n;|q25a<72;q6=54c=1l1v4;;f?xub03:1>v3j8;;f?8c>28k0q~k6:1818c>20o01ho5199~w`g=838p1hl51`9>ad<>m2wx>l4?:3y>6g<>m279h7?7;|q1f?6=:r79j7?n;<0`>{t090;6?u28582=>;?933n7p}71;296~;?:33n706<:0c8yv>52909w06;:0c89=5=1l1v:l50;0x92`=9016;n46e:p3f<72;q6;i46e:?4a?7f3ty52z?4b?7f34=n64k4}r55>5<5s4=j6<74=659=`=z{>=1<77}:?h0:m6389;;f?xu093:1>v385;3:?81520o0q~9=:18181420o01::51`9~w25=838p1:;51`9>31<>m2wx:n4?:3y>35<6127=h77j;|q5`?6=:r7=i77j;<4e>4g4g<5?l15h5rs7094?4|5?<1=452628:a>{t>:0;6?u2658:a>;1=3;j7p}94;296~;1>3;j708::8g8yv3c2909w08>:0;890c=1l1v8k50;0x90`=1l16:=4>a:p1c<72;q6:<4>a:?54??b3tyh97>52z?`1??b34io6<74}rf3>5<5s4n;64k4=b;95d=z{mh1<77}:lj02i63la;3b?xucl3:1>v3kd;;f?8b428k0q~jj:1818bb20o01nh5189~wa`=838p1ih59d9>gg<6i2wxi=4?:3y>a5<>m27o=7?6;|qf5?6=:r7n=77j;4g{tk10;6?u2d`82f>;d033n7p}l9;296~;d133n70mk:0c8yvef2909w0mn:8g89fc=9h1vnl50;0x9fd=1l16ok4>a:pgf<72;q6on46e:?g5?7f3tyhh7>52z?``??b34n965<5s4in64k4=e195<=z{jl1<77}:l802i63k5;3:?xuc:3:1>v3k2;;f?8b12830q~j<:1818b420o01i851`9~wa2=838p1i:59d9>`2<612wxh84?:3y>`0<>m27o;7?n;|qg2?6=:r7o:77j;4g{tl00;6?u2d`82=>;c133n7p}>0d83>7}:99l1=l5211g9=`=z{8:i6=4={<33g?7f34;;n77j;|q242<72;q6==75189>551=1l1v<>7:18187713;j70??8;;f?xu68;0;6?u211195d=:99815h5rsd694?4|5l>15h52e482e>{tm<0;6?u2e782<>;b=33n7p}75;296~;?13;27069:8g8yv>12909w068:8g89=>=9h1v5950;0x9=?=9h164546e:pfd<72;q6no4>a:?ae??b3ty:mk4?:3y>5g4=9016=lh59d9~w4d72909w0?m0;;f?87e93;j7p}>b083>7}:9k81=l521c39=`=z{8ki6=4={<3bg?7f34;jn77j;|q2ff<72;q6=oh5189>5ge=1l1v5<5s4;2477j;<3:=?7f3ty:544?:3y>56e83>7}:9?o1=l5217f9=`=z{1k1<77}:0j02i637d;3b?xu?k3:1>v37e;3b?8>c20o0q~;<:181830283018:59d9~w02=838p18;59d9>13<6i2wx984?:3y>12<6i27>:77j;|q7a?6=:r7>>7?6;<6e>m6=4={<73>{t<00;6?u24e82=>;3i33n7p};a;296~;3j33n70:l:0c8yv2e2909w0:k:0c891e=1l1v9:50;0x91>=90168846e:p00<72;q68;46e:?73?7f3ty?:7>52z?7<64k4}r1e>5<5s4>86<74=529=`=z{=:1<77}:<:0:m63;2;;f?xu4i3:1>v3j51`9~w6e=838p1>k51`9>7a<>m2wx?84?:3y>7<<61278:77j;|q02?6=:r78;77j;<1;>4g4g<5:215h5rs2294?4|5:>1=452308:a>{t;80;6?u2338:a>;4;3;j7p}<2;296~;4<3;j70=<:8g8yv3?2909w0;l:0;890?=1l1v8750;0x90g=1l169o4>a:p1d<72;q69n4>a:?6f??b3ty:o;4?:3y>5f0=1l16=no5189~w4e02909w0?l7;;f?87d13;j7p}>c983>7}:9jh1=l521b:9=`=z{8i26=4={<3`=??b34;hm7?n;|q2gd<72;q6=nl5189>5fg=1l1v;950;0x93d=9016:546e:p2=<72;q6:446e:?5e?7f3ty=57>52z?5f?7f34a:?2f<<>m2wx=o;50;0x94d0283013:1>v3>b682e>;6j?02i6s|1e594?4|58n3652z\2a5=:9l;1=55rs0:e>5<5sW;3j63>9182<>{t9>?1<73;37p}>8e83>7}Y91n01<6j:0:8yv70;3:1>vP>729>522=911v4m50;0xZ5<5sW;2i63>9g82<>{t9mo1<77883>7}Y9>301<9m:0:8yv70?3:1>vP>769>52g=911v4<50;7x94>220o01;=51`9>4g1}:91=15h528082e>;4j3;j70;6:0c8yv?22908w0?78;;f?81d28k019>51`9~w<0=83>p1<66:8g8921=9h16994>a:?71?7f3ty2;7>52z?2m27<>7?n;|q:j65<5s4;3o77j;<4;>4g52z\2`f=:9mn15h5rs0g7>5<5sW;n863>e48:a>{t9j:1<77;295~Nfk2we9<650;3xLde51zJbg>{i=8k1<7?tH`a8yk36j3:1=vFnc:m14e=83;pDlm4}o72`?6=9rBjo6sa50g94?7|@hi0qc;>f;295~Nfk2we9?>50;3xLde51zJbg>{i=;81<7?tH`a8yk35;3:1=vFnc:m172=83;pDlm4}o711?6=9rBjo6sa53494?7|@hi0qc;=7;295~Nfk2we9?650;3xLde51zJbg>{i=;k1<7?tH`a8yk35j3:1=vFnc:m17e=83;pDlm4}o71`?6=9rBjo6sa53g94?7|@hi0qc;=f;295~Nfk2we9>>50;3xLde51zJbg>{i=:81<7?tH`a8yk34;3:1=vFnc:m162=83;pDlm4}o701?6=:rBjo6sa52494?7|@hi0qc;<7;295~Nfk2we9>650;0xLde51zJbg>{i=:k1<7?tH`a8yk34j3:1=vFnc:m16e=83;pDlm4}o70`?6=9rBjo6sa52g94?7|@hi0qc;50;3xLde51zJbg>{i==81<751zJbg>{i==k1<7?tH`a8yk33j3:1=vFnc:m11e=83;pDlm4}o77`?6=9rBjo6sa55g94?7|@hi0qc;;f;295~Nfk2we98>50;3xLde=7>51zJbg>{i=<81<7?tH`a8yk32;3:1=vFnc:m102=83;pDlm4}o761?6=9rBjo6sa54494?7|@hi0qc;:7;295~Nfk2we98650;3xLde57>51zJbg>{i=50;3xLde51zJbg>{i=?81<7?tH`a8yk31;3:1=vFnc:m132=83;pDlm4}o751?6=9rBjo6sa57494?7|@hi0qc;97;295~Nfk2we9;650;3xLde51zJbg>{i=?k1<7?tH`a8yk31j3:1=vFnc:m13e=83;pDlm4}o75`?6=9rBjo6sa57g94?7|@hi0qc;9f;295~Nfk2we9:>50;3xLde52zJbg>{i=>81<752zJbg>{i=>k1<7?tH`a8yk30j3:1=vFnc:m12e=83;pDlm4}o74`?6=9rBjo6sa56g94?4|@hi0qc;8f;295~Nfk2we95>50;3xLde53zJbg>{i=181<7?tH`a8yk3?;3:1=vFnc:m1=2=83;pDlm4}o7;1?6=9rBjo6sa59494?7|@hi0qc;77;295~Nfk2we95650;3xLde51zJbg>{i=1k1<7?tH`a8yk3?j3:1=vFnc:m1=e=838pDlm4}o7;`?6=9rBjo6sa59g94?4|@hi0qc;7f;295~Nfk2we94>50;3xLde51zJbg>{i=081<7?tH`a8yk3>;3:1=vFnc:m1<2=83;pDlm4}o7:1?6=9rBjo6sa58494?7|@hi0qc;67;295~Nfk2we94650;3xLde51zJbg>{i=0k1<7?tH`a8yk3>j3:1=vFnc:m150;3xLde51zJbg>{i=h81<7?tH`a8yk3f;3:1=vFnc:m1d2=83;pDlm4}o7b1?6=9rBjo6sa5`494?7|@hi0qc;n7;295~Nfk2we9l650;3xLde51zJbg>{i=hk1<7?tH`a8yk3fj3:1=vFnc:m1de=83;pDlm4}o7b`?6=9rBjo6sa5`g94?7|@hi0qc;nf;295~Nfk2we9o>50;3xLde51zJbg>{i=k81<7?tH`a8yk3e;3:1=vFnc:m1g2=83;pDlm4}o7a1?6=9rBjo6sa5c494?7|@hi0qc;m7;295~Nfk2we9o650;3xLde51zJbg>{i=kk1<7?tH`a8yk3ej3:1=vFnc:m1ge=83;pDlm4}o7a`?6=9rBjo6sa5cg94?7|@hi0qc;mf;295~Nfk2we9n>50;3xLde51zJbg>{i=j81<7?tH`a8yk3d;3:1=vFnc:m1f2=83;pDlm4}o7`1?6=9rBjo6sa5b494?7|@hi0qc;l7;295~Nfk2we9n650;3xLde51zJbg>{i=jk1<7?tH`a8yk3dj3:1=vFnc:m1fe=83;pDlm4}o7``?6=9rBjo6sa5bg94?7|@hi0qc;lf;295~Nfk2we9i>50;3xLde51zJbg>{i=m81<7?tH`a8yk3c;3:1=vFnc:m1a2=83;pDlm4}o7g1?6=9rBjo6sa5e494?7|@hi0qc;k7;295~Nfk2we9i650;3xLde51zJbg>{i=mk1<7?tH`a8yk3cj3:1=vFnc:m1ae=83;pDlm4}o7g`?6=9rBjo6sa5eg94?7|@hi0qc;kf;295~Nfk2we9h>50;3xLde51zJbg>{i=l81<7?tH`a8yk3b;3:1=vFnc:m1`2=83;pDlm4}o7f1?6=9rBjo6sa5d494?7|@hi0qc;j7;295~Nfk2we9h650;3xLde51zJbg>{i=lk1<7?tH`a8yk3bj3:1=vFnc:m1`e=83;pDlm4}o7f`?6=9rBjo6sa5dg94?7|@hi0qc;jf;295~Nfk2we9k>50;3xLde51zJbg>{i=o81<7?tH`a8yk3a;3:1=vFnc:m1c2=83;pDlm4}o7e1?6=9rBjo6sa5g494?7|@hi0qc;i7;295~Nfk2we9k650;3xLde51zJbg>{i=ok1<7?tH`a8yk3aj3:1=vFnc:m1ce=83;pDlm4}o7e`?6=9rBjo6sa5gg94?7|@hi0qc;if;295~Nfk2we:=>50;3xLde51zJbg>{i>981<7?tH`a8yk07;3:1=vFnc:m252=83;pDlm4}o431?6=9rBjo6sa61494?7|@hi0qc8?7;295~Nfk2we:=650;3xLde51zJbg>{i>9k1<7?tH`a8yk07j3:1=vFnc:m25e=83;pDlm4}o43`?6=9rBjo6sa61g94?7|@hi0qc8?f;295~Nfk2we:<>50;3xLde51zJbg>{i>881<7?tH`a8yk06;3:1=vFnc:m242=83;pDlm4}o421?6=9rBjo6sa60494?7|@hi0qc8>7;295~Nfk2we:<650;3xLde51zJbg>{i>8k1<7?tH`a8yk06j3:1=vFnc:m24e=83;pDlm4}o42`?6=9rBjo6sa60g94?7|@hi0qc8>f;295~Nfk2we:?>50;3xLde51zJbg>{i>;81<7?tH`a8yk05;3:1=vFnc:m272=83;pDlm4}o411?6=9rBjo6sa63494?7|@hi0qc8=7;295~Nfk2we:?650;3xLde51zJbg>{i>;k1<7?tH`a8yk05j3:1=vFnc:m27e=83;pDlm4}o41`?6=9rBjo6sa63g94?7|@hi0qc8=f;295~Nfk2we:>>50;3xLde51zJbg>{i>:81<7?tH`a8yk04;3:1=vFnc:m262=83;pDlm4}o401?6=9rBjo6sa62494?7|@hi0qc8<7;295~Nfk2we:>650;3xLde51zJbg>{i>:k1<7?tH`a8yk04j3:1=vFnc:m26e=83;pDlm4}o40`?6=9rBjo6sa62g94?7|@hi0qc850;3xLde51zJbg>{i>=81<7?tH`a8yk03;3:1=vFnc:m212=83;pDlm4}o471?6=9rBjo6sa65494?7|@hi0qc8;7;295~Nfk2we:9650;3xLde51zJbg>{i>=k1<7?tH`a8yk03j3:1=vFnc:m21e=83;pDlm4}o47`?6=9rBjo6sa65g94?7|@hi0qc8;f;295~Nfk2we:8>50;3xLde=7>51zJbg>{i><81<7?tH`a8yk02;3:1=vFnc:m202=83;pDlm4}o461?6=9rBjo6sa64494?7|@hi0qc8:7;295~Nfk2we:8650;3xLde57>51zJbg>{i>fvrWm6<6=0<;@331>G68VH97L6;;@:\F7=F1=1J5RL;;@LG63=FFM8I=95NNE17?DHC2:4=B:2LO?6HKC59E@FC33ONH\>5IDQ68BAVB9j1MH_]PMYKOMK^Ximnyi~}Pddal``t6i2LOS@VFLHL[[dbczlyxSikloegq5>A33N$84>5HNE48LPYTM];0E?5F039J57=N:;1B?95FBTD0?LHQ:2CD:6G@BTDF<>OX;?U:=?64I^15[47402CT?;Q>2158MZ51W=9n7FKJP^JJAWGUKAS<7AAHIBCOe>JWEVRD^?Q>1`9NeabXWg:;8:QBxnp\V`urd}6:2?00321>KflmUTb=>;7^]m4563494:96Cnde]\j563?VUe<=>9<1<26>Kj}qUTb=>>9^Pfwpjs9:1FaxvP_o235?18]Qavsk|:;87@czx^]m457>W[oxyaz;129Nip~XWg:;=4Q]erwop0753Dg~tRQa0107[Wct}e~:?6Cbuy]\j565T^h}zlu626>Kj}qUTb=>=5^Pfwpjs9:1FaxvP_o2360YUmzgxS_k|umv156=Je|rTSc>?24]Qavsk|:;87@czx^]m4542W[oxyaz;139Nip~XWg:;>;Q]erwop45VXnxb{2018IhsWVd;?26]Qavsk|=;97@czx^]m454?W[oxyaz>3:Onq}YXf9:94R\jstnw5455Bmtz\[k67:0UYi~{ct030?HkrpVUe<=<6_Sgpqir59:1FaxvP_o236?<;Lov|ZYi8982S_k|umv757=Je|rTSc>?31]Qavsk|890A`{w_^l3466XZly~`y?>3:Onq}YXf9:8?PRdqvhq743Dg~tRQa0112[Wct}e~:=>5Bmtz\[k67;8UYi~{ct330?HkrpVUe<==>_Sgpqir49:1FaxvP_o2374YUmzgx9?:;Lov|ZYi8999SD>PRdqvhq713Dg~tRQa0111[L6XZly~`y?>6:Onq}YXf9:8>RG?_Sgpqir59?1FaxvP_o2377YN8VXnxb{3048IhsWVd;<>7@czx^]m4555W@;T^h}zlu35?HkrpVUe<===_H3\V`urd};::6Cbuy]\j564:VC:S_k|umv153=Je|rTSc>?33]J5ZTb{|f?<84Mlw{[Zh78:8TE2GfyuQPn1206ZO5W[oxyaz=179Nip~XWg:;??QF2^Pfwpjs;8<0A`{w_^l3464XA;UYi~{ct536?HkrpVUe<==;_H2\V`urd};=7@czx^]m4553W@:T^h}zlu322>Kj}qUTb=><4^K3[Wct}e~9=;5Bmtz\[k67;=UB6:Onq}YXf9:88RG>_Sgpqir59?1FaxvP_o2371YN9VXnxb{3048IhsWVd;<>:PI0]Qavsk|=;>7@czx^]m4553W@8T^h}zlu35?HkrpVUe<==;_H0\V`urd};::6Cbuy]\j564?35]J6ZTb{|f?<84Mlw{[Zh78:>TE?Q]erwop1723Dg~tRQa0117[L5XZly~`y?9;Lov|ZYi899?SD=PRdqvhq76>2GfyuQPn1200ZO4W[oxyaz=179Nip~XWg:;?9QF3^Pfwpjs;8<0A`{w_^l3462XA:UYi~{ct536?HkrpVUe<==;_H6\V`urd};=7@czx^]m4553W@>T^h}zlu322>Kj}qUTb=><4^K7[Wct}e~9=;5Bmtz\[k67;=UB8R\jstnw7406:Onq}YXf9:88RG:_Sgpqir59?1FaxvP_o2371YN=VXnxb{3048IhsWVd;<>:PI4]Qavsk|=;>7@czx^]m4553W@?35]J2ZTb{|f?<84Mlw{[Zh78:>TE;Q]erwop1723Dg~tRQa0117[L1XZly~`y?9;Lov|ZYi899?SD9PRdqvhq76>2GfyuQPn1200ZO0W[oxyaz=179Nip~XWg:;?9QF7^Pfwpjs;8<0A`{w_^l3462XA>UYi~{ct534?H~hzVUe<=:?_LzlvZTb{|f=:5Bxnp\[k67<9UFtb|P_o2345723Drd~RQa0163[Zh78987<3;4N22230=I;9;296@<0336?K57::?0B>>=549M7540=2D8I33FH^J85@BTDF7>IU::1D^>?4P59SEWRf3YCESO[\IEZa?UOIWK_XBLCJ3:RQA4=V92X=7_k|umv2?V0<[F_YOH:4TSWF0>R^XL80Y=m4URGQ[SOTAKFN?6XLC89UM@QX\PZN=i5WIMKM\(^CJ):%=-][UC"3*4&F[JCB;6VCXH]272=_DQCT=994XMZJ[40d3QFSER?9_T2\42=_DQCT=:94XMZJ[4>03QFSER?67:ZO\LY58>1S@UGP2358\I^NW;9<7UBWN^333>^KPGU:=:5WLYL\511?17>3:d=Xf9:::1>11`9\j566>5:5>45Pn1222979i2Ue<=?9<0<2=>Yi89;=0?0n;^l3440;:7;27R`?004?7;g048[k679>=0Sc>?1635?Zh7882<7R`?00:2<>Yi89;3==64_o235=7602Ue<=?713:8[k6791;846Qa013;51>?1934<>Yi89;3=564_o235=7>?2Ue<=?7299\j5660;:37R`?00:15==Xf9::4?<7;^l344>5;11Tb=>>836;?Zh78829955Pn122<70?3Vd;<<6=799\j5660;237R`?00:1=2=Xf9::4>94_o235=203Vd;<<6:7:]m457?>>1Tb=>>8658[k67912<7R`?00::2>Yi89;2:6Qa01033>Yi898;=:5Pn121471==9;^l347703Vd;7:]m4546:>1Tb=>=1258[k67:8>=7R`?0365?Zh78;?=7R`?0345?Zh78;==7R`?03:5?Zh78;3=7R`?0225?Zh78:;27R`?020?4;?>89\j564:58556Qa0117858>3Vd;<>:31?;8[k67;=69245Pn120095912Ue<==;<5<:?Zh78:>79374_o2371:1601Tb=><4=5=2>Yi899<;6Qa011453=Xf9:84:5Pn120<416:]m4523?2Ue<=:;169\j563<;=0Sc>?4515?Zh78=?<7R`?05723>Yi89>>>;5Pn12722=Xf9:?:<94_o23034>3Vd;<9931?;8[k67<>69245Pn1273959>2Ue<=8?7:]m45079>1Tb=>90348[k670:=0Sc>?823:?Zh781?7<384_o22441>369\j5779==0Sc>>0074?Zh799;=:6Qa00213>Yi88:9=:5Pn133671=569\j577:?<0Sc>>0258[k668:;<7R`?11113>Yi88:8?:5Pn133711;8;^l35551?2Ue<<><779\j577<>1Tb=??40:8[k668=;;46Qa002754>>05373>Yi88:?>:5Pn133061;669\j577<>=0Sc>>05:4?Zh799>2:6Qa00263>Yi88:>=:5Pn133171:569\j577=?<0Sc>>0658[k668>;37R`?11524==Xf9;;;8369\j577?==0Sc>>0674?Zh799==;6Qa002432=Xf9;;;594_o2242?13Vd;==78;^l355?602Ue<<>611:8[k6680;:;6Qa002:62=Xf9;;5>94_o224<203Vd;==7:7:]m446>>>1Tb=??9658[k66802<7R`?11;:2>Yi88;:;6Qa00325==Xf9;:=<>7;^l35476911Tb=?>100;?Zh798;:?:5Pn132571569\j5769?=0Sc>>1054?Zh798;3;6Qa0032=6=f9920m<>PICWE6>g??2k3SDLZF39b=2=f1VCIYK94aef\``e692kohRjjc^ZO\LY6>V;m7ljkrdqp[acdgmoy?6l>099a55YHJ\L97o68;c:\KGSA:2h2;6l6_N@VB6=e{p>0n~w>4:`p}72h5lpt]Mtmo5W@H^J55lpt]Mv`uc3jz~SC|js^KAQC>1a:asqZg;93:546mu^c?5;ePICWEg>ew}VkT=RGMUG:8gusXl5:546mu^f?5;>ew}Vn79364cqw\`909i2i{yRj37;2=<>ew}Vn7;3k4cqw\`Z6XAFH^JHk4cqw\`Z7XAFH^JHk4cqw\`Z4XAFH^JHk4cqw\`Z5XAFH^JHk4cqw\`Z2XAFH^JHk4cqw\`Z3XAFH^JHk4cqw\`Z0XAFH^JHk4cqw\`Z1XAFH^JH94cqw\vkqd3jz~S`x_H@VBd=cacxc2?>`9gmsotg{6:2l5kiwkpkw:5601oe{g|os]3=>bn~`yd~R?6;ekumviuW;o0hdxfsnp\6ZH3:=l0hdxfsnp\6ZH3:=;87hmj6:g{pfjlj2osxnbd_H@VB6=azq90eh|m;hlw[air|5:5n6gat^flqq:66k1bbyQkotv?6;d2o5fnu]gkpr;>7h0eczPdnww828?3`dS`g|o89jjqYjaze:56gat^ojwj4?3`dS`a|i69jjqYh}}20eczPotv2<>oi|Ve~x?64iov\kpr402cexRazt5:8mkrX{jf`j6gat^q`hnYXf9:;<<>4iov\wfjlWVd;<=>>119jjqYtkeaTSc>?01024>oi|Vyh`fQPn12346`:5fs^pfea>8:kp[wcfl;20e~Q}e`f07>jbl=1gii?7;mgg[JDRN;1ek>5ag058jbYHJ\L<7cg`_rgog>hngVyn`RGMUG58jliX{}hh7cg`_rva[LDRN:1ech64nng\KGSA=2xnhz9;sgpaq7?3zbbjymck89pll`skea:56}gigv`hn4>3zbbjymck2;8wmoa|jf`845|hhdwgim212ycekzllj4a?vnnn}iex1>1b:qkmcrdf}6:2o5|hhdwgkr;:7h0egitblw868f3zbbjymat^2b?vnnn}iexR?n;rjjbqei|V8j7~fffuampZ5>3zbbjyj`nd;8wmoa|`dl><>4sikeplh`:VUe<=>?109pll`sagm9SR`?012254=t``leci=_^l34565i2ygm{kfnugm<>ukioxia64sqk5154e02y{eR@|emf8wuoXFzogSBLZF69ptlYnf}i0}gPiov\MGSA02y{eRcfsnf8wuoXe`ydSDLZF99ptlYjgzcn7~~f_lmpmZIE]OO37~~f_raooa=tx`UxoaePOCWEg>uwamkmd`30?a8wuoci}kbb1?1c:qsmagsi`d7>3m4sqkgeqgnf595o6}iecwelh;<7i0}gkaucjj939k2y{eio{ahl?2;e<{ycomyofn=5=f>uwamkmd`P0c9ptlbf|hceS5:a=tx`njxlazt=5=g>uwamkmb{{_1a8wuoci}kdyyQ>c:qsmagsifS?m4sqkgeqgh}}U8o6}iecwejssW=i0}gkauclqqY2k2y{eio{anww[3e<{ycomyo`uu]4a>usi{~Tegithld6>rd;2~fc55wc8734}jzHIz38i5O@y023?@=:3;p_<=5e2815?74;j=;j7==ezlf4?76*kf;a;?xU6:3o86??5121`35`=;;o0h??8:182>4}T9:0n?7<>:010g26a2:8n7{Zl1;295?7=9?;p_<=5e2815?74;j=;j7==e:&`3?553-h26?::;c023?6=:90:?k4>59yY`?4|83;1q)m<:334?Me23`8:o7>5;h3e=?6=3`;jj7>5;h3ef?6=3`;h;7>5;h3b`?6=3`h:6=44i0f1>5<5<5<b083>!de28h97cln:098/4d1290/no4>be9mfd<032!:n:4?:%`a>4dc3ghj6;54+0`;>5<#jk0:ni5ab`86?>-6j00;6)lm:0`g?kdf2=10'7=<#8hh6=4+bc82fa=ijh0:76%>bd83>!de28ho7cln:198k4g62900cc29086=4?{%a0>==Ok<1Chn5f2483>>o5>3:17bl<:188yg70n3:1?7>50z&`7?><@j?0Dim4i3794?=n:?0;66am3;29?xd6?:0;6>4?:1y'g67Ejl;h06>5<>{e9?l1<7:50;2x f5=i2Bh96Fkc:k11?6=3`8=6=44i3594?=hj:0;66sm17294?5=83:p(n=58:J`1>Nck2c997>5;h05>5<5<3290;w)m<:`9Kg0=Olj1b>84?::k12?6=3`8<6=44oc194?=zj8?:6=4;:183!e42h1Co85Gdb9j60<722c9:7>5;h04>5<5<3290;w)m<:`9Kg0=Olj1b>84?::k12?6=3`8<6=44oc194?=zj;:h6=4;:183!e42830Dn;4Hea8m42=831b=<4?::kf>5<5<3290;w)m<:0;8Lf3<@mi0e<:50;9j54<722cn6=44ocf94?=zj;:m6=4;:183!e42830Dn;4Hea8m42=831b=<4?::kf>5<6;29?jda2900nh<50;194?6|,j91ho5Gc49K`f=n:<0;66g=6;29?jd42900q~?::181[7234o96?;4}r35>5<5sW;=70k=:348yvda2909wSli;g553;397~"d;3987Em:;h36>5<>db:3:1?7>50z&`7?be3Ai>7Ejl;h06>5<>{t9<0;6?uQ149>a7<5=2wx=;4?:3y]53=:m;09:6s|bg83>7}Yjo16i?4m3:~f42529096=4?{%a0>7g<@j?0Dim4i3694?=hj:0;66sm22f94?3=83:p(n=51`9Kg0=Olj1b=94?::ke>5<>o693:17dk50;9lfa<722wihi4?:083>5}#k:09>6Fl5:Jgg>i583:17pl>ce83>0<729q/o>4>a:J`1>Nck2c:87>5;hd94?=n980;66gj:188kgb=831vnik50;394?6|,j91o;5Gc49K`f=hj10;66sm15294?5=83:p(n=58:J`1>Nck2c997>5;h05>5<5<4290;w)m<:99Kg0=Olj1b>84?::k12?6=3fh86=44}c074?6==3:15;hg94?=hjm0;66sm1g694?5=83:p(n=58:J`1>Nck2c997>5;h05>5<84?::k12?6=3fh86=44}c;6>5<4290;w)m<:c48Lf3<@mi0e?;50;9j63<722ei?7>5;|`:4?6=;3:14?::aNd=2Boo6g=5;29?l412900co=50;9~f=0=8391<7>t$b19f3=Ok<1Chn5f2483>>o5>3:17bl<:188yg>629086=4?{%a0>g0<@j?0Dim4i3794?=n:?0;66am3;29?xd0?3:1?7>50z&`7?d13Ai>7Ejl;h06>5<>{e?;0;6>4?:1y'g62Bh96Fkc:k11?6=3`8=6=44oc194?=zj8:>6=4;:183!e42k=0Dn;4Hea8m73=831b>;4?::k13?6=3fh86=44}c30e?6=;3:15;|`272<72:0;6=u+c28;?Me23Anh7d<::188m70=831dn>4?::a562=8391<7>t$b19<>Nd=2Boo6g=5;29?l412900co=50;9~f45729086=4?{%a0>==Ok<1Chn5f2483>>o5>3:17bl<:188yg75m3:1?7>50z&`7?><@j?0Dim4i3794?=n:?0;66am3;29?xd6:k0;684?:1y'g67Ejl;h0;>5<>o5?3:17bl<:188yg44j3:197>50z&`7?7f3Ai>7Ejl;h37>5<1;29?lc=831dni4?::a547=8381<7>t$b196d=Ok<1Chn5f2583>>ie;3:17pl6a;297?6=8r.h?7l9;Ia6?Mbd3`8>6=44i3494?=hj:0;66smed83>6<729q/o>47;Ia6?Mbd3`8>6=44i3494?=hj:0;66sme783>6<729q/o>47;Ia6?Mbd3`8>6=44i3494?=hj:0;66sme983>6<729q/o>47;Ia6?Mbd3`8>6=44i3494?=hj:0;66sme`83>6<729q/o>47;Ia6?Mbd3`8>6=44i3494?=hj:0;66smeb83>6<729q/o>47;Ia6?Mbd3`8>6=44i3494?=hj:0;66sm25694?3=83:p(n=51`9Kg0=Olj1b=94?::ke>5<6=44i3494?=hj:0;66sm1d294?5=83:p(n=58:J`1>Nck2c997>5;h05>5<5<2290;w)m<:0c8Lf3<@mi0e<:50;9jb?6=3`;:6=44id83>>iel3:17pl>f383>6<729q/o>47;Ia6?Mbd3`8>6=44i3494?=hj:0;66sm1bg94?3=83:p(n=51`9Kg0=Olj1b=94?::ke>5<5;h32>5<>ob2900coj50;9~f4g?29086=4?{%a0>==Ok<1Chn5f2483>>o5>3:17bl<:188yg7a?3:187>50z&`7?g<@j?0Dim4i3794?=n:?0;66g=7;29?jd42900qo7i:180>5<7s-i86o84Hb78Lae>ie;3:17pl98;297?6=8r.h?7l9;Ia6?Mbd3`8>6=44i3494?=hj:0;66sm6283>6<729q/o>4m6:J`1>Nck2c997>5;h05>5<84?::k12?6=3fh86=44}c7:>5<4290;w)m<:c48Lf3<@mi0e?;50;9j63<722ei?7>5;|`60?6=;3:14?::a0c<72:0;6=u+c28a2>Nd=2Boo6g=5;29?l412900co=50;9~f1g=8391<7>t$b19f3=Ok<1Chn5f2483>>o5>3:17bl<:188yg2229086=4?{%a0>g0<@j?0Dim4i3794?=n:?0;66am3;29?xd4=3:1?7?53z&`7?543Ai>7d?::188m40=831dnk4?::`f6?6=;3:14?::p50<72;qU=852e3811>{t9?0;6?uQ179>a7<5>2wxnk4?:3y]fc=:m;0i?6srb7f94?5=83:p(n=5b79Kg0=Olj1b>84?::k12?6=3fh86=44}c02b?6=;3:14?::a5de=8391<7>t$b19f0=Ok<1Chn5f2583>>o6?3:17bl<:188yg7d>3:187>50z&`7?4d3Ai>7Ejl;h07>5<>i5j3:17pl=0683>6<729q/o>47;Ia6?Mbd3`8>6=44i3494?=hj:0;66sm22g94?3=83:p(n=51`9Kg0=Olj1b=94?::ke>5<6=4::183!e428k0Dn;4Hea8m42=831bj7>5;h32>5<>ob2900coj50;9~f4b2290>6=4?{%a0>4g<@j?0Dim4i0694?=nn3:17d?>:188m`<722eih7>5;|`2`1<72<0;6=u+c282e>Nd=2Boo6g>4;29?l`=831b=<4?::kf>5<55;h494?=h=3:17b=50;9l3?6=3fh;6=44bb;94?5=83:p(n=5ab9Kg0=n:<0;66g=6;29?jd42900qomn:180>5<7s-i86lm4Hb78m73=831b>;4?::ma7?6=3thhn7>55;69<~"d;3;n7Em:;%00>4?c3`o1<75f1583>>oem3:17dm?:188kgb=831i>i4?:283>5}#k:027Em:;h06>5<>{e:l0;6>4?:1y'g6<>3Ai>7d<::188m70=831dn>4?::a6c<72:0;6=u+c28a2>Nd=2Boo6g=5;29?l412900co=50;9~ff2=8391>7:t$b195c=Ok<1/>>4lc:kf>5<1<75`be83>>d483:1=7>50z&`7?453Ai>7Ejl;n03>5<>{tm3:1>vPj;`=z{8>1<71ni5rse594?5|5::1>=52c5825>;d<3hh7ps|e;296~Xb34i?6h5rs0694?4|V8>01?k5279~wgb=838pRoj4=b69fa=z{ko1<7=t^cg897b=:<16>h4=5:pg5<72;qUo=522e812>{tl10;6?u22e8a7>;5n38>7p}k9;296~;5n3h870m;:068yvbf2909w05<7s-i86n84Hb78LaeNck2c997>5;h05>5<Nck2c997>5;h05>5<Nck2c997>5;h05>5<94?::ma7?6=3tho>7>55;294~"d;3i0Dn;4i3794?=n:?0;66g=7;29?l4?2900co=50;9~w46=838pR<>4=b`9a>{til0;6;uQad9>g<<5=27hi7<9;70<5m:1>;52d0810>{t=3:1>vP:;g5g`52z\4?8ea2k90q~oi:180[ga34i26?84=e0960=z{k:1<7;dm38>70j=:348yv2=839pR952d1811>;c:3837p}9:180[0<5jl1>852d3813>{tl:0;6?u2cc8aa>;c:3h87p}k4;296~;di38>70mm:cf8yvb22909w0mm:0689fb=j11vi850;0x9fd=k916h<4m3:p`2<72;q6o44m3:?`e?413twi>5<7s-i86<74Hb78Lae1<75f1083>>ob2900coj50;9~f76c290?6=4?{%a0>4?<@j?0Dim4i0694?=n980;66gj:188kgb=831vn?=>:186>5<7s-i861<75ff;29?l762900eh4?::ma`?6=3th9?=4?:483>5}#k:0:m6Fl5:Jgg>o6<3:17dh50;9j54<722cn6=44ocf94?=zj;8m6=4::183!e428k0Dn;4Hea8m42=831bj7>5;h32>5<>ob2900coj50;9~f74c290>6=4?{%a0>4g<@j?0Dim4i0694?=nn3:17d?>:188m`<722eih7>5;|`16f<72<0;6=u+c282e>Nd=2Boo6g>4;29?l`=831b=<4?::kf>5<5<2290;w)m<:0c8Lf3<@mi0e<:50;9jb?6=3`;:6=44id83>>iel3:17pl=2`83>0<729q/o>4>a:J`1>Nck2c:87>5;hd94?=n980;66gj:188kgb=831vn:187>5<7s-i861<75fbb83>>ob2900coj50;9~f4c0290>6=4?{%a0>4d<@j?0Dim4i0694?=n980;66gmc;29?lc=831dni4?::a614=83?1<7>t$b195d=Ok<1Chn5f1583>>oa2900e5<4290;w)m<:c48Lf3<@mi0e?;50;9j63<722ei?7>5;|`106<72<0;6=u+c282`>Nd=2Boo6g>4;29?l`=831bnn4?::kf>5<5<2290;w)m<:0c8Lf3<@mi0e<:50;9jb?6=3`;:6=44id83>>iel3:17pl>a`83>0<729q/o>4>a:J`1>Nck2c:87>5;hd94?=n980;66gj:188kgb=831vn5<7s-i86?m4Hb78Lae1<75f1683>>ie;3:17b50z&`7?7f3Ai>7Ejl;h37>5<1;29?lc=831dni4?::a5f7=83>1<7>t$b196f=Ok<1Chn5f2583>>o6?3:17bl<:188k7d=831vn5<7s-i86?m4Hb78Lae1<75f1683>>ie;3:17b50z&`7?4d3Ai>7Ejl;h07>5<>i5j3:17pl>bg83>1<729q/o>4=c:J`1>Nck2c987>5;h34>5<>{e9j91<7:50;2x f5=:j1Co85Gdb9j61<722c:;7>5;n`0>5<5<3290;w)m<:3a8Lf3<@mi0e?:50;9j52<722ei?7>5;n0a>5<53;294~"d;320Dn;4Hea8m73=831b>;4?::ma7?6=3th:io4?:283>5}#k:037Em:;If`?l422900e?850;9lf6<722wi><:50;194?6|,j9146Fl5:Jgg>o5=3:17d<9:188kg5=831vnl>50;195?5|,j91?>5Gc49j50<722c::7>5;n`e>5<5;n`0>5<6=4={_36?8c52;?0q~?9:181[7134o96?84}r`e>5<5sWhm70k=:c18yxd5;o0;684?:1y'g6<6i2Bh96Fkc:k20?6=3`l1<75f1083>>ob2900coj50;9~f6>=8391<7>t$b19<>Nd=2Boo6g=5;29?l412900co=50;9~f61=8391<7>t$b19<>Nd=2Boo6g=5;29?l412900co=50;9~f60=8391=7=t$b1976=Ok<1b=84?::k22?6=3fhm6=44bd094?5=83:p(n=5dc9Kg0=Olj1b>84?::k12?6=3fh86=44}r36>5<5sW;>70k=:378yv712909wS?9;708883>6<729q/o>47;Ia6?Mbd3`8>6=44i3494?=hj:0;66sm19g94?4=83:p(n=52`9Kg0=Olj1b>94?::ma7?6=3th:4k4?:283>5}#k:037Em:;If`?l422900e?850;9lf6<722wi=4>50;094?6|,j91>l5Gc49K`f=n:=0;66am3;29?xd6180;6?4?:1y'g6<5i2Bh96Fkc:k10?6=3fh86=44}c3:6?6=;3:15;|`2=6<72:0;6=u+c28;?Me23Anh7d<::188m70=831dn>4?::a5<2=8391<7>t$b19f3=Ok<1Chn5f2483>>o5>3:17bl<:188yg7>=3:1?7>50z&`7?d13Ai>7Ejl;h06>5<>{e91k1<7=50;2x f5=02Bh96Fkc:k11?6=3`8=6=44oc194?=zj82i6=4<:183!e4211Co85Gdb9j60<722c9:7>5;n`0>5<53;294~"d;3h=7Em:;If`?l422900e?850;9lf6<722wi=:j50;194?6|,j9146Fl5:Jgg>o5=3:17d<9:188kg5=831vn<6?:181>5<7s-i86?o4Hb78Lae1<75`b283>>{e91;1<7<50;2x f5=:h1Co85Gdb9j61<722ei?7>5;|`2<7<72:0;6=u+c28;?Me23Anh7d<::188m70=831dn>4?::a5=5=8381<7>t$b196d=Ok<1Chn5f2583>>ie;3:17pl>8583>6<729q/o>47;Ia6?Mbd3`8>6=44i3494?=hj:0;66sm19794?5=83:p(n=58:J`1>Nck2c997>5;h05>5<5<4290;w)m<:c48Lf3<@mi0e?;50;9j63<722ei?7>5;|`2<2<72:0;6=u+c28;?Me23Anh7d<::188m70=831dn>4?::a52c=8391<7>t$b19f3=Ok<1Chn5f2483>>o5>3:17bl<:188yg7093:1?7>50z&`7?><@j?0Dim4i3794?=n:?0;66am3;29?xd6?=0;6?4?:1y'g6<5i2Bh96Fkc:k10?6=3fh86=44}c341?6=:3:15<7s-i8655Gc49K`f=n:<0;66g=6;29?jd42900qo?87;296?6=8r.h?75;n`0>5<53;294~"d;320Dn;4Hea8m73=831b>;4?::ma7?6=3th:;l4?:283>5}#k:0i:6Fl5:Jgg>o5=3:17d<9:188kg5=831vn<9m:180>5<7s-i8655Gc49K`f=n:<0;66g=6;29?jd42900qo?82;297?6=8r.h?7l9;Ia6?Mbd3`8>6=44i3494?=hj:0;66sm17c94?5=83:p(n=58:J`1>Nck2c997>5;h05>5<5<5290;w)m<:3c8Lf3<@mi0e?:50;9lf6<722wi=;m50;094?6|,j91>l5Gc49K`f=n:=0;66am3;29?xd6>m0;6>4?:1y'g67Ejl;h06>5<>{e9?o1<7=50;2x f5=j?1Co85Gdb9j60<722c9:7>5;n`0>5<n7>53;294~"d;320Dn;4Hea8m73=831b>;4?::ma7?6=3th::<4?:383>5}#k:09m6Fl5:Jgg>o5<3:17bl<:188yg71:3:1>7>50z&`7?4f3Ai>7Ejl;h07>5<5<5290;w)m<:3c8Lf3<@mi0e?:50;9lf6<722wi=;:50;094?6|,j91>l5Gc49K`f=n:=0;66am3;29?xd6><0;6>4?:1y'g67Ejl;h06>5<>{e9?<1<7=50;2x f5=02Bh96Fkc:k11?6=3`8=6=44oc194?=zj8<<6=4<:183!e4211Co85Gdb9j60<722c9:7>5;n`0>5<53;294~"d;3h=7Em:;If`?l422900e?850;9lf6<722wi=8m50;194?6|,j91n;5Gc49K`f=n:<0;66g=6;29?jd42900qo?:d;297?6=8r.h?764Hb78Lae>ie;3:17pl>5d83>6<729q/o>47;Ia6?Mbd3`8>6=44i3494?=hj:0;66sm14d94?5=83:p(n=5b79Kg0=Olj1b>84?::k12?6=3fh86=44}c367?6=:3:15<7s-i86?o4Hb78Lae1<75`b283>>{e95;|`213<72:0;6=u+c28;?Me23Anh7d<::188m70=831dn>4?::a501=8391<7>t$b19<>Nd=2Boo6g=5;29?l412900co=50;9~f43?29086=4?{%a0>g0<@j?0Dim4i3794?=n:?0;66am3;29?xd64?:1y'g67Ejl;h06>5<>{e9=n1<7<50;2x f5=:h1Co85Gdb9j61<722ei?7>5;|`20`<72;0;6=u+c281e>Nd=2Boo6g=4;29?jd42900qo?;f;297?6=8r.h?764Hb78Lae>ie;3:17pl>5183>6<729q/o>4m6:J`1>Nck2c997>5;h05>5<5<4290;w)m<:99Kg0=Olj1b>84?::k12?6=3fh86=44}c372?6=:3:15<7s-i86?o4Hb78Lae1<75`b283>>{e9=21<7=50;2x f5=02Bh96Fkc:k11?6=3`8=6=44oc194?=zj8>26=4<:183!e42k<0Dn;4Hea8m73=831b>;4?::ma7?6=3th:?k4?:383>5}#k:09m6Fl5:Jgg>o5<3:17bl<:188yg76;3:1>7>50z&`7?4f3Ai>7Ejl;h07>5<5<4290;w)m<:99Kg0=Olj1b>84?::k12?6=3fh86=44}c321?6=:3:1m50;194?6|,j9146Fl5:Jgg>o5=3:17d<9:188kg5=831vn>j50;694?6|,j91m6Fl5:Jgg>o5=3:17d<9:188m71=831dn>4?::a7`<72;0;6=u+c281e>Nd=2Boo6g=4;29?jd42900qo=i:180>5<7s-i8655Gc49K`f=n:<0;66g=6;29?jd42900qo7=:180>5<7s-i8655Gc49K`f=n:<0;66g=6;29?jd42900qo7<:181>5<7s-i86?o4Hb78Lae1<75`b283>>{e1=0;6>4?:1y'g67Ejl;h06>5<>{e0m0;6>4?:1y'g67Ejl;h06>5<>{e0l0;6?4?:1y'g6<5i2Bh96Fkc:k10?6=3fh86=44}c:e>5<4290;w)m<:99Kg0=Olj1b>84?::k12?6=3fh86=44}c:;>5<4290;w)m<:99Kg0=Olj1b>84?::k12?6=3fh86=44}c::>5<5290;w)m<:3c8Lf3<@mi0e?:50;9lf6<722wi4l4?:283>5}#k:037Em:;If`?l422900e?850;9lf6<722wi4>4?:283>5}#k:037Em:;If`?l422900e?850;9lf6<722wi494?:383>5}#k:09m6Fl5:Jgg>o5<3:17bl<:188yg>229086=4?{%a0>==Ok<1Chn5f2483>>o5>3:17bl<:188yg1b29086=4?{%a0>==Ok<1Chn5f2483>>o5>3:17bl<:188yg1a29096=4?{%a0>7g<@j?0Dim4i3694?=hj:0;66sm8183>6<729q/o>47;Ia6?Mbd3`8>6=44i3494?=hj:0;66sm7583>6<729q/o>47;Ia6?Mbd3`8>6=44i3494?=hj:0;66sm7483>7<729q/o>4=a:J`1>Nck2c987>5;n`0>5<5;n`0>5<5;n`0>5<4?::a34<72:0;6=u+c28;?Me23Anh7d<::188m70=831dn>4?::ab5<72:0;6=u+c28;?Me23Anh7d<::188m70=831dn>4?::abg<72;0;6=u+c281e>Nd=2Boo6g=4;29?jd42900qo??6;297?6=8r.h?764Hb78Lae>ie;3:17pl>0683>7<729q/o>4=a:J`1>Nck2c987>5;n`0>5<52;294~"d;38j7Em:;If`?l432900co=50;9~f46>29086=4?{%a0>==Ok<1Chn5f2483>>o5>3:17bl<:188yg77i3:1>7>50z&`7?4f3Ai>7Ejl;h07>5<5<4290;w)m<:99Kg0=Olj1b>84?::k12?6=3fh86=44}c33g?6=:3:1l5Gc49K`f=n:=0;66am3;29?xda:3:1>7>50z&`7?4f3Ai>7Ejl;h07>5<94?::ma7?6=3thm87>53;294~"d;320Dn;4Hea8m73=831b>;4?::ma7?6=3thm97>53;294~"d;320Dn;4Hea8m73=831b>;4?::ma7?6=3thm:7>53;294~"d;320Dn;4Hea8m73=831b>;4?::ma7?6=3thm;7>53;294~"d;320Dn;4Hea8m73=831b>;4?::ma7?6=3thm47>53;294~"d;3h=7Em:;If`?l422900e?850;9lf6<722wij44?:283>5}#k:0i:6Fl5:Jgg>o5=3:17d<9:188kg5=831vnko50;194?6|,j91n;5Gc49K`f=n:<0;66g=6;29?jd42900qohl:180>5<7s-i86o84Hb78Lae>ie;3:17plid;297?6=8r.h?764Hb78Lae>ie;3:17plie;297?6=8r.h?764Hb78Lae>ie;3:17plif;297?6=8r.h?764Hb78Lae>ie;3:17pl>0183>6<729q/o>47;Ia6?Mbd3`8>6=44i3494?=hj:0;66sm11394?5=83:p(n=5b79Kg0=Olj1b>84?::k12?6=3fh86=44}c336?6=;3:14?::a555=8391<7>t$b19<>Nd=2Boo6g=5;29?l412900co=50;9~f46329086=4?{%a0>==Ok<1Chn5f2483>>o5>3:17bl<:188yg7413:1>7>50z&`7?4f3Ai>7Ejl;h07>5<5<5290;w)m<:3c8Lf3<@mi0e?:50;9lf6<722wi=><50;094?6|,j91>l5Gc49K`f=n:=0;66am3;29?xd6;:0;6?4?:1y'g6<5i2Bh96Fkc:k10?6=3fh86=44}c31`?6=:3:1i:181>5<7s-i86?o4Hb78Lae1<75`b283>>{e98:1<7=50;2x f5=02Bh96Fkc:k11?6=3`8=6=44oc194?=zj0=1<7=50;2x f5=02Bh96Fkc:k11?6=3`8=6=44oc194?=zj021<7<50;2x f5=:h1Co85Gdb9j61<722ei?7>5;|`:=?6=;3:15;|`f1?6=:3:15<7s-i8655Gc49K`f=n:<0;66g=6;29?jd42900qo?j4;296?6=8r.h?76=4<:183!e4211Co85Gdb9j60<722c9:7>5;n`0>5<52;294~"d;38j7Em:;If`?l432900co=50;9~f4`729086=4?{%a0>==Ok<1Chn5f2483>>o5>3:17bl<:188yg7a93:1>7>50z&`7?4f3Ai>7Ejl;h07>5<5<5290;w)m<:3c8Lf3<@mi0e?:50;9lf6<722wi=l950;094?6|,j91>l5Gc49K`f=n:=0;66am3;29?xd>k3:1?7>50z&`7?><@j?0Dim4i3794?=n:?0;66am3;29?xd>l3:1>7>50z&`7?4f3Ai>7Ejl;h07>5<Nck2c997>5;h05>5<Nck2c997>5;h05>5<94?::ma7?6=3th=;7>53;294~"d;320Dn;4Hea8m73=831b>;4?::ma7?6=3th=<7>53;294~"d;320Dn;4Hea8m73=831b>;4?::ma7?6=3th==7>52;294~"d;38j7Em:;If`?l432900co=50;9~f34=8391<7>t$b19<>Nd=2Boo6g=5;29?l412900co=50;9~f0d=8391<7>t$b19<>Nd=2Boo6g=5;29?l412900co=50;9~f0e=8381<7>t$b196d=Ok<1Chn5f2583>>ie;3:17pl:d;297?6=8r.h?764Hb78Lae>ie;3:17pl:6;297?6=8r.h?764Hb78Lae>ie;3:17pl:7;296?6=8r.h?75;|`67?6=;3:15;|`7g?6=;3:15;|`7`?6=:3:1o5=3:17d<9:188kg5=831vn9950;194?6|,j9146Fl5:Jgg>o5=3:17d<9:188kg5=831vn9650;094?6|,j91>l5Gc49K`f=n:=0;66am3;29?xd313:1?7>50z&`7?><@j?0Dim4i3794?=n:?0;66am3;29?xd3:3:1?7>50z&`7?><@j?0Dim4i3794?=n:?0;66am3;29?xd3;3:1>7>50z&`7?4f3Ai>7Ejl;h07>5<Nck2c997>5;h05>5<Nck2c997>5;h05>5<94?::ma7?6=3th=o7>53;294~"d;320Dn;4Hea8m73=831b>;4?::ma7?6=3th95}#k:037Em:;If`?l422900e?850;9lf6<722wi>==50;094?6|,j91>l5Gc49K`f=n:=0;66am3;29?xd58=0;6?4?:1y'g6<5i2Bh96Fkc:k10?6=3fh86=44}c031?6=;3:15;|`143<72:0;6=u+c28a2>Nd=2Boo6g=5;29?l412900co=50;9~f2?=8391<7>t$b19<>Nd=2Boo6g=5;29?l412900co=50;9~f2g=8381<7>t$b196d=Ok<1Chn5f2583>>ie;3:17pl8b;297?6=8r.h?764Hb78Lae>ie;3:17pl>ee83>7<729q/o>4=a:J`1>Nck2c987>5;n`0>5<52;294~"d;38j7Em:;If`?l432900co=50;9~f4cf29096=4?{%a0>7g<@j?0Dim4i3694?=hj:0;66sm20194?4=83:p(n=52`9Kg0=Olj1b>94?::ma7?6=3th9=i4?:383>5}#k:0956Fl5:Jgg>o5<3:17bl<:188yg7ai3:1>7>50z&`7?4>3Ai>7Ejl;h07>5<5<5290;w)m<:3;8Lf3<@mi0e?:50;9lf6<722wi=km50;094?6|,j91>45Gc49K`f=n:=0;66am3;29?xd6k10;6?4?:1y'g6<512Bh96Fkc:k10?6=3fh86=44}c3ba?6=:3:145Gc49K`f=n:=0;66am3;29?xd6l:0;6?4?:1y'g6<512Bh96Fkc:k10?6=3fh86=44}c02f?6=:3:15<7s-i86?74Hb78Lae1<75`b283>>{e9031<7<50;2x f5=:01Co85Gdb9j61<722ei?7>5;|`2=g<72;0;6=u+c281=>Nd=2Boo6g=4;29?jd42900qo?m5;296?6=8r.h?7<6;Ia6?Mbd3`8?6=44oc194?=zj8h?6=4=:183!e42;30Dn;4Hea8m72=831dn>4?::a5d4=8381<7>t$b19f1=Ok<1Chn5f2583>>ie;3:17pl>a583>7<729q/o>4m4:J`1>Nck2c987>5;n`0>5<52;294~"d;3h?7Em:;If`?l432900co=50;9~f4`?29096=4?{%a0>g2<@j?0Dim4i3694?=hj:0;66sm1gg94?4=83:p(n=5b59Kg0=Olj1b>94?::ma7?6=3th9=44?:383>5}#k:0i86Fl5:Jgg>o5<3:17bl<:188yg4593:1>7>50z&`7?d33Ai>7Ejl;h07>5<5<5290;w)m<:c68Lf3<@mi0e?:50;9lf6<722wx=o850;0xZ4d134;ij77d52z\2f==:9j;1>o5rs0`:>5<5sW;i563>c381f>{t9kk1<7bc83>7}Y9kh01vP>bb9>5f3=:k1v5d4=:=1vk3=01v3>e1811>;59m0i?6s|1gc94?5|58l<6?84=0;`>dc<58lj6o=4}r3b4?6=:r7:5n4<;<3b4?433ty:n=4?:9y>516=:<16>=>5259>7f<5=278h7<:;<324?4234;nh7<;;<3f=?4334;i<7l<;|q2eg<72;qU=ll4=0c`>g552z?2b2d1810>;6;o09863>15811>;6n909:63>c98a7>{t9oo1<7g6<58ln6?:4}r3ba?6=;r7:j=4=5:?140<5=27:mh4m3:p640=8301;<5249>64?=:=1v616=m279?o4j;<070?c<58n:6h521bg9a>;5;h0n70<;1;g894`02;?01?=j:d9>643=m279=;4j;<3g1?c<58n?6h522509a>;5<:0n70?n9;g894gf2l16>>m5e:?17cg553z?2=f<6827901e;296~X59l16>9683>7}:90i1>63>968a7>{t9031<71=:9031n>5rs0;a>5<5s4;2o784=0;a>g552z?2b1;60=0996s|1e394?3|58n:6oj4=052>70<5=o1>852210960=::991>95rs315>5<4s488i7lk;<:b>73<5o>1>85rs333>5<2s48:<7lk;<077?dd34;9h7<;;<33b?43348:?7<;;|q2e0<72=q6=k:5279>5d>=j:16=8=5259>652=:=1vu2223951=::::1ni5215d960=z{;;:6=47{<31a?4234on6?;4=d4960=:m109963ja;06?8cd2;?01??>:cf894g12;>0q~?na;293~;f93;>70?na;`g?87bm38>70?8d;05?85a2;?01975249>5`g=:=1v1=<521e3954=:9jo1=<522129f6=::8?1=<522049ff=:9m?1=<521e6954=::8:1=<5221f954=:::;1=<52222954=::;l1=<5223g954=::;n1=<5223a954=::;h1=<5223c954=:9l;1nn521`;954=:9hk1=<5rs0c:>5<3s4k:6<84=0c:>gb<58396?;4=56960=z{;>96=4<{<076?dc348:87<:;<3b3?433ty9<<4?:03x976d2l16>=k5e:?14c;5;80n70<<0;g8974a2l16>?k5e:?16a`=::;k1i63>e08f?87b?3o0q~?ke;296~;6m90i?63=2`820>{t:=>1<7:t=061>72<5;>?6oj4=04b>70<5<91>85rs0c`>5;76<5;>;647<5;9j647<58kh6?:4=31f>47<5;>86<:4=31`>47<5;9m6i4>4:?16f=h5159>af;4l38<7p}=4283>6}::=91ni52157963=:9l91>85rs0ab>5<4s4;hh7lk;<3;6?4134=:6?;4}rg7>5<5s48;o7?;;g5?6k521e39b>;6kl0m70?k0;`0?846=3l01??9:g9>5a3=n27:h94i;<3b=?`<58kj6k5rs30:>5<5s488=7lk;<3744?:3y>617=9=16;n4m3:p576=838p17g811>;6?:09963>6g812>;6>909963>58812>;6=809:63>4`812>;6n;0i?63>c7823>;6k90:;63>c0823>;6k<0:;63>c3823>;6jo0:;63>c2823>;6k=0:;6s|1e694?2|58n?6oj4=046>73<58;86?:4=4:960=z{8:n6=4={<325?d4348?>7?>;|q2f0<728?p1<=n:37894572;<01<66:37894>b2;>01<6i:37894?72;>01<6>:36894>52;?01<9::36894112;?01<8l:368943e2;?01<8>:36894042;>01<89:37894322;>01<:j:36894202;>01<=9:36894542;>01v3>178a7>;5<;0m7p}=3483>6}:::n1ni528g811>;68k09:6s|1d094?4|58o=6o=4=0c`>4152z?fg?41349=6oh4}rgg>5<>s4on6o=4=312>c=::::1j63=2g8e?845m3l01?67e=n279>o4i;<01e?`0q~?>8;296~;3i3h870?na;37?xuf:3:1>v3n1;`e?8g728?0q~?>e;296~;103h870<>5;37?xu6900;6?u21e3951=:6oj4=07a>70<58o>6?;4=75960=z{:k1<7?6<:4=469f6=z{8;i6=4={<7:>g5<58n?6<:4}r3g3?6=:r7:;k4m3:?2g5<5<2wx=<0996396;07?8072;?01;?5259~w44f2909w0?=b;`0?84683;?7p}>2b83>1}:9;o1n>523b812>;4l38=70h<:368yv7493:1=>u2104960=:9:>1n>522519b>;>:38>707<:3689=b=:<164h4=4:?;01495249>==<5<272o7<:;<;g>72<5>31>8527`810>{t::=1<7=t=31e>gb<51?1>852f7811>{t9;21<742<51;1n>5rs016>573<595257811>;2?38?70;>:378904=:=168n4=5:?7`?4334><6?;4=5:961=:<;09963;3;07?xu6l?0;6?u219f9f6=:9kl1>95rs0fa>5<5s4;>57l<;<3`0?433ty:?54?:6y>56g=j:16;94=5:?41?4334h099639b;07?xu5:;0;6>u223`951=::;k1ni52181960=z{89i6=4={<17>g`<5l21>;5rsd594?4|5l21n>5221f951=z{8>:6=4={<376?d4348:j7?8;|q266<72;q6>>l5159>=d:368yv46:3:1>v3=10820>;59=0i?6s|21c94?>|5;:n6oj4=00a>71<5:?1=;5239811>;68109863i1;07?8`c2;?01kh5249~w4452909w0<65279>72``4:p56c=833p1?=k:g9>516=j:16>9>5f:?17gc=:::o1j63=3b8e?844n3l0q~70kj:3489d6=9?16?:4=6:?f1?433ty9?94?:2y>66e=jm16594=5:?243<5>2wx>?950;1x975728>01?77812>;1k38>7p}>2583>7}:1<0i?63=3b820>{t:9h1<7lt=32e>gb<588i6?64=24953=:n909963ib;07?877>38>70??7;07?877138>70??a;07?877j38>70??c;07?xu5;;0;6>u222c9fa=:n909:636e;06?xu5;10;6>u22529fa=:0909963i5;06?xu6l00;6?u217d9f6=:9j81>95rs004>5<5s42=6o=4=31e>4253z?16c<6<279>h4md:?222<5=2wx=ij50;0x942f2k901v3>cd820>;1l3h87p}>2783>7}:0k0i?63=3d820>{t::91<7=t=31a>gb<58:26?84=8;960=z{;926=4<{<075?dc34l<6?;4=6`960=z{8o36=4={<3f3?7634;nn7l<;|q2f1<728=p1<=8:37894572;?01<7>:36894>f2;?01<9k:37894>72;>01<6<:36894162;?01<9;:36894102;>01<8n:378940e2;>01<8;:368943c2;?01<;;:36894312;?01<:l:378942c2;>01<:::37894212;>01<=6:36894552;>01v3=3e820>;>83h87p}>3b83>7}:mh09:63<5;`e?xu6lj0;6?u21439f6=:9j?1>95rs32:>5<1s49?6<84=00a>70<5;:o6oj4=25960=:n;09863>02811>{t9121<7g5<583?6?;4}r3;=?6=:r7:4h4m3:?2=7<5>2wx=5k50;0x94>a2k901<7::378yv7?n3:1>v3>918a7>;61:09:6s|18294?4|583:6o=4=0:a>7052z?2=78`812>{t90>1<7g5<582i6?;4}r3:1?6=:r7:4l4m3:?2e2k901<6l:348yv7?j3:1>v3>8e812>;60j0i?6s|16a94?4|58=o6o=4=0:5>7352z?2<5:c1894>02;<0q~?71;296~;60;0i?63>84811>{t9181<7g5<582>6?84}r3;7?6=:r7:494m3:?2<3<5>2wx=5:50;0x94>22k901<9j:348yv7?=3:1>v3>878a7>;60>0996s|19494?4|582<6o=4=05f>7352z?23c<5>27:;h4m3:p526=838p1<9>:c18941f2;?0q~?81;296~;6?=0i?63>79812>{t9>>1<7g5<58=i6?84}r341?6=:r7:;;4m3:?23<<5=2wx=:850;0x94102k901<96:348yv70?3:1>v3>798a7>;6?h09:6s|16:94?4|58=26o=4=051>7052z?23d738a7>{t9?31<7g5<582wx=;l50;0x940a2;=01<8l:c18yv71k3:1>v3>6e8a7>;6>l09:6s|17f94?4|58g5m7>52z?21g:c1894022;<0q~?91;296~;6>;0i?63>67812>{t9?81<7g5<58<<6?84}r357?6=:r7::94m3:?21`<5>2wx=;:50;0x94022k901<87:348yv71=3:1>v3>678a7>;6=j0996s|17494?4|58<<6o=4=07`>7052z?22==838p1<;l:c18943b2;?0q~?:c;296~;6=m0i?63>5g811>{t9g5<58?m6?84}r36a?6=:r7::=4=6:?21cv3>558a7>;6=>09:6s|14694?4|58?26?94=076>g597>52z?213598a7>{t9=h1<7g5<58?;6?;4}r37g?6=:r7:8i4m3:?20c<5>2wx=9j50;0x94362;=01<:j:c18yv73m3:1>v3>4g8a7>;6=909:6s|15d94?4|58?:6?;4=073>g552z?200468a7>{t9==1<7g5<58>26?84}r37h50;0x94272;<01<=i:c18yv76;3:1>v3>128a7>;69=09:6s|10694?4|58;?6o=4=036>7252z?253<5>27:=84m3:p7g<72;q6?n4m3:?0a?433ty8o7>52z?74?41349o6o=4}r1g>5<5s49n6o=4=2d963=z{:o1<77}:1<0996362;`0?xu>:3:1>v363;`0?8?32;<0q~7<:1818?22;<014:5b29~w=e=838p14>5249><`g573<5121n>5rs9:94?4|5131n>528`812>{t000;6?u28c812>;?i3h87p}72;296~;?>38>706<:c18yv>42909w06;:c189=3=:?1v5:50;0x9=0=:?16484m3:p3a<72;q64<4=5:?4a?d43ty52z?4b?d4342;6?84}r5e>5<5s42:6?84=929f6=z{>91<77}:?<0i?6386;05?xu0=3:1>v387;05?8112k90q~8j:1818152;?01;h5b29~w3`=838p1:>5b29>34<5>2wx;=4?:3y>37<5>27<=7l<;|qe4?6=:r7m<7l<;73g5<5o>1>;5rs025>5<5s4;;:7l<;7352z?242b`<5>2wx==750;0x946>2k901ko5249~w46f2909w0??a;`0?8`12;<0q~??b;296~;68k0i?63ic;06?xu68j0;6?u211a9f6=:n>09:6s|f083>7}:n80i?63>01812>{tn;0;6?u2f38a7>;68=09:6s|f283>7}:99?1>:52f28a7>{tn=0;6?u2f58a7>;a038=7p}i5;296~;a=3h870h6:348yv`12909w0h9:c189cg=:?1vk950;0x9c1=j:16jn4=6:pb=<72;q6j54m3:?e`?413tym57>52z?e=?d434ln6?;4}rdb>5<5s4lj6o=4=gd963=z{oi1<785rsgf94?4|5on1n>52113960=z{oo1<7;5rsgd94?4|5ol1n>52110960=z{8:;6=4={<334?d434;;>7<9;|q244<72;q6==?5b29>555=:?1v<>=:181877:3h870??4;06?xu68:0;6?u2117963=:9991n>5rs027>5<5s4;;97<:;<330?d43ty:?44?:3y>56g=:?16=>75b29~w4512909w0?<7;05?874>3h87p}>3383>7}:9:>1>8521209f6=z{8986=4={<300?4134;8?7l<;|q26a<72;q6=?k5279>57b=j:1v<>i:181877n3h870?>0;05?xu6990;6?u2103961=:98:1n>5rs8494?4|50k1>852968a7>{t1>0;6?u2998a7>;>138=7p}68;296~;>i38=7076:c18yvc22909w0k9:3489`3=j:1v38>70?j3;`0?xu6m=0;6?u21d69f6=:9l?1>;5rs0g6>5<5s4;n:7<9;<3f1?d43ty:hk4?:3y>5`6=:?16=ih5b29~w4`72909w0?i2;06?87a83h87p}>f083>7}:9o81>;521g39f6=z{8k=6=4={<3b5d1=j:1v4l50;0x9<`=:<165n4m3:p=f<72;q65i4m3:?:a?413ty2h7>52z?:b?41343n6o=4}r47>5<5s4<36?;4=779f6=z{??1<7>09:6s|6783>7}:>109:6397;`0?xu2n3:1>v393;06?8072k90q~8?:1818062k901;<5279~w37=838p1;=5279>271`<5=27>n7l<;|q6f?6=:r7>o7l<;<7g>7070<55rs4794?4|5<31>852578a7>{t=?0;6?u2568a7>;2038=7p}:7;296~;2138=70;7:c18yv372909w0;;:378907=j:1v8?50;0x904=j:169>4=6:p17<72;q6994=6:?67?d43ty?n7>52z?7b?4234>h6o=4}r6`>5<5s4>o6o=4=5g963=z{=n1<77}:v3;8;`0?82>2;<0q~:7:18182f2;<01975b29~w17=838p19;5249>0706g573<5?k1n>5rs7c94?4|5?h1n>526b812>{t>k0;6?u26e812>;1k3h87p}=0383>7}::981n>52214960=z{;:86=4={<037?d4348;97<9;|q141<72;q6>=95279>652=j:1v?>::181847=3h8705rs6:94?4|5>i1>852788a7>{t?00;6?u27`8a7>;0j38=7p}8a;296~;0k38=709m:c18yv7bl3:1>v3>ed812>;6mm0i?6s|1d;94?4|58oi6?;4=0g:>g552z?2ag<5>27:il4m3:p645=838p1??;:34897742k90q~<>c;296~X59j16>2909wS?i9:?2bd<5<2wx=lh50;0xZ4ga34;i<7<;;|q2bg<72;qU=kl4=0d`>7252z\2g2=:9j21>95rs0cg>5<5sW;jh63>ad810>{tj80;6?uQb09>f7<5<2wx=i<50;0xZ4b534;o?7<;;|q15d<72;qU>7252z\2=3=:90=1>95rs0;;>5<5sW;2463>98810>{t90k1<7j38?7p}>b283>7}Y9k901vP>b09>5g2=:=1vl=50;7x94da2k901::5279>=f<5>27=<7<9;<61>7009:6s|a483>1}:9j;1n>5293812>;3k38=708n:348yvg12908w0?l2;`0?8>c2;<018?5279~wd1=83>p1=:?16:84=6:?62?413tyj47>52z?2g15<5s4;h:7l<;<5:>7052z\2e4=:9h81n>5rs0c0>5<5sW;j?63>a58a7>{t90l1<7f783>7}Y9o<01vP>fe9>5cc=j:1v??7:181[460279=44m3:p676=838pR?4?:3y]5c5<58l>6o=4}|l6=1<728qCo85rn4;6>5<6sAi>7p`:9783>4}Ok<1vb878:182Me23td>554?:0yKg0=zf<326=4>{Ia6?xh21h0;65<5sAi>7p`:9d83>4}Ok<1vb87i:181Me23td>m=4?:3yKg0=zf{Ia6?xh2i;0;65<6sAi>7p`:a783>7}Ok<1vb8o8:182Me23td>m54?:0yKg0=zf{Ia6?xh2ih0;65<6sAi>7p`:ad83>4}Ok<1vb8oi:181Me23td>n=4?:3yKg0=zf5<6sAi>7p`:b783>4}Ok<1vb8l8:182Me23td>n54?:0yKg0=zf{Ia6?xh2jh0;65<6sAi>7p`:bd83>4}Ok<1vb8li:181Me23td>o=4?:0yKg0=zf{Ia6?xh2k;0;65<6sAi>7p`:c783>4}Ok<1vb8m8:182Me23td>o54?:0yKg0=zf5<6sAi>7p`:cd83>4}Ok<1vb8mi:182Me23td>h=4?:0yKg0=zf{Ia6?xh2l;0;65<6sAi>7p`:d783>4}Ok<1vb8j8:182Me23td>h54?:0yKg0=zf5<6sAi>7p`:dd83>4}Ok<1vb8ji:182Me23td>i=4?:2yKg0=zf{Ia6?xh2m;0;65<6sAi>7p`:e783>4}Ok<1vb8k8:182Me23td>i54?:0yKg0=zf{Ia6?xh2mh0;65<6sAi>7p`:ed83>4}Ok<1vb8ki:182Me23td>j=4?:0yKg0=zf{Ia6?xh2n;0;65<5sAi>7p`:f783>4}Ok<1vb8h8:182Me23td>j54?:0yKg0=zf{Ia6?xh2nh0;65<5sAi>7p`:fd83>4}Ok<1vb8hi:182Me23td=<=4?:2yKg0=zf?::6=4>{Ia6?xh18;0;65<5sAi>7p`90783>4}Ok<1vb;>8:182Me23td=<54?:0yKg0=zf?:26=4>{Ia6?xh18h0;65<6sAi>7p`90d83>4}Ok<1vb;>i:182Me23td===4?:0yKg0=zf?;:6=4>{Ia6?xh19;0;65<5sAi>7p`91783>4}Ok<1vb;?8:182Me23td==54?:0yKg0=zf?;26=4>{Ia6?xh19h0;65<6sAi>7p`91d83>4}Ok<1vb;?i:182Me23td=>=4?:0yKg0=zf?8:6=4>{Ia6?xh1:;0;65<6sAi>7p`92783>4}Ok<1vb;<8:182Me23td=>54?:0yKg0=zf?826=4>{Ia6?xh1:h0;65<6sAi>7p`92d83>4}Ok<1vb;{Ia6?xh1;;0;65<6sAi>7p`93783>4}Ok<1vb;=8:182Me23td=?54?:0yKg0=zf?926=4>{Ia6?xh1;h0;65<6sAi>7p`93d83>4}Ok<1vb;=i:182Me23td=8=4?:0yKg0=zf?>:6=4>{Ia6?xh1<;0;65<6sAi>7p`94783>4}Ok<1vb;:8:182Me23td=854?:0yKg0=zf?>26=4>{Ia6?xh15<6sAi>7p`94d83>4}Ok<1vb;:i:182Me23td=9=4?:0yKg0=zf??:6=4>{Ia6?xh1=;0;65<6sAi>7p`95783>4}Ok<1vb;;8:182Me23td=954?:0yKg0=zf??26=4>{Ia6?xh1=h0;65<6sAi>7p`95d83>4}Ok<1vb;;i:182Me23td=:=4?:0yKg0=zf?<:6=4>{Ia6?xh1>;0;65<6sAi>7p`96783>4}Ok<1vb;88:182Me23td=:54?:0yKg0=zf?<26=4>{Ia6?xh1>h0;65<6sAi>7p`96d83>4}Ok<1vb;8i:182Me23td=;=4?:0yKg0=zf?=:6=4>{Ia6?xh1?;0;65<6sAi>7p`97783>4}Ok<1vb;98:182Me23td=;54?:0yKg0=zf?=26=4>{Ia6?xh1?h0;65<6sAi>7p`97d83>4}Ok<1vb;9i:182Me23td=4=4?:0yKg0=zf?2:6=4>{Ia6?xh10;0;64290:wEm:;|l5<1<728qCo85rn7:6>5<6sAi>7p`98783>4}Ok<1vb;68:182Me23td=454?:0yKg0=zf?226=4>{Ia6?xh10h0;6e290:wEm:;|l55<6sAi>7p`98d83>4}Ok<1vb;6i:182Me23td=5=4?:0yKg0=zf?3:6=4>{Ia6?xh11;0;65<6sAi>7p`99783>4}Ok<1vb;78:182Me23td=554?:0yKg0=zf?326=4>{Ia6?xh11h0;65<6sAi>7p`99d83>4}Ok<1vb;7i:182Me23td=m=4?:0yKg0=zf?k:6=4>{Ia6?xh1i;0;65<6sAi>7p`9a783>4}Ok<1vb;o8:182Me23td=m54?:0yKg0=zf?k26=4>{Ia6?xh1ih0;65<6sAi>7p`9ad83>4}Ok<1vb;oi:182Me23td=n=4?:0yKg0=zf?h:6=4>{Ia6?xh1j;0;65<6sAi>7p`9b783>4}Ok<1vb;l8:182Me23td=n54?:0yKg0=zf?h26=4>{Ia6?xh1jh0;65<6sAi>7p`9bd83>4}Ok<1vb;li:182Me23td=o=4?:0yKg0=zf?i:6=4>{Ia6?xh1k;0;65<6sAi>7p`9c783>4}Ok<1vb;m8:182Me23td=o54?:0yKg0=zf?i26=4>{Ia6?xh1kh0;65<6sAi>7p`9cd83>4}Ok<1vb;mi:182Me23td=h=4?:0yKg0=zf?n:6=4>{Ia6?xh1l;0;65<6sAi>7p`9d783>4}Ok<1vb;j8:182Me23td=h54?:0yKg0=zf?n26=4>{Ia6?xh1lh0;65<6sAi>7p`9dd83>4}Ok<1vb;ji:182Me23td=i=4?:0yKg0=zf?o:6=4>{Ia6?xh1m;0;65<6sAi>7p`9e783>4}Ok<1vb;k8:182Me23td=i54?:0yKg0=zf?o26=4>{Ia6?xh1mh0;65<4sAi>7p`>f683>7}Ok<1vb{Ia6?xh6l;0;6?uGc49~j4b4290:wEm:;|l2gg<728qCo85r}|CDF}?:96*>I516?!7N=5:&Qasotafd1,< 60/6-0%"?3/U:E9?P399%[4O3;V937+Q>I47\7==!W8D?>R:7;']2J12X<11-S<@;8^626>4=AGZ^X7mu^c?5?699;136D@@UU8`tpYc4>0;2>5NNE68EKB5<2KEH>:4AOF77>DRN8:0NXGCD^VBVBCSI[IC46LZS^KMBJ75LLJ18GIT>3JEFADZ[EE58GWCF\LN87NZC1:Ff?ATDWZ_HOH]\FTN4?Agsi5:5;6Jnt`>2:4=B02OS\L@KEE08BA5?5F359JFP@53@D37D@IESPF@6=NF_80EB84IN@VB@0?46]\j567<5:5=85Baef\[k67<>UTb=>?6=2=g>Kfbfx]i}fooa8Ilhhz_oydaa139Nip~XWg:;=4Q]erwop45Kj}qUTb=>=4^Pfwpjs9:1FaxvP_o2361YUmzgx?25]Qavsk|:;87@czx^]m4543W[oxyaz;139Nip~XWg:;>8Q]erwop45?27]Qavsk|=;97@czx^]m4540W[oxyaz>3:Onq}YXf9:9;R\jstnw5455Bmtz\[k67:1UYi~{ct030?HkrpVUe<=<7_Sgpqir59:1FaxvP_o236=YUmzgx>?<;Lov|ZYi8983S_k|umv757=Je|rTSc>?28]Qavsk|890A`{w_^l347?XZly~`y?>3:Onq}YXf9:95R\jstnw645>PRdqvhq743Dg~tRQa0113[Wct}e~:=>5Bmtz\[k67;9UYi~{ct330?HkrpVUe<==?_Sgpqir49:1FaxvP_o2375YUmzgx9?=;Lov|ZYi899:S_k|umv27>Kj}qUTb=><1^Pfwpjs9890A`{w_^l3467XZly~`y<>3:Onq}YXf9:8=R\jstnw745:96Cbuy]\j564:VC;S_k|umv22>Kj}qUTb=><2^K3[Wct}e~:=;5Bmtz\[k67;;UB?9;Lov|ZYi8999SD>PRdqvhq26=2GfyuQPn1206ZO6W[oxyaz>6:Onq}YXf9:8>RG>_Sgpqir69?1FaxvP_o2377YN9VXnxb{2048IhsWVd;<>Kj}qUTb=><2^K1[Wct}e~::6Cbuy]\j564:VC9S_k|umv253=Je|rTSc>?33]J6ZTb{|f><84Mlw{[Zh78:8TE?Q]erwop6713Dg~tRQa0111[L4XZly~`y:>5:Onq}YXf9:88RG?_Sgpqir6>2GfyuQPn1200ZO7W[oxyaz>179Nip~XWg:;?9QF0^Pfwpjs:8<0A`{w_^l3462XA9UYi~{ct235?HkrpVUe<==;_H2\V`urd}>:96Cbuy]\j564Kj}qUTb=><4^K2[Wct}e~:=;5Bmtz\[k67;=UB=R\jstnw640?9;Lov|ZYi899?SD?PRdqvhq26=2GfyuQPn1200ZO5W[oxyaz>6:Onq}YXf9:88RG=_Sgpqir69?1FaxvP_o2371YN:VXnxb{2048IhsWVd;<>:PI3]Qavsk|:;=7@czx^]m4553W@8T^h}zlu621>Kj}qUTb=><4^K0[Wct}e~::6Cbuy]\j564?35]J7ZTb{|f><84Mlw{[Zh78:>TE>Q]erwop6713Dg~tRQa0117[L5XZly~`y:>5:Onq}YXf9:88RG;_Sgpqir6>2GfyuQPn1200ZO3W[oxyaz>179Nip~XWg:;?9QF4^Pfwpjs:8<0A`{w_^l3462XA=UYi~{ct235?HkrpVUe<==;_H6\V`urd}>:96Cbuy]\j564S_k|umv22>Kj}qUTb=><4^K6[Wct}e~:=;5Bmtz\[k67;=UB9R\jstnw640?9;Lov|ZYi899?SD;PRdqvhq26=2GfyuQPn1200ZO1W[oxyaz>6:Onq}YXf9:88RG9_Sgpqir69?1FaxvP_o2371YN>VXnxb{2048IhsWVd;<>:PI7]Qavsk|:;=7@czx^]m4553W@Kj}qUTb=><4^K4[Wct}e~::6Cbuy]\j564?35]J3ZTb{|f><84Mlw{[Zh78:>TE:Q]erwop6713Dg~tRQa0117[L1XZly~`y:>7:O{kwYXf9:?Kg{UTb=>;0^]m4565494>7C<78878J7>>9<1E>57<5:L1<<323G835:;4N3::=0=I:0::96@=9117?K5?>>1EIYY@RJ68Jfu512Dh?QFBTD2?J25_1518T4>43Y;2>6^=3:R146=W:;90\?:<;Q0;7>V51;1[?>5_3518T6353Y>87]:=3:R707=W=;1[:?5_739S<7=W1:1[MI:4P@PWe>VNFVH^_DJWb:RJJZDR[GKFI>5_RD38U6=U[]<0^h}zlu18W@R13ZE^^NK>;U18PWO?3]XB_YO[E59W]UCf3\YN^ROCI@Q`?PUBZV\B_DLCE29UGF?<^@O\SYW_E0f8\LJNFQ'SHO.?.0"PPPD'8';+M^MFI29[KW2^T\VMEH:5WSU]UGF3^XRLXD:6Vkb^Kgf>^c`VZye`Gavb9[`mYWz`g_u}ki;Yfk[Utne_oydaa119[`hYJiceyZh||inl24>^ceVGbbb|Yesqjkk0>6=2=e>Yi89;=0=0>a:]m4571494956Qa0135848f3Vd;<<831?3:?Zh788<7>3o4_o2353:56830Sc>?17>0:d=Xf9:::1=1179\j566?>1Tb=>>7048[k6791=0Sc>?193;?Zh7882:<55Pn122<47?3Vd;<<6>299\j56608937R`?00:20==Xf9::4<;7;^l344>6>11Tb=>>805;?Zh7882:455Pn122<4?03Vd;<<6=8:]m457?:920Sc>?1902<>Yi89;3>?64_o235=4402Ue<=?725:8[k67918>46Qa013;63>?190:3>Yi89;3?:5Pn122<111?2Ue<=?7769\j56601=0Sc>?19;5?Zh7883=7R`?0324?Zh78;::;6Qa010362=Xf9:9<>84_o23641369\j5659=<0Sc>?2548[k67:<<0Sc>?2748[k67:><0Sc>?2948[k67:0<0Sc>?3148[k67;830Sc>?33>3:<=Xf9:8>1?19:]m45554;427R`?026?4;?>89\j564<58556Qa0117868>3Vd;<>:34?;8[k67;=6>245Pn120090912Ue<==;<6<5?Zh78:=<7R`?02522>Yi8993;6Qa011;52=Xf9:84?84_o230717:]m4523:>1Tb=>;4248[k67<<=0Sc>?4434?Zh78=?9:6Qa01653>Yi89>==:5Pn12727?>89\j563?58556Qa016486813Vd;<;>8;^l34366?2Ue<=8?279\j56?;>1Tb=>730;8[k670<6;2;5Pn13352=Xf9;;=<94_o2244403Vd;==?<7:]m4466<>1Tb=??1458[k6688<=7R`?1104?Zh7998:;6Qa002162=Xf9;;>>94_o2247203Vd;==<:7:]m4465>?1Tb=??369\j577;8=0Sc>>0204?Zh79998;6Qa002002=Xf9;;?894_o2246003Vd;===86:]m4463?2Ue<<>;199\j577<8:37R`?11625==Xf9;;8<<7;^l35526;11Tb=??4064?Zh799>9;6Qa002772=Xf9;;8994_o2241303Vd;==:97:]m4463?>1Tb=??4958[k668=3=7R`?1174?Zh799?:;6Qa002662=Xf9;;9>94_o2240203Vd;==;:7:]m4462>?1Tb=??769\j577?820Sc>>0633<>Yi88:<=<94_o2242403Vd;==9<7:]m4460<>1Tb=??7458[k668><<7R`?11543>Yi88:<4:5Pn1333<0099\j57718;<7R`?11;13>Yi88:2?:5Pn133=116769\j57711=0Sc>>08;5?Zh798;<7R`?1032<>Yi88;:==64_o22547602Ue<13:8[k6698;8;6Qa003262=Xf9;:=>94_o2254203Vd;=>1Tb=?>1658[k66982<7R`?103:7>dtq=1it?;;cqz61=e{p9?7n~ga59`tjj?3jz~SCg}qd9`tpYIa{{TCO[IE89`tpYIxac9i6mu^Lsll4XAK_M46mu^Lqavb2:f=dx|UjS=QFBTD`?fvrWhU:SDLZF99`tpYc49437n~z_e>2:==dx|Uo0?07;brv[a:4611h|xQk<5<;?fvrWm6>255lpt]g838f3jz~Si28:1<;?fvrWm6<2h5lpt]g[5YNGK_MIh5lpt]g[4YNGK_MIh5lpt]g[7YNGK_MIh5lpt]g[6YNGK_MIh5lpt]g[1YNGK_MIh5lpt]g[0YNGK_MIh5lpt]g[3YNGK_MIh5lpt]g[2YNGK_MI:5lpt]qjreet911h3mc}e~a}_1;8`lpn{fxT=45kiwkpkwY5m2nbzd}`r^0\J6>?n2nbzd}`r^0\J6>?9o1oe{g|os]1[K5?0;l0hdxfsnp\6ZH4019n7igyirmq[7YI;0:m7igyirmq[7YI;0::?6kle79f|qekck1ntymck^KAQC5oi|Vndyy2;>c9jjqYcg|~793l4iov\`jss4?4i7d`{_emvp91902cexRcfsn;8mkrXe`yd=45fnu]nmvi502cexRc`sh58mkrXg|~37d`{_nww5==nf}Udyy<7;hlw[jss;11bbyQ`uu6;?lhsWziggk5fnu]pgimXWg:;<=??;hlw[vekcVUe<=>?1028mkrX{jf`SR`?012155=nf}UxoaeP_o23455a3`dS~mck^]m4566991bbyQ|cmi\[k6788;:<6gat^q`hnYXf9:;=?94ir]qadb?3`yT~hok199jwZtbim837d}Prdcg7==n{Vxnmi:<;mgg0>jbl820`hjPOCWE<>h`lkb`i;4rdqfp3=umzo=55|hhdwgim>3zbbjymck0;8wmoa|jf`>45|hhdwgim412ycekzllj6:?vnnn}igg874sikepfjl>k1xddh{cov?4;d<{acmxn`{<0uoao~bbjuwa??;>o64sqk\Jvckl2y{eR@|em]LFP@03zzbSd`{c:qsmZoi|VCIYK64sqk\iluhl2y{eRcfsn]JFP@?3zzbS`a|id9ptlYjgzcTCO[IE99ptlYtkeao7~~f_raooZIE]Oi0}gkaucjj969k2y{eio{ahl?5;e<{ycomyofn=0=g>uwamkmd`33?a8wuoci}kbb1:1c:qsmagsi`d793m4sqkgeqgnf5<5o6}iecwelh;?7h0}gkaucjjZ6e3zzbhlznio]2f>uwamkmd`P2c9ptlbf|hceS>l4sqkgeqgnfV>i7~~fd`vbmkY2j2y{eio{ahl\2g=tx`njxlga_6f8wuoci}kdyy2?>e9ptlbf|he~x1?1d:qsmagsif0?0k;rrj`drfg|~7?3j4sqkgeqgh}}6?2i5|phfbpdir|5?5h6}iecwejss4?4o7~~fd`vbkpr;?7i0}gkauclqqY7k2y{eio{anww[4e<{ycomyo`uu]1g>uwamkmb{{_2a8wuoci}kdyyQ;c:qsmagsifS8m4sqkgeqgh}}U=o6}iecwejssW>o0yo}t^qkmcrnfn80xn=4tlm;?}e>=9:s`55wimkm|phzHIzi>l5O@y010?@=<3;p_o5>4S2a965d=>3;8?n9?a;06g=5<[8k1>=l56;307f17i38>o5:4d307>5<628qX=o4if;0`>454k>:j6?;l819'51<5=;1C=h5yT3094?7=93;<:v]>b;de>7e=9:9h;=o524a;4>"bm39n7[hm:3yv02<63|>36=5r$eg96047;37b?73irP:975;h3a`?6=3`;o97>5;h3af?6=3`hi6=44i0g5>5<5<#m90:o=5adg83?>o6jo0;6)k?:0a3?kba2810'3=<#8i=6=4+e182gg=ilo0>76%>c683>!c728ii7cji:598/4e?290/i=4>cc9m`c<432!:o44?:%g3>4ee3gnm6?54+0ab>5<#m90:oo5adg82?>-6kj0;6)k?:0aa?kba2910c7:188f4gf29086=4?{%gb>46<@li0Dk<4$gc9b>"4j38>?6g=f;29 cg=;=10e>>50;&ee?5332eih7>5$gc9g1=53;294~"bi3;;7Ekl;Id1?!`f2o1/?o4=529j6c<72-lj6>:4;h13>5<#nh08865`be83>!`f2j>07pl>9183>6<729q/il4>0:Jfg>Na:2.mm7h4$2`9605o483:1(ko53598kgb=83.mm7m;;:a5=e=83>1<7>t$dc954=Omj1Cj?5+f`8e?!5e2;?87d62<3`9:6=4+f`800>=hjm0;6)hn:b68?xd6?m0;6>4?:1y'ad<682Bno6Fi2:&ee?`<,:h1>8=4i3d94?"ai39?76g<0;29 cg=;=10coj50;&ee?e332wi=:850;694?6|,lk1=<5Geb9Kb7=#nh0m7)=m:370?l4a290/jl4<4:9j75<72-lj6>:4;h12>5<#nh08865`be83>!`f2j>07pl>6d83>1<729q/il4>1:Jfg>Na:2.mm7h4$2`9605o483:1(ko53598m67=83.mm7=;;:ma`?6=,ok1o954}c353?6=<3:1k4?:%db>62<3`9;6=4+f`800>=n;80;6)hn:268?jdc290/jl4l4:9~f77>290?6?4:{%gb>72<@li0Dk<4$2`9605>o6>3:17bk=:188f`4=83?1>78t$dc963=#;k099>5`e383>>ob93:17d?k:188m4?=831b=;4?::`12?6=>3:15<#nh0:465fe483>!`f2l?07dk<:18'bdf2<3thm97>51;294~"ai3i;7bm::18'bd{t9?0;6?u22782<>X6>2wxi<4?:3y>637}::?0n?6P>9:pa7<72;q6>;4l4:\f6>{zj;0;6<4?:1y'ag<>3-oj6?j4$gc9556<,:h1>8=4o3`94?"ai3i?76s|e383>7}:m;0n>6Pj2:p1?6=:r7n>7k>;<096g=#mk0h7p}>d;296~;b:3;o7S?k;|q2=?6=:r7n>7?6;_3:?xu6>3:1>v3j2;35?[713twi>95Geb9Kb7=#;k099>5f1e83>>o613:17d?9:188k`4=831ii?4?:481>3}#mh09:6*ib:3:17dk>:188m4b=831b=44?::k22?6=3k8=6=49:183!`f2;30e>:50;&ee?5332c:;7>5$gc952=ob=3:1(ko5e498m`5=83.mm7k<;:m`0?6=,ok1o954}cd6>5<6290;w)hn:b28kf3=83.mm7m;;:p5a<72;q6>;4<4:\2`>{tmm0;6?u227823>;a=3i>7p}>6;296~;5>3;37S?9;|qf5?6=:r79:7k:;_g2?xu613:1>v3=6;g0?[7>3tyn>7>52z?12?e33Wo97psm2;295?6=8r.nn774$dc96a=#nh0:<=5+3c8116=h:k0;6)hn:b68?xub:3:1>v3j2;g1?[c53ty>6=4={`7<5;09n6*jb;a8yv7c2909w0k=:0f8Z4b4?;Ig`?M`53-lj6k>4$2`9605o483:1(ko53598kgb=83.mm7m;;:p5c<72;qU=k52fd81b>{t:90;6?uQ219>b`<482wxi94?:3y]a1=:nl0ih6srb5294?5=939p(ho53g9Kaf=n9o0;66g=0;29?jc32900nkk50;194?6|,lk1j<5Geb9Kb7=#nh0m<6*o5n3:1(ko53598m66=83.mm7=;;:ma`?6=,ok1o954}r3e>5<5sW;m70hj:3d8yv472909wS661<52Na:2.8n7<:3:k2`?6=3`;26=44i0494?=hm;0;66lj2;291?4=>r.nm7<9;%1a>7343fo96=44id394?=n9m0;66g>9;29?l712900n?850;494?6|,ok1>45f3583>!`f2:>07d?8:18'bd<6?21b=54?:%db>4><3`o>6=4+f`8f1>=nm:0;6)hn:d18?je3290/jl4l4:9~fc3=83;1<7>t$gc9g5=hk<0;6)hn:b68?xu6l3:1>v3=6;17?[7c3tynh7>52z?12?7034l>6n;4}r35>5<5s48=6<64^048yvc62909w0<9:d78Z`7`50Rh<4}|`1>5<6290;w)km:89'ad<5l2.mm7??0:&0f?42;2e9n7>5$gc9g1=`47f=z{8n1<77>50z&fe?513Aoh7Eh=;%db>45<,:h1>8=4i3g94?"ai39?76amd;29 cg=k=1Cj454}c07=?6==381:v*ja;06?Mcd3Al97)=m:370?l7c2900e<950;9j5<<722c::7>5;ng1>5<62<3`;<6=4+f`823>=n910;6)hn:0:8?lc2290/jl4j5:9ja6<72-lj6h=4;na7>5<#nh0h865rbg594?7=83:p(ko51128kf2=83.mm7m;;:p5a<72;q6i?4<4:\2`>{t9>0;6?u2e3823>X6?2wx=;4?:3y>a7<602T::6s|2e83>7}:m;0n963i7;a7?xu613:1>v3j2;g0?[7>3tyn>7>52z?f6?e33Wo97psm1ea94?3=:35;h3:>5<>db:3:1:7>50z&ee?4>3`9?6=4+f`800>=n9>0;6)hn:058?l7?290/jl4>8:9ja0<72-lj6h;4;hg0>5<#nh0n?65`c583>!`f2j>07pli7;295?6=8r.mm7??0:m`0?6=,ok1o954}r3g>5<5s4o96>:4^0f8yv702909w0k=:058Z414>5<7s-oj6?j4Hda8Lc4<,ok1==>4$2`9605{e9mn1<7;52;4x `g=:<1Cin5Gf39'7g<5=:1b=i4?::k23?6=3`;26=44i0494?=hm;0;66lj2;292?6=8r.mm7<6;h17>5<#nh08865f1683>!`f28=07d?7:18'bd<6021bi84?:%db>`3<3`o86=4+f`8f7>=hk=0;6)hn:b68?xda?3:1=7>50z&ee?7782eh87>5$gc9g1=625<5s4o96<64^048yv4c2909w0k=:d789c1=k=1v<750;0x9`4=m:1U=45rsd094?4|5l81o95Qe39~yg`3290:6=4?{%gb>`b<@li0Dk<4$gc9g5=#;k099>5`c483>!`f2j>07pl>5e83>6<729q/il4>0:Jfg>Na:2.mm7h4$2`9605o483:1(ko53598kgb=83.mm7m;;:a565=8391<7>t$dc955=Omj1Cj?5+f`8e?!5e2;?87d62<3fho6=4+f`8`0>=zj;>o6=4::385!cf2;?0Dhm4Hg08 6d=:<90e5;h35>5<3<729q/jl4=9:k00?6=,ok1?954i0594?"ai3;<76g>8;29 cg=9110eh;50;&ee?c232cn?7>5$gc9a6=1<7*ia;a7?>{en>0;6<4?:1y'bd<6891do94?:%db>f2<3ty:h7>52z?f6?533W;o7p}>7;296~;b:3;<7S?8;|q22?6=:r7n>7?7;_35?xu5l3:1>v3j2;g6?8`02j>0q~?6:1818c52l90R<74}rg1>5<5s4o96n:4^d08yxd5800;6>4?:1y'ad<682Bno6Fi2:&ee?`<,:h1>8=4i3d94?"ai39?76g<0;29 cg=;=10coj50;&ee?e332wi8h4?:283>5}#mh0h>6Fjc:Je6>"ai3i:7)=m:370?l4a290/jl4<4:9j75<72-lj6>:4;n`g>5<#nh0h865rb`194?5=83:p(ho5c39Kaf=On;1/jl4l1:&0f?42;2c9j7>5$gc971=iel3:1(ko5c598yg?b29086=4?{%gb>f4<@li0Dk<4$gc9g4=#;k099>5f2g83>!`f2:>07d=?:18'bd<4<21dni4?:%db>f2<3th257>53;294~"bi3i97Ekl;Id1?!`f2j;0(>l52418m7`=83.mm7=;;:k04?6=,ok1?954ocf94?"ai3i?76sm9583>6<729q/il4l2:Jfg>Na:2.mm7m>;%1a>7343`8m6=4+f`800>=n;90;6)hn:268?jdc290/jl4l4:9~f=`=8391<7>t$dc9g7=Omj1Cj?5+f`8`5>"4j38>?6g=f;29 cg=;=10e>>50;&ee?5332eih7>5$gc9g1=6=4<:183!cf2j80Dhm4Hg08 cg=k81/?o4=529j6c<72-lj6>:4;h13>5<#nh08865`be83>!`f2j>07pl70;297?6=8r.nm7m=;Ig`?M`53-lj6n?4$2`9605o483:1(ko53598kgb=83.mm7m;;:a574=83>1<7>t$dc9g6=Omj1Cj?5+f`8`5>"4j38>?6g=f;29 cg=;=10e>>50;&ee?5332c8=7>5$gc971={e9<=1<7=50;2x `g=991Cin5Gf39'bd5<#nh08865f3183>!`f2:>07blk:18'bd5<7s-oj6<>4Hda8Lc4<,ok1j6*o5n3:1(ko53598m66=83.mm7=;;:ma`?6=,ok1o954}c365?6=;3:1k4?:%db>62<3`9;6=4+f`800>=hjm0;6)hn:b68?xd64?:1y'ad<682Bno6Fi2:&ee?`<,:h1>8=4i3d94?"ai39?76g<0;29 cg=;=10coj50;&ee?e332wi=9l50;194?6|,lk1==5Geb9Kb7=#nh0m7)=m:370?l4a290/jl4<4:9j75<72-lj6>:4;n`g>5<#nh0h865rb06;>5<2290;w)kn:008L`e<@o80(ko5f:&0f?42;2c8>7>5$gc971=o483:1(ko53598m67=83.mm7=;;:ma`?6=,ok1o954}c075;ng1>5<62<3`;<6=4+f`823>=n910;6)hn:0:8?lc2290/jl4j5:9ja6<72-lj6h=4;na7>5<#nh0h865rbg594?7=83:p(ko51128kf2=83.mm7m;;:p5a<72;q6i?4<4:\2`>{t9>0;6?u2e3823>X6?2wx=;4?:3y>a7<602T::6s|2e83>7}:m;0n963i7;a7?xu613:1>v3j2;g0?[7>3tyn>7>52z?f6?e33Wo97psm13g94?4=83:p(ho5379Kaf=On;1/jl4>3:&0f?42;2c9i7>5$gc971=32wim54?:283>5}#mh0h>6Fjc:Je6>"ai3i:7)=m:370?l4a290/jl4<4:9j75<72-lj6>:4;n`g>5<#nh0h865rb02a>5<4290;w)kn:028L`e<@o80(ko5f:&0f?42;2c9j7>5$gc971=iel3:1(ko5c598yg77;3:1?7>50z&fe?773Aoh7Eh=;%db>c=#;k099>5f2g83>!`f2:>07d=?:18'bd<4<21dni4?:%db>f2<3th:<84?:283>5}#mh0:<6Fjc:Je6>"ai3l0(>l52418m7`=83.mm7=;;:k04?6=,ok1?954ocf94?"ai3i?76sm11594?5=83:p(ho5119Kaf=On;1/jl4i;%1a>7343`8m6=4+f`800>=n;90;6)hn:268?jdc290/jl4l4:9~f46>29086=4?{%gb>46<@li0Dk<4$gc9b>"4j38>?6g=f;29 cg=;=10e>>50;&ee?5332eih7>5$gc9g1==7>55;092~"bi38>7Ekl;Id1?!5e2;?87d?k:188m41=831b=44?::k22?6=3fo96=44bd094?0=83:p(ko5289j71<72-lj6>:4;h34>5<#nh0:;65f1983>!`f28207dk::18'bd4?:%db>`5<3fi?6=4+f`8`0>=zjo=1<7?50;2x cg=99:0cn:50;&ee?e332wx=i4?:3y>a7<4<2T:h6s|1683>7}:m;0:;6P>7:p53<72;q6i?4>8:\22>{t:m0;6?u2e38f1>;a?3i?7p}>9;296~;b:3o87S?6;|qf6?6=:r7n>7m;;_g1?x{e9ok1<7=50;2x `g=k;1Cin5Gf39'bdNbk2Bm>6*o6l3:17d?8:188m4?=831b=;4?::mf6?6=3ko96=49:183!`f2;30e>:50;&ee?5332c:;7>5$gc952=ob=3:1(ko5e498m`5=83.mm7k<;:m`0?6=,ok1o954}cd4>5<6290;w)hn:023?je3290/jl4l4:9~w4b=838p1h<5359]5a=z{8=1<71v<850;0x9`4=911U=;5rs3f94?4|5l81i852f68`0>{t900;6?u2e38f7>X612wxi?4?:3y>a76srb324>5<4290;w)kn:028L`e<@o80(ko5f:&0f?42;2c9j7>5$gc971=iel3:1(ko5c598yg7b:3:1>7>50z&fe?513Aoh7Eh=;%db>45<,:h1>8=4i3g94?"ai39?76amd;29 cg=k=1Cj454}c03`?6=:3:15$gc9g1=On010qo<;7;291?4=>r.nm7<:;Ig`?M`53-9i6?;<;h3g>5<>o6>3:17bk=:188f`4=83<1<7>t$gc96<=n;=0;6)hn:268?l70290/jl4>7:9j5=<72-lj6<64;hg6>5<#nh0n965fe283>!`f2l907bm;:18'bd4ob694?"ai3i?76s|1e83>7}:m;0886P>d:p52<72;q6i?4>7:\23>{t9?0;6?u2e382<>X6>2wx>i4?:3y>a77k<;_3:?xub:3:1>v3j2;a7?[c53twi>9k50;796?0|,lk1>85Geb9Kb7=#;k099>5f1e83>>o6?3:17d?6:188m40=831di?4?::`f6?6=>3:15<#nh0:465fe483>!`f2l?07dk<:18'bdf2<3thm;7>51;294~"ai3;;<6al4;29 cg=k=10q~?k:1818c52:>0R5<5s4o96<94^058yv712909w0k=:0:8Z40`3<5o=1o95rs0;94?4|5l81i>5Q189~w`4=838p1h<5c59]a7=zuk;i:7>53;294~"bi3;;7Ekl;Id1?!`f2o1/?o4=529j6c<72-lj6>:4;h13>5<#nh08865`be83>!`f2j>07plnd;297?6=8r.nm7m=;Ig`?M`53-lj6n?4$2`9605o483:1(ko53598kgb=83.mm7m;;:a33<72:0;6=u+e`8`6>Nbk2Bm>6*ia;a2?!5e2;?87d62<3fho6=4+f`8`0>=zj>;1<7=50;2x `g=k;1Cin5Gf39'bd5<7s-oj6n<4Hda8Lc4<,ok1o<5+3c8116=n:o0;6)hn:268?l57290/jl4<4:9lfa<72-lj6n:4;|`53?6=;3:15$gc971={e>;0;6>4?:1y'ad5<#nh08865f3183>!`f2:>07blk:18'bdo5n3:1(ko53598m66=83.mm7=;;:ma`?6=,ok1o954}c7;>5<4290;w)kn:b08L`e<@o80(ko5c09'7g<5=:1b>k4?:%db>62<3`9;6=4+f`800>=hjm0;6)hn:b68?xd2;3:1?7>50z&fe?e53Aoh7Eh=;%db>f7<,:h1>8=4i3d94?"ai39?76g<0;29 cg=;=10coj50;&ee?e332wi8<4?:282>6}#mh08j6Fjc:k2b?6=3`8;6=44od694?=enl0;6>4?:1y'ad5<#nh08865f3183>!`f2:>07blk:18'bdk5rs3294?4|V;:01kk5319~w`2=838pRh:4=gg9fa=zuk=i6=4<:183!cf2j80Dhm4Hg08 cg=k81/?o4=529j6c<72-lj6>:4;h13>5<#nh08865`be83>!`f2j>07pl=2b83>6<62:q/il4mf:Jfg>Na:2B856*5<#nh0h86Fj9:9~w7c=838p1k852d9]6`=z{;;1<7f2<,:h1>8=4i3g94?=n:80;66amd;29?g`129086=4?{%db>`>ob?3:1(ko51b98kgb=83.mm7m;;Ig:?>{t:l0;6?u2f781a>X5m2wx><4?:3y>b37}:n?0ih6Pmd:~f4b3290?6?4;{%gb>6><@li0Dk<4$2`9605>iel3:17b=8:188f65=8381<7>t$dc976=#nh0:?6F<9:&0e?533-9i6?;<;h0f>5<#nh08865`be83>!`f2j>07plmf;297?7=;rB856*5$dc971=da>3:1?7>50z&ee?c?3`8n6=4+f`800>=nm>0;6)hn:0a8?jdc290/jl4l4:Jf=>=z{;o1<71U><5rscf94?4|5o<1ni5Qbe9~yv502908w0=<:3g89g`=jm1U?:5rscf94?4|5:91ni5Qbe9~w7c=838p1oh52d9]6`=z{;;1<74;297?6=8r.nm7??;Ig`?M`53-lj6k5+3c8116=n:o0;6)hn:268?l57290/jl4<4:9lfa<72-lj6n:4;|`2``<72<096;u+e`811>Nbk2Bm>6*o6l3:17d?8:188m4?=831b=;4?::mf6?6=3ko96=49:183!`f2;30e>:50;&ee?5332c:;7>5$gc952=ob=3:1(ko5e498m`5=83.mm7k<;:m`0?6=,ok1o954}cd4>5<6290;w)hn:023?je3290/jl4l4:9~w4b=838p1h<5359]5a=z{8=1<71v<850;0x9`4=911U=;5rs3f94?4|5l81i852f68`0>{t900;6?u2e38f7>X612wxi?4?:3y>a76srb0g7>5<5290;w)kn:248L`e<@o80(ko5129'7g<5=:1b>h4?:%db>62<3fho6=4+f`8`0>Na121vn?<=:186>7<1s-oj6?;4Hda8Lc4<,:h1>8=4i0f94?=n9>0;66g>9;29?l712900ch<50;9aa7<72?0;6=u+f`81=>o4<3:1(ko53598m41=83.mm7?8;:k276gj3;29 cg=m:10cn:50;&ee?e332wij:4?:083>5}#nh0:<=5`c583>!`f2j>07p}>d;296~;b:39?7S?k;|q23?6=:r7n>7?8;_34?xu6>3:1>v3j2;3;?[713ty9h7>52z?f6?c234l<6n:4}r3:>5<5s4o96h=4^0;8yvc52909w0k=:b68Z`4>4?:481>3}#mh0946Fjc:Je6>"4j38>?6g>d;29?l702900eh?50;9j53<722en>7>5;cg1>5<1290;w)hn:3;8m62=83.mm7=;;:k23?6=,ok1=:54i0:94?"ai3;376gj5;29 cg=m<10eh=50;&ee?c432eh87>5$gc9g1=:183!`f28:;7bm;:18'bd{zj8o26=4::385!cf2;?0Dhm4Hg08 6d=:<90e5;h35>5<3<729q/jl4=9:k00?6=,ok1?954i0594?"ai3;<76g>8;29 cg=9110eh;50;&ee?c232cn?7>5$gc9a6=1<7*ia;a7?>{en>0;6<4?:1y'bd<6891do94?:%db>f2<3ty:h7>52z?f6?533W;o7p}>7;296~;b:3;<7S?8;|q22?6=:r7n>7?7;_35?xu5l3:1>v3j2;g6?8`02j>0q~?6:1818c52l90R<74}rg1>5<5s4o96n:4^d08yxd6m10;684=:7y'ad<5=2Bno6Fi2:&0f?42;2c:h7>5;h34>5<>ib:3:17ok=:185>5<7s-lj6?74i2694?"ai39?76g>7;29 cg=9>10e<650;&ee?7?32cn97>5$gc9a0=id<3:1(ko5c598yg`0290:6=4?{%db>4673fi?6=4+f`8`0>=z{8n1<71U=:5rs0494?4|5l81=55Q179~w7b=838p1h<5e49>b2a77}:m;0h86Pj2:~f77b29086?4;{%gb>75<@li0Dk<4$2`9605>ib:3:17ok=:186>7<1s-oj6?84$2`9605>o6l3:17d?6:188m40=831i>;4?:783>5}#nh0956g<4;29 cg=;=10e<950;&ee?7032c:47>5$gc95==ob;3:1(ko5e298kf2=83.mm7m;;:ab0<7280;6=u+f`8`4>id=3:1(ko5c598yv7c2909w0<9:268Z4b41<5o?1o85rs0494?4|5;<1=55Q179~w`7=838p1?85e49]a4=z{831<7?;%1a>7343f8i6=4+f`8`0>=z{l81<7a77?6;<396g=#mk0i7p}>d;296~;b:3;o7S?k;|q22?6=:r7n>7?9;_35?x{e:8n1<7:52;7x `g=:=1Cin5Gf39'7g<5=:1b=i4?::k2=?6=3`;=6=44od094?=em;0;684=:7y'ad<5>2.8n7<:3:mf6?6=3`o:6=44i0f94?=n900;66g>6;29?g41290=6=4?{%db>7?1<7*ia;17?>o6?3:1(ko51698m4>=83.mm7?7;:kf1?6=,ok1i854id194?"ai3o876al4;29 cg=k=10qoh::182>5<7s-lj6n>4ob794?"ai3i?76s|1e83>7}::?0886P>d:paa<72;q6>;4>7:?e1?e23ty::7>52z?12?7?3W;=7p}j1;296~;5>3o>7Sk>;|q2=?6=:r79:7k<;_3:?xub:3:1>v3=6;a7?[c53twi>7>51;294~"bj330(ho52e9'bd<6891/?o4=529l6g<72-lj6n:4;|qf6?6=:r7n>7k=;_g1?xu22909w0k=:d3897<5j2.nn7m4}r3g>5<5s4o962909w0k=:0;8Z4?401<52Na:2.8n7<:3:k2`?6=3`;26=44i0494?=hm;0;66lj2;291?4=>r.nm7<9;%1a>7343fo96=44id394?=n9m0;66g>9;29?l712900n?850;494?6|,ok1>45f3583>!`f2:>07d?8:18'bd<6?21b=54?:%db>4><3`o>6=4+f`8f1>=nm:0;6)hn:d18?je3290/jl4l4:9~fc3=83;1<7>t$gc9g5=hk<0;6)hn:b68?xu6l3:1>v3=6;17?[7c3tynh7>52z?12?7034l>6n;4}r35>5<5s48=6<64^048yvc62909w0<9:d78Z`7`50Rh<4}|`1>5<6290;w)km:89'ad<5l2.mm7??0:&0f?42;2e9n7>5$gc9g1=`47f=z{8n1<77343`;o6=44i0594?=n900;66g>6;29?jc52900nh<50;494?6|,ok1>45f3583>!`f2:>07d?8:18'bd<6?21b=54?:%db>4><3`o>6=4+f`8f1>=nm:0;6)hn:d18?je3290/jl4l4:9~fc1=83;1<7>t$gc95561<7*ia;a7?>{t9m0;6?u2e3800>X6l2wx=:4?:3y>a7<6?2T:;6s|1783>7}:m;0:46P>6:p6a<72;q6i?4j5:?e3?e33ty:57>52z?f6?c43W;27p}j2;296~;b:3i?7Sk=;|a66b=83?1>78t$dc960=Omj1Cj?5+3c8116=n9m0;66g>7;29?l7>2900e<850;9la7<722hn>7>56;294~"ai3827d=;:18'bd<4<21b=:4?:%db>41<3`;36=4+f`82<>=nm<0;6)hn:d78?lc4290/jl4j3:9lg1<72-lj6n:4;|`e3?6=93:1id<3:1(ko5c598yv7c2909w0k=:268Z4b415<5s4o96h;4=g59g1=z{831<75;ng1>5<62<3`;<6=4+f`823>=n910;6)hn:0:8?lc2290/jl4j5:9ja6<72-lj6h=4;na7>5<#nh0h865rbg594?7=83:p(ko51128kf2=83.mm7m;;:p5a<72;q6i?4<4:\2`>{t9>0;6?u2e3823>X6?2wx=;4?:3y>a7<602T::6s|2e83>7}:m;0n963i7;a7?xu613:1>v3j2;g0?[7>3tyn>7>52z?f6?e33Wo97psm22`94?3=:35;h3:>5<>db:3:1:7>50z&ee?4>3`9?6=4+f`800>=n9>0;6)hn:058?l7?290/jl4>8:9ja0<72-lj6h;4;hg0>5<#nh0n?65`c583>!`f2j>07pli7;295?6=8r.mm7??0:m`0?6=,ok1o954}r3g>5<5s4o96>:4^0f8yv702909w0k=:058Z414>r.nm7<:;Ig`?M`53-9i6?;<;h3g>5<>o6>3:17bk=:188f`4=83<1<7>t$gc96<=n;=0;6)hn:268?l70290/jl4>7:9j5=<72-lj6<64;hg6>5<#nh0n965fe283>!`f2l907bm;:18'bd4ob694?"ai3i?76s|1e83>7}:m;0886P>d:p52<72;q6i?4>7:\23>{t9?0;6?u2e382<>X6>2wx>i4?:3y>a77k<;_3:?xub:3:1>v3j2;a7?[c53twi>>750;796?0|,lk1>85Geb9Kb7=#;k099>5f1e83>>o6?3:17d?6:188m40=831di?4?::`f6?6=>3:15<#nh0:465fe483>!`f2l?07dk<:18'bdf2<3thm;7>51;294~"ai3;;<6al4;29 cg=k=10q~?k:1818c52:>0R5<5s4o96<94^058yv712909w0k=:0:8Z40`3<5o=1o95rs0;94?4|5l81i>5Q189~w`4=838p1h<5c59]a7=zuk8847>55;092~"bi38>7Ekl;Id1?!5e2;?87d?k:188m41=831b=44?::k22?6=3fo96=44bd094?0=83:p(ko5289j71<72-lj6>:4;h34>5<#nh0:;65f1983>!`f28207dk::18'bd4?:%db>`5<3fi?6=4+f`8`0>=zjo=1<7?50;2x cg=99:0cn:50;&ee?e332wx=i4?:3y>a7<4<2T:h6s|1683>7}:m;0:;6P>7:p53<72;q6i?4>8:\22>{t:m0;6?u2e38f1>;a?3i?7p}>9;296~;b:3o87S?6;|qf6?6=:r7n>7m;;_g1?x{e::=1<7;52;4x `g=:<1Cin5Gf39'7g<5=:1b=i4?::k23?6=3`;26=44i0494?=hm;0;66lj2;292?6=8r.mm7<6;h17>5<#nh08865f1683>!`f28=07d?7:18'bd<6021bi84?:%db>`3<3`o86=4+f`8f7>=hk=0;6)hn:b68?xda?3:1=7>50z&ee?7782eh87>5$gc9g1=625<5s4o96<64^048yv4c2909w0k=:d789c1=k=1v<750;0x9`4=m:1U=45rsd094?4|5l81o95Qe39~yg7a=3:187<55z&fe?403Aoh7Eh=;%1a>7343`;o6=44id394?=n9?0;66aj2;29?gc5290>6?49{%gb>70<,:h1>8=4od094?=nm80;66g>d;29?l7>2900e<850;9a63<72?0;6=u+f`81=>o4<3:1(ko53598m41=83.mm7?8;:k276gj3;29 cg=m:10cn:50;&ee?e332wij84?:083>5}#nh0h<6al5;29 cg=k=10q~?k:1818412:>0R5<5s48=6<94=g79g0=z{8<1<75Q189~w`4=838p1?85c59]a7=zuk:1<7?50;2x `d=?2.nm74673-9i6?;<;n0a>5<#nh0h865rsd094?4|5l81i?5Qe39~w`7=838p1h<5e09]a4=z{8n1<74?:3y>a7<6127;6?l4$d`9e>{t9?0;6?u2e3822>X6>2wvn7<1s-oj6?84Hda8Lc4<,:h1>8=4i0f94?=n900;66gj1;29?l712900ch<50;9a63<72?0;6=u+f`81=>o4<3:1(ko53598m41=83.mm7?8;:k276gj3;29 cg=m:10cn:50;&ee?e332wij84?:083>5}#nh0h<6al5;29 cg=k=10q~?k:1818412:>0R5<5s48=6<94=g79g0=z{8<1<75Q189~w`4=838p1?85c59]a7=zuk8?j7>55;092~"bi38>7Ekl;Id1?!5e2;?87d?k:188m41=831b=44?::k22?6=3fo96=44bd094?0=83:p(ko5289j71<72-lj6>:4;h34>5<#nh0:;65f1983>!`f28207dk::18'bd4?:%db>`5<3fi?6=4+f`8`0>=zjo=1<7?50;2x cg=99:0cn:50;&ee?e332wx=i4?:3y>a7<4<2T:h6s|1683>7}:m;0:;6P>7:p53<72;q6i?4>8:\22>{t:m0;6?u2e38f1>;a?3i?7p}>9;296~;b:3o87S?6;|qf6?6=:r7n>7m;;_g1?x{e0h0;6>4?:1y'ad5<#nh08865f3183>!`f2:>07blk:18'bd7<1s-oj6?64Hda8Lc4<,:h1>8=4i0f94?=n9>0;66gj1;29?l712900ch<50;9aa7<72?0;6=u+f`81=>o4<3:1(ko53598m41=83.mm7?8;:k276gj3;29 cg=m:10cn:50;&ee?e332wij:4?:083>5}#nh0:<=5`c583>!`f2j>07p}>d;296~;b:39?7S?k;|q23?6=:r7n>7?8;_34?xu6>3:1>v3j2;3;?[713tyn=7>52z?f6?c23Wo:7p}=d;296~;b:3o870h8:b68yvc52909w0k=:b68Z`43}#mh0996Fjc:Je6>"4j38>?6g>d;29?l702900e<750;9j53<722en>7>5;cg1>5<1290;w)hn:3;8m62=83.mm7=;;:k23?6=,ok1=:54i0:94?"ai3;376gj5;29 cg=m<10eh=50;&ee?c432eh87>5$gc9g1=:183!`f28:;7bm;:18'bd0h86s|1883>7}:m;0n?6P>9:pa7<72;q6i?4l4:\f6>{zj8h36=4::385!cf2;?0Dhm4Hg08 6d=:<90e5;h35>5<3<729q/jl4=9:k00?6=,ok1?954i0594?"ai3;<76g>8;29 cg=9110eh;50;&ee?c232cn?7>5$gc9a6=1<7*ia;a7?>{en>0;6<4?:1y'bd<6891do94?:%db>f2<3ty:h7>52z?f6?533W;o7p}>7;296~;b:3;<7S?8;|q22?6=:r7n>7?7;_35?xu5l3:1>v3j2;g6?8`02j>0q~?6:1818c52l90R<74}rg1>5<5s4o96n:4^d08yxd55;h34>5<>ib:3:17ok=:185>5<7s-lj6?74i2694?"ai39?76g>7;29 cg=9>10e<650;&ee?7?32cn97>5$gc9a0=id<3:1(ko5c598yg`0290:6=4?{%db>4673fi?6=4+f`8`0>=z{8n1<71U=:5rs0494?4|5l81=55Q179~w7b=838p1h<5e49>b2a77}:m;0h86Pj2:~f72f290>6?49{%gb>73<@li0Dk<4$2`9605>o613:17d?9:188k`4=831ii?4?:783>5}#nh0956g<4;29 cg=;=10e<950;&ee?7032c:47>5$gc95==ob;3:1(ko5e298kf2=83.mm7m;;:ab2<7280;6=u+f`8245=hk=0;6)hn:b68?xu6l3:1>v3j2;17?[7c3ty:;7>52z?f6?703W;<7p}>6;296~;b:3;37S?9;|q1`?6=:r7n>7k:;f2`50Rh<4}|`2`4<72=0969u+e`80<>Nbk2Bm>6*o5m3:17d<>:188kgb=831d?:4?::`07?6=:3:174$2c971=#;k099>5f2d83>!`f2:>07blk:18'bdo5c59'ad5$dc971=iel3:1(ho5c598fc0=8391<7>t$gc9a==n:l0;6)hn:268?lc0290/jl4>c:9lfa<72-lj6n:4Hd;8?xu5m3:1>v3i6;0f?[4b3ty9=7>52z?e2?c03W8:7p}md;296~;a>3ho7Slk;|p72<72:q6?>4=e:?ab?dc3W9<7p}md;296~;4;3ho7Slk;|q1a?6=:r7ij7v3mf;02?[463twi=nk50;696?2|,lk1?55Geb9Kb7=#;k099>5f2d83>>o593:17blk:188k61=831i?>4?:383>5}#mh08?6*ia;30?M5>3-9j6>:4$2`9605iel3:1(ko5c598ygda29086<4<{I1:?!5f2j>0(ho5bg9j6`<72-oj6>:4;h02>5<#mh08865`be83>!cf2j>07oh9:180>5<7s-lj6h64i3g94?"ai39?76gj7;29 cg=9j10coj50;&ee?e33Ao276s|2d83>7}:n?09i6P=e:p64<72;q6j;4j7:\15>{tjm0;6?u2f78a`>Xel2wv>950;1x965=:l16nk4md:\03>{tjm0;6?u2328a`>Xel2wx>h4?:3y>fc<5m2T9i6s|2083>7}:jo09=6P=1:~f4b5290?6?4;{%gb>6><@li0Dk<4$2`9605>iel3:17b=8:188f65=8381<7>t$dc976=#nh0:?6F<9:&0e?533-9i6?;<;h0f>5<#nh08865`be83>!`f2j>07plmf;297?7=;rB856*5$dc971=da>3:1?7>50z&ee?c?3`8n6=4+f`800>=nm>0;6)hn:0a8?jdc290/jl4l4:Jf=>=z{;o1<71U><5rscf94?4|5o<1ni5Qbe9~yv502908w0=<:3g89g`=jm1U?:5rscf94?4|5:91ni5Qbe9~w7c=838p1oh52d9]6`=z{;;1<75<>i4?3:17o=<:181>5<7s-oj6>=4$gc956=O;01/?l4<4:&0f?42;2c9i7>5$gc971={ejo0;6>4>:2yK7<=#;h0h86*ja;`e?l4b290/il4<4:9j64<72-oj6>:4;n`g>5<#mh0h865mf783>6<729q/jl4j8:k1a?6=,ok1?954id594?"ai3;h76amd;29 cg=k=1Ci454}r0f>5<5s4l=6?k4^3g8yv462909w0h9:d58Z77gbgb5<5s4hm6??4^338yxd6l:0;694=:5y'ad<402Bno6Fi2:&0f?42;2c9i7>5;h02>5<>d4;3:1>7>50z&fe?543-lj6<=4H2;8 6g=;=1/?o4=529j6`<72-lj6>:4;n`g>5<#nh0h865rbcd94?5=939pD>74$2c9g1=#mh0ij6g=e;29 `g=;=10e??50;&fe?5332eih7>5$dc9g1=h4?:%db>62<3`o<6=4+f`82g>=hjm0;6)hn:b68L`?<3ty9i7>52z?e2?4b3W8n7p}=1;296~;a>3o<7S<>;|qa`?6=:r7m:7lk;_`g?x{t;>0;6>u23281a>;en3ho7S=8;|qa`?6=:r78?7lk;_`g?xu5m3:1>v3mf;0f?[4b3ty9=7>52z?ab?463W8:7psm1bf94?2=:3>p(ho5399Kaf=On;1/?o4=529j6`<722c9=7>5;n`g>5<7<729q/il4<3:&ee?743A927)=n:268 6d=:<90e?k50;&ee?5332eih7>5$gc9g1=3-9j6n:4$dc9fc=n:l0;6)kn:268?l46290/il4<4:9lfa<72-oj6n:4;cd5>5<4290;w)hn:d:8m7c=83.mm7=;;:kf3?6=,ok1=n54ocf94?"ai3i?7Ek6;:p6`<72;q6j;4=e:\1a>{t:80;6?u2f78f3>X592wxni4?:3y>b3h52bg8a`>X4?2wxni4?:3y>767}:jo09i6P=e:p64<72;q6nk4=1:\15>{zj8im6=4;:387!cf2:20Dhm4Hg08 6d=:<90e?k50;9j64<722eih7>5;n14>5<3:J0=>"4i39?7)=m:370?l4b290/jl4<4:9lfa<72-lj6n:4;|`ab?6=;3;1?vF<9:&0e?e33-oj6oh4i3g94?"bi39?76g=1;29 `g=;=10coj50;&fe?e332hm:7>53;294~"ai3o37d4e<3fho6=4+f`8`0>Nb121v?k50;0x9c0=:l1U>h5rs3394?4|5o<1i:5Q209~wgb=838p1k85be9]fa=zuz9<6=4<{<10>7c<5kl1ni5Q369~wgb=838p1>=5be9]fa=z{;o1<7<5r}c036?6=;3:1k4?:%db>62<3`9;6=4+f`800>=hjm0;6)hn:b68?xd6no0;6>4?:1y'ad<682Bno6Fi2:&ee?`<,:h1>8=4i3d94?"ai39?76g<0;29 cg=;=10coj50;&ee?e332wi>??50;194?6|,lk1==5Geb9Kb7=#nh0m7)=m:370?l4a290/jl4<4:9j75<72-lj6>:4;n`g>5<#nh0h865rb`g94?5=939p(ho53g9Kaf=n9o0;66g=0;29?jc32900nkk50;194?6|,lk1j<5Geb9Kb7=#nh0m<6*o5n3:1(ko53598m66=83.mm7=;;:ma`?6=,ok1o954}r3e>5<5sW;m70hj:3d8yv472909wS660<52?q/il4=5:Jfg>Na:2.8n7<:3:k2`?6=3`;<6=44i0;94?=n9?0;66aj2;29?gc5290=6=4?{%db>7?1<7*ia;17?>o6?3:1(ko51698m4>=83.mm7?7;:kf1?6=,ok1i854id194?"ai3o876al4;29 cg=k=10qoh8:182>5<7s-lj6<>?;na7>5<#nh0h865rs0f94?4|5l81?95Q1e9~w41=838p1h<5169]52=z{8<1<7{tm;0;6?u2e38`0>Xb:2wvn9:50;194?6|,lk1==5Geb9Kb7=#nh0m7)=m:370?l4a290/jl4<4:9j75<72-lj6>:4;n`g>5<#nh0h865rb5194?5=83:p(ho5119Kaf=On;1/jl4i;%1a>7343`8m6=4+f`800>=n;90;6)hn:268?jdc290/jl4l4:9~f14=8391=7=t$dc97c=Omj1b=k4?::k14?6=3fo?6=44bgg94?5=83:p(ho5f09Kaf=On;1/jl4i0:&0f?42;2c9j7>5$gc971=iel3:1(ko5c598yv7a2909wS?i;7`Nbk2Bm>6*ia;d8 6d=:<90e?h50;&ee?5332c8<7>5$gc971={e9hh1<7<50;2x `g=;?1Cin5Gf39'bd<6;2.8n7<:3:k1a?6=,ok1?954ocf94?"ai3i?7Eh6;:a5de=8391<7>t$dc955=Omj1Cj?5+f`8e?!5e2;?87d62<3fho6=4+f`8`0>=zj8ko6=4=:183!cf2:<0Dhm4Hg08 cg=9:1/?o4=529j6`<72-lj6>:4;n`g>5<#nh0h86Fi9:9~f4gb29096=4?{%gb>60<@li0Dk<4$gc956=#;k099>5f2d83>!`f2:>07blk:18'bd5<4290;w)kn:028L`e<@o80(ko5f:&0f?42;2c9j7>5$gc971=iel3:1(ko5c598yg7e83:1?7>50z&fe?773Aoh7Eh=;%db>c=#;k099>5f2g83>!`f2:>07d=?:18'bd<4<21dni4?:%db>f2<3th:n<4?:283>5}#mh0h>6Fjc:Je6>"ai3i:7)=m:370?l4a290/jl4<4:9j75<72-lj6>:4;n`g>5<#nh0h865rb0`1>5<4290;w)kn:b08L`e<@o80(ko5c09'7g<5=:1b>k4?:%db>62<3`9;6=4+f`800>=hjm0;6)hn:b68?xd6i>0;6>4?:1y'ad<682Bno6Fi2:&ee?`<,:h1>8=4i3d94?"ai39?76g<0;29 cg=;=10coj50;&ee?e332wi=l650;194?6|,lk1==5Geb9Kb7=#nh0m7)=m:370?l4a290/jl4<4:9j75<72-lj6>:4;n`g>5<#nh0h865rb0c:>5<4290;w)kn:b08L`e<@o80(ko5c09'7g<5=:1b>k4?:%db>62<3`9;6=4+f`800>=hjm0;6)hn:b68?xd61h0;6>4?:1y'ad<682Bno6Fi2:&ee?`<,:h1>8=4i3d94?"ai39?76g<0;29 cg=;=10coj50;&ee?e332wi=4j50;094?6|,lk1?;5Geb9Kb7=#nh0:?6*o5m3:1(ko53598kgb=83.mm7m;;Id:?>{e90o1<7<50;2x `g=;?1Cin5Gf39'bd<6;2.8n7<:3:k1a?6=,ok1?954ocf94?"ai3i?7Eh6;:a5<`=8391<7>t$dc955=Omj1Cj?5+f`8e?!5e2;?87d62<3fho6=4+f`8`0>=zj8k;6=4=:183!cf2:<0Dhm4Hg08 cg=9:1/?o4=529j6`<72-lj6>:4;n`g>5<#nh0h86Fi9:9~f4g629086=4?{%gb>46<@li0Dk<4$gc9b>"4j38>?6g=f;29 cg=;=10e>>50;&ee?5332eih7>5$gc9g1=7>53;294~"bi3;;7Ekl;Id1?!`f2o1/?o4=529j6c<72-lj6>:4;h13>5<#nh08865`be83>!`f2j>07pl>a283>6<729q/il4l2:Jfg>Na:2.mm7m>;%1a>7343`8m6=4+f`800>=n;90;6)hn:268?jdc290/jl4l4:9~f4g329086=4?{%gb>46<@li0Dk<4$gc9b>"4j38>?6g=f;29 cg=;=10e>>50;&ee?5332eih7>5$gc9g1=53;294~"bi3i97Ekl;Id1?!`f2j;0(>l52418m7`=83.mm7=;;:k04?6=,ok1?954ocf94?"ai3i?76sm19g94?5=83:p(ho5119Kaf=On;1/jl4i;%1a>7343`8m6=4+f`800>=n;90;6)hn:268?jdc290/jl4l4:9~f4?629096=4?{%gb>60<@li0Dk<4$gc956=#;k099>5f2d83>!`f2:>07blk:18'bd5<5290;w)kn:248L`e<@o80(ko5129'7g<5=:1b>h4?:%db>62<3fho6=4+f`8`0>Na121vn<7<:180>5<7s-oj6<>4Hda8Lc4<,ok1j6*o5n3:1(ko53598m66=83.mm7=;;:ma`?6=,ok1o954}c3:0?6=:3:15$gc9g1=On010qo?65;297?6=8r.nm7??;Ig`?M`53-lj6k5+3c8116=n:o0;6)hn:268?l57290/jl4<4:9lfa<72-lj6n:4;|`2=3<72:0;6=u+e`824>Nbk2Bm>6*ia;d8 6d=:<90e?h50;&ee?5332c8<7>5$gc971={e90=1<7=50;2x `g=k;1Cin5Gf39'bdNbk2Bm>6*ia;a2?!5e2;?87d62<3fho6=4+f`8`0>=zj82<6=4<:183!cf28:0Dhm4Hg08 cg=n2.8n7<:3:k1b?6=,ok1?954i2294?"ai39?76amd;29 cg=k=10qo?78;296?6=8r.nm7=9;Ig`?M`53-lj6<=4$2`9605iel3:1(ko5c59Kb<=52;294~"bi39=7Ekl;Id1?!`f2890(>l52418m7c=83.mm7=;;:ma`?6=,ok1o95Gf898yg7?i3:1?7>50z&fe?773Aoh7Eh=;%db>c=#;k099>5f2g83>!`f2:>07d=?:18'bd<4<21dni4?:%db>f2<3th:4o4?:283>5}#mh0h>6Fjc:Je6>"ai3i:7)=m:370?l4a290/jl4<4:9j75<72-lj6>:4;n`g>5<#nh0h865rb05;>5<4290;w)kn:028L`e<@o80(ko5f:&0f?42;2c9j7>5$gc971=iel3:1(ko5c598yg70m3:1>7>50z&fe?513Aoh7Eh=;%db>45<,:h1>8=4i3g94?"ai39?76amd;29 cg=k=1Cj454}c34b?6=:3:15$gc9g1=On010qo?70;296?6=8r.nm7=9;Ig`?M`53-lj6<=4$2`9605iel3:1(ko5c59Kb<=52;294~"bi39=7Ekl;Id1?!`f2890(>l52418m7c=83.mm7=;;:ma`?6=,ok1o95Gf898yg7?:3:1?7>50z&fe?773Aoh7Eh=;%db>c=#;k099>5f2g83>!`f2:>07d=?:18'bd<4<21dni4?:%db>f2<3th:4>4?:283>5}#mh0:<6Fjc:Je6>"ai3l0(>l52418m7`=83.mm7=;;:k04?6=,ok1?954ocf94?"ai3i?76sm19694?5=83:p(ho5119Kaf=On;1/jl4i;%1a>7343`8m6=4+f`800>=n;90;6)hn:268?jdc290/jl4l4:9~f4>229086=4?{%gb>f4<@li0Dk<4$gc9g4=#;k099>5f2g83>!`f2:>07d=?:18'bd<4<21dni4?:%db>f2<3th:;44?:283>5}#mh0h>6Fjc:Je6>"ai3i:7)=m:370?l4a290/jl4<4:9j75<72-lj6>:4;n`g>5<#nh0h865rb05b>5<4290;w)kn:028L`e<@o80(ko5f:&0f?42;2c9j7>5$gc971=iel3:1(ko5c598yg70j3:1?7>50z&fe?773Aoh7Eh=;%db>c=#;k099>5f2g83>!`f2:>07d=?:18'bd<4<21dni4?:%db>f2<3th:;n4?:283>5}#mh0h>6Fjc:Je6>"ai3i:7)=m:370?l4a290/jl4<4:9j75<72-lj6>:4;n`g>5<#nh0h865rb053>5<5290;w)kn:248L`e<@o80(ko5129'7g<5=:1b>h4?:%db>62<3fho6=4+f`8`0>Na121vn<9>:181>5<7s-oj6>84Hda8Lc4<,ok1=>5+3c8116=n:l0;6)hn:268?jdc290/jl4l4:Je=>=zj8=96=4=:183!cf2:<0Dhm4Hg08 cg=9:1/?o4=529j6`<72-lj6>:4;n`g>5<#nh0h86Fi9:9~f41429086=4?{%gb>46<@li0Dk<4$gc9b>"4j38>?6g=f;29 cg=;=10e>>50;&ee?5332eih7>5$gc9g1=53;294~"bi3;;7Ekl;Id1?!`f2o1/?o4=529j6c<72-lj6>:4;h13>5<#nh08865`be83>!`f2j>07pl>7483>6<729q/il4l2:Jfg>Na:2.mm7m>;%1a>7343`8m6=4+f`800>=n;90;6)hn:268?jdc290/jl4l4:9~f40>29086=4?{%gb>46<@li0Dk<4$gc9b>"4j38>?6g=f;29 cg=;=10e>>50;&ee?5332eih7>5$gc9g1=52;294~"bi39=7Ekl;Id1?!`f2890(>l52418m7c=83.mm7=;;:ma`?6=,ok1o95Gf898yg71j3:1>7>50z&fe?513Aoh7Eh=;%db>45<,:h1>8=4i3g94?"ai39?76amd;29 cg=k=1Cj454}c35g?6=;3:1k4?:%db>62<3`9;6=4+f`800>=hjm0;6)hn:b68?xd6>m0;6>4?:1y'ad5<#nh08865f3183>!`f2:>07blk:18'bd5<7s-oj6<>4Hda8Lc4<,ok1j6*o5n3:1(ko53598m66=83.mm7=;;:ma`?6=,ok1o954}c357?6=:3:15$gc9g1=On010qo?94;296?6=8r.nm7=9;Ig`?M`53-lj6<=4$2`9605iel3:1(ko5c59Kb<=53;294~"bi3;;7Ekl;Id1?!`f2o1/?o4=529j6c<72-lj6>:4;h13>5<#nh08865`be83>!`f2j>07pl>6783>6<729q/il4l2:Jfg>Na:2.mm7m>;%1a>7343`8m6=4+f`800>=n;90;6)hn:268?jdc290/jl4l4:9~f43d29096=4?{%gb>60<@li0Dk<4$gc956=#;k099>5f2d83>!`f2:>07blk:18'bd5<5290;w)kn:248L`e<@o80(ko5129'7g<5=:1b>h4?:%db>62<3fho6=4+f`8`0>Na121vn<=>:180>5<7s-oj6<>4Hda8Lc4<,ok1j6*o5n3:1(ko53598m66=83.mm7=;;:ma`?6=,ok1o954}c306?6=:3:15$gc9g1=On010qo:n:180>5<7s-oj6<>4Hda8Lc4<,ok1j6*o5n3:1(ko53598m66=83.mm7=;;:ma`?6=,ok1o954}c6a>5<3290;w)kn:038L`e<@o80(ko5f:&0f?42;2c9j7>5$gc971=o493:1(ko53598kgb=83.mm7m;;:a0f<72;0;6=u+e`802>Nbk2Bm>6*ia;30?!5e2;?87df2<@o307pl;d;297?6=8r.nm7??;Ig`?M`53-lj6k5+3c8116=n:o0;6)hn:268?l57290/jl4<4:9lfa<72-lj6n:4;|`b4?6=;3:1k4?:%db>62<3`9;6=4+f`800>=hjm0;6)hn:b68?xdf93:1>7>50z&fe?513Aoh7Eh=;%db>45<,:h1>8=4i3g94?"ai39?76amd;29 cg=k=1Cj454}cc1>5<4290;w)kn:028L`e<@o80(ko5f:&0f?42;2c9j7>5$gc971=iel3:1(ko5c598yg?e29086=4?{%gb>46<@li0Dk<4$gc9b>"4j38>?6g=f;29 cg=;=10e>>50;&ee?5332eih7>5$gc9g1=:4;n`g>5<#nh0h86Fi9:9~ft$dc955=Omj1Cj?5+f`8e?!5e2;?87d62<3fho6=4+f`8`0>=zj0<1<7=50;2x `g=991Cin5Gf39'bd5<#nh08865f3183>!`f2:>07blk:18'bdo5m3:1(ko53598kgb=83.mm7m;;Id:?>{e110;6>4?:1y'ad<682Bno6Fi2:&ee?`<,:h1>8=4i3d94?"ai39?76g<0;29 cg=;=10coj50;&ee?e332wi5<4?:283>5}#mh0:<6Fjc:Je6>"ai3l0(>l52418m7`=83.mm7=;;:k04?6=,ok1?954ocf94?"ai3i?76sm9383>7<729q/il4<6:Jfg>Na:2.mm7?<;%1a>7343`8n6=4+f`800>=hjm0;6)hn:b68Lc?<3th2?7>53;294~"bi3;;7Ekl;Id1?!`f2o1/?o4=529j6c<72-lj6>:4;h13>5<#nh08865`be83>!`f2j>07pl7c;297?6=8r.nm7??;Ig`?M`53-lj6k5+3c8116=n:o0;6)hn:268?l57290/jl4<4:9lfa<72-lj6n:4;|`;`?6=:3:15$gc9g1=On010qo6j:180>5<7s-oj6<>4Hda8Lc4<,ok1j6*o5n3:1(ko53598m66=83.mm7=;;:ma`?6=,ok1o954}c:1>5<4290;w)kn:028L`e<@o80(ko5f:&0f?42;2c9j7>5$gc971=iel3:1(ko5c598yg>429096=4?{%gb>60<@li0Dk<4$gc956=#;k099>5f2d83>!`f2:>07blk:18'bd7343`8m6=4+f`800>=n;90;6)hn:268?jdc290/jl4l4:9~f2b=8391<7>t$dc955=Omj1Cj?5+f`8e?!5e2;?87d62<3fho6=4+f`8`0>=zj>o1<7<50;2x `g=;?1Cin5Gf39'bd<6;2.8n7<:3:k1a?6=,ok1?954ocf94?"ai3i?7Eh6;:a3c<72:0;6=u+e`824>Nbk2Bm>6*ia;d8 6d=:<90e?h50;&ee?5332c8<7>5$gc971={e99n1<7=50;2x `g=991Cin5Gf39'bd5<#nh08865f3183>!`f2:>07blk:18'bd5<7s-oj6>84Hda8Lc4<,ok1=>5+3c8116=n:l0;6)hn:268?jdc290/jl4l4:Je=>=zj8886=4<:183!cf28:0Dhm4Hg08 cg=n2.8n7<:3:k1b?6=,ok1?954i2294?"ai39?76amd;29 cg=k=10qo?=4;296?6=8r.nm7=9;Ig`?M`53-lj6<=4$2`9605iel3:1(ko5c59Kb<=52;294~"bi39=7Ekl;Id1?!`f2890(>l52418m7c=83.mm7=;;:ma`?6=,ok1o95Gf898yg75>3:1?7>50z&fe?773Aoh7Eh=;%db>c=#;k099>5f2g83>!`f2:>07d=?:18'bd<4<21dni4?:%db>f2<3th:>:4?:383>5}#mh08:6Fjc:Je6>"ai3;87)=m:370?l4b290/jl4<4:9lfa<72-lj6n:4Hg;8?xd6:10;6>4?:1y'ad<682Bno6Fi2:&ee?`<,:h1>8=4i3d94?"ai39?76g<0;29 cg=;=10coj50;&ee?e332wi=?750;094?6|,lk1?;5Geb9Kb7=#nh0:?6*o5m3:1(ko53598kgb=83.mm7m;;Id:?>{e99o1<7<50;2x `g=;?1Cin5Gf39'bd<6;2.8n7<:3:k1a?6=,ok1?954ocf94?"ai3i?7Eh6;:a55`=8381<7>t$dc973=Omj1Cj?5+f`827>"4j38>?6g=e;29 cg=;=10coj50;&ee?e33Al276sm10294?4=83:p(ho5379Kaf=On;1/jl4>3:&0f?42;2c9i7>5$gc971=32wi=:4;n`g>5<#nh0h865rb031>5<4290;w)kn:028L`e<@o80(ko5f:&0f?42;2c9j7>5$gc971=iel3:1(ko5c598yg76;3:1?7>50z&fe?773Aoh7Eh=;%db>c=#;k099>5f2g83>!`f2:>07d=?:18'bd<4<21dni4?:%db>f2<3th:=94?:283>5}#mh0:<6Fjc:Je6>"ai3l0(>l52418m7`=83.mm7=;;:k04?6=,ok1?954ocf94?"ai3i?76sm10794?5=83:p(ho5c39Kaf=On;1/jl4l1:&0f?42;2c9j7>5$gc971=iel3:1(ko5c598yg76>3:1?7>50z&fe?e53Aoh7Eh=;%db>f7<,:h1>8=4i3d94?"ai39?76g<0;29 cg=;=10coj50;&ee?e332wi=<950;194?6|,lk1o?5Geb9Kb7=#nh0h=6*o5n3:1(ko53598m66=83.mm7=;;:ma`?6=,ok1o954}c32=?6=;3:15$gc971={e98k1<7=50;2x `g=991Cin5Gf39'bd5<#nh08865f3183>!`f2:>07blk:18'bd5<7s-oj6<>4Hda8Lc4<,ok1j6*o5n3:1(ko53598m66=83.mm7=;;:ma`?6=,ok1o954}c32g?6=;3:1k4?:%db>62<3`9;6=4+f`800>=hjm0;6)hn:b68?xd69m0;6>4?:1y'ad<682Bno6Fi2:&ee?`<,:h1>8=4i3d94?"ai39?76g<0;29 cg=;=10coj50;&ee?e332wi=o5n3:1(ko53598m66=83.mm7=;;:ma`?6=,ok1o954}c32b?6=;3:15$gc971={e9;:1<7=50;2x `g=991Cin5Gf39'bd5<#nh08865f3183>!`f2:>07blk:18'bd:180>5<7s-oj6<>4Hda8Lc4<,ok1j6*o5n3:1(ko53598m66=83.mm7=;;:ma`?6=,ok1o954}c362?6=:3:15$gc9g1=On010qo?:3;296?6=8r.nm7=9;Ig`?M`53-lj6<=4$2`9605iel3:1(ko5c59Kb<=52;294~"bi39=7Ekl;Id1?!`f2890(>l52418m7c=83.mm7=;;:ma`?6=,ok1o95Gf898yg7283:1>7>50z&fe?513Aoh7Eh=;%db>45<,:h1>8=4i3g94?"ai39?76amd;29 cg=k=1Cj454}c37e?6=:3:15$gc9g1=On010qo?=c;296?6=8r.nm7=9;Ig`?M`53-lj6<=4$2`9605iel3:1(ko5c59Kb<=53;294~"bi3;;7Ekl;Id1?!`f2o1/?o4=529j6c<72-lj6>:4;h13>5<#nh08865`be83>!`f2j>07pln5;297?6=8r.nm7??;Ig`?M`53-lj6k5+3c8116=n:o0;6)hn:268?l57290/jl4<4:9lfa<72-lj6n:4;|`b2?6=:3:15$gc9g1=On010qoo8:180>5<7s-oj6<>4Hda8Lc4<,ok1j6*o5n3:1(ko53598m66=83.mm7=;;:ma`?6=,ok1o954}c336?6=:3:15$gc9g1=On010qo?i7;297?6=8r.nm7??;Ig`?M`53-lj6k5+3c8116=n:o0;6)hn:268?l57290/jl4<4:9lfa<72-lj6n:4;|`2b=<72;0;6=u+e`802>Nbk2Bm>6*ia;30?!5e2;?87df2<@o307pl>f883>6<729q/il4>0:Jfg>Na:2.mm7h4$2`9605o483:1(ko53598kgb=83.mm7m;;:a5c5=8381<7>t$dc973=Omj1Cj?5+f`827>"4j38>?6g=e;29 cg=;=10coj50;&ee?e33Al276sm21694?5=83:p(ho5119Kaf=On;1/jl4i;%1a>7343`8m6=4+f`800>=n;90;6)hn:268?jdc290/jl4l4:9~f76229096=4?{%gb>60<@li0Dk<4$gc956=#;k099>5f2d83>!`f2:>07blk:18'bd5<4290;w)kn:028L`e<@o80(ko5f:&0f?42;2c9j7>5$gc971=iel3:1(ko5c598yg7b83:1>7>50z&fe?513Aoh7Eh=;%db>45<,:h1>8=4i3g94?"ai39?76amd;29 cg=k=1Cj454}c3f5?6=;3:1k4?:%db>62<3`9;6=4+f`800>=hjm0;6)hn:b68?xd6j=0;6?4?:1y'ad<4>2Bno6Fi2:&ee?743-9i6?;<;h0f>5<#nh08865`be83>!`f2j>0Dk74;|`2f0<72;0;6=u+e`802>Nbk2Bm>6*ia;30?!5e2;?87df2<@o307plna;297?6=8r.nm7??;Ig`?M`53-lj6k5+3c8116=n:o0;6)hn:268?l57290/jl4<4:9lfa<72-lj6n:4;|`bf?6=:3:15$gc9g1=On010qool:180>5<7s-oj6<>4Hda8Lc4<,ok1j6*o5n3:1(ko53598m66=83.mm7=;;:ma`?6=,ok1o954}c50>5<4290;w)kn:028L`e<@o80(ko5f:&0f?42;2c9j7>5$gc971=iel3:1(ko5c598yg1329096=4?{%gb>60<@li0Dk<4$gc956=#;k099>5f2d83>!`f2:>07blk:18'bd7343`8m6=4+f`800>=n;90;6)hn:268?jdc290/jl4l4:9~f3c=8391<7>t$dc955=Omj1Cj?5+f`8e?!5e2;?87d62<3fho6=4+f`8`0>=zj?l1<7<50;2x `g=;?1Cin5Gf39'bd<6;2.8n7<:3:k1a?6=,ok1?954ocf94?"ai3i?7Eh6;:a35<72:0;6=u+e`824>Nbk2Bm>6*ia;d8 6d=:<90e?h50;&ee?5332c8<7>5$gc971={e>00;6>4?:1y'ad<682Bno6Fi2:&ee?`<,:h1>8=4i3d94?"ai39?76g<0;29 cg=;=10coj50;&ee?e332wi:l4?:383>5}#mh08:6Fjc:Je6>"ai3;87)=m:370?l4b290/jl4<4:9lfa<72-lj6n:4Hg;8?xd1j3:1?7>50z&fe?773Aoh7Eh=;%db>c=#;k099>5f2g83>!`f2:>07d=?:18'bd<4<21dni4?:%db>f2<3th=87>53;294~"bi3;;7Ekl;Id1?!`f2o1/?o4=529j6c<72-lj6>:4;h13>5<#nh08865`be83>!`f2j>07pl95;296?6=8r.nm7=9;Ig`?M`53-lj6<=4$2`9605iel3:1(ko5c59Kb<=5<7s-oj6<>4Hda8Lc4<,ok1j6*o5n3:1(ko53598m66=83.mm7=;;:ma`?6=,ok1o954}c43>5<5290;w)kn:248L`e<@o80(ko5129'7g<5=:1b>h4?:%db>62<3fho6=4+f`8`0>Na121vn;?50;194?6|,lk1==5Geb9Kb7=#nh0m7)=m:370?l4a290/jl4<4:9j75<72-lj6>:4;n`g>5<#nh0h865rb4c94?5=83:p(ho5119Kaf=On;1/jl4i;%1a>7343`8m6=4+f`800>=n;90;6)hn:268?jdc290/jl4l4:9~f0d=8381<7>t$dc973=Omj1Cj?5+f`827>"4j38>?6g=e;29 cg=;=10coj50;&ee?e33Al276sm5b83>6<729q/il4>0:Jfg>Na:2.mm7h4$2`9605o483:1(ko53598kgb=83.mm7m;;:a10<72:0;6=u+e`824>Nbk2Bm>6*ia;d8 6d=:<90e?h50;&ee?5332c8<7>5$gc971={e=?0;6?4?:1y'ad<4>2Bno6Fi2:&ee?743-9i6?;<;h0f>5<#nh08865`be83>!`f2j>0Dk74;|`63?6=;3:1k4?:%db>62<3`9;6=4+f`800>=hjm0;6)hn:b68?xd283:1?7>50z&fe?773Aoh7Eh=;%db>c=#;k099>5f2g83>!`f2:>07d=?:18'bd<4<21dni4?:%db>f2<3th>=7>52;294~"bi39=7Ekl;Id1?!`f2890(>l52418m7c=83.mm7=;;:ma`?6=,ok1o95Gf898yg3529086=4?{%gb>46<@li0Dk<4$gc9b>"4j38>?6g=f;29 cg=;=10e>>50;&ee?5332eih7>5$gc9g1=5<7s-oj6>84Hda8Lc4<,ok1=>5+3c8116=n:l0;6)hn:268?jdc290/jl4l4:Je=>=zj>k1<7=50;2x `g=991Cin5Gf39'bd5<#nh08865f3183>!`f2:>07blk:18'bdi:180>5<7s-oj6<>4Hda8Lc4<,ok1j6*o5n3:1(ko53598m66=83.mm7=;;:ma`?6=,ok1o954}c024?6=:3:15$gc9g1=On010qo<>1;296?6=8r.nm7=9;Ig`?M`53-lj6<=4$2`9605iel3:1(ko5c59Kb<=7>53;294~"bi3;;7Ekl;Id1?!`f2o1/?o4=529j6c<72-lj6>:4;h13>5<#nh08865`be83>!`f2j>07pl=1283>6<729q/il4l2:Jfg>Na:2.mm7m>;%1a>7343`8m6=4+f`800>=n;90;6)hn:268?jdc290/jl4l4:9~f=1=8391<7>t$dc955=Omj1Cj?5+f`8e?!5e2;?87d62<3fho6=4+f`8`0>=zj121<7<50;2x `g=;?1Cin5Gf39'bd<6;2.8n7<:3:k1a?6=,ok1?954ocf94?"ai3i?7Eh6;:a<<<72:0;6=u+e`824>Nbk2Bm>6*ia;d8 6d=:<90e?h50;&ee?5332c8<7>5$gc971={e:9;1<7<50;2x `g=;?1Cin5Gf39'bd<6;2.8n7<:3:k1a?6=,ok1?954ocf94?"ai3i?7Eh6;:a5cb=8381<7>t$dc973=Omj1Cj?5+f`827>"4j38>?6g=e;29 cg=;=10coj50;&ee?e33Al276sm1gg94?4=83:p(ho5379Kaf=On;1/jl4>3:&0f?42;2c9i7>5$gc971=32wi>?>50;094?6|,lk1?;5Geb9Kb7=#nh0:?6*o5m3:1(ko53598kgb=83.mm7m;;Id:?>{e:;k1<7<50;2x `g=;:1Cin5Gf39'bd<6;2B856*f2<3th:h54?:383>5}#mh08?6Fjc:Je6>"ai3;87E=6;%1b>62<,:h1>8=4i3g94?"ai39?76amd;29 cg=k=10qo?me;296?6=8r.nm7=<;Ig`?M`53-lj6<=4H2;8 6g=;=1/?o4=529j6`<72-lj6>:4;n`g>5<#nh0h865rb0f5>5<5290;w)kn:218L`e<@o80(ko5129K7<=#;h0886*o5m3:1(ko53598kgb=83.mm7m;;:a5ge=8381<7>t$dc976=Omj1Cj?5+f`827>N412.8m7=;;%1a>7343`8n6=4+f`800>=hjm0;6)hn:b68?xdek3:1>7>50z&fe?543Aoh7Eh=;%db>45<@:30(>o5359'7g<5=:1b>h4?:%db>62<3fho6=4+f`8`0>=zj8o<6=4=:183!cf2:90Dhm4Hg08 cg=9:1C?45+3`800>"4j38>?6g=e;29 cg=;=10coj50;&ee?e332wi>?650;094?6|,lk1?>5Geb9Kb7=#nh0:?6F<9:&0e?533-9i6?;<;h0f>5<#nh08865`be83>!`f2j>07pl>c283>7<729q/il4<3:Jfg>Na:2.mm7?<;I1:?!5f2:>0(>l52418m7c=83.mm7=;;:ma`?6=,ok1o954}c3`6?6=:3:1gc<@li0Dk<4$gc956=O;01/?l4l4:&0f?42;2c9i7>5$gc971={e:;o1<7<50;2x `g=jl1Cin5Gf39'bd<6;2B856*f2<3th95}#mh0ii6Fjc:Je6>"ai3;87E=6;%1b>f2<,:h1>8=4i3g94?"ai39?76amd;29 cg=k=10qo?m9;295?6=8r.mm7jk;Ig`?M523-9j6n:4$2f9g<=hlm0;6)hn:ef8L`?<3th9>o4?:083>5}#nh0oh6Fjc:J01>"4i3i?7)=k:e68kab=83.mm7jk;Ig:?>{e:;31<7?50;2x cg=lm1Cin5G349'7d<4<2.8h7j8;hfg>5<#nh0oh65rb0f4>5<6290;w)hn:ef8L`e<@:?0(>o5359'7a5$gc9`a=51;294~"ai3no7Ekl;I16?!5f2:>0(>j5cc9j`a<72-lj6ij4;|`2`0<7280;6=u+f`8g`>Nbk2B896*t$gc9`a=Omj1C?85+3`800>"4l3ij7djk:18'bd5<7s-lj6ij4Hda8L63<,:k1?95+3e8g=>ocl3:1(ko5de98yg45?3:1=7>50z&ee?bc3Aoh7E=:;%1b>62<,:n1h;5fde83>!`f2mn07pl>c083>4<729q/jl4kd:Jfg>N4=2.8m7=;;%1g>f`{e9kl1<7?50;2x cg=lm1Cin5G349'7d<4<2.8h7mj;hfg>5<#nh0oh65rb306>5<6290;w)hn:ef8L`e<@:?0(>o5c59'7a5$gc9`a=51;294~"ai3no7Ekl;I16?!5f2j>0(>j5d29l`a<72-lj6ij4;|`14=<7280;6=u+f`8g`>Nbk2B896*7)=k:b48/ab=83.mm7jk;:a5f1=83;1<7>t$gc9`a=Omj1C?85+3e8gf>-cl3:1(ko5de98yg7di3:1=7>50z&ee?bc3Aoh7E=:;%1g>f1<#mn1<7*ia;fg?>{e9j>1<7?50;2x cg=lm1Cin5G349'7a5$gc9`a=51;294~"ai3no7Ekl;I16?!5c2m?0'ij50;&ee?bc32wx=n:50;1xZ4e334;hh7=8;<3`0?bc3ty:o84?:2y]5f3<58in6>94=0a6>ab53z\2g3=:9jl1?:521b49`a=z{8i<6=4<{_3`3>;6l908;63>c68g`>{t9j21<7=t^0a;?87c939<70?l8;fg?xu6k00;6>uQ1b;894b52:=01c`9>5a5=;>16=no5de9~w4ed2908wS?lc:?2`1<4?27:on4kd:p67g=838p1e081b>;6l10ih6s|1cg94?>|58?o6?h4=32g>7c<5=k1>k524c81b>;6:m09j63=0081a>;6nm09i63>bd8a`>{t9k31<7=t^0`:?87ei3ho70?m9;fg?xu6l?0;68u21d696`=:9h5212396c=::9>1?=521e49fa=z{8hh6=4<{<030?4a348:>7>4?:7y>65?=:o16>?=5e39>5d0=;916=k=52d9>35<5n279>;4=e:p56e=838p1u216d96`=:9o21>h5223:9fa=z{;8i6=4<{_01f>;5:j0ih63=2c8g`>{t9oh1<7`4<5;8n6?k4}r03e?6=:r79<44md:?14d<5m2wx=k;50;0x974d2;o01;5;10n>63>a081b>{t9l?1<7;t=0g6>`4<582n6>>4=4a96c=::9l1>k5220296`=z{;>86=4<{<07f?c534336?h4=032>7`55z?15an4=e:?165<5m2wx=o=50;6x976>2::01:3g8yv74m3:1>v38b;`g?87cm3;o7p}=3483>6}:::o1=i5222f9a7=:9?i1>k5rs33f>5ec<6n27:n54j2:?147<5n27:5l4<0:?7`?4a34?<6?h4=0df>7c54z?2a<28301;5:809j63>b481a>{t:9o1<7?>{<02=?71348:n7?9;<02g?71348:87lk;<02a?71348:h7?9;<02e?713488i7?9;<00`?713488o7?9;<00f?713488m7?9;<00=?71348847?9;<003?7134;m97?9;<3ef?713ty:j?4?:3y>5c2=jm16>>951e9~w736290?w0?:f;0f?84293o970?77;13?8062;l0q~?ma;29f~;5<00:563i3;0a?843l3;270<;8;3:?843?3;270<;e;3:?87ei38n70<:0;3g?843k3;270<;a;3:?843j3;27p}=3083>6}:::k1=i5222;9a7=:90?1>k5rs02;>5<5s48:o7?k;<33=?dc3ty:h44?:2y>5ae=m;16=lm5319><1<5n2wx=ih50;3584313;=70?kc;35?87cl3;=70<;d;35?84303;=70<:1;35?87b=3;=70?j2;`g?843?3;=70<;e;35?87cm3;=70<=2;35?845;3;=70?j9;35?87b03;=70<;f;35?84283;=70?m7;35?87e03;=70<;c;35?843i3;=70<;b;35?xu6>90;6?u2ad8f0>;3j39:7p}=5183>6}::<:1i?52170975=:9o=1>k5rs0fb>5<4s4;oh7k=;<3:b?5734=m6?h4}r335?6=:r79=44>d:?2468:cf8yv7b;3:1ov3>db823>;6lm0:;63=50823>;6m<0:;63>dd823>;6m=0ih63=23823>;5::0:;63>e8823>;6m10:;63>b6823>;6j10:;6s|22494?4|5;9n6h<4=046>7`52z?10`<6l273m7lk;|q27a<72;q6=ij51e9><5==50;3287fi38m70?6c;0e?87>838m70?7c;13?870l38m70?86;13?871m39;70?97;13?847?3ho70?k4;02?87c938:70?le;02?87c:38:70?k0;02?87c;38:70?ld;02?87dn38:7p}>e983>1}:9l21i?5219096c=:9::1>h526781b>{t9;h1<7gb<5;>m6<74}r3`7?6=951b=;916=l852g9>5dd=:l16=lm52g9>5db=:l16=4k52d9>5<`=:o16=4<52d9>5<5=:o16=5752d9>52>=:o16=:k52d9>5=6=:l16=5=52g9>524=:l16=;l52d9>532=:l16=8=52d9>506=:l16=n=5be9~w44a2909w0?<3;`g?843n3;<7p}=4383>6}::=k1i?529e81b>;6:108<6s|1g494?4|58lj6oj4=0`b>7752z?2bgm:cf8975b28=01?=k:058975d28=01?=m:058975f28=01?=6:058975?28=01?=8:058yv77k3:1>v3>238a`>;6n<0:h6s|12694?4|5<91ni521c595a=z{88j6=4={<6f>gb<58li61=d:p5ce=838p13ho70<=2;3g?xu6;?0;6?u21d795a=:=m0ih6s|23094?2|5;896h<4=05;>66<58l26?h4=6796c=z{=<1<7gb<58o36750;0x93e=jm16=h751e9~w7552908w0<4883>1}:9=h1ni524`804>;3j39;70?>0;0f?xu67`<58?:6oj4=373>41<5h:1>k52a081a>;>j38m707l:3g89<0=:o165:4=e:?:5?4a34396?k4=9a96c=:0m09i63n5;0e?8g12;o01lo52g9>eg<5m273;77c53z?10f611=9m16mi4md:p504=83np1<;;:cf893?=:o16:l4=e:?50?4a34<>6?k4=4d96c=:>909i63:a;0e?83e2;o018;52g9>13<5m27><77c52z?2ed520=jm16=i<52d9~w4632909w0??5;`g?846i3;o7p}>5d83>7}:9`2<58:>6>>4}r36e?6=:r7:<44<0:?76?c33tyi<7>52z?bb?c334kn6d:?b5<6=jm16=nh52d9~w13=839p19?51g9>01<4827??7lk;|qa=?6=:r7m87m:;<07b?7c3ty:9o4?:8y>61?=9>16=8j5be9>61b=9>16>965169>611=9>16>9k5169>61e=9>16>9o5169>61d=9>1v??8:18;846j3o970?;8;12?8262;:019:52g9>573=:l16==k52d9>54g=:o16=;5;j0n>63>7581b>{t9mh1<7=t=0ff>`4<58386>>4=6c96c=z{8oo6=4={<3;g?dc34;o<751>=;;168?4=0:?24a<5n27:=54=e:?266<5n27:>94=e:?263<5n27:>:4=e:?26=<5n27:>44=e:p66`=839p1?:8:d08946c2::01lm52g9~w7222908w0<;d;g1?8>b2;l01;383;m70?;8;0e?877j39;70oj:328915=;916==<52d9~w4232909w07;:cf8972d28n0q~<<3;297~;5;j0:h63=3c8f6>;60=09j6s|1g394?4|58<<6oj4=0f7>7c52z?15`<6l279><4md:p515=838p1475be9>61d=9m1v?:?:18084303o970?=6;13?8g02;l0q~<;6;297~;563>1581b>;?138m7p}>ed83>7}:9>n1ni521e396`=z{8i96=4>7z?211<5n27:8i4=f:?2e`<5m27:m:4=f:?2=d<5n27:5i4=e:?2e5<5m27:4h4=f:?2=4<5m27:594=e:?2<2<5n27:454=e:?2<4<5m27:;l4=f:?234<5m27:;>4=f:?22<<5n27::l4=e:?227<5n27::>4=e:?213<5m27:8k4=e:?2g79o51e9~w43>2909w0??7;13?8262l>0q~?i0;296~;6>l0ih63>d281a>{t:8<1<78t=52965=:9=21?=5220c9a7=:<:09j63>0g81a>;6:909j6s|15394?4|5;>266=4={<3b2?dc34;i=75d`=;91v5<5s4;ji7lk;<3b5d`=jm16=o?5319~w4ga2909w0?m0;`g?87e:39;7p}>b183>7}:9k;1ni521`5975=z{8h:6=4={<3a6?dc34;j475d?=:o1v5<5s4;2m7lk;<3b7?4a3ty:5l4?:3y>59d83>7}:90l1ni521`096c=z{83m6=4={<3b4?dc34;j>7=?;|q2e5<72;q6=l?5be9>5d5=;91v:18187f:3ho70?6b;13?xu6i;0;6?u21`19fa=:9h>1>k5rs0c0>5<5s4;j87lk;<3:f?4a3ty:m94?:3y>5c2909w0?7e;`g?87>?38m7p}>8d83>7}:90;1ni52187975=z{83:6=4={<3:6?dc34;247=?;|q2=7<72;q6=4=5be9>5<0=:o1v<7<:18187><3ho70?66;13?xu61=0;6?u21879fa=:90=1?=5rs0;6>5<5s4;2:7lk;<3;b?573ty:5;4?:3y>5<1=jm16=4652g9~w4?02909w0?68;`g?87?n38m7p}>9983>7}:90:1?=5219d9fa=z{82=6=4={<3;3?dc34;3n75=g=;91v<67:18187?k39:70?79;`g?xu6000;6?u219c9fa=:91h1?=5rs0:b>5<5s4;3o752>=jm16=5;52g9~w41?2909w0?8e;`g?87?:39;7p}>7d83>7}:9>l1ni52191975=z{8=m6=4={<3;4?dc34;387=?;|q2<5<72;q6=5?5be9>52d=;91v<6>:18187?:3ho70?75;13?xu60;0;6?u21919fa=:9>31>k5rs0:0>5<5s4;387lk;<34=?573ty:494?:3y>5=3=jm16=:o5319~w4>22909w0?89;`g?870j38m7p}>7883>7}:9>k1ni5216a96c=z{8=j6=4={<34f?dc34;52e=jm1v<8i:18187083ho70?83;13?xu6?90;6?u21639fa=:9>>1?=5rs052>5<5s4;<:7=>;<346?dc3ty:;?4?:3y>525=jm16=:;52g9~w4142909w0?84;`g?870=39;7p}>7583>7}:9><1>k521679fa=z{8<36=4={<35=?dc34;=h753e=;91v<8n:181871m39:70?9b;`g?xu6>k0;6?u217a9fa=:9?n1?=5rs04`>5<5s4;=i7534=jm16=;852g9~w4052909w0?93;`g?871=39;7p}>6283>7}:9?=1?<521769fa=z{8530=jm1v<;l:181872l39;70?:c;`g?xu6;90;6?u21229fa=:9:;1?=5rs012>5<5s4;8=7lk;<306?4b3ty:??4?:3y>565=;916=><5be9~w1?=838p19o5be9>0f<5m2wx8l4?:3y>0`<4827?n7lk;|q7f?6=:r7?o7lk;<6g>66h6=4={<6f>7`<5=n1ni5rs8d94?4|5h91>k52a18a`>{ti90;6?u2a08a`>;f:39;7p}n1;296~;f;39;70o=:cf8yv?f2909w07j:3d8952z?:=?4a343=6oj4}r;5>5<5s43<6oj4=8:975=z{0=1<77}:1=09j6361;`g?xu>93:1>v362;`g?8?42::0q~7=:1818?32::014=5be9~w=d=838p15h52g9>gb7`<5181ni5rs9094?4|5191ni5285804>{t0:0;6?u284804>;?<3ho7p}8c;296~;?838m709k:cf8yv1c2909w09j:cf892`=;91v:k50;0x9=6=;916;k4md:p55b=838p1<>k:cf894722;l0q~?>8;296~;6910ih63>10804>{t9;91<7gb<58;=6?h4}r310?6=:r7:>94md:?257<482wx=?;50;0x94422kn013:1>v3>278a`>;69>09j6s|13594?4|588<6oj4=030>6652z?26=1e804>{t99l1<7gb<588:6>>4}r324?6=:r7:>?4<1:?255v3>138a`>;69?08<6s|10194?4|58;86oj4=034>6652z?2516;296~;69?0ih63>1c81b>{t98=1<7gb<58;h6>>4}r32=?6=:r7:=44md:?25a<5n2wx=v3>1c8a`>;69l08<6s|10a94?4|58;h6oj4=03e>7`52z?25af;296~;69o0ih63>2081b>{t9;:1<766<588;6oj4}r315?6=:r7:>?4=f:?264v3>55804>;6=:0ih6s|15d94?4|58?:6?h4=06e>gb<7>52z?214<4827:9=4md:p51g=838p1<:m:228942f2kn0q~?=c;296~;6:j0ih63>2e804>{t9;n1<77c<588o6oj4}rc7>5<5s4k36?h4=`79fa=z{h?1<708<6s|a783>7}:i108<63n7;`g?xu68;0;6?u2111975=:9981ni5rs0d4>5<5s4;mm75c>=jm16=k75319~w4`>2909w0?ia;13?87a13ho7p}>f283>7}:9o>1?=521g19fa=z{;:?6=4={<033?4a348;87lk;|q140<72;q6>=;5be9>650=;91v?>9:181847?39;705<5s4;n>75g0=:o16=o:5be9~w4d22909w0?m6;13?87e=3ho7p}n9;296~;fl38m70on:cf8yvgf2909w0om:cf89de=;91vll50;0x9db=;916mn4md:p37<72;q6;;4=f:?47?dc3ty52z?40?dc34=>6>>4}r57>5<5s4==6>>4=679fa=z{?n1<7l0ih6s|6d83>7}:>o0ih6380;13?xu1n3:1>v381;13?8172kn0q~87:18180d2;l01;75be9~w3?=838p1;o5be9>2g<482wx:l4?:3y>2f<4827=n7lk;|q57?6=:r7=;7gbgb<5?<1?=5rs7794?4|5?=1?=52678a`>{t=l0;6?u26381b>;2n3ho7p}:f;296~;183ho708>:228yv072909w08=:228937=jm1v8750;0x90b=:o169l4md:p1d<72;q69o4md:?6g?573ty>n7>52z?6`?5734?h6oj4}r77>5<5s4?36?h4=479fa=z{08<6s|5783>7}:=108<63:7;`g?xu3n3:1>v3:3;0e?8372kn0q~;?:1818362kn018<5319~w07=838p18=5319>173g<5n27<47lk;|q46666<5>k1ni5rs32e>5<5s48;j7lk;<027?4a3ty9==4?:3y>646=jm16><<5319~w7762909w0<>4;13?84693ho7p}=1383>7}::881ni52201975=z{;;86=4={<020?4a348:?7lk;|q;2?6=:r73m7gbgb<5131?=5rs9:94?4|51k1?=52888a`>{t:9;1<766<5;::6oj4}r3e`?6=:r7:jk4=f:?2bav3=20804>;5:90ih6s|23;94?5|V;8270<=a;0f?84513no7p}>d683>6}Y9m=015gb=lm1v53z\af>;ek38n70lm:ef8yv7b>3:1?vP>e79>5`1=:l16=h85de9~w7402908wS<=7:?16=<5m279>:4kd:p5f7=839pR;<3`7?4b34;h=7jk;|q2fc<72:qU=oh4=0a1>7c<58hm6ij4}r`2>5<2s4;hh7lk;<:1>66<5hk1?=526d804>;2839;7p}m2;290~;6kl0ih638d;13?8g22::018;5319~wg5=83>p153z?2`5666=4;{<3g5?dc343=6>>4=61975=:>=08<6s|b783>7}:9m81ni5290804>{tj>0;6>u21e19fa=:0j08<6399;13?xue03:1>v3>d58a`>;??39;7p}=2483>6}Y:;?01?<9:cf897422mn0q~<=d;297~X5:m16>?k5be9>67b=lm1v?>7:180[4702794?:0yKaf=zf1=?6=4>{Ig`?xh??<0;6?uGeb9J`a<6s:0vV?o51z1g>x{i0><1<7?tHda8yk>0?3:1=vFjc:m<2>=838pDhm4}o:4=?6=:rBno6sa86c94?7|@li0qc68b;295~Nbk2we4:m50;3xL`e52zJfg>Ocl3;p?7sU2`826b=utd3;h4?:3yKaf=zf1=m6=4>{Ig`?xh?090;69uGeb9~j=>62908wEkl;|l;<7<72;qCin5rn9:0>5<5sAoh7p`78583>1}Omj1vb56::182Mcd3td34;4?:3yKaf=zf12<6=4<{Ig`?xh?010;6>uGeb9~j=>>2908wEkl;|l;5<58rBno6sa89a94?5|@li0qc67d;296~Nbk2we45k50;3;Mcd3td34k4?:3yKaf=zf13;6=4={Ig`?xh?180;6ouGeb9~j=?52908wEkl;|l;=6<72:qCin5rn9;7>5<5sAoh7p`79483>40|@li0qc666;296~Nbk2we44950;0xL`e52zJfg>{i0031<7=tHda8yk>>i3:1?vFjc:m<50;0xL`e52zJfg>{i0h81<7?tHda8yk>f;3:1>vFjc:m52zJfg>{i0hk1<7fj3:1>vFjc:m50;0xL`e52zJfg>{i0k81<7e;3:1>vFjc:m52zJfg>{i0kh1<7ek3:1>vFjc:m52zJfg>{i0j?1<7d>3:1>vFjc:m54zJfg>{i0jo1<7dn3:1?vFjc:m52zJfg>{i0m=1<7=tHda8yk>c13:1?vFjc:m52zJfg>{i0l81<7:tHda8yk>b;3:1>vFjc:m<`2=83;pDhm4}o:f1?6=9rBno6sa8d494?7|@li0qc6j7;295~Nbk2we4h650;3xL`e51zJfg>{i0lk1<7?tHda8yk>bj3:1=vFjc:m<`e=83;pDhm4}o:f`?6=9rBno6sa8dg94?7|@li0qc6jf;295~Nbk2we4k>50;3xL`e51zJfg>{i0o81<7?tHda8yk>a;3:1=vFjc:m51zJfg>{i0ok1<7?tHda8yk>aj3:1=vFjc:m50;3xL`e51zJfg>{i1981<7?tHda8yk?7;3:1=vFjc:m=52=83;pDhm4}o;31?6=9rBno6sa91494?7|@li0qc7?7;295~Nbk2we5=650;3xL`e51zJfg>{i19k1<7?tHda8yk?7j3:1=vFjc:m=5e=83;pDhm4}o;3`?6=9rBno6sa91g94?7|@li0qc7?f;295~Nbk2we5<>50;3xL`e51zJfg>{i1881<7?tHda8yk?6;3:1=vFjc:m=42=83;pDhm4}o;21?6=9rBno6sa90494?7|@li0qc7>7;295~Nbk2we5<650;3xL`e51zJfg>{i18k1<7?tHda8yk?6j3:1=vFjc:m=4e=83;pDhm4}o;2`?6=9rBno6sa90g94?7|@li0qc7>f;295~Nbk2we5?>50;3xL`e51zJfg>{i1;81<7?tHda8yk?5;3:1=vFjc:m=72=83;pDhm4}o;11?6=9rBno6sa93494?7|@li0qc7=7;295~Nbk2we5?650;3xL`e51zJfg>{i1;k1<7?tHda8yk?5j3:1=vFjc:m=7e=83;pDhm4}o;1`?6=9rBno6sa93g94?7|@li0qc7=f;295~Nbk2we5>>50;3xL`e51zJfg>{i1:81<7?tHda8yk?4;3:1=vFjc:m=62=83;pDhm4}o;01?6=9rBno6sa92494?7|@li0qc7<7;295~Nbk2we5>650;3xL`e51zJfg>{i1:k1<7?tHda8yk?4j3:1=vFjc:m=6e=83;pDhm4}o;0`?6=9rBno6sa92g94?7|@li0qc750;3xL`e51zJfg>{i1=81<7?tHda8yk?3;3:1=vFjc:m=12=83;pDhm4}o;71?6=9rBno6sa95494?7|@li0qc7;7;295~Nbk2we59650;3xL`e51zJfg>{i1=k1<7?tHda8yk?3j3:1=vFjc:m=1e=83;pDhm4}o;7`?6=9rBno6sa95g94?7|@li0qc7;f;295~Nbk2we58>50;3xL`e=7>51zJfg>{i1<81<7?tHda8yk?2;3:1=vFjc:m=02=83;pDhm4}o;61?6=9rBno6sa94494?7|@li0qc7:7;295~Nbk2we58650;3xL`e57>51zJfg>{i150;3xL`e51zJfg>{i1?81<7?tHda8yk?1;3:1=vFjc:m=32=83;pDhm4}o;51?6=9rBno6sa97494?7|@li0qc797;295~Nbk2we5;650;3xL`e51zJfg>{i1?k1<7?tHda8yk?1j3:1=vFjc:m=3e=83;pDhm4}o;5`?6=9rBno6sa97g94?7|@li0qc79f;295~Nbk2we5:>50;3xL`e51zJfg>{i1>81<7?tHda8yk?0;3:1=vFjc:m=22=83;pDhm4}o;41?6=9rBno6sa96494?7|@li0qc787;295~Nbk2we5:650;3xL`e51zJfg>{i1>k1<7?tHda8yk?0j3:1=vFjc:m=2e=83;pDhm4}o;4`?6=9rBno6sa96g94?7|@li0qc78f;295~Nbk2we55>50;3xL`e51zJfg>{i1181<7?tHda8yk??;3:1=vFjc:m==2=83;pDhm4}o;;1?6=9rBno6sa99494?7|@li0qc777;295~Nbk2we55650;3xL`e51zJfg>{i11k1<7?tHda8yk??j3:1=vFjc:m==e=83;pDhm4}o;;`?6=9rBno6sa99g94?7|@li0qc77f;295~Nbk2we54>50;3xL`e51zJfg>{i1081<7?tHda8yk?>;3:1=vFjc:m=<2=83;pDhm4}o;:1?6=9rBno6sa98494?7|@li0qc767;295~Nbk2we54650;3xL`e51zJfg>{i10k1<7?tHda8yk?>j3:1=vFjc:m=4}Omj1Bhi4>{08~^7g=9r9o6psa9`394?7|@li0Eij51z39y_4f28q8h7srn8c1>5<6sAoh7Djk:0y2>x\5i3;p?i4r}o;b7?6=9rBno6Gkd;3x5?{]:h0:w>j5}|l:e1<728qCin5Fde8244}62tP9m7?t3e8~yk?f?3:1=vFjc:Kg`?7|93wQ>l4>{2f9yxh>i10;6x{i1h31<7vFjc:m=dd=838pDhm4}o;bg?6=:rBno6sa9`f94?4|@li0qc7ne;296~Nbk2we5lh50;0xL`e52zJfg>{i1k;1<7?tHda8Mab=9r81qW{Ig`?Lbc28q96pT=a;3x7a51zJfg>Ocl3;p>7sU2`826b=utd=?7>52zm1g<728qvb5:50;0xyk1d290:wp`mb;296~{ij:0;6{|l245<728qvb0;65<6std:o94?:0y~j4c4290:wp`>f983>4}zf1=:6=4<{Hfg><}42:086>4<:280>6<62tBno6T=a;3x7a53zJfg>{i00l1<7?>{Ig`?xh5?<0;65<6std9m>4?:0y~j7d0290:wp`=cb83>4}zf;oi6=4<{|l1a6<728qvb>>m:181xh48:0;65<5std8>94?:0y~j625290:wp`<5683>4}zf:{|l0<4<728qvb>79:182xh4ik0;65<6std8h84?:0y~j6`02909wp`4}zf=:26=4>{|l765<728qvb9=n:182xh35<6std?;54?:0y~j1>c290:wp`76d83>6}Nlm02w>4<:280>6<42:086<4rHda8^7g=9r9o6psa8ef94?5|@li0qc69b;297~Ocl33p?7=53;197?5=;391=7sGeb9Y6d<6s:n1qp`7b583>6}Omj1vb58i:180Lbc20q86>4<:280>6<42:0:6pFjc:X1e?7|;m0vqc6l2;297~Nbk2we4;j50;1xMab=1r91?7=53;197?5=;3;1qEkl;[0b>4}4l3wvb5j>:180Mcd3td3;=4?:2yJ`a<>s:086>4<:280>6<4280vDhm4Z3c95~5c2twe4h?50;1xL`e53zKg`??|;391?7=53;197?5=93wCin5U2`826b=utd3nh4?:2yKaf=zf1<}42:086>4<:280>6<62tBno6T=a;3x7a53zJfg>{i=:96*>I516?!7N=5:&Qasotafd1,< 60/6-0%"?3/U:E9?P399%[4O3;V937+Q>I47\7==!W8D?>R:7;']2J12X<11-S<@;8^626>4=AGZ^X7mu^c?5?699;136D@@UU8`tpYc4>0;2>5N1178E46XJ;1J495N8^@1?D?33H3TN>5NNE68EKB5>2KEH?L>4:CM@62DRN8:0NXGCD^VBVBCSI[IC46LZS^KMBJ75LLJ18GIT>3JEFADZ[EE58GWCF\LN87NZC1:Ff?ATDWZ_HOH]\FTN4?Agsi5:5;6Jnt`>2:4=B02OS\L@KEE08BA5OX;?U:=>64I^15[447?2CT?;Q;3d9HA@VX@@OYM_MGY69OMGTFZP<0@BOKEE18HJE03EELENOCa:NSIZ^HZ;U:=l5Baef\[k67<>UFtb|PRdqvhq:668k0Aljk_^l3411XEqeyS_k|umv?6;7f3DkohRQa0164[H~hzVXnxb{<2<27>KflmUTb=>;7^]m45679890Aljk_^l3411XWg:;<5:Ob`aYXf9:?;RQa01278586=2GjhiQPn1273ZYi89:=0=0l;LcikwPbzzcdbn5BiomqR`ttafd:>6Cbuy]\j5661VXnxb{129Nip~XWg:;=4Q]erwop4743Dg~tRQa013:[Wct}e~9=>5Bmtz\[k6790UYi~{ct230?HkrpVUe<=?6_Sgpqir39:1FaxvP_o235Kj}qUTb=>=4^Pfwpjs9890A`{w_^l3472XZly~`y<>3:Onq}YXf9:98R\jstnw745:>6Cbuy]\j565=VXnxb{129Nip~XWg:;>8Q]erwop4743Dg~tRQa0106[Wct}e~9=>5Bmtz\[k67:Kj}qUTb=>=6^Pfwpjs:890A`{w_^l3470XZly~`y=>3:Onq}YXf9:9:R\jstnw044129Nip~XWg:;>:Q]erwop7743Dg~tRQa0104[Wct}e~8=>5Bmtz\[k67:>UYi~{ct531?HkrpVUe<=<7_Sgpqir6;2GfyuQPn121Kj}qUTb=>=8^Pfwpjs;890A`{w_^l347>XZly~`y:>2:Onq}YXf9:95R\jstnw56=Je|rTSc>?28]Qavsk|8;87@czx^]m454>W[oxyaz=129Nip~XWg:;>4Q]erwop6743Dg~tRQa010:[Wct}e~?=?5Bmtz\[k67;9UYi~{ct018IhsWVd;<>>PRdqvhq76;2GfyuQPn1204ZTb{|f><=4Mlw{[Zh78::T^h}zlu127>Kj}qUTb=><0^Pfwpjs<880A`{w_^l3467XZly~`y?<;Lov|ZYi899:S_k|umv256=Je|rTSc>?30]Qavsk|;;87@czx^]m4556W[oxyaz<129Nip~XWg:;?PRdqvhq76>2GfyuQPn1206ZO7W[oxyaz=179Nip~XWg:;??QF0^Pfwpjs;8<0A`{w_^l3464XA9UYi~{ct536?HkrpVUe<===_H3\V`urd};=7@czx^]m4555W@;T^h}zlu322>Kj}qUTb=><2^K2[Wct}e~9=;5Bmtz\[k67;;UB=R\jstnw7406:Onq}YXf9:8>RG=_Sgpqir59?1FaxvP_o2377YN:VXnxb{3048IhsWVd;<>7@czx^]m4553W@:T^h}zlu35?HkrpVUe<==;_H2\V`urd};::6Cbuy]\j564?35]J4ZTb{|f?<84Mlw{[Zh78:>TE=Q]erwop1723Dg~tRQa0117[L7XZly~`y?9;Lov|ZYi899?SD?PRdqvhq76>2GfyuQPn1200ZO6W[oxyaz=179Nip~XWg:;?9QF1^Pfwpjs;8<0A`{w_^l3462XA8UYi~{ct536?HkrpVUe<==;_H0\V`urd};=7@czx^]m4553W@8T^h}zlu322>Kj}qUTb=><4^K1[Wct}e~9=;5Bmtz\[k67;=UB>R\jstnw7406:Onq}YXf9:88RG<_Sgpqir59?1FaxvP_o2371YN;VXnxb{3048IhsWVd;<>:PI2]Qavsk|=;>7@czx^]m4553W@>T^h}zlu35?HkrpVUe<==;_H6\V`urd};::6Cbuy]\j564?35]J0ZTb{|f?<84Mlw{[Zh78:>TE9Q]erwop1723Dg~tRQa0117[L3XZly~`y?9;Lov|ZYi899?SD;PRdqvhq76>2GfyuQPn1200ZO2W[oxyaz=179Nip~XWg:;?9QF5^Pfwpjs;8<0A`{w_^l3462XAKj}qUTb=><4^K5[Wct}e~9=;5Bmtz\[k67;=UB:R\jstnw7406:Onq}YXf9:88RG8_Sgpqir59?1FaxvP_o2371YN?VXnxb{3048IhsWVd;<>:PI6]Qavsk|=;<7@v`r^]m4527WDrd~R\jstnw52=JpfxTSc>?41]N|jtXWg:;<=?:;LzlvZYi89>;SR`?010?4;3H48;;>7C=?2278J665=<1E?=<85:L047?23G9;?<:4N5031>HFFM8<7CK[WNPH3>HNGVYN@:5AIN]PPG5V43Y;:?6^>229S56587]?73:R2=7=W::1[>==4P300?U43;2Z99>5_2718T7143Y83?6^=939S76=W;=90\>;<;Q157>V4?:1[?5<4P518T1643Y>9?6^;329S014S7i2_XI_QNLHCPg>STM[U]E^GMLD18RFE>3_CN[RZVPD3g?]OKAGR&TIL/0/3#WQSE(9$:,L]LIH58\I^NW89<7UBWI^373>^KP@U::n5WLYK\53YR8V:<7UBWI^343>^KP@U:4:5WLYK\5<18;YN[MZ45?2RGTDQ=369[H]HX99=0TAVA_034?]J_FV;?;6VCXO]2=3=_DQDT8;5WLYL\23=_DQDT;;5WLYL\<6=_G[>0TB\=6:ZPPIOE?2RXXRIAD69[WQYQKJ?0TRAAE49[[QTN>2RTVH\@6:ZgfZOcj2RodR^}ilKmrf=_laU[~dc[yqge?]boWYxba[k}shmm55=_ldUFmga}Vdppmjh682RoaRCfnnpUawungg<0Tb2?>018\vr33SOYC45Pn1222969i2Ue<=?9<1<2e>Yi89;=0=0=9:]m4571484j7R`?004?5;7>3Vd;<<832?c8[k679?692<74_o2353:46h1Tb=>>6=1=53=Xf9::;:5Pn122340099\j56608;37R`?00:26==Xf9::4<=7;^l344>6<11Tb=>>807;?Zh7882::55Pn122<41?3Vd;<<6>899\j566083<7R`?00:1<>Yi89;3>=64_o235=4602Ue<=?723:8[k67918846Qa013;61>?1904<>Yi89;3>564_o235=4>?2Ue<=?7369\j5660==0Sc>?1974?Zh7882=;6Qa013;32=Xf9::4594_o235=?13Vd;<<79;^l347603Vd;>7:]m4547:>1Tb=>=0248[k67:8=0Sc>?2034?Zh78;;9;6Qa010272=Xf9:9=984_o23610884_o23630:84_o236=0484_o23750<2=3==>Yi89990?06;^l3462;8730Sc>?35>2:<=Xf9:881<19:]m45534:427R`?026?0;?89\j564<5<556Qa011782813Vd;<>98;^l34616>2Ue<==77:]m455?9>1Tb=><8348[k67<;=0Sc>?4335?Zh78=><7R`?05623>Yi89>?>:5Pn127060;30Sc>?46>2:<=Xf9:?;1<19:]m45204:4=7R`?0724?Zh78?::;6Qa014363=Xf9:3?:5Pn12;74?79\j5779>1Tb=??1058[k66888<7R`?11303>Yi88::8:5Pn1335017:]m4465:>1Tb=??2258[k668;><7R`?11063>Yi88:9:;5Pn13372=Xf9;;?<94_o2246403Vd;===<7:]m4464<>1Tb=??3458[k668:<<7R`?11142>Yi88:?;6Qa00275==Xf9;;8<>7;^l35526911Tb=??400;?Zh799>:?55Pn13304203Vd;==:=7:]m4463;>1Tb=??4558[k668=?<7R`?11653>Yi88:?;:5Pn1330=17:]m4462:>1Tb=??5258[k668<><7R`?11763>Yi88:>:;5Pn13332=Xf9;;;<64_o22427702Ue<<>81058[k668>8<7R`?11503>Yi88:<8:5Pn1333018869\j577?0<0Sc>>0858[k6680;37R`?11;24==Xf9;;56369\j5771==0Sc>>0874?Zh7993=;6Qa002:32=Xf9;;5594_o22411:8[k6698;:46Qa003257>1Tb=?>1258[k6698><7R`?10363>Yi88;:::5Pn132521;2k:<55n11]JFP@53h2<7l6PICWE6>g>?2k2SDLZF69b`aYcmj;:7ljk_eg`[]J_AV;=Sd68VEIYK<4b958f=YHJ\L97o78;c;\KGSA;2hxu95msx37?gu~:=1it=;;brke1=dxff37n~z_Okqu`=dx|UEePOCWEA<=dx|UE|eg=e:asqZHw``8TEO[I8:asqZHumzn0o}{PNsgp[LDRN11h|xQn<1_H@VB==dx|Uo0=07;brv[a:6611h|xQk<3<;?fvrWm68255lpt]g818?3jz~Si2:>99`tpYc4?4j7n~z_e>4>58?3jz~Si28>d9`tpYcW9UBCO[IEd9`tpYcW8UBCO[IEd9`tpYcW;UBCO[IEd9`tpYcW:UBCO[IEd9`tpYcW=UBCO[IEd9`tpYcWUBCO[IE69`tpYuf~i0o}{Prou\MGSAi2nbzd}`r=2=e>bn~`yd~1?1a:fjrluhz58556jfvhqlvZ6>3mc}e~a}_0;8`lpn{fxT>h5kiwkpkwY5WG>98k5kiwkpkwY5WG>98<=4ebg5?`~skeai7hv{cmi\MGSA;2lyt>5fes`8mkrXlf0=0m;hlw[air|5;5n6gat^flqq:56k1bbyQkotv?7;doi|Vgbb?6;hlw[hotg;20eczPmnqj3>oi|Ve~x55fnu]lqq7?3`dSb{{299jjqYh}}937d`{_nww0==nf}Uxoaei;hlw[vekcVUe<=>?119jjqYtkeaTSc>?01324>oi|Vyh`fQPn12347773`dS~mck^]m4567;o1bbyQ|cmi\[k6788;;7d`{_raooZYi89::=<>4iov\wfjlWVd;<=?=7:kp[wcfl11bR|jae3;?luXzlko>55fs^pfea543eoo86bjd0:8h`bXGK_M>6`h3:ld52=ioVEIYK64nffaqljc?2dbcR}jlb9mmjYtmeUBNXH8;okl[vrek2dbcR}{b^KAQC5uoao~h`f74sikepfjl901xddh{cmi1=>uoao~h`f=6;rjjbqekc=30egitbnh1<=t``loae9b:qkmcrdf}6;2o5|hhdwgkr;97h0egitblw878e3zbbjymat=1=e>uoao~hbyQ?a:qkmcrdf}U:m6}gigv`jqY5i2ycekzlnu]0=>uoao~occk6;rjjbqoio;;;7~fffukmc7YXf9:;<?1038wmoa|`dl>RQa01236d=tdh|neczjn99phdpb{lf37~~f6421f==tx`UEhbk;rrj[KubdVEIYK94sqk\mkrd3zzbSd`{_H@VB==tx`Ufe~ak;rrj[hotgVCIYK64sqk\ijunm2y{eRc`sh]LFP@B02y{eR}lljf8wuoX{jf`SBLZFb9ptlbf|hce0=0l;rrj`drfag6:2n5|phfbpdoi4;4h7~~fd`vbmk:46j1x|djnt`km818d3zzbhlznio>6:f=tx`njxlga<7<`?vvnlh~jec28>c9ptlbf|hceS=l4sqkgeqgnfV;i7~~fd`vbmkY5j2y{eio{ahl\7g=tx`njxlga_5`8wuoci}kbbR;m;rrj`drfagU=n6}iecwelhX?m1x|djnt`mvp969l2y{eio{anww848c3zzbhlznotv?6;b<{ycomyo`uu>0:a=tx`njxlazt=6=`>uwamkmb{{<4b9ptlbf|he~xR>l;rrj`drfg|~T=n5|phfbpdir|V8h7~~fd`vbkprX;j1x|djnt`mvpZ2d3zzbhlznotv\1f=tx`njxlazt^4`?vvnlh~jcxzP7d9ppdtsWzbbjygag39wg6=sef20tn7:01zo<>~nd`dsycsO@q`fb>FGp;<<6K4;:0yP66<6;808:7?<3b53b?55mrd:>h4>;o31b?4<,88j6<>i;|Q16?74939=6<=64b3Z>h6?:7:78276e08o085:5\23810=<12898o:>i:2;;?a41?3:1=7?tS319567=;?0:?>m80g806`=#9j09585G279uP7g=83;1=7?7ezQ17?74939=6<=64b3-;;i7:j;W31`?4|}<=1=6{:8;28y!`f2;3>7o<97;2965<6=o0:95uU1e81a5<5<5<haj3:07d5$ga965e=750;&eg?47k2dmn7=4;*03e?6=,oi1>=m4ng`96>=,:9h1<7*ic;03g>haj3;07&9j50;9l63>=831d>;k50;9l626=831d>9<50;9a5ae=8391<7>t$02:>40<@8:h7E?=4:&26f<6=2.?n7<66:k0e?6=,88h6>h4;h1a>5<#9;i1?k54oe394?"6:j0o465rb0af>5<4290;w)??9;35?M77k2B:>95+13a950=#!75k39m76g:18'57e=l110qo?l2;297?6=8r.:<44>6:J24f=O9;>0(<o50;&26f<4n21b?o4?:%31g?5a32eo=7>5$00`>a><3th:nh4?:583>5}#9931=55G11a8L4433-;9o7?:;%6a>7?13`9j6=4+13a97c=2b80b>=n;j0;6)?=c;1e?>ic93:1(<4?:1y'55?=9?1C==m4H007?!75k3;>7):m:3;5?l5f290/=?m53g98m6d=83.:>n4=83>1<7>t$02:>4><@8:h7E?=4:&26f<6=2.?n7<66:k0e?6=,88h6>h4;h1a>5<#9;i1?k54i2a94?"6:j08j65`d083>!75k3n376sm1`294?2=83:p(<>6:0:8L46d3A;986*>2b821>"3j382:6gm50;&26f<4n21dh<4?:%31g?b?32wi=4750;694?6|,8:26<64H02`?M75<2.:>n4>5:&7f?4>>2c8m7>5$00`>6`<3`9i6=4+13a97c=2b80b>=hl80;6)?=c;f;?>{e:2;i0D<>l;I310>"3j382:6g=5;29?l462900e55;092~"68009i6*;b;0:2>iam3:17dhk:188m73=831b><4?::k2a?6=3k8n6=49:183!75k3987d=i:18'57e=;o10e=4?:%31g?4732c:<>4?:%31g?77;21b==>50;&26f<68910ci650;&26f5<7s-;9o7j;;nf:>5<#9;i1h554}r06>5<5s48n6>h4^378yv77l3:1>v3=e;3e?875?3n27p}>e;296~;5m38;7S?j;|qe`?6=:r79i7??3:\e`>{t:80;6?u22d8245=Y:81vkk50;0x97c=l11Ujh5r}c094?7=83:p(<>m:89'55?=;>1/=?m51208 1d=:0<0c>;50;&26f7}:nl0mh63=:278 46e2j1v?;50;0x9cc=:<1U>85rs3394?4|5oo1><5Q209~w4c=838p1kk51d9]5`=zuk8>i7>54;091~"68009o6F>0b9K572<,=h1>484i3794?=n:80;66g>e;29?j`b2900nkk50;796?0|,8:26?k4$5`96<0>o5=3:17d<>:188m4c=831i>h4?:783>5}#9;i1?>5f3g83>!75k39m76g>f;29 44d28l07d<:18'57e=99907d??0;29 44d28:;76ak8;29 44d2m207pl>2683>4<729q/=?m5d59l`<<72-;9o7j7;:p60<72;q6>h4{t99n1<7=5Q1d9~wcb=838p1?k51118Zcb4673W8:7p}ie;296~;5m3n37Shj;|a6?6=93:10c8:?!77139<7)?=c;306>"3j382:6a<5;29 44d2m207p}ie;296~;am3ln7Shj;|q6>5<5s4ln6kj4=3801>"68k0h7p}=5;296~;am38>7S<:;|q15?6=:r7mi7<>;_02?xu6m3:1>v3ie;3f?[7b3twi>8h50;696?3|,8:26?m4H02`?M75<2.?n7<66:k11?6=3`8:6=44i0g94?=hnl0;66lie;291?4=>r.:<44=e:&7f?4>>2emi7>5;hdg>5<>o6m3:17o5<7s-;9o7=<;h1e>5<#9;i1?k54i0d94?"6:j0:j65f2183>!75k38;76g>0283>!75k3;;?65f11294?"6:j0:<=54oe:94?"6:j0o465rb004>5<6290;w)?=c;f7?jb>290/=?m5d998yv422909w052z?1a?7a34;9;7j6;|q2a?6=:r79i7v3=e;337>Xal2wx><4?:3y>6`<6891U><5rsgg94?4|5;o1h55Qfd9~yg4=83;1<7>t$02a><=#9931?:5+13a9564<,=h1>484o2794?"6:j0o465rsgg94?4|5oo1jh5Qfd9~w0<72;q6jh4id:?1>63<,8:i6n5rs3794?4|5oo1>85Q249~w77=838p1kk5209]64=z{8o1<74<4s-;;57:i;I33g>o5?3:17d<7:188k4662900n<=?:180>5<7s-;;57?=3:J24f=O9;>0(<l50;&26f<4n21dh<4?:%31g?b?32wx>:4?:3y]62=:9::1?l5rs3:94?4|V;201<=?:2`8yv7793:1>vP>009>566=l81vqo;?:180>4<4s-;;57:i;I33g>o5?3:17d<7:188k4662900n<=?:180>5<7s-;;57?=3:J24f=O9;>0(<l50;&26f<4n21dh<4?:%31g?b?32wx>:4?:3y]62=:9::1?l5rs3:94?4|V;201<=?:2`8yv7793:1>vP>009>566=l81vqo?61;296?6=8r.:<44;1:J24f=O9;>0(<750;&26f<4n21dh<4?:%31g?b?3A;9n65rb3:g>5<22;0=w)??9;0g?M77k2B:>95+4c81=3=n:<0;66g>f;29?l462900e56;294~"6:j08?6g50;&26f<5821b===50;&26f<68:10e<>?:18'57e=99:07bj7:18'57e=l110qo?=9;295?6=8r.:>n4>339l`=<72-;9o7j7;:p60<72;q6jh4{t9o0;6?u2fd82b>X6n2wx=h4?:3y>b`<582T:i6s|3683>7}:nl0:<>5213;9`==z{;;1<77?13`8>6=44i0d94?=n:80;66g>e;29?j`b2900nkk50;494?6|,88h6>=4i2d94?"6:j08j65f1g83>!75k3;m76g=0;29 44d2;:07d??3;29 44d28:876g>0183>!75k3;;<65`d983>!75k3n376sm13;94?7=83:p(<4`5<5s4ln6<><;<31=?b?3ty9=7>52z?ea?7782T9=6s|fd83>7}:nl0o46Pie:~f442290:6=4?{%33=?503A;;o6F>259'57e=9:80(9l52848k63=83.:>n4k8:9~f77d290>6?49{%33=?4c3A;;o6F>259'0g<51?1b>84?::k2b?6=3`8:6=44i0g94?=hnl0;66lie;292?6=8r.:>n4<3:k0b?6=,88h6>h4;h3e>5<#9;i1=k54i3294?"6:j09<65f11194?"6:j0:<>54i023>5<#9;i1==>4;nf;>5<#9;i1h554}c31=?6=93:12b8277=hl10;6)?=c;f;?>{t:<0;6?u2fd80b>X5=2wx=k4?:3y>b`<6n2T:j6s|1d83>7}:nl09<6P>e:p72<72;q6jh4>029>57?=l11v??50;0x9cc=99:0R??4}rdf>5<5s4ln6i64^gg8yxd6:?0;6<4?:1y'55?=99n0D<>l;I310>"6:j0o86*;b;0:2>ic13:1(<4?:1y'55?=9?1C==m4H007?!75k3;>7):m:3;5?l5f290/=?m53g98m6d=83.:>n4t$02:>40<@8:h7E?=4:&26f<6=2.?n7<66:k0e?6=,88h6>h4;h1a>5<#9;i1?k54oe394?"6:j0o465rb3;3>5<22;0=w)??9;0g?M77k2B:>95+4c81=3=n:<0;66g>f;29?l462900e56;294~"6:j08?6g50;&26f<5821b===50;&26f<68:10e<>?:18'57e=99:07bj7:18'57e=l110qo?=9;295?6=8r.:>n4>339l`=<72-;9o7j7;:p60<72;q6jh4{t9o0;6?u2fd82b>X6n2wx=h4?:3y>b`<582T:i6s|3683>7}:nl0:<>5213;9`==z{;;1<7i6?79;h1b>5<#9;i1?k54i2`94?"6:j08j65`d083>!75k3n376sm5d83>6<729q/==75d79K55e<@88?7)?=c;f6?!2e2;3=7d=n:18'57e=;o10e>l50;&26f<4n21dh<4?:%31g?b?32win>4?:283>5}#9931h;5G11a8L4433-;9o7j:;%6a>7?13`9j6=4+13a97c=2b80b>=hl80;6)?=c;f;?>{eil0;6>4?:1y'55?=l?1C==m4H007?!75k3n>7):m:3;5?l5f290/=?m53g98m6d=83.:>n4i6?79;h1b>5<#9;i1?k54i2`94?"6:j08j65`d083>!75k3n376sma583>6<729q/==75d79K55e<@88?7)?=c;f6?!2e2;3=7d=n:18'57e=;o10e>l50;&26f<4n21dh<4?:%31g?b?32wi5k4?:283>5}#9931h;5G11a8L4433-;9o7j:;%6a>7?13`9j6=4+13a97c=2b80b>=hl80;6)?=c;f;?>{e1<0;6>4?:1y'55?=l?1C==m4H007?!75k3n>7):m:3;5?l5f290/=?m53g98m6d=83.:>n4i6?79;h1b>5<#9;i1?k54i2`94?"6:j08j65`d083>!75k3n376sm14694?2=83:p(<>6:e58L46d3A;986*>2b8g1>"3j382:6gm50;&26f<4n21dh<4?:%31g?b?32wi=5750;194?6|,8:26<84H02`?M75<2.:>n4>5:&7f?4>>2c8m7>5$00`>6`<3`9i6=4+13a97c=2b8g<>=zj82=6=4<:183!7713;=7E??c:J261=#9;i1=85+4c81=3=n;h0;6)?=c;1e?>o4j3:1(<50z&24<<6>2B:n4i6?79;h1b>5<#9;i1?k54i2`94?"6:j08j65`d083>!75k3n376sm16f94?5=83:p(<>6:048L46d3A;986*>2b821>"3j382:6g5<7s-;;57?6;I33g>N6:=1/=?m5149'0g<51?1b?i4?:%31g?5a32c8m7>5$00`>6`<3`9i6=4+13a97c=2b80b>=hl80;6)?=c;f;?>{e:1h1<7;52;4x 46>2;n0D<>l;I310>"3j382:6g=5;29?l7a2900e??50;9j5`<722emi7>5;cdf>5<1290;w)?=c;10?l5a290/=?m53g98m4`=83.:>n4>f:9j65<72-;9o7n4>0198ka>=83.:>n4k8:9~f44>290:6=4?{%31g?74:2eo47>5$00`>a><3ty997>52z?ea?5a3W8>7p}>f;296~;am3;m7S?i;|q2a?6=:r7mi7v3ie;337>;6:00o46s|2083>7}:nl0:<=5Q209~wcc=838p1kk5d99]b`=zuk;=<7>52;294~"6800?=6F>0b9K572<,88h62b80b>=hl80;6)?=c;f;?M75j21vno650;194?6|,8:26i84H02`?M75<2.:>n4k5:&7f?4>>2c8m7>5$00`>6`<3`9i6=4+13a97c=2b8g<>=zj89o6=4<:183!7713;=7E??c:J261=#9;i1=85+4c81=3=n;h0;6)?=c;1e?>o4j3:1(<50z&24<<6>2B:n4i6?79;h1b>5<#9;i1?k54i2`94?"6:j08j65`d083>!75k3n376sm12;94?5=83:p(<>6:048L46d3A;986*>2b821>"3j382:6g5<7s-;;57?9;I33g>N6:=1/=?m5149'0g<51?1b?l4?:%31g?5a32c8n7>5$00`>6`<3fn:6=4+13a9`==55;092~"68009h6F>0b9K572<,=h1>484i3794?=n9o0;66g=1;29?l7b2900ckk50;9ab`<72?0;6=u+13a976=n;o0;6)?=c;1e?>o6n3:1(<ic03:1(<85rs0d94?4|5oo1=k5Q1g9~w4c=838p1kk5219]5`=z{:=1<75<5s4ln6<>?;_02?xuam3:1>v3ie;f;?[`b3twi>>;50;194?6|,8:26i84H02`?M75<2.:>n4k5:&7f?4>>2c8m7>5$00`>6`<3`9i6=4+13a97c=2b8g<>=zj;8m6=4<:183!7713;=7E??c:J261=#9;i1=85+4c81=3=n;h0;6)?=c;1e?>o4j3:1(<5;h3f>5<3<729q/=?m5329j7c<72-;9o7=i;:k2b?6=,88h65<#9;i1>=54i020>5<#9;i1===4;h334?6=,88h6<>?;:mg2b8g<>=z{;?1<7=5Q1d9~w61=838p1kk51118944>2m20q~<>:1818`b28:;7S<>;|qea?6=:r7mi7j7;_df?x{e:=;1<7=50;2x 46>28<0D<>l;I310>"6:j0:96*;b;0:2>o4i3:1(<n4k8:9~f77c290>6?49{%33=?4c3A;;o6F>259'0g<51?1b>84?::k2b?6=3`8:6=44i0g94?=hnl0;66lie;292?6=8r.:>n4<3:k0b?6=,88h6>h4;h3e>5<#9;i1=k54i3294?"6:j09<65f11194?"6:j0:<>54i023>5<#9;i1==>4;nf;>5<#9;i1h554}c31=?6=93:12b8277=hl10;6)?=c;f;?>{t:<0;6?u2fd80b>X5=2wx=k4?:3y>b`<6n2T:j6s|1d83>7}:nl09<6P>e:p72<72;q6jh4>029>57?=l11v??50;0x9cc=99:0R??4}rdf>5<5s4ln6i64^gg8yxd5=90;6?4?:1y'55?=<81C==m4H007?!75k3;i7):m:3;5?l5>290/=?m53g98ka7=83.:>n4k8:J26g=55;092~"68009h6F>0b9K572<,=h1>484i3794?=n9o0;66g=1;29?l7b2900ckk50;9ab`<72?0;6=u+13a976=n;o0;6)?=c;1e?>o6n3:1(<ic03:1(<85rs0d94?4|5oo1=k5Q1g9~w4c=838p1kk5219]5`=z{:=1<75<5s4ln6<>?;_02?xuam3:1>v3ie;f;?[`b3twi>4?50;796?0|,8:26?j4H02`?M75<2.?n7<66:k11?6=3`;m6=44i3394?=n9l0;66aie;29?g`b290=6=4?{%31g?543`9m6=4+13a97c=2b82b>=n:90;6)?=c;03?>o68:0;6)?=c;337>=n99:1<7*>2b8245=2b8g<>=zj8826=4>:183!75k3;8>6ak8;29 44d2m207p}=5;296~;am39m7S<:;|q2b?6=:r7mi7?i;_3e?xu6m3:1>v3ie;03?[7b3ty8;7>52z?ea?77;27:>44k8:p64<72;q6jh4>019]64=z{oo1<76:J24f=O9;>0(<o50;&26f<4n21b?o4?:%31g?5a32eo=7>5$00`>a><3th98;4?:583>5}#9931=55G11a8L4433-;9o7?:;%6a>7?13`9j6=4+13a97c=2b80b>=n;j0;6)?=c;1e?>ic93:1(<50z&24<2B:n4088g2>N68j1C=?:4$00`>a3<,=h1>484i2c94?"6:j08j65f3c83>!75k39m76ak1;29 44d2m207pl71;297?6=8r.:<44k6:J24f=O9;>0(<o50;&26f<4n21b?o4?:%31g?5a32eo=7>5$00`>a><3th53;294~"6800o:6F>0b9K572<,88h6i;4$5`96<02b80b>=n;k0;6)?=c;1e?>ic93:1(<50z&24<2B:n4088g2>N68j1C=?:4$00`>a3<,=h1>484i2c94?"6:j08j65f3c83>!75k39m76ak1;29 44d2m207pl9d;297?6=8r.:<44k6:J24f=O9;>0(<o50;&26f<4n21b?o4?:%31g?5a32eo=7>5$00`>a><3th=47>53;294~"6800o:6F>0b9K572<,88h6i;4$5`96<02b80b>=n;k0;6)?=c;1e?>ic93:1(<50z&24<2B:n40887b>N68j1b>:4?::k15;c304?6=;3:1088266=O99i0D<<;;%31g?75:2.?n7<66:k0e?6=,88h6>h4;h1a>5<#9;i1?k54oe394?"6:j0o465rs3594?4|V;=01<=?:2c8yv4?2909wS<7;<304?5e3ty:<<4?:3y]557<589;6i?4}|`;f?6=;3:1088g2>N68j1C=?:4$00`>a3<,=h1>484i2c94?"6:j08j65f3c83>!75k39m76ak1;29 44d2m207pl=6g83>6<62:q/==75d29K55e<@88?7E:;;%66>a><,=h1>484i2;94?=n:00;66ak1;29?g7503:1?7>50z&26f<68?1b?44?:%31g?5a32c:<84?:%31g?4332eo=7>5$00`>a><@8:<76s|3883>7}:9;21?45Q389~w7?=838p1<<7:026?[4>3tyo=7>52z?26=5<42808w)??9;f0?M77k2B:>95G459'00::18'57e=:=10ci?50;&26f5<5s4;947=6;_1:?xu513:1>v3>298240=Y:01vi?50;0x944?2m;0Ri?4}|`150<72=0969u+11;906=O99i0D<<;;%6a>7?13`926=44i3;94?=hl80;66a;2;29?g5b29096=4?{%33=?5b3-;9o7?m;I67?!222:l0(9l52848m6?=83.:>n4uG459'00h4;h0:>5<#9931?k54oe394?"6800o465m13:94?5=83:p(<290/=?m53g98m462290/=?m52598ka7=83.:>n4k8:J242=3W927p}=9;296~;6:10:<85Q289~wa7=838p1<<7:e38Za77>53z?0a?5>34n86i?4^508yvb62909w0=j:e38Za76?i6?79;h1b>5<#9;i1?k54i2`94?"6:j08j65`d083>!75k3n376sm29g94?3=:36:3f8L46d3A;986*;b;0:2>o5=3:17d?i:188m77=831b=h4?::mea?6=3kln6=49:183!75k3987d=i:18'57e=;o10e=4?:%31g?4732c:<>4?:%31g?77;21b==>50;&26f<68910ci650;&26f5<7s-;9o7?<2:mgv3ie;3e?[7a3ty:i7>52z?ea?473W;n7p}<7;296~;am3;;?63>288g<>{t:80;6?u2fd8245=Y:81vkk50;0x9cc=l11Ujh5r}c02b?6=:3:108875>N68j1C=?:4$00`>4d<,=h1>484i2;94?"6:j08j65`d083>!75k3n37E?=b:9~f702290>6?49{%33=?4c3A;;o6F>259'0g<51?1b>84?::k2b?6=3`8:6=44i0g94?=hnl0;66lie;292?6=8r.:>n4<3:k0b?6=,88h6>h4;h3e>5<#9;i1=k54i3294?"6:j09<65f11194?"6:j0:<>54i023>5<#9;i1==>4;nf;>5<#9;i1h554}c31=?6=93:12b8277=hl10;6)?=c;f;?>{t:<0;6?u2fd80b>X5=2wx=k4?:3y>b`<6n2T:j6s|1d83>7}:nl09<6P>e:p72<72;q6jh4>029>57?=l11v??50;0x9cc=99:0R??4}rdf>5<5s4ln6i64^gg8yxd5>?0;684=:7y'55?=;91C==m4H007?!2e2;3=7d<::188m4`=831bji4?::k2a?6=3fln6=44bgg94?0=83:p(<n44;h337?6=,88h6<><;:k245<72-;9o7??0:9l`=<72-;9o7j7;:a57?=83;1<7>t$00`>4553fn36=4+13a9`==6=4={6`5<5s4ln6?>4^0g8yv`c2909w0hj:020?[`c3ty8;7>52z?ea?77827:>44k8:pb`<72;q6jh4k8:\ea>{zj;8?6=4::385!77138o7E??c:J261=#>o6n3:17d<>:188m4c=831djh4?::`ea?6=>3:12b807>o4n3:1(<n4=0:9j555=83.:>n4>0298m467290/=?m51128?jb?290/=?m5d998yg7513:1=7>50z&26f<6;;1dh54?:%31g?b?32wx>84?:3y>b`<4n2T996s|1g83>7}:nl0:j6P>f:p5`<72;q6jh4=0:\2a>{t;>0;6?u2fd8246=:9;31h55rs3394?4|5oo1==>4^338yv`b2909w0hj:e:8Zcc>4?:481>3}#9931>i5G11a8L4433->i6?79;h06>5<>o6m3:17bhj:188fcc=83<1<7>t$00`>652b80b>=n9o0;6)?=c;3e?>o583:1(<o6890;6)?=c;334>=hl10;6)?=c;f;?>{e9;31<7?50;2x 44d28997bj7:18'57e=l110q~<::1818`b2:l0R?;4}r3e>5<5s4ln646434;957j7;|q15?6=:r7mi7??0:\15>{tnl0;6?u2fd8g<>Xam2wvn<>odk3:17dmk:188mc<722c:=7>5;h30>5<>i6<3:17bmj:188f47729086=4?{%33=?ef3A;;o6*>2b821>"3j382:6go54}c325?6=;3:1088`e>N68j1/=?m5149'0g<51?1b?l4?:%31g?5a32c8n7>5$00`>6`<3fn:6=4+13a9`==O9;h07pl>1383>0<321q/==75309K55e<,:21=hm4i0g94?=n:<0;66gif;29?l77:3:17bhj:188f10=8391<7>t$02:>41<@8:h7)?=c;36?!2e2;3=7d=n:18'57e=;o1C=?l4;h1a>5<#9;i1?k54oe394?"6:j0o465rb5594?5=83:p(<>6:058L46d3-;9o7?:;%6a>7?13`9j6=4+13a97c=O9;h07d=m:18'57e=;o10ci?50;&26fn4k5:&7f?4>>2c8m7>5$00`>6`<3`9i6=4+13a97c=2b8g<>=zj8:j6=4<:387!7713997E??c:&05;h06>5<4<729q/==75369K55e<@88?7)?=c;306>"3j382:6a<5;29 44d2m207pl>0`83>0<52?q/==752d9K55e<@88?7):m:3;5?l422900e??50;9jba<722c:i7>5;ndf>5<h50;&26f<4n21b=k4?:%31g?7a32c9<7>5$00`>76<3`;;?7>5$00`>46432c:<=4?:%31g?77821dh54?:%31g?b?32wi=?950;394?6|,88h6i:4oe;94?"6:j0o465rs3794?4|5;o1?k5Q249~w46c2909w05<5s48n6<><;_dg?xu593:1>v3=e;334>X592wxjh4?:3y>6`n:0g8yv422909wS<:;<33e?423tymi7>52z\ea>;68h0mi6s|10g94?5|5=31?85211c964=:99k1ji5r}r3f>5<5sW;n70??a;3f?xu5=3:1>vP=5:?73?5e3tymi7>52z\ea>;68h0mi6s|fg83>6}Yno168;45<5s4>=6i?4=5:97d=z{88;6=4={<6;>a7<58:j6?;4}r315?6=:r7?;7j>;<6;>6d5}#9931==j4H02`?M75<2.:>n4k4:&7f?4>>2eo57>5$00`>a><3th:=84?:283>5}#9931=;5G11a8L4433-;9o7?:;%6a>7?13`9j6=4+13a97c=2b80b>=hl80;6)?=c;f;?>{e98<1<7=50;2x 46>28<0D<>l;I310>"6:j0:96*;b;0:2>o4i3:1(<n4k8:9~f47029086=4?{%33=?713A;;o6F>259'57e=9<1/8o4=979j7d<72-;9o7=i;:k0f?6=,88h6>h4;nf2>5<#9;i1h554}c3208875>N68j1C=?:4$00`>4d<,=h1>484i2;94?"6:j08j65`d083>!75k3n37E?=b:9~f47>290>6=4?{%33=?7f3A;;o6*>2b821>"3j382:6gh4;h1`>5<#9;i1?k54i2f94?"6:j08j65`d083>!75k3n376s|2183>7}Y:916=<<51d9~wfe=836g<58;>6>l4=035>6d<58;<6>l4=03;>6?0;296~X6827:=84k1:p51<72;qU=9521049`4=z{jn1<7=t^bf894772:h01;<323?5f34;:57=k;|q27?6=;rT:?63>1780e>;69008o6s|10c94?4|58;96kh4=03:>a752z?254<4i27:=?4ie:p54e=838p1d;296~;69;0:7:t$02:>7d<@8:h7E?=4:&7f?4>>2c997>5;h3f>5<0<52?q/==752d9'0g<51?1djh4?::ke`?6=3`8>6=44i3394?=n9l0;66l=e;292?6=8r.:>n4<3:k0b?6=,88h6>h4;h3e>5<#9;i1=k54i3294?"6:j09<65f11194?"6:j0:<>54i023>5<#9;i1==>4;nf;>5<#9;i1h554}c313?6=93:12b8g0>ic13:1(<v3=e;1e?[423ty:6`<6n27:>:4k9:p5`<72;q6>h4=0:\2a>{tnm0;6?u22d8246=Ynm1v??50;0x97c=99:0R??4}rdf>5<5s48n6i64^gg8yxd6290:6=4?{%33f?><,8:26>94$00`>4553->i6?79;n16>5<#9;i1h554}rdf>5<5s4ln6kk4^gg8yv2=839p1kk5fe9>b`<5927:6>;4$02a>g=z{;?1<70881g>N68j1C=?:4$5`96<0>o6m3:17bhj:188fcc=83?1>78t$02:>7c<,=h1>484ogg94?=nnm0;66g=5;29?l462900eo6n3:1(<ic03:1(<0;6<4?:1y'57e=l=1dh44?:%31g?b?32wx>84?:3y>6`<4n2T996s|11f94?4|5;o1=k521359`<=z{8o1<75<5s48n6<>?;_02?xuam3:1>v3=e;f;?[`b3twi>7>51;294~"68k027)??9;14?!75k3;8>6*;b;0:2>i4=3:1(<v3ie;df?[`b3ty>6=4={cb<5;0896*>0c8`?xu5=3:1>v3ie;06?[423ty9=7>52z?ea?463W8:7p}>e;296~;am3;n7S?j;|a60b=83>1>7;t$02:>7e<@8:h7E?=4:&7f?4>>2c997>5;h02>5<>dam3:197<56z&24<<5m2.?n7<66:mea?6=3`lo6=44i3794?=n:80;66g>e;29?g4b290=6=4?{%31g?543`9m6=4+13a97c=2b82b>=n:90;6)?=c;03?>o68:0;6)?=c;337>=n99:1<7*>2b8245=2b8g<>=zj88<6=4>:183!75k3n?7bj6:18'57e=l110q~<::18184b2:l0R?;4}r33`?6=:r79i7?i;<313?b>3ty:i7>52z?1a?473W;n7p}id;296~;5m3;;?6Pid:p64<72;q6>h4>019]64=z{oo1<7<4$5`96<02b8g<>=z{oo1<7b`;4$02a>f=z{;?1<7<5rs0g94?4|5oo1=h5Q1d9~yg4?93:197<56z&24<<5l2B:5;h3f>5<3<729q/=?m5329j7c<72-;9o7=i;:k2b?6=,88h65<#9;i1>=54i020>5<#9;i1===4;h334?6=,88h6<>?;:mg2b8g<>=z{;?1<7=5Q1d9~w61=838p1kk51118944>2m20q~<>:1818`b28:;7S<>;|qea?6=:r7mi7j7;_df?x{e:1:1<7;52;4x 46>2;n0D<>l;I310>"3j382:6g=5;29?l7a2900e??50;9j5`<722emi7>5;cdf>5<1290;w)?=c;10?l5a290/=?m53g98m4`=83.:>n4>f:9j65<72-;9o7n4>0198ka>=83.:>n4k8:9~f44>290:6=4?{%31g?74:2eo47>5$00`>a><3ty997>52z?ea?5a3W8>7p}>f;296~;am3;m7S?i;|q2a?6=:r7mi7v3ie;337>;6:00o46s|2083>7}:nl0:<=5Q209~wcc=838p1kk5d99]b`=zuk855;092~"68009h6F>0b9K572<,=h1>484i3794?=n9o0;66g=1;29?l7b2900ckk50;9ab`<72?0;6=u+13a976=n;o0;6)?=c;1e?>o6n3:1(<ic03:1(<85rs0d94?4|5oo1=k5Q1g9~w4c=838p1kk5219]5`=z{:=1<75<5s4ln6<>?;_02?xuam3:1>v3ie;f;?[`b3twi>:k50;796?0|,8:26?j4H02`?M75<2.?n7<66:k11?6=3`;m6=44i3394?=n9l0;66aie;29?g`b290=6=4?{%31g?543`9m6=4+13a97c=2b82b>=n:90;6)?=c;03?>o68:0;6)?=c;337>=n99:1<7*>2b8245=2b8g<>=zj8826=4>:183!75k3;8>6ak8;29 44d2m207p}=5;296~;am39m7S<:;|q2b?6=:r7mi7?i;_3e?xu6m3:1>v3ie;03?[7b3ty8;7>52z?ea?77;27:>44k8:p64<72;q6jh4>019]64=z{oo1<7r.:<44=d:J24f=O9;>0(9l52848m73=831b=k4?::k15?6=3`;n6=44ogg94?=enl0;6;4?:1y'57e=;:1b?k4?:%31g?5a32c:j7>5$00`>4`<3`8;6=4+13a965=5$00`>46732eo47>5$00`>a><3th:>44?:083>5}#9;i1=><4oe:94?"6:j0o465rs3794?4|5oo1?k5Q249~w4`=838p1kk51g9]5c=z{8o1<7950;0x9cc=99901<<6:e:8yv462909w0hj:023?[463tymi7>52z?ea?b?3Wln7psm26a94?3=:36:3f8L46d3A;986*;b;0:2>o5=3:17d?i:188m77=831b=h4?::mea?6=3kln6=49:183!75k3987d=i:18'57e=;o10e=4?:%31g?4732c:<>4?:%31g?77;21b==>50;&26f<68910ci650;&26f5<7s-;9o7?<2:mgv3ie;3e?[7a3ty:i7>52z?ea?473W;n7p}<7;296~;am3;;?63>288g<>{t:80;6?u2fd8245=Y:81vkk50;0x9cc=l11Ujh5r}c04f?6==381:v*>0881`>N68j1C=?:4$5`96<0>o593:17d?j:188kcc=831ijh4?:783>5}#9;i1?>5f3g83>!75k39m76g>f;29 44d28l07d<:18'57e=99907d??0;29 44d28:;76ak8;29 44d2m207pl>2883>4<729q/=?m51208ka>=83.:>n4k8:9~w73=838p1kk53g9]60=z{8l1<7a>4673W8:7p}ie;296~;am3n37Shj;|a62g=83?1>78t$02:>7b<@8:h7E?=4:&7f?4>>2c997>5;h3e>5<>iam3:17ohj:185>5<7s-;9o7=<;h1e>5<#9;i1?k54i0d94?"6:j0:j65f2183>!75k38;76g>0283>!75k3;;?65f11294?"6:j0:<=54oe:94?"6:j0o465rb00:>5<6290;w)?=c;306>ic03:1(<v3ie;1e?[423ty:j7>52z?ea?7a3W;m7p}>e;296~;am38;7S?j;|q03?6=:r7mi7??3:?26<<4?:3y>b`<6891U><5rsgg94?4|5oo1h55Qfd9~yg4483:187<55z&24<<5n2B:5;ndf>5<2;o0(9l52848kcc=831bji4?::k11?6=3`8:6=44i0g94?=e:l0;6;4?:1y'57e=;:1b?k4?:%31g?5a32c:j7>5$00`>4`<3`8;6=4+13a965=5$00`>46732eo47>5$00`>a><3th:>:4?:083>5}#9;i1h95`d883>!75k3n376s|2483>7}::l08j6P=5:p55b=838p1?k51g9>571=l01v52z?1a?b?3Wln7psm0;295?6=8r.:>2e897>5$00`>a><3tymi7>52z?ea?`b3Wln7p}id;296~;am3lo7Shk;|q11?6=:r7mi7<:;_06?xu42909w0hj:33895<4=2.:2;o0D<>l;I310>"3j382:6g=5;29?l462900ekj50;9j5`<722emi7>5;c0f>5<1290;w)?=c;10?l5a290/=?m53g98m4`=83.:>n4>f:9j65<72-;9o7n4>0198ka>=83.:>n4k8:9~f440290:6=4?{%31g?b33fn26=4+13a9`==6=4={<0f>6`v3=e;03?[7b3tymh7>52z?1a?77;2Tmh6s|2083>7}::l0:<=5Q209~wcc=838p1?k5d99]b`=zuk82>7>55;092~"68009h6F>0b9K572<,=h1>484i3794?=n9o0;66g=1;29?l7b2900ckk50;9ab`<72?0;6=u+13a976=n;o0;6)?=c;1e?>o6n3:1(<ic03:1(<85rs0d94?4|5oo1=k5Q1g9~w4c=838p1kk5219]5`=z{:=1<75<5s4ln6<>?;_02?xuam3:1>v3ie;f;?[`b3twi5l4?:283>5}#9931h;5G11a8L4433-;9o7j:;%6a>7?13`9j6=4+13a97c=2b80b>=hl80;6)?=c;f;?>{e:091<7;52;4x 46>2::0D<>l;I310>"3j382:6g=5;29?l7a2900ekj50;9j5`<722emi7>5;cdf>5<1290;w)?=c;10?l5a290/=?m53g98m4`=83.:>n4>f:9j65<72-;9o7n4>0198ka>=83.:>n4k8:9~f44>290:6=4?{%31g?74:2eo47>5$00`>a><3ty997>52z?ea?5a3W8>7p}>f;296~;am3;m7S?i;|q2a?6=:r7mi7v3ie;337>Xal2wx?:4?:3y>b`<68916=?75d99~wcc=838p1kk5d99]b`=zuk;m47>55;092~"68009h6F>0b9K572<,=h1>484i3794?=n9o0;66g=1;29?l7b2900ckk50;9ab`<72?0;6=u+13a976=n;o0;6)?=c;1e?>o6n3:1(<ic03:1(<85rs0d94?4|5oo1=k5Q1g9~w4c=838p1kk5219]5`=z{:=1<75<5s4ln6<>?;_02?xuam3:1>v3ie;f;?[`b3twi=k750;796?0|,8:26?j4H02`?M75<2.?n7<66:k11?6=3`;m6=44i3394?=n9l0;66aie;29?g`b290=6=4?{%31g?543`9m6=4+13a97c=2b82b>=n:90;6)?=c;03?>o68:0;6)?=c;337>=n99:1<7*>2b8245=2b8g<>=zj8826=4>:183!75k3;8>6ak8;29 44d2m207p}=5;296~;am39m7S<:;|q2b?6=:r7mi7?i;_3e?xu6m3:1>v3ie;03?[7b3ty8;7>52z?ea?77;27:>44k8:p64<72;q6jh4>019]64=z{oo1<70(9l52848m6?=831b>44?::mg5?6=3f>96=44b2g94?4=83:p(<>6:2g8 44d28h0D9:4$5797c=#!75k39m76ak1;29 44d2m207plk3;297?7=;rB?86*;5;f;?!7713n87d=6:18'55?=;o10e?750;&24<<4n21dh<4?:%33=?b?32h:>54?:283>5}#9;i1==84i2;94?"6:j08j65f11794?"6:j09865`d083>!75k3n37E??7:9~w6?=838p1<<7:2;8Z6?7}:9;21h<5Qd09~yv252908w0=j:2;89a5=l81U8?5rse394?4|5:o1h<5Qd09~w6?=838p1i=5389]7<=z{;31<7r.:<44=d:J24f=O9;>0(9l52848m73=831b=k4?::k15?6=3`;n6=44ogg94?=enl0;6;4?:1y'57e=;:1b?k4?:%31g?5a32c:j7>5$00`>4`<3`8;6=4+13a965=5$00`>46732eo47>5$00`>a><3th:>44?:083>5}#9;i1=><4oe:94?"6:j0o465rs3794?4|5oo1?k5Q249~w4`=838p1kk51g9]5c=z{8o1<7950;0x9cc=99901<<6:e:8yv462909w0hj:023?[463tymi7>52z?ea?b?3Wln7psm20294?2=:3>p(<>6:518L46d3A;986*;b;0:2>o413:17d<6:188ka7=831d8?4?::`0a?6=:3:10880a>"6:j0:n6F;4:&71?5a3->i6?79;h1:>5<#9;i1?k54oe394?"6:j0o465rbe194?5=939pD9:4$579`==#9931h>5f3883>!77139m76g=9;29 46>2:l07bj>:18'55?=l110n<<7:180>5<7s-;9o7??6:k0=?6=,88h6>h4;h331?6=,88h6?:4;nf2>5<#9;i1h55G1158?xu413:1>v3>2980=>X412wx>44?:3y>57>=99?0R?74}rf2>5<5s4;947j>;_f2?x{t<;0;6>u23d80=>;c;3n:7S:=;|qg5?6=:r78i7j>;_f2?xu413:1>v3k3;1:?[5>3ty957>52z?g7?4>3W827psm20694?2=:3>p(<>6:518L46d3A;986*;b;0:2>o413:17d<6:188ka7=831d8?4?::`0a?6=:3:10880a>"6:j0:n6F;4:&71?5a3->i6?79;h1:>5<#9;i1?k54oe394?"6:j0o465rbe194?5=939pD9:4$579`==#9931h>5f3883>!77139m76g=9;29 46>2:l07bj>:18'55?=l110n<<7:180>5<7s-;9o7??6:k0=?6=,88h6>h4;h331?6=,88h6?:4;nf2>5<#9;i1h55G1158?xu413:1>v3>2980=>X412wx>44?:3y>57>=99?0R?74}rf2>5<5s4;947j>;_f2?x{t<;0;6>u23d80=>;c;3n:7S:=;|qg5?6=:r78i7j>;_f2?xu413:1>v3k3;1:?[5>3ty957>52z?g7?4>3W827psm20394?2=:3>p(<>6:518L46d3A;986*;b;0:2>o413:17d<6:188ka7=831d8?4?::`0a?6=:3:10880a>"6:j0:n6F;4:&71?5a3->i6?79;h1:>5<#9;i1?k54oe394?"6:j0o465rbe194?5=939pD9:4$579`==#9931h>5f3883>!77139m76g=9;29 46>2:l07bj>:18'55?=l110n<<7:180>5<7s-;9o7??6:k0=?6=,88h6>h4;h331?6=,88h6?:4;nf2>5<#9;i1h55G1158?xu413:1>v3>2980=>X412wx>44?:3y>57>=99?0R?74}rf2>5<5s4;947j>;_f2?x{t<;0;6>u23d80=>;c;3n:7S:=;|qg5?6=:r78i7j>;_f2?xu413:1>v3k3;1:?[5>3ty957>52z?g7?4>3W827psm21g94?2=:3>p(<>6:518L46d3A;986*;b;0:2>o413:17d<6:188ka7=831d8?4?::`0a?6=:3:10880a>"6:j0:n6F;4:&71?5a3->i6?79;h1:>5<#9;i1?k54oe394?"6:j0o465rbe194?5=939pD9:4$579`==#9931h>5f3883>!77139m76g=9;29 46>2:l07bj>:18'55?=l110n<<7:180>5<7s-;9o7??6:k0=?6=,88h6>h4;h331?6=,88h6?:4;nf2>5<#9;i1h55G1158?xu413:1>v3>2980=>X412wx>44?:3y>57>=99?0R?74}rf2>5<5s4;947j>;_f2?x{t<;0;6>u23d80=>;c;3n:7S:=;|qg5?6=:r78i7j>;_f2?xu413:1>v3k3;1:?[5>3ty957>52z?g7?4>3W827psm20094?2=:3>p(<>6:518L46d3A;986*;b;0:2>o413:17d<6:188ka7=831d8?4?::`0a?6=:3:10880a>"6:j0:n6F;4:&71?5a3->i6?79;h1:>5<#9;i1?k54oe394?"6:j0o465rbe194?5=939pD9:4$579`==#9931h>5f3883>!77139m76g=9;29 46>2:l07bj>:18'55?=l110n<<7:180>5<7s-;9o7??6:k0=?6=,88h6>h4;h331?6=,88h6?:4;nf2>5<#9;i1h55G1158?xu413:1>v3>2980=>X412wx>44?:3y>57>=99?0R?74}rf2>5<5s4;947j>;_f2?x{t<;0;6>u23d80=>;c;3n:7S:=;|qg5?6=:r78i7j>;_f2?xu413:1>v3k3;1:?[5>3ty957>52z?g7?4>3W827psm20194?2=:3>p(<>6:518L46d3A;986*;b;0:2>o413:17d<6:188ka7=831d8?4?::`0a?6=:3:10880a>"6:j0:n6F;4:&71?5a3->i6?79;h1:>5<#9;i1?k54oe394?"6:j0o465rbe194?5=939pD9:4$579`==#9931h>5f3883>!77139m76g=9;29 46>2:l07bj>:18'55?=l110n<<7:180>5<7s-;9o7??6:k0=?6=,88h6>h4;h331?6=,88h6?:4;nf2>5<#9;i1h55G1158?xu413:1>v3>2980=>X412wx>44?:3y>57>=99?0R?74}rf2>5<5s4;947j>;_f2?x{t<;0;6>u23d80=>;c;3n:7S:=;|qg5?6=:r78i7j>;_f2?xu413:1>v3k3;1:?[5>3ty957>52z?g7?4>3W827psm22f94?5=83:p(<>6:048L46d3A;986*>2b821>"3j382:6g5<7s-;;57?9;I33g>N6:=1/=?m5149'0g<51?1b?l4?:%31g?5a32c8n7>5$00`>6`<3fn:6=4+13a9`==53;294~"6800::6F>0b9K572<,88h6<;4$5`96<02b80b>=n;k0;6)?=c;1e?>ic93:1(<>o503:17b??1;29?g7483:1?7>50z&24<<6::1C==m4H007?!75k3;9>6*;b;0:2>o4i3:1(<n4k8:9~w71=838pR?94=013>6g0083>7}Y99;01<=?:e38yxd50o0;684=:7y'55?=:m1C==m4H007?!2e2;3=7d<::188m4`=831b><4?::k2a?6=3fln6=44bgg94?0=83:p(<n44;h337?6=,88h6<><;:k245<72-;9o7??0:9l`=<72-;9o7j7;:a57?=83;1<7>t$00`>4553fn36=4+13a9`==6=4={6`5<5s4ln6?>4^0g8yv502909w0hj:020?87513n37p}=1;296~;am3;;<6P=1:pb`<72;q6jh4k8:\ea>{zj<>1<7=50;2x 46>28<0D<>l;I310>"6:j0:96*;b;0:2>o4i3:1(<n4k8:9~f05=8391<7>t$02:>40<@8:h7E?=4:&26f<6=2.?n7<66:k0e?6=,88h6>h4;h1a>5<#9;i1?k54oe394?"6:j0o465rb4094?5=939p(<>6:5d8L46d3`8<6=44i3:94?=h99;1<75m12294?5=83:p(<>6:000?M77k2B:>95+13a9574<,=h1>484i2c94?"6:j08j65f3c83>!75k39m76ak1;29 44d2m207p}=7;296~X5?27:?=455212297g=z{8::6=4={_335>;6;90o=6srb0f;>5<4290;w)??9;35?M77k2B:>95+13a950=#!75k39m76g:18'57e=l110qo?kd;296?6=8r.:<44;1:J24f=O9;>0(<750;&26f<4n21dh<4?:%31g?b?3A;9n65rb0ff>5<4290;w)??9;35?M77k2B:>95+13a950=#!75k39m76g:18'57e=l110qo?kf;296?6=8r.:<44;1:J24f=O9;>0(<750;&26f<4n21dh<4?:%31g?b?3A;9n65rb0g3>5<5290;w)??9;62?M77k2B:>95+13a95g=#!75k39m76ak1;29 44d2m20D<t$02:>40<@8:h7E?=4:&26f<6=2.?n7<66:k0e?6=,88h6>h4;h1a>5<#9;i1?k54oe394?"6:j0o465rb0g1>5<4290;w)??9;35?M77k2B:>95+13a950=#!75k39m76g:18'57e=l110qo?j3;297?6=8r.:<44k6:J24f=O9;>0(<o50;&26f<4n21b?o4?:%31g?5a32eo=7>5$00`>a><3th:i94?:283>5}#9931h;5G11a8L4433-;9o7j:;%6a>7?13`9j6=4+13a97c=2b80b>=hl80;6)?=c;f;?>{e9m31<7=50;2x 46>28<0D<>l;I310>"6:j0:96*;b;0:2>o4i3:1(<n4k8:9~f4bf29086=4?{%33=?713A;;o6F>259'57e=9<1/8o4=979j7d<72-;9o7=i;:k0f?6=,88h6>h4;nf2>5<#9;i1h554}c3gf?6=;3:1088g2>N68j1C=?:4$00`>a3<,=h1>484i2c94?"6:j08j65f3c83>!75k39m76ak1;29 44d2m207pl>cb83>6<729q/==75179K55e<@88?7)?=c;36?!2e2;3=7d=n:18'57e=;o10e>l50;&26f<4n21dh<4?:%31g?b?32wi=nh50;094?6|,8:269?4H02`?M75<2.:>n4>b:&7f?4>>2c857>5$00`>6`<3fn:6=4+13a9`==O9;h07pl>d183>7<729q/==75409K55e<@88?7)?=c;3a?!2e2;3=7d=6:18'57e=;o10ci?50;&26fo54}c3g5?6=;3:108822>N68j1C=?:4$00`>43<,=h1>484i2c94?"6:j08j65f3c83>!75k39m76ak1;29 44d2m207pl>d383>7<729q/==75409K55e<@88?7)?=c;3a?!2e2;3=7d=6:18'57e=;o10ci?50;&26fo54}c3g7?6=;3:108822>N68j1C=?:4$00`>43<,=h1>484i2c94?"6:j08j65f3c83>!75k39m76ak1;29 44d2m207pl>d583>6<729q/==75179K55e<@88?7)?=c;36?!2e2;3=7d=n:18'57e=;o10e>l50;&26f<4n21dh<4?:%31g?b?32wi=i;50;194?6|,8:26i84H02`?M75<2.:>n4k5:&7f?4>>2c8m7>5$00`>6`<3`9i6=4+13a97c=2b8g<>=zj8n=6=4<:183!7713;=7E??c:J261=#9;i1=85+4c81=3=n;h0;6)?=c;1e?>o4j3:1(<50z&24<2B:n4i6?79;h1b>5<#9;i1?k54i2`94?"6:j08j65`d083>!75k3n376sm1b194?4=83:p(<>6:538L46d3A;986*>2b82f>"3j382:6g<9;29 44d2:l07bj>:18'57e=l11C=?l4;|`2g1<72;0;6=u+11;904=O99i0D<<;;%31g?7e3->i6?79;h1:>5<#9;i1?k54oe394?"6:j0o46F>2c98yg7d=3:1?7>50z&24<<6>2B:n4i6?79;h1:>5<#9;i1?k54oe394?"6:j0o46F>2c98yg7d?3:1?7>50z&24<<6>2B:n4i6?79;h1b>5<#9;i1?k54i2`94?"6:j08j65`d083>!75k3n376sm1b;94?5=83:p(<>6:e48L46d3A;986*>2b8g1>"3j382:6g5<7s-;;57?9;I33g>N6:=1/=?m5149'0g<51?1b?l4?:%31g?5a32c8n7>5$00`>6`<3fn:6=4+13a9`==53;294~"6800o:6F>0b9K572<,88h6i;4$5`96<02b80b>=n;k0;6)?=c;1e?>ic93:1(<4?:1y'55?=9?1C==m4H007?!75k3;>7):m:3;5?l5f290/=?m53g98m6d=83.:>n4t$02:>17<@8:h7E?=4:&26f<6j2.?n7<66:k0=?6=,88h6>h4;nf2>5<#9;i1h55G13`8?xd6jk0;6?4?:1y'55?=<81C==m4H007?!75k3;i7):m:3;5?l5>290/=?m53g98ka7=83.:>n4k8:J26g=53;294~"6800::6F>0b9K572<,88h6<;4$5`96<02b80b>=n;k0;6)?=c;1e?>ic93:1(<4?:1y'55?=l?1C==m4H007?!75k3n>7):m:3;5?l5f290/=?m53g98m6d=83.:>n4t$02:>40<@8:h7E?=4:&26f<6=2.?n7<66:k0e?6=,88h6>h4;h1a>5<#9;i1?k54oe394?"6:j0o465rb0`3>5<5290;w)??9;62?M77k2B:>95+13a95g=#!75k39m76ak1;29 44d2m20D<t$02:>17<@8:h7E?=4:&26f<6j2.?n7<66:k0=?6=,88h6>h4;nf2>5<#9;i1h55G13`8?xd6j;0;6?4?:1y'55?=<81C==m4H007?!75k3;i7):m:3;5?l5>290/=?m53g98ka7=83.:>n4k8:J26g=52;294~"6800?=6F>0b9K572<,88h62b80b>=hl80;6)?=c;f;?M75j21vn5<7s-;;57?9;I33g>N6:=1/=?m5149'0g<51?1b?l4?:%31g?5a32c8n7>5$00`>6`<3fn:6=4+13a9`==53;294~"6800::6F>0b9K572<,88h6<;4$5`96<02b80b>=n;k0;6)?=c;1e?>ic93:1(<4?:1y'55?=9?1C==m4H007?!75k3;>7):m:3;5?l5f290/=?m53g98m6d=83.:>n4t$02:>a0<@8:h7E?=4:&26fh4;h1a>5<#9;i1?k54oe394?"6:j0o465rb0ca>5<4290;w)??9;f5?M77k2B:>95+13a9`0=#!75k39m76g:18'57e=l110qo?nc;297?6=8r.:<44>6:J24f=O9;>0(<o50;&26f<4n21b?o4?:%31g?5a32eo=7>5$00`>a><3th:mi4?:283>5}#9931=;5G11a8L4433-;9o7?:;%6a>7?13`9j6=4+13a97c=2b80b>=hl80;6)?=c;f;?>{e9ho1<7=50;2x 46>2m<0D<>l;I310>"6:j0o96*;b;0:2>o4i3:1(<n4k8:9~f4g529096=4?{%33=?263A;;o6F>259'57e=9k1/8o4=979j7<<72-;9o7=i;:mg5?6=,88h6i64H00a?>{e9h91<7<50;2x 46>2=;0D<>l;I310>"6:j0:n6*;b;0:2>o413:1(<5}#99318<5G11a8L4433-;9o7?m;%6a>7?13`926=4+13a97c=2b8g<>N6:k10qo?n5;297?6=8r.:<44>6:J24f=O9;>0(<o50;&26f<4n21b?o4?:%31g?5a32eo=7>5$00`>a><3th:m;4?:283>5}#9931=;5G11a8L4433-;9o7?:;%6a>7?13`9j6=4+13a97c=2b80b>=hl80;6)?=c;f;?>{e9h=1<7=50;2x 46>2m<0D<>l;I310>"6:j0o96*;b;0:2>o4i3:1(<n4k8:9~f4?e29086=4?{%33=?713A;;o6F>259'57e=9<1/8o4=979j7d<72-;9o7=i;:k0f?6=,88h6>h4;nf2>5<#9;i1h554}c3:g?6=:3:108875>N68j1C=?:4$00`>4d<,=h1>484i2;94?"6:j08j65`d083>!75k3n37E?=b:9~f4?c29096=4?{%33=?263A;;o6F>259'57e=9k1/8o4=979j7<<72-;9o7=i;:mg5?6=,88h6i64H00a?>{e90o1<7=50;2x 46>28<0D<>l;I310>"6:j0:96*;b;0:2>o4i3:1(<n4k8:9~f4?a29086=4?{%33=?b13A;;o6F>259'57e=l<1/8o4=979j7d<72-;9o7=i;:k0f?6=,88h6>h4;nf2>5<#9;i1h554}c3:0?6=;3:108822>N68j1C=?:4$00`>43<,=h1>484i2c94?"6:j08j65f3c83>!75k39m76ak1;29 44d2m207pl>9483>7<729q/==75409K55e<@88?7)?=c;3a?!2e2;3=7d=6:18'57e=;o10ci?50;&26fo54}c3:2?6=:3:108875>N68j1C=?:4$00`>4d<,=h1>484i2;94?"6:j08j65`d083>!75k3n37E?=b:9~f4?029086=4?{%33=?713A;;o6F>259'57e=9<1/8o4=979j7d<72-;9o7=i;:k0f?6=,88h6>h4;nf2>5<#9;i1h554}c3:088g2>N68j1C=?:4$00`>a3<,=h1>484i2c94?"6:j08j65f3c83>!75k39m76ak1;29 44d2m207pl>8d83>7<729q/==75409K55e<@88?7)?=c;3a?!2e2;3=7d=6:18'57e=;o10ci?50;&26fo54}c356?6=:3:108875>N68j1C=?:4$00`>4d<,=h1>484i2;94?"6:j08j65`d083>!75k3n37E?=b:9~f40429086=4?{%33=?713A;;o6F>259'57e=9<1/8o4=979j7d<72-;9o7=i;:k0f?6=,88h6>h4;nf2>5<#9;i1h554}c350?6=:3:108875>N68j1C=?:4$00`>4d<,=h1>484i2;94?"6:j08j65`d083>!75k3n37E?=b:9~f0g=8391<7>t$02:>40<@8:h7E?=4:&26f<6=2.?n7<66:k0e?6=,88h6>h4;h1a>5<#9;i1?k54oe394?"6:j0o465rb4`94?2=83:p(<>6:0:8L46d3A;986*>2b821>"3j382:6gm50;&26f<4n21dh<4?:%31g?b?32wi9n4?:383>5}#99318<5G11a8L4433-;9o7?m;%6a>7?13`926=4+13a97c=2b8g<>N6:k10qo;k:180>5<7s-;;57?9;I33g>N6:=1/=?m5149'0g<51?1b?l4?:%31g?5a32c8n7>5$00`>6`<3fn:6=4+13a9`==o4j3:1(<259'57e=9k1/8o4=979j7<<72-;9o7=i;:mg5?6=,88h6i64H00a?>{ej;0;6>4?:1y'55?=9?1C==m4H007?!75k3;>7):m:3;5?l5f290/=?m53g98m6d=83.:>n4i6?79;h1b>5<#9;i1?k54i2`94?"6:j08j65`d083>!75k3n376smab83>7<729q/==75409K55e<@88?7)?=c;3a?!2e2;3=7d=6:18'57e=;o10ci?50;&26fo54}ccg>5<4290;w)??9;35?M77k2B:>95+13a950=#!75k39m76g:18'57e=l110qoo9:180>5<7s-;;57?9;I33g>N6:=1/=?m5149'0g<51?1b?l4?:%31g?5a32c8n7>5$00`>6`<3fn:6=4+13a9`==:7E??c:J261=#9;i1=o5+4c81=3=n;00;6)?=c;1e?>ic93:1(<5}#9931=;5G11a8L4433-;9o7?:;%6a>7?13`9j6=4+13a97c=2b80b>=hl80;6)?=c;f;?>{ei80;6>4?:1y'55?=9?1C==m4H007?!75k3;>7):m:3;5?l5f290/=?m53g98m6d=83.:>n4i6?79;h1:>5<#9;i1?k54oe394?"6:j0o46F>2c98ygg429086=4?{%33=?713A;;o6F>259'57e=9<1/8o4=979j7d<72-;9o7=i;:k0f?6=,88h6>h4;nf2>5<#9;i1h554}c;`>5<4290;w)??9;35?M77k2B:>95+13a950=#!75k39m76g:18'57e=l110qo7k:181>5<7s-;;57:>;I33g>N6:=1/=?m51c9'0g<51?1b?44?:%31g?5a32eo=7>5$00`>a><@88i76sm9d83>6<729q/==75179K55e<@88?7)?=c;36?!2e2;3=7d=n:18'57e=;o10e>l50;&26f<4n21dh<4?:%31g?b?32wi5?4?:283>5}#9931=;5G11a8L4433-;9o7?:;%6a>7?13`9j6=4+13a97c=2b80b>=hl80;6)?=c;f;?>{e1:0;6?4?:1y'55?=<81C==m4H007?!75k3;i7):m:3;5?l5>290/=?m53g98ka7=83.:>n4k8:J26g=o4j3:1(<c29086=4?{%33=?713A;;o6F>259'57e=9<1/8o4=979j7d<72-;9o7=i;:k0f?6=,88h6>h4;nf2>5<#9;i1h554}c:f>5<5290;w)??9;62?M77k2B:>95+13a95g=#!75k39m76ak1;29 44d2m20D<i6?79;h1b>5<#9;i1?k54i2`94?"6:j08j65`d083>!75k3n376sm12d94?5=83:p(<>6:048L46d3A;986*>2b821>"3j382:6g5<7s-;;57:>;I33g>N6:=1/=?m51c9'0g<51?1b?44?:%31g?5a32eo=7>5$00`>a><@88i76sm14794?5=83:p(<>6:048L46d3A;986*>2b821>"3j382:6g5<7s-;;57:>;I33g>N6:=1/=?m51c9'0g<51?1b?44?:%31g?5a32eo=7>5$00`>a><@88i76sm14594?4=83:p(<>6:538L46d3A;986*>2b82f>"3j382:6g<9;29 44d2:l07bj>:18'57e=l11C=?l4;|`21=<72:0;6=u+11;953=O99i0D<<;;%31g?723->i6?79;h1b>5<#9;i1?k54i2`94?"6:j08j65`d083>!75k3n376sm14;94?4=83:p(<>6:538L46d3A;986*>2b82f>"3j382:6g<9;29 44d2:l07bj>:18'57e=l11C=?l4;|`21d<72:0;6=u+11;953=O99i0D<<;;%31g?723->i6?79;h1b>5<#9;i1?k54i2`94?"6:j08j65`d083>!75k3n376sm14`94?4=83:p(<>6:538L46d3A;986*>2b82f>"3j382:6g<9;29 44d2:l07bj>:18'57e=l11C=?l4;|`205<72;0;6=u+11;904=O99i0D<<;;%31g?7e3->i6?79;h1:>5<#9;i1?k54oe394?"6:j0o46F>2c98yg7393:1>7>50z&24<<392B:n4=zj8>96=4=:183!7713>:7E??c:J261=#9;i1=o5+4c81=3=n;00;6)?=c;1e?>ic93:1(<n4>5:&7f?4>>2c8m7>5$00`>6`<3`9i6=4+13a97c=2b8g<>=zj8>?6=4<:183!7713;=7E??c:J261=#9;i1=85+4c81=3=n;h0;6)?=c;1e?>o4j3:1(<50z&24<<6>2B:n4i6?79;h1b>5<#9;i1?k54i2`94?"6:j08j65`d083>!75k3n376sm15594?5=83:p(<>6:e48L46d3A;986*>2b8g1>"3j382:6g5<7s-;;57j9;I33g>N6:=1/=?m5d49'0g<51?1b?l4?:%31g?5a32c8n7>5$00`>6`<3fn:6=4+13a9`==53;294~"6800o:6F>0b9K572<,88h6i;4$5`96<02b80b>=n;k0;6)?=c;1e?>ic93:1(<4?:1y'55?=l?1C==m4H007?!75k3n>7):m:3;5?l5f290/=?m53g98m6d=83.:>n4t$02:>40<@8:h7E?=4:&26f<6=2.?n7<66:k0e?6=,88h6>h4;h1a>5<#9;i1?k54oe394?"6:j0o465rb06g>5<4290;w)??9;35?M77k2B:>95+13a950=#!75k39m76g:18'57e=l110qo?;e;297?6=8r.:<44>6:J24f=O9;>0(<o50;&26f<4n21b?o4?:%31g?5a32eo=7>5$00`>a><3th:8k4?:283>5}#9931=;5G11a8L4433-;9o7?:;%6a>7?13`9j6=4+13a97c=2b80b>=hl80;6)?=c;f;?>{e9<:1<7=50;2x 46>2m<0D<>l;I310>"6:j0o96*;b;0:2>o4i3:1(<n4k8:9~f43629086=4?{%33=?b13A;;o6F>259'57e=l<1/8o4=979j7d<72-;9o7=i;:k0f?6=,88h6>h4;nf2>5<#9;i1h554}c366?6=;3:108822>N68j1C=?:4$00`>43<,=h1>484i2c94?"6:j08j65f3c83>!75k39m76ak1;29 44d2m207pl>5283>6<729q/==75179K55e<@88?7)?=c;36?!2e2;3=7d=n:18'57e=;o10e>l50;&26f<4n21dh<4?:%31g?b?32wi=5650;094?6|,8:269?4H02`?M75<2.:>n4>b:&7f?4>>2c857>5$00`>6`<3fn:6=4+13a9`==O9;h07pl>8483>7<729q/==75409K55e<@88?7)?=c;3a?!2e2;3=7d=6:18'57e=;o10ci?50;&26fo54}c3;5?6=:3:108875>N68j1C=?:4$00`>4d<,=h1>484i2;94?"6:j08j65`d083>!75k3n37E?=b:9~f4>529096=4?{%33=?263A;;o6F>259'57e=9k1/8o4=979j7<<72-;9o7=i;:mg5?6=,88h6i64H00a?>{e9>i1<7<50;2x 46>2=;0D<>l;I310>"6:j0:n6*;b;0:2>o413:1(<5}#99318<5G11a8L4433-;9o7?m;%6a>7?13`926=4+13a97c=2b8g<>N6:k10qo?:f;297?6=8r.:<44>6:J24f=O9;>0(<o50;&26f<4n21b?o4?:%31g?5a32eo=7>5$00`>a><3thi97>53;294~"6800::6F>0b9K572<,88h6<;4$5`96<02b80b>=n;k0;6)?=c;1e?>ic93:1(<3:1>7>50z&24<<392B:n4=zjk=1<7=50;2x 46>28<0D<>l;I310>"6:j0:96*;b;0:2>o4i3:1(<n4k8:9~f45329096=4?{%33=?263A;;o6F>259'57e=9k1/8o4=979j7<<72-;9o7=i;:mg5?6=,88h6i64H00a?>{e::81<7=50;2x 46>28<0D<>l;I310>"6:j0:96*;b;0:2>o4i3:1(<n4k8:9~f75429096=4?{%33=?263A;;o6F>259'57e=9k1/8o4=979j7<<72-;9o7=i;:mg5?6=,88h6i64H00a?>{e::>1<7=50;2x 46>28<0D<>l;I310>"6:j0:96*;b;0:2>o4i3:1(<n4k8:9~f74b29096=4?{%33=?263A;;o6F>259'57e=9k1/8o4=979j7<<72-;9o7=i;:mg5?6=,88h6i64H00a?>{e::l1<7=50;2x 46>28<0D<>l;I310>"6:j0:96*;b;0:2>o4i3:1(<n4k8:9~f72729096=4?{%33=?263A;;o6F>259'57e=9k1/8o4=979j7<<72-;9o7=i;:mg5?6=,88h6i64H00a?>{e9o?1<7<50;2x 46>2=;0D<>l;I310>"6:j0:n6*;b;0:2>o413:1(<5}#99318<5G11a8L4433-;9o7?m;%6a>7?13`926=4+13a97c=2b8g<>N6:k10qoln:180>5<7s-;;57?9;I33g>N6:=1/=?m5149'0g<51?1b?l4?:%31g?5a32c8n7>5$00`>6`<3fn:6=4+13a9`==:7E??c:J261=#9;i1=o5+4c81=3=n;00;6)?=c;1e?>ic93:1(<5}#9931=;5G11a8L4433-;9o7?:;%6a>7?13`9j6=4+13a97c=2b80b>=hl80;6)?=c;f;?>{e0:0;6>4?:1y'55?=9?1C==m4H007?!75k3;>7):m:3;5?l5f290/=?m53g98m6d=83.:>n4i6?79;h1:>5<#9;i1?k54oe394?"6:j0o46F>2c98yg>229086=4?{%33=?713A;;o6F>259'57e=9<1/8o4=979j7d<72-;9o7=i;:k0f?6=,88h6>h4;nf2>5<#9;i1h554}c5f>5<4290;w)??9;35?M77k2B:>95+13a950=#!75k39m76g:18'57e=l110qo9i:181>5<7s-;;57:>;I33g>N6:=1/=?m51c9'0g<51?1b?44?:%31g?5a32eo=7>5$00`>a><@88i76sm8183>6<729q/==75179K55e<@88?7)?=c;36?!2e2;3=7d=n:18'57e=;o10e>l50;&26f<4n21dh<4?:%31g?b?32wi;44?:283>5}#9931=;5G11a8L4433-;9o7?:;%6a>7?13`9j6=4+13a97c=2b80b>=hl80;6)?=c;f;?>{e?h0;6?4?:1y'55?=<81C==m4H007?!75k3;i7):m:3;5?l5>290/=?m53g98ka7=83.:>n4k8:J26g=o4j3:1(<259'57e=9<1/8o4=979j7d<72-;9o7=i;:k0f?6=,88h6>h4;nf2>5<#9;i1h554}c56>5<5290;w)??9;62?M77k2B:>95+13a95g=#!75k39m76ak1;29 44d2m20D<i6?79;h1b>5<#9;i1?k54i2`94?"6:j08j65`d083>!75k3n376sm6g83>6<729q/==75179K55e<@88?7)?=c;36?!2e2;3=7d=n:18'57e=;o10e>l50;&26f<4n21dh<4?:%31g?b?32wi;=4?:383>5}#99318<5G11a8L4433-;9o7?m;%6a>7?13`926=4+13a97c=2b8g<>N6:k10qo9>:180>5<7s-;;57?9;I33g>N6:=1/=?m5149'0g<51?1b?l4?:%31g?5a32c8n7>5$00`>6`<3fn:6=4+13a9`==o4j3:1(<259'57e=9k1/8o4=979j7<<72-;9o7=i;:mg5?6=,88h6i64H00a?>{e>j0;6>4?:1y'55?=9?1C==m4H007?!75k3;>7):m:3;5?l5f290/=?m53g98m6d=83.:>n4i6?79;h1b>5<#9;i1?k54i2`94?"6:j08j65`d083>!75k3n376sm6783>7<729q/==75409K55e<@88?7)?=c;3a?!2e2;3=7d=6:18'57e=;o10ci?50;&26fo54}c44>5<4290;w)??9;35?M77k2B:>95+13a950=#!75k39m76g:18'57e=l110qo8?:180>5<7s-;;57?9;I33g>N6:=1/=?m5149'0g<51?1b?l4?:%31g?5a32c8n7>5$00`>6`<3fn:6=4+13a9`==:7E??c:J261=#9;i1=o5+4c81=3=n;00;6)?=c;1e?>ic93:1(<5}#9931=;5G11a8L4433-;9o7?:;%6a>7?13`9j6=4+13a97c=2b80b>=hl80;6)?=c;f;?>{e010;6>4?:1y'55?=9?1C==m4H007?!75k3;>7):m:3;5?l5f290/=?m53g98m6d=83.:>n4i6?79;h1:>5<#9;i1?k54oe394?"6:j0o46F>2c98yg>f29086=4?{%33=?713A;;o6F>259'57e=9<1/8o4=979j7d<72-;9o7=i;:k0f?6=,88h6>h4;nf2>5<#9;i1h554}c066?6=;3:108822>N68j1C=?:4$00`>43<,=h1>484i2c94?"6:j08j65f3c83>!75k39m76ak1;29 44d2m207pl=5283>7<729q/==75409K55e<@88?7)?=c;3a?!2e2;3=7d=6:18'57e=;o10ci?50;&26fo54}c060?6=:3:108875>N68j1C=?:4$00`>4d<,=h1>484i2;94?"6:j08j65`d083>!75k3n37E?=b:9~f73229086=4?{%33=?713A;;o6F>259'57e=9<1/8o4=979j7d<72-;9o7=i;:k0f?6=,88h6>h4;nf2>5<#9;i1h554}c062?6=;3:1088g2>N68j1C=?:4$00`>a3<,=h1>484i2c94?"6:j08j65f3c83>!75k39m76ak1;29 44d2m207pl67;297?6=8r.:<44>6:J24f=O9;>0(<o50;&26f<4n21b?o4?:%31g?5a32eo=7>5$00`>a><3th247>52;294~"6800?=6F>0b9K572<,88h62b80b>=hl80;6)?=c;f;?M75j21vn4750;194?6|,8:26<84H02`?M75<2.:>n4>5:&7f?4>>2c8m7>5$00`>6`<3`9i6=4+13a97c=2b8g<>=zj;9h6=4=:183!7713>:7E??c:J261=#9;i1=o5+4c81=3=n;00;6)?=c;1e?>ic93:1(<>650;094?6|,8:269?4H02`?M75<2.:>n4>b:&7f?4>>2c857>5$00`>6`<3fn:6=4+13a9`==O9;h07pl=3883>7<729q/==75409K55e<@88?7)?=c;3a?!2e2;3=7d=6:18'57e=;o10ci?50;&26fo54}c057?6=:3:108875>N68j1C=?:4$00`>4d<,=h1>484i2;94?"6:j08j65`d083>!75k3n37E?=b:9~f70c29096=4?{%33=?5b3A;;o6F>259'57e=9k1C895+4480b>"3j382:6g<9;29 44d2:l07bj>:18'57e=l110qo<;a;296?6=8r.:<440(<ic93:1(<6`<,=h1>484i2;94?"6:j08j65`d083>!75k3n376sm25a94?4=83:p(<>6:2g8L46d3A;986*>2b82f>N3<2.?97=i;%6a>7?13`926=4+13a97c=2b8g<>=zj;;<6=4=:183!77139n7E??c:J261=#9;i1=o5G459'00<4n2.?n7<66:k0=?6=,88h6>h4;nf2>5<#9;i1h554}c3e`?6=:3:10880a>N68j1C=?:4$00`>4d<@=>0(9;53g9'0g<51?1b?44?:%31g?5a32eo=7>5$00`>a><3tho<7>52;294~"68008i6F>0b9K572<,88h6?7):::2d8 1d=:0<0e>750;&26f<4n21dh<4?:%31g?b?32wi>;l50;094?6|,8:26>k4H02`?M75<2.:>n4>b:J70>"3=39m7):m:3;5?l5>290/=?m53g98ka7=83.:>n4k8:9~f4c129096=4?{%33=?5b3A;;o6F>259'57e=9k1C895+4480b>"3j382:6g<9;29 44d2:l07bj>:18'57e=l110qo?j8;296?6=8r.:<440(<ic93:1(<6`<,=h1>484i2;94?"6:j08j65`d083>!75k3n376sm21694?4=83:p(<>6:2g8L46d3A;986*>2b82f>N3<2.?97=i;%6a>7?13`926=4+13a97c=2b8g<>=zj;:86=4=:183!77139n7E??c:J261=#9;i1=o5G459'00<4n2.?n7<66:k0=?6=,88h6>h4;nf2>5<#9;i1h554}c3e5?6=:3:1088g6>N68j1C=?:4$00`>4d<@=>0(9;5d99'0g<51?1b?44?:%31g?5a32eo=7>5$00`>a><3th:j>4?:383>5}#9931h?5G11a8L4433-;9o7?m;I67?!222m20(9l52848m6?=83.:>n4t$02:>a4<@8:h7E?=4:&26f<6j2B?86*;5;f;?!2e2;3=7d=6:18'57e=;o10ci?50;&26f5<7s-;;57j=;I33g>N6:=1/=?m51c9K01=#<<0o46*;b;0:2>o413:1(<7>50z&24<!75k39m76ak1;29 44d2m207pl=6883>7<729q/==75d39K55e<@88?7)?=c;3a?M233->>6i64$5`96<02b80b>=hl80;6)?=c;f;?>{e:>;1<7<50;2x 46>2m80D<>l;I310>"6:j0:n6F;4:&71?b?3->i6?79;h1:>5<#9;i1?k54oe394?"6:j0o465rb367>5<5290;w)??9;f1?M77k2B:>95+13a95g=O<=1/884k8:&7f?4>>2c857>5$00`>6`<3fn:6=4+13a9`==51;294~"6:j0m56F>0b9K05=#<<0o46ai9;29 44d2o30D<>8;:a63c=83;1<7>t$00`>c?<@8:h7E:?;%66>a><,=n1io5`f883>!75k3l27E??7:9~f70d290:6=4?{%31g?`>3A;;o6F;0:&71?5a3->o6k=4ig;94?"6:j0m565rb36:>5<6290;w)?=c;d:?M77k2B?<6*;5;1e?!2c2o:0ek750;&26f5<7s-;9o7h6;I33g>N382.?97=i;%6g>a`2b8e=>=zj;>i6=4>:183!75k3l27E??c:J74>"3=39m7):k:g08mc?=83.:>n4i9:9~f771290:6=4?{%31g?`>3A;;o6F;0:&71?5a3->o6k84ig;94?"6:j0m565rb0d`>5<6290;w)?=c;d:?M77k2B?<6*;5;1e?!2c2o>0ek750;&26f>6>h4$5f9``=nn00;6)?=c;d:?>{e:;;1<7?50;2x 44d2o30D<>l;I63?!222:l0(9j5f49jb<<72-;9o7h6;:a63g=83;1<7>t$00`>c?<@8:h7E:?;%66>6`<,=n1j<5ff883>!75k3l276sm1d794?7=83:p(<;7):::2d8 1b=ml1bj44?:%31g?`>32wi=h950;394?6|,88h6k74H02`?M273->>6>h4$5f9af=nn00;6)?=c;d:?>{e9l31<7?50;2x 44d2o30D<>l;I63?!222:l0(9j5ee9jb<<72-;9o7h6;:a654=83;1<7>t$00`>c?<@8:h7E:?;%66>6`<,=n1i>5ff883>!75k3l276sm21294?7=83:p(<;7):::2d8 1b=m;1bj44?:%31g?`>32wi=k>50;394?6|,88h6k74H02`?M273->>6i64$5f9a1=hn00;6)?=c;d:?>{e9o81<7?50;2x 44d2o30D<>l;I63?!222m20(9j5e49lb<<72-;9o7h6;:a5`c=83;1<7>t$00`>c?<@8:h7E:?;%66>a><,=n1i;5`f883>!75k3l276sm25794?7=83:p(<;7):::e:8 1b=m81dj44?:%31g?`>32wi>9j50;394?6|,88h6k74H02`?M273->>6i64$5f9`a=hn00;6)?=c;d:?>{e:?21<7?50;2x 44d2o30D<>l;I63?!222m20(9j5e69lb<<72-;9o7h6;:a626=83;1<7>t$00`>c?<@8:h7E:?;%66>a><,=n1il5`f883>!75k3l276sm25094?7=83:p(<;7):::e:8 1b=m11dj44?:%31g?`>32wi>=j50;394?6|,88h6k74H02`?M273->o6im4+g;94?"6:j0m565rb325>5<6290;w)?=c;d:?M77k2B?<6*;d;g:?.`>290/=?m5f898yg47?3:1=7>50z&26f5$00`>c?<3th95}#9;i1j45G11a8L16<,=n1ho5$f883>!75k3l276sm21:94?7=83:p(<;7):k:g58/c?=83.:>n4i9:9~f762290:6=4?{%31g?`>3A;;o6F;0:&7`?c73"l26=4+13a9b<=51;294~"6:j0m56F>0b9K05=#4<729q/=?m5f89K55e<@=:0(9j5d`9(b<<72-;9o7h6;:p653=839pR?>:;<03a?25348;97h6;|q143<72:qU>=84=32e>14<5;:=6k74}r033?6=;rT9<:52202907=::9=1j45rs32;>5<4sW8;463=10876>;5810m56s|21;94?5|V;:270<>2;61?84713l27p}=0`83>6}Y:9k01??<:508976f2o30q~<:5439>65d=n01v?>k:180[47l279=84;2:?14a:2;8yv7a;3:1>v3>ec820>;6n:0856s|27f94?4|5;8m6>o4=34g>a753z?103<4j27:io4lc:?10d8g80e>;5=908563:a;1b?83e2:k01<;i:2c8975d2:301?=7:2;894`a2m;0q~?ia;297~X6nh16=kl5d09>5cg=n01v?:8:181843>3n:70<;7;1:?xu5u225497f=:9lh1oi5225a9`4=z{;;<6=4:{<02b?5>34;3i7=6;<357?5f3488j7=m;<023?b63ty98h4?:3y>5`d=kl16>9k5389~w4`c2908w0<?0;6;u225197d=::?<1jh521e:97g=::;o1?4528180e>;5>00856s|17g94?4|5;;i6?;4=879`4=z{m:1<7?7{<0;`?7b348:n7?j;<02g?7b3482<7?j;<0;f?7b348287?j;<014?7b348:h7?j;<0;e?7b3482=7?j;<072?5f3483i7?j;<051?7b348=:7?j;<010?7b3489?7?j;<0:6?7b3482?7?j;<3e34n;6i?4}r016?6=;r7:io4=0:?117<4j279>?4k1:p63d=839p1:2;897542:301?8m:e38yv41m3:1?vP=6d9>63`=l816>;k5f89~w7512909w0<<6;df?84093927p}>e783>7}:9lh1j63>e78g5>{t9l21<747<58o36i?4}r3fe?6=:r7:io4>3:?2ad9:50;0x97242m;01?:;:2;8yv4483:1>v3=6g80=>;5;90mi6s|26194?5|5;=h6?;4=35a>cc<58n86>o4}r014?6==r79>=4ie:?2g5<4j27=o7=n;<066?5f348>?7=6;|q1<3<72:q6>5k5fd9>e=<4i27:8>4;6n>0o=63>a380=>;5==0856s|22`94?4|5;9=6kj4=31g>a753z?1<4<5=2794=4ie:?2=`<4i2wx>;?50;:x941c2:k01<=k:2c894522:k01<=8:2c8945>2:k01<=m:2c897062oo01>75389~w743290?w0<=4;df?87>j39i70<<2;1a?81e2:k0q~<;f;295d}::<5224g964=::<5220`964=::8i1><52286964=::;:1><5220f964=::<:1h<52277964=::?<1ji52236964=::;91><52272964=::<52293964=::1:1><5226d964=::>o1><5226f964=::>i1><5226`964=::>k1><522229ba=:9o21><521g;964=z{8l36=4;{<`e>7><58l36kk4=0g2>6g<5?81?l5rs3;1>5<4s482>7hj;<050?5f34;m:7=6;|q114<728;p1?;l:0g8973b28o01?;i:0g897302m;01?8>:0g8970728o01?;k:0g897>628o01?6?:0g8971a28o01?9j:0g8971c28o01?9l:0g8971e28o01?9n:0g8975728o01?=9:0g8yv45l3:1>v3=2g8g5>;5?h0996s|28694?2|583:6>74=3;7>cc<58h26>l4=6397d=z{8li6=4m{<0;`?4634;997=:;<0:4?463483n7<>;<0;e?463482=7<>;<3ef?5>3483i7<>;<0:7?423483o7<>;<0;b?463ty9;94?:2y>62b=:<16>:m5fd9>5f1=;h1v<=n:181842n38>70?u220`9b`=:9mo1?o529580e>{t:;31<7a7<5;;96>74}r3:6?6=:r7ii7??1:?6f?5d3ty95>4?:2y>6<5=nl16=4:53c9>664=;h1v??6:180846k3ln70?k1;1a?8>a2:k0q~?<3;296~;5=j09963>348g5>{t9:21<773<58926i?4}r02a?6=kr79=o4>f:?15f<6n279594>f:?165<6n279=i4>f:?15cf:?123<6n279>94>f:?166<6n27:j54>f:?2b<<6n2wx>:750;0x97>62oo01<78:2c8yv7003:1>v3=90811>;>i3n:7p}>6g83>7}::8i1>852918g5>{t::o1<7?>{<3gg?5f34;hi7=n;<3`6?5f34;ii7=m;<3bb?5f34;j47=m;<3b4?5e34;257=m;<075?b6348:97<6;<03b?4>348:<7<6;<020?4>348:=7<6;<03a?4>348:>7<6;<027?4>3ty9>>4?:5y>675=nl16=o:53`9>534=;016;;41?4521b797d=:9kh1?4521`c97d=:9k:1?4521c097<=:9k?1?l521`697<=:90n1?45218497<=:91?1?45219097<=::9>1h<5rs042>5<5s4;=97j>;<0:6?7a3ty9484?:2y>6=b=nl16mi4>?50;0x97522m;01v3>3c80f>;2:3;;=6s|12a94??|589o6i?4=3:2>4`<5;2;64`<5;=n64`<5;=h64`<5;=j6>0;6?u2698g5>;6n00996s|c183>7}:jo0:<<52bd813>{t9?n1<785rs04;>5<5s489<7<:;<4g>a754z?12087j>;<71>7152z?1=1<5=27<>7j>;|q22d<72;q6;:4k1:?166<5=2wx>?850;0x94eb2m;01?>i:2;8yv71j3:1>v38c;f2?845<38>7p}=7483>6}::>o1>85226f9b`=:9ki1?l5rs05f>5<2s4;;<:0>6g<51>1?4527d80e>;0n3927p}>7883>7}:9>k1h<52272960=z{8=i6=4;{<34`?b634?j6>l4=4`97g=:9=81?45rs0:3>5<6;r7::844>f:?a4?5f34h:6>74=``97d=:ij08563n6;1b?8g02:301l?53`9>e7<41272o7=n;<;g>6?<5k?1?l52b780=>;ei39j70lm:2;89<1=;h16554<9:p6=1=839p1?6i:gg89d5=;h16=9;53`9~w4102909w0<60;06?8?a2m;0q~?74;29`~;60?0o=6389;1b?81f2:301::53`9>30<4127=j7=n;<53>6?<5?k1?l526c80=>;1=39j7089:2;8936=;h16:<4<9:p673=838p1{t91=1<79t=0::>a7<5081?l529280=>;?l39j706j:2;89=>=;h16444<9:p624=839p1?9m:378971f2oo01v3:0;335>;6;>08n6s|12494?4|589<6i?4=37g>7352z?2=4=l81v?<8:18187d:3n:70<>0;1:?xu5>;0;6?u2273960=::?>1h<5rs37b>5i7hj;<34e?5d34?:6?64=4697d=:9<=1?45215297<=:9=i1?l5215g97d=z{8=:6=4={<0;e?4234ho6i?4}r76>5<4s4?:6?94=4697g=:=:0o=6s|c883>7}:9;<1h452280960=z{82o6=46{<0;`?7a34;3j7j>;<0:4?7a3483n7?i;<0;e?7a3482=7?i;<0;a?7a3483o7?i;<0;b?7a3ty9954?:6y>60e=nl169=4=7:?23d<4i27:?i4l4=017>6?53z?17=n;<361?5e3ty9;:4?:2y>6=6=:<16>:h5fd9>5d0=;h1v??n:180846l3ln70?l5;1a?8>f2:k0q~?83;296~;e;3n:70<7c;06?xu5=k0;6ou224d9b`=:9>k1?i525381<>;6;o08m63>4`80=>;6=<08m63>5780=>;6=108m63>5880=>;6=h08m63>5c80=>{t:181<7=t=3:b>cc<589m6>l4=ca97d=z{;236=4<{<0:4?`b343n6>o4=067>6g52z?2f`6=`=:<1v?99:180840n38>70<8e;df?87e>39j7p}=2b83>7}:9031h<5220797<=z{8=;6=4={<02`?42342i6i?4}r341?6=:r7j57j>;<0;a?423ty94>4?:2y>6=d=nl16=8653c9>f2<4i2wx>5750;1x97?62oo01<:9:2c8938:70<6g<58=m6>o4=0g3>6?<58n26>o4=0a`>6g<58im6>74=0f1>6?<58i;6>o4=0a0>6?<58i=6>74=0`:>6g<58hj6>74=0`0>6?<58kh6>o4=0c0>6?<58k>6>o4=0;a>6g<583h6>74=0;7>6g<583>6>74=0:;>6?<582:6>74=320>a752z?1;|q2753c9>14<6881v?4;1:?xu5=00;6;u25181<>;6?h08n63=5e8ea>;2;39j70?;1;1:?872:39j7p}>d683>7}:9m21h<521d197d=z{8n36=4={<3g`?b634;n=7=m;|q2`a<72;q6=ik5d09>5`2=;h1v5<5s4;n=7j>;<3f7?5e3ty:i<4?:3y>5`4=l816=h:53c9~w4c52909w0?j3;f2?87c139i7p}>e283>7}:9l>1h<521ec97d=z{8o?6=4={<3g=?b634;on7=n;|q2`<<72;q6=io5d09>5ad=;k1v5<5s4;hj7j>;<3g7?5e3ty:ok4?:3y>5a6=l816=i853c9~w4b72909w0?k1;f2?87c<39j7p}>d083>7}:9m81h<521e697g=z{8n96=4={<3g7?b634;o97=m;|q2`6<72;q6=i:5d09>5fb=;k1v5<5s4;hi7=m;<3``?b63ty:nk4?:3y>5f6=l816=n753`9~w4e72909w0?l3;f2?87d?39i7p}>c283>7}:9j>1h<521bc97g=z{8i?6=4={<3`1?b634;h47=n;|q2g0<72;q6=n85d09>5f>=;k1v0;6?u21b:9`4=:9j;1?o5rs0a;>5<5s4;h57j>;<3`e?5f3ty:o44?:3y>5fg=l816=n?53`9~w4ef2909w0?l2;1a?87d93n:7p}>b983>7}:9k31h<521cf97d=z{8h26=4={<3ae?b634;io7=m;|q2fd<72;q6=ok53b9>5gd=l81v5<5s4;jm7j>;<3a3?5f3ty:ml4?:3y>5g6=l816=o:53c9~w4d72909w0?m1;f2?87e=39i7p}>b083>7}:9k81h<521c497g=z{8h96=4={<3a7?b634;jh7=m;|q2f6<72;q6=o:5d09>5g1=;k1v5<5s4;i;7j>;<3bg?5e3ty:n:4?:3y>5dd=l816=lj53`9~w4ge2909w0?nc;f2?87fm39j7p}>ab83>7}:9hn1h<521`g97g=z{8ko6=4={<3bb?5e34;ji7j>;|q2e4<72;q6=l<5d09>5d3=;k1v1h<5rs0c7>5<5s4;j97j>;<3b3?5f3ty:m84?:3y>5d0=l816=l953c9~w4g12909w0?n8;1b?87f?3n:7p}>9`83>7}:90h1h<5218d97d=z{83i6=4={<3:g?b634;2i7=m;|q2=f<72;q6=l>53b9>5m3n:70?6f;1a?xu61l0;6?u21`297d=:90l1h<5rs0;0>5<5s4;287j>;<3:5<3=l816=4953c9~w4?22909w0?69;1`?87>>3n:7p}>9783>7}:90=1h<5218:97g=z{83<6=4={<3:=?5f34;247j>;|q2<`<72;q6=5h53c9>5=c=l81v<8=:181871:3n:70?93;1a?xu6>:0;6?u21719`4=:9?>1?45rs047>5<5s4;=97=m;<350?b63ty>57>52z?6e?b634?h6>74}r7b>5<5s4?n6>l4=4`9`4=z{7}:=l08m63:d;f2?xufn3:1>v3m3;1b?8d72m;0q~l?:1818d62m;01o<53c9~wg7=838p1o=53c9>f7e`<4i27jn7j>;|qbf?6=:r7jo7j>;6d6d<5hn1h<5rs`794?4|5h31?l52a78g5>{ti?0;6?u2a68g5>;f039i7p}n7;296~;f139i70o7:e38yvg72909w0o;:2c89d7=l81vl?50;0x9d4=l816m>452z?:b?5f343h6i?4}r;`>5<5s43o6i?4=8g97g=z{0n1<77}:1<08m6362;f2?xu>:3:1>v363;f2?8?32:h0q~7<:1818?22:h014:5d09~w=e=838p14>53`9><`a752z?27c4980e>{t9<<1<7a7<58>?6>l4}r363?6=:r7:9:4k1:?20a<4j2wx=8650;0x943?2m;01<:6:2c8yv7213:1>v3>588g5>;6<<08n6s|14c94?4|58?j6i?4=06a>6gn7>52z?21g5280f>{t9=81<76e<58>96i?4}r377?6=:r7:8>4k1:?202<4j2wx=9:50;0x94232m;01<:7:2`8yv73=3:1>v3>448g5>;6<008n6s|15494?4|58>=6i?4=06a>6d52z?202=838p1<:7:e38942c2:k0q~?;9;296~;6<00o=63>4d80f>{t9=h1<7a7<58>m6>o4}r37g?6=:r7:8n4k1:?215<4i2wx=9j50;0x942c2m;01<;?:2`8yv73m3:1>v3>4d8g5>;6=808m6s|15d94?4|58>m6i?4=072>6d<7>52z?215:e3894342:k0q~?:2;296~;6==08n63>538g5>{t9<91<76g<58?86i?4}r3;12:h01<6::e38yv7?93:1>v3>8280e>;6080o=6s|19094?4|58286>l4=0:1>a752z?23a<4j27:;n4k1:p50c=838p1<;j:e38943a2:h0q~?:f;296~;6>908563>5g8g5>{tj=0;6?u2b980e>;e=3n:7p}m5;296~;e>3n:70l8:2`8yvd12909w0l7:2`89g1=l81v<=;:181874=39i70?<4;f2?xu5;;0;6?u222797d=:::81h<5rs310>5<5s488?7j>;<000?5e3ty9?94?:3y>663=;k16>>:5d09~w74b2909w0<=f;1a?845m3n:7p}=3g83>7}::=;1?l5222d9`4=z{;>;6=4={<075?5e348?<7j>;|q2b0<72;q6=k953`9>5c3=l81vv3md;1b?8df2m;0q~ln:1818de2m;01om53c9~wgd=838p1oj53c9>ff<3<4i273?7j>;|q;7?6=:r7387j>;<:6>6d6d<51?1h<5rs6f94?4|51;1?l527d8g5>{t?l0;6?u27g8g5>;?839i7p}8f;296~;?939i706?:e38yv1?2909w09l:2c892?=l81v:750;0x92g=l816;o452z?43?5f34=?6i?4}r57>5<5s4=>6i?4=6497g=z{>?1<77}:?;08m639f;f2?xu1n3:1>v380;f2?8162:h0q~9?:1818152:h01:?5d09~w3?=838p1;j53`9>2d2ga76g<5??1h<5rs7794?4|5?<1h<526680f>{t>?0;6?u26980f>;1?3n:7p}:f;296~;1;39j708?:e38yv072909w08>:e38934=;k1v;?50;0x935=;k16:?4k1:p<2<72;q64o452z?;=?b6342j6>l4}r::>5<5s42i6>l4=9c9`4=z{;?96=4={<066?b6348>:7=n;|q116<72;q6>8=5d09>603=;k1v?;;:181842?39i70<:4;f2?xu5=<0;6?u22479`4=::<<1?o5rs375>5<5s48>;7=n;<062?b63ty2:7>52z?:e?5f343<6i?4}r;4>5<5s4336i?4=8;97g=z{021<7l4=31`>a752z?17d<4i279?54k1:p66?=838p1?=n:2`8975>2m;0q~<93;296~;5>=08n63=628g5>{t:?i1<7=t^34`?841l39270<9c;d:?xu5<00;6>uQ25;8972f2:301?:6:g;8yv7am3:1?vP>fd9>5c`=;016=kk5f89~w72e2908wS<;b:?10f<412798o4i9:p640=839pR??9;<023?5>348::7h6;|q2bf<72:qU=km4=0dg>6?<58lh6k74}rae>5<4sWim70j?:2;89f`=n01v?<>:180[459279>?4<9:?164;o50;1xZ70f348=n7=6;<05e?`>3ty:i84?:2y]5`3<58o=6>74=0g6>c?53z\2a2=:9l21?4521d59b<=z{8o26=4<{_3f=>;6mh08563>e88e=>{t:981<7=t^321?847<39270uQ212897642:301?>?:g;8yve6290>w03`<4j27=<7=m;|q`6?6=6>l4=7797g=z{j91<7:t=333>a7<5k:1?o526`80f>;?039i7p}l4;297~;5980o=63nb;1a?80a2:h0q~m::187846:3n:70o9:2`89=5=;k16;94<=5d09>e4<4j2wxo:4?:2y>642=l8165n452z?1504=0d2>a7<58l;6k74}r3e6?6=;rT:j?521g19`4=:9o81j45rs0gf>5<4sW;ni63>eg8g5>;6ml0m56s|25794?5|V;>>70<;7;f2?843=3l27p}=4e83>6}Y:=n01?:j:e38972c2o30q~<98;297~X5>116>;75d09>63>=n01v?9?:180[408279;<4k1:?1359<50;1xZ725348?87j>;<076?`>3twe551zJ24f=zf0;?6=4={I33g>{i18?1<79?0;6vF>0b9Jb<<6s:0vqc7>8;295~N68j1vb4?6:181M77k2we551zJ24f=zf0;o6=4={I33g>{i18o1<79o0;6<8tH02`?xh>:90;68uG11a8yk?593:1=vF>0b9~j<452909wE??c:Ke=?7|;3wQ?94>{5f9yxh>::0;6?uG11a8yk?5<3:1>vF>0b9~j<422909wE??c:m=70=838pD<>l;|l:62<728qC==m4}o;15<4sA;;o6sa93c94?4|@8:h7p`62c83>7}O99i0qc7=c;290~N68j1vb4k4?:2yK55e53zJ24f=zf09:6=4<{I33g>{i1:81<7;:0;6?>tH02`?xh>;=0;6>uG11a8yk?4=3:1>vF>0b9~j<51290:4vF>0b9~j<502909wE??c:m=6>=838pD<>l;|l:7<<72kqC==m4}o;0e?6=;rB:5<4sA;;o6sa92a94?4|@8:h7p`63d83>7}O99i0qc75czJ24f=zf0>?6=4={I33g>{i1=?1<7vF>0b9~j<2>2909wE??c:m=1g=83;pD<>l;|l:0g<72;qC==m4}o;7g?6=:rB:5<5sA;;o6sa95g94?4|@8:h7p`64g83><}O99i0qc7:0;297~N68j1vb4;>:181M77k2we58<50;0xL46d3td29>4?:3yK55e87>52zJ24f=zf0?>6=4<{I33g>{i1<<1<7=>0;6?uG11a8yk?203:1>vF>0b9~j<3>2909wE??c:m=0g=838pD<>l;|l:1g<72;qC==m4}o;6`?6=:rB:5<4sA;;o6sa94d94?7|@8:h7p`66183>6}O99i0qc791;295~N68j1vb48=:181M77k2we5;=50;0xL46d3td2:94?:3yK55e51zJ24f=zf0<36=4>{I33g>{i1?31<7=tH02`?xh>>h0;6?uG11a8yk?1j3:1?vF>0b9~j<0d2909wE??c:m=3b=838pD<>l;|l:2c<72:qC==m4}o;44?6=5<5sA;;o6sa96094?4|@8:h7p`67283>7}O99i0qc784;29=~N68j1vb49::186M77k2we5:850;0xL46d3td2;:4?:2yK55e52zJ24f=zf0=26=4={I33g>{i1>k1<7:tH02`?xh>?k0;6?uG11a8yk?0k3:1>vF>0b9~j<1b2909wE??c:m=2`=839pD<>l;|l:<4<72;qC==m4}o;;6?6=:rB:5<5sA;;o6sa99694?4|@8:h7p`68483>7}O99i0qc776;295~N68j1vb468:181M77k2we55650;0xL46d3td24l4?:5yK55e51zJ24f=zf02h6=4>{I33g>{i11n1<7?tH02`?xh>0l0;60b9~jl;|l:=7<728qC==m4}o;:7?6=9rB:5<6sA;;o6sa98794?7|@8:h7p`69783>4}O99i0qc767;295~N68j1vb477:182M77k2we54750;3xL46d3td25l4?:0yK55e51zJ24f=zf03h6=4>{I33g>{i10n1<7?tH02`?xh>1l0;6n3:1=vF>0b9~jl;|l:e7<728qC==m4}o;b7?6=9rB:5<6sA;;o6sa9`794?7|@8:h7p`6a783>4}O99i0qc7n7;295~N68j1vb4o7:182M77k2we5l750;3xL46d3td2ml4?:0yK55e51zJ24f=zf0kh6=4>{I33g>{i1hn1<7?tH02`?xh>il0;60b9~jl;|l:f7<728qC==m4}o;a7?6=9rB:5<6sA;;o6sa9c794?7|@8:h7p`6b783>4}O99i0qc7m7;295~N68j1vb4l7:182M77k2we5o750;3xL46d3td2nl4?:0yK55e51zJ24f=zf0hh6=4>{I33g>{i1kn1<7?tH02`?xh>jl0;60b9~jl;|l:g7<728qC==m4}o;`7?6=9rB:5<6sA;;o6sa9b794?7|@8:h7p`6c783>4}O99i0qc7l7;295~N68j1vb4m7:182M77k2we5n750;3xL46d3td2ol4?:0yK55e51zJ24f=zf0ih6=4>{I33g>{i1jn1<7?tH02`?xh>kl0;60b9~jl;|l:`7<728qC==m4}o;g7?6=9rB:5<6sA;;o6sa9e794?7|@8:h7p`6d783>4}O99i0qc7k7;295~N68j1vb4j7:182M77k2we5i750;3xL46d3td2hl4?:0yK55e51zJ24f=zf0nh6=4>{I33g>{i1mn1<7?tH02`?xh>ll0;60b9~jl;|l:a7<728qC==m4}o;f7?6=9rB:5<6sA;;o6sa9d794?7|@8:h7p`6e783>4}O99i0qc7j7;295~N68j1vb4k7:182M77k2we5h750;3xL46d3td2il4?:0yK55e51zJ24f=zf0oh6=4>{I33g>{i1ln1<7?tH02`?xh>ml0;60b9~j<`7290:wE??c:m=c7=83;pD<>l;|l:b7<728qC==m4}o;e7?6=9rB:5<6sA;;o6sa9g794?7|@8:h7p`6f783>4}O99i0qc7i7;295~N68j1vb4h7:182M77k2we5k750;3xL46d3td2jl4?:0yK55e51zJ24f=zf0lh6=4>{I33g>{i1on1<7?tH02`?xh>nl0;60b9~jd67290:wE??c:me57=83;pD<>l;|lb47<728qC==m4}oc37?6=9rB:5<6sA;;o6saa1794?7|@8:h7p`n0783>4}O99i0qco?7;295~N68j1vbl>7:182M77k2wem=750;3xL46d3tdj51zJ24f=zfh:h6=4>{I33g>{ii9n1<7?tH02`?xhf8l0;60b9~jd77290:wE??c:me47=83;pD<>l;|lb57<728qC==m4}oc27?6=9rB:5<6sA;;o6saa0794?7|@8:h7p`n1783>4}O99i0qco>7;295~N68j1vbl?7:182M77k2wem<750;3xL46d3tdj=l4?:0yK55e51zJ24f=zfh;h6=4>{I33g>{ii8n1<7?tH02`?xhf9l0;60b9~jd47290:wE??c:me77=83;pD<>l;|lb67<728qC==m4}oc17?6=9rB:5<6sA;;o6saa3794?7|@8:h7p`n2783>4}O99i0qco=7;295~N68j1vbl<7:182M77k2wem?750;3xL46d3tdj>l4?:0yK55e51zJ24f=zfh8h6=4>{I33g>{ii;n1<7?tH02`?xhf:l0;60b9~jd57290:wE??c:me67=83;pD<>l;|lb77<728qC==m4}oc07?6=9rB:5<6sA;;o6saa2794?7|@8:h7p`n3783>4}O99i0qco<7;295~N68j1vbl=7:182M77k2wem>750;3xL46d3tdj?l4?:0yK55e51zJ24f=zfh9h6=4>{I33g>{ii:n1<7?tH02`?xhf;l0;60b9~jd27290:wE??c:me17=83;pD<>l;|lb07<728qC==m4}oc77?6=9rB:1=v:k:|me12=83;pD<>l;Hd:>4}62tP887?t4e8~ykg3=3:1=vF>0b9Jb<<6s80vV>:51z6g>x{ii=<1<7?tH02`?L`>28q:6pT<4;3x0a51zJ24f=Nn00:w<4rZ2695~2c2twem9650;3xL46d3@l26:|X00?7|{08~^62=9r>o6psaa5c94?7|@8:h7Dh6:0y2>x\4<3;p8i4r}oc7f?6=9rB:1=v:k:|me1e=83;pD<>l;Hd:>4}62tP887?t4e8~ykg3l3:1=vF>0b9Jb<<6s80vV>:51z6g>x{ii=o1<7?tH02`?L`>28q:6pT<4;3x0a51zJ24f=Nn00:w<4rZ2695~2c2twem8>50;3xL46d3@l26:|X00?7|97>52zJ24f=zfh?=6=4={I33g>{ii<=1<70b9Jb<<6s;0vV>:51z6g>x{ii28q96pT<4;3x0an7>51zJ24f=Nn00:w?4rZ2695~2c2twem8m50;3xL46d3@l26{38~^62=9r>o6psaa4g94?7|@8:h7Dh6:0y1>x\4<3;p8i4r}oc6b?6=9rB:1=v:k:|me36=83;pD<>l;Hd:>4}52tP887?t4e8~yk52k3:1>vF>0b9~j63c290?wE??c:m70c=838pD<>l;|l01c<72;qC==m4}o154?6=9rB:5<6sA;;o6sa32;94?5|@8:h7p`<3`83>4}O99i0qc=<2;297~N68j1vb;m50;0xyk03290:wp`7d;296~{i0<0;6{|lg`?6=9rwej?4?:0y~j46>290:wp`>2983>4}zf82;6=4>{|l2f5<728qvb5<6std9=>4?:0y~j<772908wDh6:8y0>6<42:086>4<:282>xN68j1Q?94>{5f9yxh>090;6>uG11a8yk?3?3:1==3:1=vsa2`f94?7|ug8h?7>51zm6a1=83;pqcl3:1>vsa38794?7|ug9ii7>52zm7g0=83;pqc=k4;295~{i;l31<7?t}o1ea?6=9rwe8<=50;3xyk2503:1=vsa42f94?7|ug>>>7>51zm031=83;pqc:79;296~{i<>l1<7?t}o6:f?6=9rwe8o<50;3xyk2dk3:1=vsa4d294?7|ug>m97>51zm=5g=839pEk759z197?5=;391?7=53;39yM77k2P887?t4e8~yk?2k3:1?vF>0b9~j046290:wp`60c83>6}Nn002w>4<:280>6<42:086<4rH02`?_5328q?h7srn84f>5<4sA;;o6sa91d94?5|Ao315v=53;197?5=;391?7?5}I33g>\4<3;p8i4r}o;;=?6=;rB:5<4s@l264u<:280>6<42:086>4>:|J24f=];=0:w9j5}|l:3a<72:qC==m4}o;3=?6=;rCm577t3;197?5=;391?7=51;K55e1=v:k:|m=30=839pD<>l;|l:4a<72:qBj446{280>6<42:086>4<:08~L46d3S9?60b9~j0b0290:wpsr}AB@gca21k<6;Fbpd:6=3:5=>5>6;KMTPR=Lh~j0<;50?386g=52Mkm1?50?32?77Qa012784<76m196R`?014?5?69l281Sc>?84>2>58d3;0h|xQn<083:3=5WG934<<42^L0<=YneyU9SC=780486ZH419;97?QA382\mhvX:VD85=?>;2:87?B;:3:5n6=5D`vb87<76l186D@_UU8G87<768;0?7GAPTV9@drf4;0;2k5<:NWWTPR=Y581<3?;;28LQQVR\3Xnxb{<383:==42X696=0k;28Qavsk|581<3j43;]m45554;0;2h5<:ekumviu4;0;2<5;8:69@95=87i087Jnt`C?7?69m2>1EC^ZT;F?7?699;1?6D@_UU8GeqgF4:0;2k5;:NWWTPR=Y591<3?;;58LQQVR\3Xnxb{<283:==32X686=0k;58Qavsk|591<3j44;]m45714:0;2i5;:^l3411;;3:5j6:5sikepfhs4:0;2<5:1:4a?311095>LHW]]0Omyo35;2=5>113>UE88<>2:5\J135W`g{S:QA44022>1XF=??=?58_O660ZojxV=TB9;;199;>A:0294:>665IOMVP?ew}Vn7;7>1e::9MKVR\3N7;7>1f::9KPRW]]0Z0:4?>068e9;>Zh78:>7;7>1c::9gusXl5=1<3h48;kmpZbh}}6<6=0>0::9wuoci}kbb1950?32?=59G84813M696=0;;E>1:3=C4:0;295K<2<7?A:36=1O080;;E>5:3=C4>0;295K<6<7?Agsi>1Omyo30?:8@drf48:546Jnt`>25;>Bf|h6:97>18:Fbpd:6=730Hlzn<083:2=Ci}k7=374D`vb87<76>1Omyo32?58@drf4:4<7Io{a=6==>Bf|h6>6=08;Ecwe939?2Njxl29>69Geqg;?7=0Hlzn<9<4?Agsi53596Jnt`C;?AgsiH6;255KaucB848?3MkmL2=>`9GeqgF4:0;255KaucB86863L80JI=4FEA7?CBDM=1MHN^<;GFS0>@CXL;0K95H.2:0?BHC92C97D>=;H31?L423@8U996G=7^16?L40W=?0E?9P549J62Y1=2C9;R9:;H0;[53389OTHYCNOU856B_M^FEBZ0f3EZFS@[W2^3g?IVJWD_S>R?P11f8HUKXE\R9S1e9OTHYJ]Q8T=R?=d:NSIZKRP;U:S<=k;MRN[HS_:V;T=9j4LQO\IP^5W8U:9i5CPL]NQ]4X9V;=h6B_M^OV\7Y6W8=o7A^B_LW[6Z7X91n0@]CPMTZ1[4Y61m1G\@QBUY0\5Z47l2F[ARCZX3]2[77c3EZFS@[W2^3\67eJWEVG^T?Q>_5a8HUKXE\R9Sk2F[ARCZX3]0[5gj2GfyuQPn1210g=Je|rTSc>?24`8IhsWVd;b:Onq}YXf9:8>o5Bmtz\[k67;=h0A`{w_bnhwwed3Drd~R\jstnw5c=JpfxT^h}zlu>2:4`?249M5563=2D:<=6:;O3355385A11371>H6882>7C??2178J465:<1E==<95:L247>23G;;?=;4N02060=I999?96@>0246?K77<9?0B<>;249M5523=2D:<96:;O33153>85A11751>H68<2>7C??6178J46?<<1E==675:L24<423G;;5;;4N03340=I98:=96@>1026?K769=?0B649M5447=2D:=?::;O32633H69:<>7C?>3978J4738<1E=<:;5:L251>23G;:9?;4N03600=I98<;96@>17:6?K76?;?0B85A10:71>H691<>7C?>8978J47>8<1E=<7=5:L265>23G;9=9;4N001<0=I9;9;96@>2206?K75;??0B<<<849M5727=2D:>9::;O31033H6:<>>7C?=5778J4418<1E=?8=5:L263223G;9:5;4N00440=I9;=996@>2646?K75?1?0B<<7049M57>3=2D:>58:;O31<=385A13;71>H6:0<>7C?<0178J457:<1E=>>;5:L275>23G;8==;4N01260=I9:;=96@>30:6?K74:9?0B<==449M5641=2D:??6:;O30053H6;<8>7C?<5578J4520<1E=>8?5:L273423G;8:;;4N015<0=I9:=;96@>3666?K74???0B<=8849M56>5=2D:?5::;O30<33H6;0>>7C?<9978J4278<1E=9>=5:L205023G;?<5;4N06241=I;1>?7C=7659M26533G33:95A99:7?K?>8=1E54<;;O;:01=I102?7Cm|209L7>IU::1D^>=4OS60?JT?92Z?7]O]T`9SMKYE]ZCOTo5_IO]AQVHFEL90\_K>;P68U969<2[7=384Q=094;2W;;7>0]1:14:S?1;2W;?790^YB9;Sgpqir?3[oxyaz>199Qavsk|;;<7_k|umv0<>Tb{|f?<74Rdqvhq:7601Yi~{ct=3=f>Tb{|f0?4?>89Qavsk|585n6\jstnw86<7601Yi~{ct=1==>Tb{|f0906;Sgpqir;=730^h}zlu>5:g=Umzgx1950?;8V`urd}6<2l5]erwopZojxk1XEJLZS^KMBJg<[@MTNX]FDY`8WLAXJ\YEM@K;;Raov6=Tme>0XT^J3:T@G<=QAL]TXT^J1e9[MIOIP$RON->!1!QWQG&7&8*J_NGF7:ZPPZAIL8o0T^ZPILROAHCI\H^BCCQLOOQWVDOI\Z;;7U][_SVO[UTHXLX_U:5WSU]UGF0^t|?1Tb=>?069\j5678820Sc>?0132e>Yi89:;Sdc139\j5678Vcf|RQa012353=Xf9:;=<<4_o2344YneyUTb=>?10;8[k678;6;2o5Pn123697=8730Sc>?05>3:g=Xf9:;81?50?;8[k678?6;2o5Pn123297=87;97R`?01;\mhvXWg:;<4?6;^l3440;87;37R`?004?4;YneyUTb=>>6=2=5<=Xf9:::1?1199\j566>5;5Sdc_^l3440;97;27R`?004?6;7?3Vd;<<832?]jiuYXf9:::1<11c9\j566>591<374_o2353:46820Sc>?17>0:ZojxVUe<=?9<2<22>Yi89;<=?5Pn1223ZojxVUe<=?8179\j566001Tb=>>8022<>Yi89;3=<74_o235=76911Tb=>>800;?Zh78829=55Pn122<67?3Vd;<<6;199\j5660<;37R`?00:55==Xf9::4:?7;^l344>?911Tb=>>883b?Zh7882Te`~9;^l344?13Vd;8;^l3476602Ue<=>c9\j564:581<374_o2377:5601Tb=><4=2==>Yi899?0<06;^l3462;:730Sc>?35>0:<=Xf9:881:19:]m45534<427R`?026?2;d7;384_o2372753Vd;<>9Pilr\[k67;>;=7R`?02:4?Zh78:2:46Qa011;54g169\j563<;20Sc>?4502e>Yi89>?Sdc6:]m4522?2Ue<=::199\j563=8;j7R`?057\mhv13Vd;<988;^l3410602Ue<=:910c8[k67;7=3==>Yi89><0?0m;^l3411;;3:556Qa016486813Vd;<967;^l341>6911Tb=>;8334?Zh78=2846Qa016;74g?48323>Yi89>2>55Pn127=77f3Vd;<97Pilr5?Zh78<:37R`?042252=Xf9:>Yi89?:;6Qa01725==Xf9:>=a:]m4535W`g{:6Qa01703>Yi89?8=55Pn126747f3Vd;<8=Pilr5?Zh78<><7R`?0462<>Yi89??=?5431?Zh78:7^knt3=Xf9:>4:5Pn126<4>a:]m453?W`g{:6Qa017:3>Yi89?2=55Pn126=47f3Vd;<87Pilr5?Zh78?:<7R`?0722<>Yi89<;=?7931?Zh78>2Te`~P_o233=713Vd;<5>>2:]m45>7W`g{SR`?09222>Yi8929=?5Pn12;6ZojxVUe<=6=179\j56?;880Sc>?82]jiuYXf9:3?<74_o23<0:76k1Tb=>75=394;0?8735?Zh7812:>6Qa01:;[lkwWVd;<56>6:]m45?09;1Tb=>67^kntZYi893<=55Pn133547?3Vd;==?=169\j5779:20Sc>>0012e>Yi88::Sdc8:]m44659820Sc>>03023>Yi88:9?55Pn133667f3Vd;==>02]jiu>8:]m4463:820Sc>>0512<>Yi88:?8<64_o224136?2Ue<<>;699\j577199\j577=;;<7R`?1170<>Yi88:>?>0632<>Yi88:<><64_o224256?2Ue<<>8499\j577?=;j7R`?115\mhv?3Vd;==7>199\j5771;;37R`?11;052=Xf9;;5964_o224<26i2Ue<<>6_hos<>Yi88;:=<64_o22544602Ue<30:8[k6698>:;6Qa00321==Xf9;:=8?n;^l3547Xadz>7ljkes18fvd3kyrSdc_cqz53=ddbyyo95lpic7?fvhd11h|xQAiss:?fvrWGzce?64cqw\Jwct02i{yRo30?c8gusXi5;1<364cqw\e97902i{yRj30?:8gusXl5;546mu^f?6;>ew}Vn7:3o4cqw\`91=8720o}{Pd=5=3>ew}Vxe{>5ls018`c`03mc}e~a}a:fjrluhz5:5m6jfvhqlv979k2nbzd}`r=094;gobzk1bbyQkotv?4;doi|Vndyy28:11b9jjqYjazeTe`~7;hlw[hita>1bbyQ`uu37?lhsWfSdc_hlw[jss911bbyQ|cmi4?luXzlko=95fs^pfeaYneyUbR|jae3f?ncbxVbbio}ci{0?icck2fnhRgbp^nf`45vhz}Uzn6~`ru]qavsk|k1{czPsdnfgq34rdqfpZojxVxnhz>8:pwhZr~xl20hbjcugg=>ubdliii?n;rgoafrbl8;h7~kcebvf`Zojx11xddh{cmi22>uoao~h`fQfmq]pll`skea:46}gigv`jqd<{acmxn`{<1uoao~bbj<;;rkdj1=tasoj7~bnvdkmp`ha3zfjzhgatdl\J6>?:=1x`lxjiovfjZH401Uba}Q|l`tfmkrbfVD845?i;rnbr`oi|ldTB>7?259phdpbag~nbR@<91]jiuYtdh|neczjn^L0=57?3zfjzh}jlg9pkptdmVlb`h`nmdf8wjsuklUgeckab99ptl028;h37~~f_Oqfh2=tx`Ubby64sqk\iluh02y{eRc`sh:8wuoX{jf`o6}iecwelh;87i0}gkaucjj979k2y{eio{ahl?6;e<{ycomyofn=1=g>uwamkmd`34?a8wuoci}kbb1;1c:qsmagsi`d7:3k4sqkgeqgnf5=1<3m4sqkgeqgnf5=5n6}iecwelhX8k1x|djnt`km[4d<{ycomyofn^0a?vvnlh~jecQuwamkmb{{d:qsmagsif0=0k;rrj`drfg|~7=3j4sqkgeqgh}}692i5|phfbpdir|595h6}iecwejss4=4o7~~fd`vbkpr;=7n0}gkauclqq:16o1x|djnt`mvp91=87n0}gkauclqq:06?1x|dgat008wuonf}Uba}Q|phkmp4c<{}kyxR}gigvjjb4<|j90x`a7;tlpmbhbl01}ma{j_bkm=>pfd|oTc}l:;vkgpm><=vc3:zlvxFGx0>27MNw2c:9B?4=9rY?m7?74;5`>454k>:j6?;l81ym5=4=92d:4>4=;%3;5?70k2wX844>8584g?74;j=;m7<:c928`7d?290:67>51;394~U3i3;3879l:010g26f2;?h4=5+16`9=7=e:k21<7?8:7:9>1ov=n:0`97f<4l38=6a<4j3836?95}[67>7}?2:91q)?89;0a<>J6?l09wE<7c:&217<5m;1v@<9i:0y'6g0=290/=8:527`8j4342;10'?8n:18'502=:?h0b<;<:098/70d290/=8:527`8j4342910c?;j:188k7d>2900c?ll:188k7dc2900c?6k:188f730290j6;4i{%34=?42?2c94l4?::k2`5<722c9nh4?::k2`0<722c99n4?::k2`<<722c9;<4?::k2g`<722c9n44?::m13<<722h99;4?:283>5}#9>31?h5f7g83>>o?83:17b?;c;29?xd5=<0;6>4?:1y'52?=;l1b;k4?::k;4?6=3f;?o7>5;|`114<72:0;6=u+16;97`=n?o0;66g70;29?j73k3:17pl=5383>6<729q/=:753d9j3c<722c3<7>5;n37g?6=3th99>4?:283>5}#9>31?h5f7g83>>o?83:17b?;c;29?xd5==0;684?:1y'52?=9=l0e:h50;9j<5<722c3=7>5;h:`>5<h6=44}r04=?6=:rT9;452244951e52z\15<5sW;o<63=5484b>{t:ko1<7d483>7}Y9m?01?;>:6d8yv42k3:1>vP=5b9>607=091v;<066?>73ty:oh4?:3y]5fc<5;?86:h4}r0a=?6=:rT9n4522419<5=z{8>>6=4={<061?73k2799948f:p510=838p1?;>:06`?842<32;7p}>4683>7}::<81=9m4=377>=752z?116<68:58b9~w42>2909w0<:6;:3?842<3;?o6srb373>5o50h0;66g>d183>>o5jo0;66g>d483>>o5=m0;66g>d883>>o5?;0;66a=7`83>>d54?:1y'52?=;l1b;k4?::k;4?6=3f;?o7>5;|`10`<72:0;6=u+16;97`=n?o0;66g70;29?j73k3:17pl=4c83>6<729q/=:753d9j3c<722c3<7>5;n37g?6=3th98n4?:283>5}#9>31?h5f7g83>>o?83:17b?;c;29?xd55;n37g?6=3ty9;l4?:3y]62g<5;>m6<:l;|q15o4=36e>2`52z\2`5=::=o1;k5rs3`e>5<5sW8ij63=4d8;4>{t9m?1<77}Y:vP>d89>61e=?o1v?9=:181[40:2798n470:p51g=838p1?:j:06`?843l3=m7p}l4;296~;542d348?h76>;|q`2?6=:r798k470:?10a<650;9j6f6=831b=i;50;9j623=831b=i750;9j622=831d>:l50;9a61?=8391<7>t$05:>6cl1<75f8183>>i69;50;194?6|,8=26>k4i6d94?=n090;66a>4b83>>{e:=<1<7=50;2x 41>2:o0e:h50;9j<5<722e:8n4?::a611=83>1<7>t$05:>42b3`=m6=44i9294?=n080;66a>4b83>>{t:>h1<7l0q~965819~w4b22909wS?k5:?100<0n2wx>:;50;0xZ712348?976?;|q2`<<72;qU=i74=365>2`52z\131=::=<14=5rsb594?4|5;>36<:l;<073?1a3tyh47>52z?100<6995819~wf?=838p1?:9:06`?843?32:7p}la;296~;5<003<63=46820f=zuk8?87>56;69=~"6?009895f29c94?=n9m:1<75f2b394?=n9m?1<75f2ef94?=h:>i1<75m25194?5=83:p(<96:2g8m2`=831b4=4?::m20f<722wi>9<50;194?6|,8=26>k4i6d94?=n090;66a>4b83>>{e:=:1<7=50;2x 41>2:o0e:h50;9j<5<722e:8n4?::a617=8391<7>t$05:>42c3`=m6=44i9294?=h9=i1<75rs35`>5<5sW8;5<:09>57g9~w7bc2909wS614=9=i01?:>:6d8yved2909w0<;0;37g>;5<803<6s|ce83>7}::=914=52253951e46|,8=26?=i;h0;e?6=3`;o<7>5;h0`6?6=3`;o97>5;h043?6=3`;o57>5;h0ae?6=3`;hi7>5;h0a4?6=3f85;c00a?6=;3:17880a>o0n3:17d6?:188k42d2900qo<5<53;294~"6?008i6g8f;29?l>72900c<:l:188yg4413:1>7>50z&23<<>92c5;n37g?6=3th9?l4?:283>5}#9>31?h5f7g83>>o?83:17b?;c;29?xd5;k0;6>4?:1y'52?=;l1b;k4?::k;4?6=3f;?o7>5;|`17f<72<0;6=u+16;951`l1<75f8183>>o?93:17d6l:188k42d2900q~<8d;296~X5?m16>>k515a8yv4?i3:1>vP=8`9>66c=?o1v73ty:h84?:3y]5a3<5;936:h4}r043?6=:rT9;:5222:9<5=z{8n26=4={_3g=>;5;h0l0q~>l5819~wfc=838p1?=k:06`?844k3=m7p}lf;296~;5;10:8n5222a9<5=z{m:1<742d3488m76?;|qg5?6=:r79?l4>4b9>66e=081vi<50;0x975e28>h70<v3=3d8;4>;5;j0:8n5r}c003?6=>3>15v*>788172=n:1k1<75f1e294?=n:j91<75f1e794?=n:<31<75`26g94?=e::<1<7=50;2x 41>2:o0e:h50;9j<5<722e:8n4?::a663=8391<7>t$05:>6cl1<75f8183>>i6>:50;194?6|,8=26<:k;h5e>5<;5;?0:8n5rs3:b>5<5sW83m63=3784b>{t9m:1<77}Y:j901?=::928yv7c=3:1>vP>d49>665=?o1v?;6:181[421279?>470:p`1<72;q6>>;515a897532>l0q~j::181844;3;?o63=358;4>{tl?0;6?u22249<5=:::>1=9m4}|`177<72?0?64u+16;96645<5<5<5<4290;w)?89;1f?l1a2900e5>50;9l51e=831vn?5<7s-;<57?;d:k4b?6=3`2;6=44o06`>5<52z\13c=:::;1=9m4}r0;e?6=:rT94l5222393c=z{8n;6=4={_3g4>;5;90l0q~<88;296~X5?116>?k5819~wa1=838p1?=?:06`?845n3=m7p}k8;296~;5:l0:8n5223d9<5=z{m31<7=6<5;8m6<:l;|a67b=83<1877t$05:>74c3`83m7>5;h3g4?6=3`8h:7>5;h3g1?6=3`8oo7>5;n0;5?6=3k89o7>53;294~"6?008i6g8f;29?l>72900c<:l:188yg45j3:1?7>50z&23<<4m2c5;h:3>5<h6=44}c01=?6=;3:17880a>o0n3:17d6?:188k42d2900qo<=a;297?6=8r.:;44>4e9j3c<722c3<7>5;n37g?6=3ty94<4?:3y]6=7<5;8h6<:l;|q15o4=30`>2`52z\2`5=::;h1;k5rs3a5>5<5sW8h:63=2c8;4>{t9m?1<77}Y:mi01?<6:928yvbf2909w0<=b;37g>;5:h07}::;31=9m4=30b>=673489m7?;c:~f74?29096=4?{%34=??63`=n6=44o06`>5<52;294~"6?002=6g8e;29?j73k3:17pll3;290?4==rP:;94={8820?{]<=0:w<85}%34=?d73E;"5110>56*=9c8226=i:hl1?6`=e082?k4e?3in7)4>;:k6e?6=,8??6;:4n070>7=7g86!4>n38n?6*=9986=>"51k0::>5a2`d96>h5m80:7pg:a;29 4332?80b<;<:098m35=83.:99492:l216<732e::>4?::ag7<72:0;6=uU458223-82n7?93:l1ec<53g8n=7?4}h7b>5<#9<>1:?5a14195>=n>:0;6)?:4;41?k72;3:07b?93;29?xu6>:0;6?uQ17189gc=9?90q~?7c;296~;em3?j70m=:040?!70>3;3h6`>7482?xu1=3:1>vP95:?`6?3f3-;<:78;;o341?65+164921=i9>?1>6srbc394?>=>3kpV<9;:5y2b?d=:<0j6pT;4;0x64<5;3w/=:75929O52c=9rB94n5rL05e>=}i:k=1m=5+28d960><,;326;k4$3g3>7=#:0h1=;=4$3fe>4=#:ho1>ik4$3`5>10!72<3=97c?:3;38?l17290/=8:5739m505=:21b:k4?:%360?153g;>?7=4;n35`?6=,8??6<8l;o367?6<3f;=n7>5$077>40d3g;>?7?4;n35=?6=,8??6<8l;o367?4<3f;=47>5$077>40d3g;>?7=4;c3:6?6=:3:1788:5>o0m3:17b?;c;29?xd60k0;6>4?:1y'52?=;l1b;k4?::k;4?6=3f;?o7>5;|`2<<<72:0;6=u+16;97`=n?o0;66g70;29?j73k3:17pll1;297?6=8rP?87?t7;'52?=:l90@<9i:4y'6<`=:l90(?77:4;8 7?e28<87c4>;:k57?6=,8??6;<4n070>5=5<4290;wW:;:0y4>x"6?009i>5C16d91~"51o09i>5+28:91<=#:0h1=;=4n3ce>7=i:l;1=6sf5`83>!72<3<97c?:3;38?l04290/=8:5639m505=821d=;=50;9~fg`=8391<7>tZ5695~1=u-;<57629m6d`=:2d9i<4>;|k6e?6=,8??6;<4n070>4=55856>h6=:0;76a>6283>>{t?80;6>uQ709>5=d=?o16o=493:&233<0:2d:;84>;|q2=5<72;q6=5l515a89f7==h1/=:851838j412281v<86:181[71127ij7?93:&233<6>j1e=:;52:p5=c=839p1<6m:92894>>28>h70m?:4c8 411282m7c?85;38yv0a290?wS8i;<3:6?1b34;3576?;<`e>0g<,8==6:<4n056>6=z{8;d93;=?6*>77822f=i9>?1<6s|7283>7}Y?:16o<493:&233<0:2d:;84?;|q44?6=;rT<<63>8884b>;en3<87)?86;51?k70=380q~?9b;296~X6>k16o=4>629'520=9?i0b<9::09~w40?2909wS?98:?2=7<65;|`165<72;0;6=u+16;9=4=n?l0;66a>4b83>>{e:8o1<7<50;2x 41>20;0e:k50;9l51e=831vn??l:181>5<7s-;<577>;h5f>5<h6=44}c34`?6=93:17884`>i0j3:17pl=1`83>7<729q/=:75909j3`<722e:8n4?::a5=6=83;1<7>t$05:>41f3f;>=7>5;|`15=<72:0969u+16;964>5<6=44b334>5<4290;w)?89;1f?l1a2900e5>50;9l51e=831vn??9:181>5<7s-;<577>;h5f>5<h6=44}r021?6=:rT9=852205951e52z\125=::8=1;k5rs34g>5<5sW8=h63=1784a>{tlm0;6?u22059<5=::8<1=9m4}|`151<72:0969u+16;96425<5<4290;w)?89;37`>o0n3:17d6?:188k42d2900qo<>2;296?6=8r.:;4461:k4a?6=3f;?o7>5;|q154<72;qU>42d3ty9;:4?:3y]621<5;;86:h4}r05`?6=:rT9:i5220093`=z{mo1<7=6<5;;96<:l;|a646=8391>7:t$05:>7773`8im7>5;h0a4?6=3f8;h7>5;c03b?6=;3:17880a>o0n3:17d6?:188k42d2900qo5;|q14a<72;qU>=j4=32e>42d3ty9nl4?:3y]6gg<5;:n6:k4}r0a4?6=:rT9n=5221d9<5=z{ml1<72`<5;:n6<:l;|a65e=8391>7:t$05:>76d3`8>57>5;h0a=?6=3f8;57>5;c03f?6=;3:178820a=n?o0;66g70;29?j73k3:17pl=0`83>7<729q/=:75909j3`<722e:8n4?::p65?=838pR?>6;<03f?73k2wx>8750;0xZ73>348;n79i;|q1f<<72;qU>o74=32b>2c7348;m7?;c:~f76?29086?4;{%34=?4702c:ol4?::k125<722e9<84?::`142<72:0;6=u+16;97`=n?o0;66g70;29?j73k3:17pl=0783>7<729q/=:75909j3`<722e:8n4?::p653=838pR?>:;<033?73k2wx=no50;0xZ4ef348;:79j;|q125<72;qU>;>4=324>=64b83>>{e:981<7:52;7x 41>2;:97d5<5290;w)?89;;2?l1b2900c<:l:188yv7an3:1>vP>fg9>657=9=i0q~=>57d9~w7g>2909wSll50;0xZ7ge348;=76>;|qf6?6=:r79<<48f:?145<6fd9j6d>=831b>l750;9j6dd=831d=kl50;9a5cb=83>1<7>t$05:>6`l1<75f8183>>o?93:17b?;c;29?xd6nj0;6?4?:1y'52?=181b;h4?::m20f<722wx=kl50;0xZ4`e34;mh7?;c:p6d>=838pR?o7;<3e`?1a3ty9m44?:3y]6d?<58lh6:k4}r0bf?6=:rT9mo521gf9<4=z{l91<7=6<58lh6<:l;|a5cg=83>1?78t$05:>4`f3`8j47>5;h0b=?6=3`8jn7>5;n3e2?6=3k;m57>54;294~"6?008j6g8f;29?l>72900e5?50;9l51e=831vn5<7s-;<577>;h5f>5<h6=44}c3e3?6=:3:1788:5>o0m3:17b?;c;29?xu6n?0;6?uQ1g4894`>28>h7p}=a983>7}Y:h201vP=a89>5c1=?l1v?om:181[4fj27:j4471:pa1<72;q6=k757g9>5c>=9=i0q~k::18187a132;70?i7;37g>{zj8l>6=4;:386!7013;m96g=a983>>o5i00;66g=ac83>>i6n;0;66l>f583>1<729q/=:753g9j3c<722c3<7>5;h:2>5<h6=44}c3e7?6=:3:1788:5>o0m3:17b?;c;29?xu6n;0;6?uQ1g0894`328>h7p}=a983>7}Y:h201vP=a89>5c2=091v?om:181[4fj27:j>48e:pa3<72;q6=k:5809>5c5=9=i0qpl>f083>1<42?q/=:751g38m7g?2900e?o6:188m7ge2900c4b83>>{e9ll1<7<50;2x 41>20;0e:k50;9l51e=831vn5<7s-;<577>;h5f>5<h6=44}r3f`?6=:rT:ii521g2951e52z\1e==:9ll1;h5rs3c:>5<5sW8j563>f18;4>{t:hh1<7eg820f=z{l21<7=7<58on6<:l;|a5`e=83>1?78t$05:>4cd3`8j47>5;h0b=?6=3`8jn7>5;n3f54;294~"6?008j6g8f;29?l>72900e5?50;9l51e=831vn5<7s-;<577>;h5f>5<h6=44}c3f=?6=:3:1788:5>o0m3:17b?;c;29?xu6m10;6?uQ1d:894ce28>h7p}=a983>7}Y:h201vP=a89>5`g=?l1v?om:181[4fj27:i448e:pa<<72;q6=hl5819>5`g=9=i0q~kn:18187bj32:70?j9;37g>{zj8o<6=4;:584!7013;n;6g=a983>>o5i00;66g=ac83>>i6m;0;66l>e783>1<729q/=:753g9j3c<722c3<7>5;h:2>5<h6=44}c3f1?6=:3:1788:5>o0m3:17b?;c;29?xd6m:0;6?4?:1y'52?=181b;h4?::m20f<722wi=h:50;094?6|,8=264?4i6g94?=h9=i1<75rs0g1>5<5sW;n>63>e7820f=z{;k36=4={_0b<>;6m<0o0q~km:18187b>3=m70?j5;37g>{tmj0;6?u21d49<5=:9l91=9m4}rgg>5<5s4;n:76>;<3f0?73k2wvn7<3s-;<57?kc:k124<722c9:>4?::m2`<<722h:ho4?:283>5}#9>31?h5f7g83>>o?83:17b?;c;29?xd6lh0;6?4?:1y'52?=181b;h4?::m20f<722wx=i750;0xZ4b>34;on7?;c:p637=838pR?8>;<3ge?1b3ty9:>4?:3y]635<58ni65>4}rgf>5<5s4;on79i;<3ge?73k2wvn7<3s-;<57?k8:k124<722c9:>4?::m2`0<722h:h:4?:283>5}#9>31?h5f7g83>>o?83:17b?;c;29?xd6l?0;6?4?:1y'52?=181b;h4?::m20f<722wx=i;50;0xZ4b234;o;7?;c:p637=838pR?8>;<3g3?1a3ty9:>4?:3y]635<58n=6:k4}rge>5<5s4;o;76?;<3g2?73k2wvn6<2s-;<57?k4:k124<722c9:>4?::m2`5<722h:h>4?:283>5}#9>31?h5f7g83>>o?83:17b?;c;29?xd6l;0;6?4?:1y'52?=181b;h4?::m20f<722wi=i?50;094?6|,8=264?4i6g94?=h9=i1<75rs0f3>5<5sW;o<63>d2820f=z{;<:6=4={_055>;6l;0v3>d284b>;6l;0:8n5rsg394?4|58n865>4=0f2>42d3twi=nh50;194?6|,8=26>k4i6d94?=n090;66a>4b83>>{e9jn1<7=52;6x 41>28io7d50z&23<<65;|`2gg<72;0;6=u+16;9=4=n?l0;66a>4b83>>{t9jk1<7{zj8i26=4::183!7013>;7d6l:188m2`=831b4=4?::k;5?6=3f;?o7>5;|`2f7<72:0;6=u+16;97`=n?o0;66g70;29?j73k3:17pl>b183>6<52=q/=:751c28m7072900e?ol:188k4gc2900n5<7s-;<57?;d:k4b?6=3`2;6=44o06`>5<52;294~"6?002=6g8e;29?j73k3:17p}>ae83>7}Y9hn0190;6?uQ272894gb2>o0q~h7psm1``94?752j0:hv*>7882eg=n9jk1<75f1d094?=n:m21<75f1d:94?=n:m<1<75f1df94?=n:m?1<75f1g094?=n:m>1<75f1g494?=n:m91<75f1g`94?=n:m81<75f1gd94?=n:m;1<75f21194?=n:m:1<75`18g94?=e9h;1<7=50;2x 41>28>o7d9i:188m=6=831d=9m50;9~f4g729096=4?{%34=??63`=n6=44o06`>5<7>53;294~"6?008i6g8f;29?l>72900c<:l:188yg7f;3:1?7>50z&23<<4m2c5;h:3>5<h6=44}c3b0?6=;3:17880a>o0n3:17d6?:188k42d2900qo?n5;297?6=8r.:;445<53;294~"6?008i6g8f;29?l>72900c<:l:188yg7f?3:1?7>50z&23<<4m2c5;h:3>5<h6=44}c3b7880a>o0n3:17d6?:188k42d2900qo?n9;297?6=8r.:;445<59;294~"6?00:9=5f7g83>>o?83:17d6>:188m=e=831b4i4?::k;a?6=3`2m6=44i8294?=h9=i1<75rb0;e>5<4290;w)?89;1f?l1a2900e5>50;9l51e=831v<7j:181[7>m27:m<4>4b9~w4ef2908wS?la:?2e4<0n27:m=48e:p5`4=838pR<58k965>4}r3f;6i:03<6s|1df94?4|V8oo70?n4;5e?xu5l<0;6?uQ2e7894g321:0q~?i2;296~X6n;16=l;57g9~w7b32909wSi=4=0c5>=652z\2bg=:9h=1;k5rs3f1>5<5sW8o>63>a68;4>{t9ol1<77}Y:m;01vP=029>5d?=?o1v?j?:181[4c827:m4470:pb1<72;q6=l>515a894?a2>l0q~h::18187f:3;?o63>a`84b>{tn?0;6?u21`1951e<58kj65>4}rd4>5<5s4;j87?;c:?2ed5d3=9=i012909w0?n6;37g>;6ih03h6s|f`83>7}:9h=1=9m4=0cb>=c9g8;4>{tnl0;6?u21`39<5=:90l1=9m4}|`2=a<72:0;6=u+16;97`=n?o0;66g70;29?j73k3:17pl>9583>6<729q/=:753d9j3c<722c3<7>5;n37g?6=3th:5;4?:283>5}#9>31?h5f7g83>>o?83:17b?;c;29?xd6110;6>4?:1y'52?=;l1b;k4?::k;4?6=3f;?o7>5;|`2=g<72:0;6=u+16;97`=n?o0;66g70;29?j73k3:17pl=de83>0<729q/=:75769j0a<722c?;7>5;h6;>5<229086?4;{%34=?4?=2c9no4?::k1f<<722e94?4?::`1<1<72:0;6=u+16;97`=n?o0;66g70;29?j73k3:17pl=8283>7<729q/=:75909j3`<722e:8n4?::p6=4=838pR?6=;<0;0?73k2wx>ol50;0xZ7de3483879i;|q1f<<72;qU>o74=3:0>2c73483?7?;c:~f712290>6=4?{%34=?103`>o6=44i5594?=n<10;66g;5;29?j72>3:17pl=8c83>1<729q/=:753g9j3c<722c3<7>5;h:2>5<h6=44}c0b7?6=;3818v*>7881e6=n:?o1<75f15`94?=h:h:1<75m2`094?5=83:p(<96:2g8m2`=831b4=4?::m20f<722wi>l?50;094?6|,8=264?4i6g94?=h9=i1<75rs3c3>5<5sW8j<63=a3820f=z{;;5i;0i704b83>>{e:121<7=50;2x 41>28>o7d9i:188m=6=831d=9m50;9~f7g229086=4?{%34=?5b3`=m6=44i9294?=h9=i1<75rb37b>5<4290;w)?89;37`>o0n3:17d6?:188k42d2900qo<7e;296?6=8r.:;4461:k4a?6=3f;?o7>5;|`ae?6=<3;18vT>758231=v95}%34=??e3E;n383j6*=9986=>"51j0:;55+28`9535{n9>21<75f6283>!72<3<97c?:3;28?l3f290/=8:5639m505=921d=;=50;9a525=83>1879t$05:>4143`;<47>5;h40>5<28>o7d9i:188m=6=831d=9m50;9~f40129086=4?{%34=?5b3`=m6=44i9294?=h9=i1<75rb047>5<5290;w)?89;;2?l1b2900c<:l:188yg71=3:1?7>50z&23<<4m2c5;h:3>5<h6=44}r357?6=:rT::>52175951e53z\23==:9?<1;k5217693`=z{?91<7530=9=i0q~??2;296~;6>=0:8n5217793c=z{8:86=4={<353?>734;=97?;c:~w4042909wS?93:?236<6>:1v<97:181[70027:;>4>799~w0g=838pR8o4=050>0g<,8==6;<4n056>4=z{?91<74<3sS;<87?t6;Y01<6s>0v(<96:8c8H41b28qC>5m4}M34b?1|,;h=6984$3;e>7>a3-8247;6;%0:g?7002.95o4>629m6d`=:2d9i<4>;|k23=<722c=?7>5$077>346`>5282?>i6>:0;66l>7283>1<32>q/=:751618m41?2900e;=50;9j1d<722e::>4?::`222<72:0;6=u+16;951bl1<75f8183>>i65<4290;w)?89;1f?l1a2900e5>50;9l51e=831v<8<:181[71;27:::4>4b9~w41?2908wS?88:?223<0n27::948e:p26<72;qU:>521749<5=z{>067820f=z{8:>6=4={<350?73k27::848f:p550=838p1<88:928940228>h7ps|17194?4|V8<870?83;357>{t9>21<77}Y=h16=:=55`9'520=>;1e=:;51:p26<72;qU:>52161926=#9><1:?5a16794>{zjk21<7:51;6x^41328q=6pT;4;3x3?{#9>31mi5C16g95~N50j1v@<9i:9ym6g1=9<>0(?7i:3:e?!4>03?27)<6c;34<>"51k0::>5a2`d96>h5m80:7)4?::`236<72=0?6:u+16;95256283>>d6>>0;6>4?:1y'52?=9=n0e:h50;9j<5<722e:8n4?::a530=8391<7>t$05:>6cl1<75f8183>>i65<5sW;=?63>66820f=z{8=36=4<{_34<>;6>?06584a>{t>:0;6?uQ629>530=091v8o50;0xZ0g<58<>65>4}r333?6=:r7:::48f:?223<67:181871<3;?o63>6484b>{t9931<7=6<58<>6<:l;|p535=838pR<8<;<347?71;2wx=:650;0xZ41?34;<1:?5a16795>{t>:0;6?uQ629>525=>:1/=:85639m523=82wvno950;695?2|R8=?6=}i:k=1=8:4$3;e>7>a3-8247;6;%0:g?7002.95o4>629m6d`=:2d9i<4>;%0a2?213tc:;54?::k57?6=,8??6;<4n070>5=55856>h6=:0:76a>6283>>d6?:0;694;:6y'52?=9>90e<97:188m35=831b9l4?::m226<722h:::4?:283>5}#9>31=9j4i6d94?=n090;66a>4b83>>{e9?<1<7=50;2x 41>2:o0e:h50;9j<5<722e:8n4?::a532=8381<7>t$05:><7o1<75`15a94?=zj8<>6=4<:183!70139n7d9i:188m=6=831d=9m50;9~w4042909wS?93:?222<64?:3y]26=:9?<14=5rs4c94?4|Vv3>6684b>;6>?0:8n5rs02a>5<5s4;=87?;c:?220<0n2wx==m50;0x940021:01<8::06`?x{t9?91<7{t=h0;6?uQ5`9>525==h1/=:85639m523=92wx:>4?:3y]26=:9>91:>5+164927=i9>?1<6srbc494?2=93>pV<9;:0y5>x\3<3;p;7s+16;9eg=K9>o1=vF=8b9~H41a21qe>o951468 7?a2;2m7)<68;7:?!4>k3;<46*=9c8226=i:hl1>6`=e082?!4e>3>=7pg>7983>>o1;3:1(<;;:708j4342910e8o50;&211<1:2d:9>4>;:m226<722h:;>4?:587>2}#9>31=:=4i05;>5<>i6>:0;66l>6683>6<729q/=:7515f8m2`=831b4=4?::m20f<722wi=;850;194?6|,8=26>k4i6d94?=n090;66a>4b83>>{e9?>1<7<50;2x 41>20;0e:k50;9l51e=831vn<8::180>5<7s-;<57=j;h5e>5<;6>>0:8n5rs05;>5<4sW;<463>6784b>;6>=07}Y>:16=;85819~w0g=838pR8o4=046>=652z?222<0n27::;4>4b9~w46b2909w0?94;37g>;6><04=046>42d3twx=;=50;0xZ40434;=838pR<97;<347?7002wx9l4?:3y]1d=:9>919l5+164927=i9>?1=6s|6283>7}Y>:16=:=5629'520=>;1e=:;50:~fg3=83>1=7:tZ057>4}12tP?87?t7;'52?=ih1G=:k51zJ13-82o7?88:&1=g<6>:1e>lh52:l1a4<63-8i:7:9;|k23=<722c=?7>5$077>346`>5282?>i6>:0;66l>7283>1<32>q/=:751618m41?2900e;=50;9j1d<722e::>4?::`222<72:0;6=u+16;951bl1<75f8183>>i65<4290;w)?89;1f?l1a2900e5>50;9l51e=831v<8<:181[71;27:::4>4b9~w41?2908wS?88:?223<0n27::948e:p26<72;qU:>521749<5=z{0;296~;6>>067820f=z{8;:6=4={<350?73k27::848f:p544=838p1<88:928940228>h7ps|17194?4|V8<870?83;357>{t9>21<77}Y=h16=:=55`9'520=>;1e=:;51:p26<72;qU:>52161926=#9><1:?5a16794>{zjk>1<7:51;6x^41328q=6pT;4;3x3?{#9>31m45C16g95~N50j1v@<9i:9ym6g1=9<>0(?7i:3:e?!4>03?27)<6c;34<>"51k0::>5a2`d96>h5m80:7)4?::`236<72=0?6:u+16;95256283>>d6>>0;6>4?:1y'52?=9=n0e:h50;9j<5<722e:8n4?::a530=8391<7>t$05:>6cl1<75f8183>>i65<5sW;=?63>66820f=z{8=36=4<{_34<>;6>?06584a>{t>:0;6?uQ629>530=091v8o50;0xZ0g<58<>65>4}r327?6=:r7:::48f:?223<66484b>{t98?1<7=6<58<>6<:l;|p535=838pR<8<;<347?71;2wx=:650;0xZ41?34;<1:?5a16795>{t>:0;6?uQ629>525=>:1/=:85639m523=82wvno=50;695?2|R8=?6=}i:k=1=8:4$3;e>7>a3-8247;6;%0:g?7002.95o4>629m6d`=:2d9i<4>;%0a2?213tc:;54?::k57?6=,8??6;<4n070>5=55856>h6=:0:76a>6283>>d6?:0;694;:6y'52?=9>90e<97:188m35=831b9l4?::m226<722h:::4?:283>5}#9>31=9j4i6d94?=n090;66a>4b83>>{e9?<1<7=50;2x 41>2:o0e:h50;9j<5<722e:8n4?::a532=8381<7>t$05:><7o1<75`15a94?=zj8<>6=4<:183!70139n7d9i:188m=6=831d=9m50;9~w4042909wS?93:?222<64?:3y]26=:9?<14=5rs4c94?4|V3:1>v3>6684b>;6>?0:8n5rs034>5<5s4;=87?;c:?220<0n2wx=<650;0x940021:01<8::06`?x{t9?91<7{t=h0;6?uQ5`9>525==h1/=:85639m523=92wx:>4?:3y]26=:9>91:>5+164927=i9>?1<6srbc094?2=93>pV<9;:0y5>x\3<3;p;7s+16;9e2=K9>o1=vF=8b9~H41a2>q/>o85479'6<`=:1l0(?77:4;8 7?d28=37)<6b;357>h5io097c;1e=8=50:9j1d<72-;>878=;o367?7<3f;=?7>5;c347?6=<3>1;v*>788236=n9>21<75f6283>>o2i3:17b?93;29?g71?3:1?7>50z&23<<65;|`223<72:0;6=u+16;97`=n?o0;66g70;29?j73k3:17pl>6583>7<729q/=:75909j3`<722e:8n4?::a533=8391<7>t$05:>6cl1<75f8183>>i6{t9>21<7=t^05;?871>3=m70?94;5f?xu1;3:1>vP93:?2235<5s4;=;79i;<352?73k2wx=h70?95;5e?xu69k0;6?u21759<5=:9??1=9m4}|q226<72;qU=;=4=050>4043ty:;54?:3y]52><58=86<97;|q6e?6=:rT>m63>7286e>"6??0=>6`>7482?xu1;3:1>vP93:?236<1;2.:;;492:l230<73twino4?:`80>d}]9>>1>v?n:3`9y_232;q9o7?7:|&23<{I0;g>{K9>l14v`=b68211=#:0l1>5h4$3;;>0?<,;3h6<97;%0:f?71;2d9mk4=;o0f5?5<,;h=6984}h34!72<3<37c?:3;38?l01290/=8:5699m505=:21b:84?:%360?0?3g;>?7=4;h40>5<#9<>1:55a14190>=n=h0;6)?:4;4;?k72;3?07b?9b;29 433286290?6<4;{[340?7|>3wQ894>{68~ 41>20o0@<9j:0yK6=e7>a3-8247;6;%0:g?7002.95o4>629m6d`=:2d9i<4>;|k23=<722c=?7>5$077>346`>5282?>i6>:0;66l>7283>1<32>q/=:751618m41?2900e;=50;9j1d<722e::>4?::`222<72:0;6=u+16;951bl1<75f8183>>i65<4290;w)?89;1f?l1a2900e5>50;9l51e=831v<8<:181[71;27:::4>4b9~w41?2908wS?88:?223<0n27::948e:p26<72;qU:>521749<5=z{c;296~;6>>067820f=z{8;o6=4={<350?73k27::848f:p54c=838p1<88:928940228>h7ps|17194?4|V8<870?83;357>{t9>21<77}Y=h16=:=55`9'520=>;1e=:;51:p26<72;qU:>52161926=#9><1:?5a16794>{zj1:1<7:51;6x^41328q=6pT;4;3x3?{#9>315i5C16g95~N50j1v@<9i:7y'6<`=:1l0(?77:4;8 7?d28=37)<6b;357>h5io097c;1e=8=50:9j1d<72-;>878=;o367?7<3f;=?7>5;c347?6=<3>1;v*>788236=n9>21<75f6283>>o2i3:17b?93;29?g71?3:1?7>50z&23<<65;|`223<72:0;6=u+16;97`=n?o0;66g70;29?j73k3:17pl>6583>7<729q/=:75909j3`<722e:8n4?::a533=8391<7>t$05:>6cl1<75f8183>>i6{t9>21<7=t^05;?871>3=m70?94;5f?xu1;3:1>vP93:?2235<5s4;=;79i;<352?73k2wx=?>50;0x940328>h70?95;5e?xu6:80;6?u21759<5=:9??1=9m4}|q226<72;qU=;=4=050>4043ty:;54?:3y]52><58=86<97;|q6e?6=:rT>m63>7286e>"6??0=>6`>7482?xu1;3:1>vP93:?236<1;2.:;;492:l230<73twi;k4?:582>1}]9>>1=v85}[67>4}02t.:;446c:N23`<6sA83o6sC16d92~"51o094k5+28:91<=#:0i1=:64$3;a>4043g8jj7<4n3g2>4=za8=36=44i7194?"6==0=>6`>5283?>o2i3:1(<;;:708j4342810c<8<:188f414290?6948{%34=?70;2c:;54?::k57?6=3`?j6=44o040>5<72900c<:l:188yg71>3:1?7>50z&23<<4m2c5;h:3>5<h6=44}c350?6=:3:1788:5>o0m3:17b?;c;29?xd6><0;6>4?:1y'52?=;l1b;k4?::k;4?6=3f;?o7>5;|q226<72;qU=;=4=044>42d3ty:;54?:2y]52><58<=6:h4=047>2c32;7p}:a;296~X2i27::8470:p574=838p1<88:6d8940128>h7p}>2283>7}:9?>1=9m4=046>2`52z?2224b9~yv71;3:1>vP>629>525=9?90q~?88;296~X6?116=:=516:8yv3f2909wS;n;<347?3f3-;<:78=;o341?76883>7}Y9?3015>51718 41128799><4<6?1164=4>799>3c<6?11v;850;0xZ30<51;1:>5+16492==i9>?1>6s|5`83>7}Y=h164<4:a:&233<102d:;84:;|q53?6=:rT=;6370;40?!70>3<37c?85;38yv042909wS8<;<:3>0g<,8==6;64n056>1=z{8;0n3;=?6*>77822d=i9>?1<6s|6483>7}Y><16;k4:a:&233<102d:;84<;|q22=<72;qU=;64=939535<,8==6<8n;o341?45<7s-;<57:<;h5f>5<>1<75`15a94?=zj1k1<7=50;2x 41>2=90e:k50;9j31<722e:8n4?::a<<<72:0;6=u+16;906=n?l0;66g84;29?j73k3:17plmd;290?7={78~^12=9r=1q)?89;;:?I70m3;pD?6l;|N23c4l51718j7ga2;1e>h?51:&1f3<3>2wb=:650;9j26<72-;>878=;o367?6<3`?j6=4+146927=i9<91=65`17194?=e9>91<7:54;5x 41>28=87d?88;29?l042900e8o50;9l535=831i=;950;194?6|,8=26<:k;h5e>5<5<53;294~"6?008i6g8f;29?l>72900c<:l:188yv71;3:1>vP>629>531=9=i0q~?88;297~X6?116=;857g9>532=?l1v;=50;0xZ35<58<=65>4}r7b>5<5sW?j70?95;:3?xu6:<0;6?u217593c=:9?<1=9m4}r312?6=:r7::94>4b9>533=?o1v<<8:181871?32;70?95;37g>{z{8<86=4={_357>;6?:0::>5rs05;>5<5sW;<463>72823==z{346=5r}c0`b?6=9;0i6<;tZ057>7}4:39<6pT;4;0x71<4=3w/=:75979O52c=9rB94n5rL05e>g}i:k=1=884$3;e>70a3-8247:k;%0:e?72>2.95;4;b:l1=7<73-82;7:l;o0:7?6<,;3?6=5a28294>"5j?0?:6sf4c83>>o3k3:17d;7:18'502==>1e=8=50:9j13<72-;>87;8;o367?7<3`?>6=4+146912=i9<91>65f5583>!72<3?<7c?:3;18?l34290/=8:5569m505=<21b9<4?:%360?303g;>?7;4;h6e>5<#9<>19:5a14192>=n55;294~"6?00<;6g;d;29?l202900e9650;9j00<722e:9;4?::a5?6==3:178843>o3l3:17d:8:188m1>=831b884?::m213<722wi>7>55;294~"6?00<;6g;d;29?l202900e9650;9j00<722e:9;4?::a1?6==3:178843>o3l3:17d:8:188m1>=831b884?::m213<722wi=?4?:483>5}#9>31;:5f4e83>>o3?3:17d:7:188m13=831d=8850;9~f4b=83?1<7>t$05:>21>o303:17d:::188k4312900qo<6:186>5<7s-;<5798;h6g>5<>o3=3:17b?:6;29?xd5n3:1>7>50z&23<<>92c5;n37g?6=3th8=7>52;294~"6?002=6g8e;29?j73k3:17pl=d;291?6=8r.:;4487:k7`?6=3`><6=44i5:94?=n<<0;66a>5783>>{t6c<0m2wx8n4?:3y]0f=:;807}Y7:k;%342?303g;<9784}r6f>5<5sW>n70?54e9'520==>1e=:;57:p50>=838pR<;7;<09500<,8==6<8?;o341?0m7>52z\21d=:=3;>:6*>778225=i9>?196s|14594?4|V8?<70?51448 41128<;7c?85;58yv72n3:1>vP>5g9>6d<6=?1/=:851728j412281v8650;0xZ0><5;n18i5+164912=i9>?1<6s|5583>7}Y==16=i4;d:&233<2?2d:;84<;|q21f<72;qU=8m4=009500<,8==6<8?;o341?2i7>52z\21`=::00:9;5+16495366?5rs4494?4|V<<01?o54e9'520==>1e=:;51:p16<72;qU9>521387`>"6??0>;6`>7487?xu6>80;6?uQ173897b=9<<0(<99:043?k70=3:0q~?:d;296~X6=m16=i4>579'520=9?:0b<9::29~w03=838pR8;4=3;90a=#9><19:5a16796>{t=80;6?uQ509>1?2c3-;<:7;8;o341?359z?23a<0j279m7:7;<390==::3>370;5499>57<3027:h7:7;<0:>1><5;n1855rs3g94??|5;k188521;66?84=<<1697::;<31>13<58n1885228871>;5n3;?o63=d;66?xu483:15v3=a;64?87=<>16>7:8;<7902=:9;0?;63>d;64?84>2==01>?515a897b=<>1vqo<80;29=?3=jrP:;94={0195<1>v?::059y!70133>7A?8e;3xL7>d3tF:;k4k{o0a3?7292.95k4=6g9'6<>=4o51448 7?12=h0b?7=:19'6<1=4=50:&1=1<392d95=4>;%0:1?6>o393:17d;>:18'502==91e=8=50:9j0c<72-;>87;?;o367?7<3`>n6=4+146915=i9<91>65`14c94?"6==0:945a14194>=h9<21<7*>55821<=i9<91=65`14594?"6==0:945a14196>=e=3:197>50z&23<<0?2c?h7>5;h64>5<>i6=?0;66sm2;291?6=8r.:;4487:k7`?6=3`><6=44i5:94?=n<<0;66a>5783>>{e93:197>50z&23<<0?2c?h7>5;h64>5<>i6=?0;66smf;296?6=8r.:;4461:k4a?6=3f;?o7>5;|`25?6=:3:1788:5>o0m3:17b?;c;29?xu3j3:1>vP;b:?e>2ch6=4={_6`?8762>o0q~:>:187[2634?185522;6;?87=<11v9h50;0xZ1`<5;0?h6*>77864>h6?<0:7p};e;296~X3m27:69j4$055>066?5rs07;>5<5sW;>463=:075?!70>3;>56`>7482?xu6=h0;6?uQ14c890<6=?1/=:8514;8j412291v<;8:181[72?27:6<;9;%342?7212d:;84=;|q65?6=:rT>=63::5f8 4112<:0b<9::19~w46=83>p184;7:?1>11<580?;63>1;37g>{tm3:18v3::57897<3=27:69;4=g820f=zuk8i<7>55;294~"6?00<;6g;d;29?l202900e9650;9j00<722e:9;4?::a6g3=83?1<7>t$05:>2g>o6=<0;66g;5;29?j72>3:17pl=7983>0<729q/=:75769j0a<722c?;7>5;h6;>5<:7>5;|`1ea<72=0968u+16;9=1=K9>o1=vF=8b9~H41a20qe>o95849'6<`=:?l0(?77:5f8 7?f28?=7)<66;6a?k4>:3;0(?78:19m6<5=92.9n;4;6:j0g<722c?h7>5;h343?6=3f;>:7>5;c3;7882<==n9>=1<75f4e83>>i60<0;66l>8683>6<729q/=:753d9j3c<722c3<7>5;n37g?6=3th:4;4?:383>5}#9>315<5f7d83>>i670?77;37g>{t9>=1<73=n7p};d;296~X3l27:4:470:p57>=838p1<68:6d894>128>h7psm1;297?6=8r.:;4485:k7`?6=3`>>6=44o075>5<i6=4={_6a?87=<<1v9j50;0xZ1b<582369j4}r362?6=:rT:9;521;362>{t9>=1<754;294~"6?00<:6g;d;29?l2?2900e9;50;9l500=831vn?o9:18b>15238~ 41>20=0@<9j:0yK6=e;%0:3?6>o2;3:1(<;;:408j4342910e8?50;&211<2:2d:9>4>;:k7b?6=,8??68<4n070>7=55866>h6=:0876a>5b83>!72<3;>n6`>5283?>i6=h0;6)?:4;36f>h6=:0:76a>5983>!72<3;>n6`>5281?>i6=>0;6)?:4;36f>h6=:0876l>2;290?6=8r.:;4486:k7`?6=3`>36=44i5794?=h9<<1<75rb483>1<729q/=:75779j0a<722c?47>5;h66>5<:7>5;|`1>5<3290;w)?89;55?l2c2900e9650;9j00<722e:9;4?::p0g<72;22=?01<4;5:?1>13:6=4:{_62?8752=20184;8:?2>1><5;0?46s|4g83>7}Y7:k;%342?353g;<97<4}r6f>5<5sW>n70?54e9'520==;1e=:;53:p50>=838pR<;7;<09500<,8==6<;m;o341?4m7>52z\21d=:=3;>:6*>77821g=i9>?1=6s|14594?4|V8?<70?51448 41128?i7c?85;18yv362909wS;>;<790a=#9><19?5a16795>{t=:0;6?uQ529>57<3l2.:;;4:2:l230<73ty:9n4?:3y]50e<5881=884$055>43e3g;<97>4}|`1g`<72<0;6=u+16;932=n5<7s-;<5798;h6g>5<>o3=3:17b?:6;29?xd5kj0;684?:1y'52?=?>1b8i4?::k73?6=3`>36=44i5794?=h9<<1<75rb3aa>5<2290;w)?89;54?l2c2900e9950;9j0=<722c?97>5;n362?6=3th9ol4?:483>5}#9>31;:5f4e83>>o3?3:17d:7:188m13=831d=8850;9~f7e>290>6=4?{%34=?103`>o6=44i5594?=n<10;66g;5;29?j72>3:17pl=c983>0<729q/=:75769j0a<722c?;7>5;h6;>5<:7>5;|`1<3<72=0;6=u+16;93<=n5483>>o3=3:17b?:6;29?xd5000;694<:7y'52?=111G=:k51zJ1;h4$3;;>1b<,;3j6<;9;%0:2?2e3g82>7?4$3;4>5=i:091=6*=b7872>{ne29086=4?{%34=?5b3`=m6=44i9294?=h9=i1<75rb0:;>5<42;0?w)?89;3;<>o3:3:17d:k:188k4>22900n<68:180>5<7s-;<57=j;h5e>5<52z\7`>;60?04=0:5>42d3twi=7>55;294~"6?00<46g;d;29?l2?2900e<;::188m13=831d=8850;9~w1d=838pR9l4=0871>{t5=d=0916=5654e9>5?2c3ty:9;4?:3y]500<580:9;5rs5094?5|V=801<6m:6d894>?2=80q~?75;296~;6010:48521;6;?xu60h0;6?u219`951e<580:985r}c0gf?6==3:178843>o3l3:17d:8:188m1>=831b884?::m213<722winn4?:0;9sS;<87x\3<38p87=9:|&23<{I0;g>{K9>l14v`=b68211=#:0l1>5h4$3;;>0?<,;3h6<97;%0:f?71;2d9mk4=;o0f5?><,;h=6984}h34!72<3<;7c?:3;38?l3b290/=8:5619m505=:21b9i4?:%360?073g;>?7=4;h7`>5<#9<>1:=5a14190>=n=k0;6)?:4;43?k72;3?07d8k:18'502=>91e=8=56:9j2f<72-;>878?;o367?1<3`!72<3<;7c?:3;;8?l0>290/=8:5619m505=i21b::4?:%360?073g;>?7l4;h45>5<#9<>1:=5a1419g>=n><0;6)?:4;43?k72;3n07d8<:18'502=>91e=8=5e:9j1d<72-;>878?;o367?`<3f;<>7>5$077>4163g;>?7>4;n344?6=,8??6<9>;o367?7<3f;=j7>5$077>4163g;>?7<4;n35a?6=,8??6<9>;o367?5<3f;=h7>5$077>4163g;>?7:4;n35f?6=,8??6<9>;o367?3<3f;=57>5$077>4163g;>?784;n35;o367?1<3k3;6=4;:087_70<3;p:7sU458224}O:1i0qA?8f;4x 7?a2;2m7)<68;7:?!4>k3;<46*=9c8226=i:hl1>6`=e082?xo6?10;66g93;29 4332?80b<;<:198m0g=83.:99492:l216<632e::>4?::`236<72=0?6:u+16;95256283>>d6>>0;6>4?:1y'52?=9=n0e:h50;9j<5<722e:8n4?::a530=8391<7>t$05:>6cl1<75f8183>>i65<5sW;=?63>66820f=z{8=36=4<{_34<>;6>?06584a>{t>:0;6?uQ629>530=091v8o50;0xZ0g<58<>65>4}r31e?6=:r7:::48f:?223<66484b>{t9;i1<7=6<58<>6<:l;|p535=838pR<8<;<347?71;2wx=:650;0xZ41?34;<1:?5a16795>{t>:0;6?uQ629>525=>:1/=:85639m523=82wvn5h50;695?2|R8=?63}#:0l1>5h4$3;;>0?<,;3h6<97;%0:f?71;2d9mk4=;o0f5?75;h40>5<#9<>1:?5a14194>=n=h0;6)?:4;41?k72;3;07b?93;29?g70;3:187:57z&23<<6?:1b=:650;9j26<722c>m7>5;n357?6=3k;=;7>53;294~"6?00:8i5f7g83>>o?83:17b?;c;29?xd6>?0;6>4?:1y'52?=;l1b;k4?::k;4?6=3f;?o7>5;|`221<72;0;6=u+16;9=4=n?l0;66a>4b83>>{e9??1<7=50;2x 41>2:o0e:h50;9j<5<722e:8n4?::p535=838pR<8<;<353?73k2wx=:650;1xZ41?34;=:79i;<350?1b3ty=?7>52z\57>;6>?03<6s|5`83>7}Y=h16=;;5819~w44c2909w0?97;5e?871>3;?o6s|13g94?4|58k4?:3y>531=0916=;;515a8yxu6>:0;6?uQ1718941428<87p}>7983>7}Y9>201<9<:05;?xu2i3:1>vP:a:?236<2i2.:;;492:l230<63ty=?7>52z\57>;6?:0=?6*>77856>h6?<0;7psm8d83>1<62=qQ=::51z49y_2328q<6p*>788b0>J6?l0:wE<7c:O52`=>r.95k4=8g9'6<>==01/>4m516:8 7?e28<87cm7>5$077>345<7983>>o1;3:17d;n:188k4042900n<88:180>5<7s-;<57?;d:k4b?6=3`2;6=44o06`>5<53;294~"6?008i6g8f;29?l>72900c<:l:188yg71<3:1>7>50z&23<<>92c5;n37g?6=3th::84?:283>5}#9>31?h5f7g83>>o?83:17b?;c;29?xu6>:0;6?uQ1718940028>h7p}>7983>6}Y9>201<89:6d894032>o0q~8<:181[0434;=:76?;|q6e?6=:rT>m63>648;4>{t9::1<72`<58<=6<:l;|q274<72;q6=;:515a894022>l0q~?<2;296~;6>>03<63>64820f=zuz;=?7>52z\226=:9>91=;=4}r344}|`;`?6=<3;18vT>758231=v95}%34=?g43E;"5110>56*=9b823==#:0h1=;=4n3ce>7=i:l;1=6sf16:94?=n>:0;6)?:4;41?k72;3:07d;n:18'502=>;1e=8=51:9l535=831i=:=50;690?1|,8=26<9<;h3450;9l51e=831vn<89:180>5<7s-;<57=j;h5e>5<5<52z\226=:9?=1=9m4}r341;h5rs7194?4|V?901<89:928yv3f2909wS;n;<351?>73ty:?>4?:3y>531=?o16=;8515a8yv74<3:1>v3>65820f=:9??1;k5rs016>5<5s4;=;76?;<351?73k2wv<8<:181[71;27:;>4>629~w41?2909wS?88:?236<6?11v8o50;0xZ0g<58=868o4$055>346<5rs7194?4|V?901<9<:718 4112?80b<9::19~yg>d290?6<4;{[340?7|>3wQ894>{68~ 41>2h80@<9j:0yK6=e7>a3-8247;6;%0:g?7002.95o4>629m6d`=:2d9i<4>;|k23=<722c=?7>5$077>346`>5282?>i6>:0;66l>7283>1<32>q/=:751618m41?2900e;=50;9j1d<722e::>4?::`222<72:0;6=u+16;951bl1<75f8183>>i65<4290;w)?89;1f?l1a2900e5>50;9l51e=831v<8<:181[71;27:::4>4b9~w41?2908wS?88:?223<0n27::948e:p26<72;qU:>521749<5=z{>067820f=z{89<6=4={<350?73k27::848f:p56>=838p1<88:928940228>h7ps|17194?4|V8<870?83;357>{t9>21<77}Y=h16=:=55`9'520=>;1e=:;51:p26<72;qU:>52161926=#9><1:?5a16794>{zj1;1<7:51;6x^41328q=6pT;4;3x3?{#9>31m<5C16g95~N50j1v@<9i:7y'6<`=:1l0(?77:4;8 7?d28=37)<6b;357>h5io097c;1e=8=50:9j1d<72-;>878=;o367?7<3f;=?7>5;c347?6=<3>1;v*>788236=n9>21<75f6283>>o2i3:17b?93;29?g71?3:1?7>50z&23<<65;|`223<72:0;6=u+16;97`=n?o0;66g70;29?j73k3:17pl>6583>7<729q/=:75909j3`<722e:8n4?::a533=8391<7>t$05:>6cl1<75f8183>>i6{t9>21<7=t^05;?871>3=m70?94;5f?xu1;3:1>vP93:?2235<5s4;=;79i;<352?73k2wx=>o50;0x940328>h70?95;5e?xu6;k0;6?u21759<5=:9??1=9m4}|q226<72;qU=;=4=050>4043ty:;54?:3y]52><58=86<97;|q6e?6=:rT>m63>7286e>"6??0=>6`>7482?xu1;3:1>vP93:?236<1;2.:;;492:l230<73twi4=4?:582>1}]9>>1=v85}[67>4}02t.:;44n0:N23`<6sA83o6sC16d92~"51o094k5+28:91<=#:0i1=:64$3;a>4043g8jj7<4n3g2>4=za8=36=44i7194?"6==0=>6`>5283?>o2i3:1(<;;:708j4342810c<8<:188f414290?6948{%34=?70;2c:;54?::k57?6=3`?j6=44o040>5<72900c<:l:188yg71>3:1?7>50z&23<<4m2c5;h:3>5<h6=44}c350?6=:3:1788:5>o0m3:17b?;c;29?xd6><0;6>4?:1y'52?=;l1b;k4?::k;4?6=3f;?o7>5;|q226<72;qU=;=4=044>42d3ty:;54?:2y]52><58<=6:h4=047>2c32;7p}:a;296~X2i27::8470:p56e=838p1<88:6d8940128>h7p}>3e83>7}:9?>1=9m4=046>2`52z?2224b9~yv71;3:1>vP>629>525=9?90q~?88;296~X6?116=:=516:8yv3f2909wS;n;<347?3f3-;<:78=;o341?7{78~^12=9r=1q)?89;;e?I70m3;pD?6l;|N23c<1s-82j7<7f:&1==<212.95n4>799'6878=;o367?6<3`?j6=4+146927=i9<91=65`17194?=e9>91<7:54;5x 41>28=87d?88;29?l042900e8o50;9l535=831i=;950;194?6|,8=26<:k;h5e>5<5<53;294~"6?008i6g8f;29?l>72900c<:l:188yv71;3:1>vP>629>531=9=i0q~?88;297~X6?116=;857g9>532=?l1v;=50;0xZ35<58<=65>4}r7b>5<5sW?j70?95;:3?xu6;o0;6?u217593c=:9?<1=9m4}r374?6=:r7::94>4b9>533=?o1v<:>:181871?32;70?95;37g>{z{8<86=4={_357>;6?:0::>5rs05;>5<5sW;<463>72823==z{346=5r}r7`>5<5sW?h706k:718 4112?:0b<9::59~w41?2902wS?88:?:4?700273j7?88:?;a?700273h7?88:?;g?700273=7?88:?;4?70027"6??0=<6`>7481?xu1j3:1>vP9b:?4b?3f3-;<:78?;o341?>72?90(<99:728j412281v<8m:181[71j273i7?93:&233<6?81e=:;55:p524=838pR<9=;<5e>4043-;<:7?81:l230<73ty::54?:3y]53><50:1=;=4$055>4163g;<9794}r7b>5<5sW?j707?:4c8 4112?:0b<9::g9~w4172909wS?80:?;4?71;2.:;;4>709m523=92wx:;4?:3y]23=:0m0>m6*>77854>h6?<0h7p}9c;296~X1k272<78<;%342?073g;<9794}r4b>5<5sW709m523=:2wx:i4?:3y]2a=:0o0=?6*>77854>h6?<0=7p}>6883>7}Y9?3015h51718 41128=:7c?85;48yv3c2909wS;k;<:`>35<,8==6;>4n056>6=z{8;?l3;=?6*>778234=i9>?186s|6283>7}Y>:164k4:a:&233<182d:;84j;|q55?6=:rT==638f;40?!70>3<;7c?85;28yv022909wS8:;<:f>0g<,8==6;>4n056>a=z{8;?k3;=?6*>778234=i9>?1?6s|6683>7}Y>>164n4:a:&233<182d:;84m;|q6f?6=:rT>n637e;40?!70>3<;7c?85;78yv0>2909wS86;<:2>0g<,8==6;>4n056>d=zuk8oo7>55;294~"6?006=4?{%34=?103`>o6=44i5594?=n<10;66g;5;29?j72>3:17pl=5e83>0<729q/=:75769j0a<722c?;7>5;h6;>5<5;|`;2?6=;3:178877>o0m3:17d9;:188k42d2900qo6<:180>5<7s-;<57:<;h5f>5<>1<75`15a94?=zj1=1<7=50;2x 41>2=90e:k50;9j31<722e:8n4?::a<0<72:0;6=u+16;906=n?l0;66g84;29?j73k3:17pl78;297?6=8r.:;44;3:k4a?6=3`=?6=44o06`>5<87d9j:188m22=831d=9m50;9~f=2=8391<7>t$05:>15o1<75f7583>>i6;;4=c691d=:jk0=?63mc;40?8>428>h7)?86;05f>h6?<0=7p}=6783>0}Y:?<01o;55`9>fg<1=27io78:;<:7>42d3-;<:7<9b:l230<23ty9::4?:5y]631<5k<19l52bb852>;?=3;?o6*>77812g=i9>?186s|27:94?3|V;<370ln:7189g1==h16nn497:?;2?73k2.:;;4=6c9m523=;2wx>;750;1xZ70>34hh6;74=95951e<,8==6?8m;o341?454z\12d=:j10>m63mc;4b?8>?28>h7)?86;05f>h6?<0:7p}=6b83>6}Y:?i0157515a89ge=>k1/=:8527`8j412291v?lm:181[4ej279484=bc9~w70b2909wS<9e:?1e6<5>l1v?8?:186[418279=54=619>65>=:?:01m3=n7p}=5d83>7}Y:64>=:?n01??;:34g?84?j32:70<80;6`?84e<3=n7p}=5g83>6}Y::1v?l6:185[4e12799:4=b89>65e=:k301?6::3`:?8d>2:18h5+16495gb6?5rs06a>5<5sW;?n63=a2820g=z{;==6=4={_042>;el3?j7p}=b`83>6}Y:kk01?=i:3`b?846838im6s|2ca94?4|V;hh706m:06`?xu5jm0;6?uQ2cf897>>28?=7p}=8e83>7}Y:1n01?6j:06`?xu50?0;6?u28c84a>;50?0:9;5rs3`e>5<4s48><7579'520=:j?0b<9::79~w712290?w0<;a;041>;59l0;5ij0:9;522ea950357>54z?172<5=016>=m524;897g22>l01?;n:06`?xu5l00;6?u22ec951e<5;236:h4}r0`0?6=;r79??4=c59>6fc=nj51448 4112;i>7c?85;38yv4fl3:14v3>ce81ea=:90n1;k5218693c=:90<1;k5218:93c=:90h1;k5224c9<5=::hn1=884}r06`?6==r799=4=5e9>g6<1=27i878<;<`1>35<5;?o6<;9;|q13=<72=q6>><526:897bf21:01o65629>62>=9<<0q~<6d;2953}::mn1855226790==::0o1=9m4=353>17<5;h;6964=3`6>432348<47:7;<043?2?348jo7:7;<0b2?26348hi7:7;<0``?2?348ho7:7;<0`f?2?348hm7:7;<0`=?2?348h47:7;<0`3?2?3483:7?:5:?1<<<3:2799n4;8:?11a<302wx>8m50;6x97302;?h70m<:7189g5=>:16>8m51448yv4cj3:1?v3=5`84b>;5im0?h63=dc8213=z{;k?6=4j{<0b1?73k279mi4;b:?1ef<3=279m;4;b:?1g`<3=279oi4;5:?1gf<3=279oo4;5:?1gd<3=279o44;5:?1g=<3=279o:4;5:?1<3<3=279444;b:p6=4=838p1?6::3:1?84d?3>o7p}=de83>1}::=>1>ij4=303>2c<5;no6<;9;<`5>357>53z?23a<0j273m79j;<0gg?2c3ty9o=4?:2y>61g=:j:01?mn:5f897e>28?=7)?86;0`1>h6?<0>7p}>9`83>7}:90h1=9m4=3c5>05<,8==6<76;o341?653z?112<5?816no496:?135<6=>1/=:852618j4122;1v?<::184845>3;?o63m8;34<>;e?3;<463m6;34<>;e=3;<463m4;34<>;e;3;<46s|23394?4|5j91=;=4=c091d=#9><1>?<4n056>4=z{;nh6=4<{<01`?4ck279hl48f:?1`f<6=?1v?9=:180842838<>63mb;44?84083;>46*>778136=i9>?1=6s|18194?4|583?6<:l;<0b2?2b3-;<:7?69:l230<43ty:5:4?:3y>5<>=9=i01?o9:438 41128327c?85;38yv45?3:1>v3=29820f=:jk0:;55rs3a5>5<5s489h73;h:6`>7483?xu6jj0;6?u2bc822<=::>:18k5+16495gb6<5rs3:b>5<69r799:4=8`9>606=:1k01?:n:3:b?843<383m63=3g815o4=311>7>f3489h7<7a:?152>>015:5759~w710290?w0<;59=09;:52b6857>;5?>0:9;5rs0cg>5<5s4;i<7?nd:?1`g<302wx>;=50;7xZ70434;oo7<93:?2`=<5>:16=i:5271894ea21:0(<99:341?k70=3:0q~?m1;296~;6j;0:8n522e`902=z{;n86=4<{<3bf?4c;279ok4>5b9>ff<2k2.:;;4=d69m523=<2wx>5950;0x97>?28>h706n:668yv42j3:1>v3=a48;4>;el3;=?6s|18a94??|583o6<:l;<0`a?20348hh7:8;<0`g?20348hn7:8;<0`e?20348h57:8;<0`12=n0q~?m3;296~;e;3;=?63=5b87`>{t9hi1<7;5i:09m=522bd90g=::>:18o522c093`=z{8hj6=4={<`b>404348i<7:k;|q2f0<72;q6>:;54e9>f0<6>:1v?l?:187844n38i<63=1181f5=:jh0>m63=b18213=z{;;i6=4={<02g?73k279ok4;c:p5g0=838p1?jk:5f89g0=9?90q~?m7;296~;e?3;=?63=7687`>{t:>k1<771f34286:k4$055>7>73g;<9784}r3an?50;1x97232;i:70:6*>7781g0=i9>?186s|1bg94?3|5;?<64b9>fd<6?116n44>799~w4e?2909w0?l9;37g>;5ij0?h6s|1bc94?5|5;:36c`9~w4b7290hw0<:7;3g4>;5=90:h=5225c95a6<5;>?6d19>664=9m:01?;6j;0{t:m?1<7=t=0ca>7b2348hj7?:e:?ag?3b3-;<:75c7=9ln01d49>66`=9m?01?=8:0f6?844:3;o963=2e82`0=::;<1;h521e:95a352z?112<5?0164?48e:&233<5091e=:;57:p5c4=838p16s|1e;94?0|5;?<6d89>66`=9m301?<7:6g894bd28n27p}=bd83>6}::<=1>ok4=3a;>1b<5;i<6<;9;%342?4d=2d:;848;|q2b3<72;q6=ko51g4894ge28l=7p}>9483>7}:90<1=9m4=3c5>1`<,8==6<76;o341?452z?165<6h70lk:05;?xu6no0;6?u221095c`<58ki67}::8k1=9m4=ca952>52z?2<5<6=816>il54e9~w7722909w0<>c;5f?846038:96s|21194?4|5;:?6<:l;<3bf?47;2wx>i<50;1x94ge2;n970;ek3?i7)?86;0g3>h6?<0>7p}=c283>6}:::=1>n=4=3ag>1b<5;ih6<;9;%342?4d=2d:;84=;|q131<72:q6>9o526689gd=>016>:>514c8 4112;=87c?85;28yv47=3:1>v3=2584a>;58109<85rs3ca>5=7<5;:96?om;<3ea?4fj27:jl4=ac9>5c3=:hh01:3ca?87bk38jn63>e681eg=:9j314n522`4950e<,8==6?on;o341?653z?2eg<5l916>nh514589ge=>j1/=:852e58j4122>1v?j9:18087fj38o:63=cg821c=:jj0>j6*>7781`2=i9>?1=6s|21;94?4|5;:h6?>6;<0;a?1b3ty:o94?:3y>6f`==<16nn4>6g9'520=9j<0b<9::39~w7e52908w0<;5kj0?h63=cc8213=#9><1>n;4n056>6=z{;2:6=4={<01`?4?9273579j;%342?4?82d:;84?;|q14a<72;q6><>521f897>?21:0q~?l3;296~;5ko0>863mc;35a>"6??0:o;5a16797>{t:m;1<7=t=0ca>7b6348hj7?:8:?ag?0c3-;<:75dd=:m201?mi:042?8dd2?;0(<99:3f4?k70=3:0q~<>1;296~;59=09=<521c09<5=z{;<:6=4:{_055>;6lj09:<521e:9637<58n?6?8>;<3`b?1a3-;<:7<92:l230<63ty:o?4?:3y>6f`==:16nn4>6e9'520=9j<0b<9::59~w4ba2909w0l>:04a?87>032;7)?86;3f4>h6?<0:7p}=7g83>7}:::81>:h4=9:93`=#9><1>5>4n056>4=z{;k36=4m{<`2>26<5;:?6:h4=321>7g?34;mi7;6m>09m5521b;9<5=::h<1=864$055>7gf3g;<97<4}r3`5?6=:r79ok4:1:?ag?71j2.:;;4>c79m523==2wx>:m50;0x97232;=h706::6g8 4112;2;7c?85;68yv4f?3:19v3l3;7b?8d62?l0138jm6`>7480?xu5i00;6ou2b0845>;58=03<63=0381e<=:9oo1>l74=0db>7g>34;m973;>m6*>7781ed=i9>?1=6s|1b294?4|5;im69h4=ca953?<,8==652z?a5?71127:5;470:&233<6m91e=:;52:p62c=838p1?=8:35f?8>02>o0(<99:3:3?k70=380q~?l5;296~;5ko0>:63mc;344>"6??0:o;5a16795>{t9kl1<71c<5ki1=;64$055>4e13g;<9794}r04f?6=:r798l4=7c9><1<0m2.:;;4=819m523==2wx=h?50;0x9g7=9?n01<7m:928 41128o;7c?85;28yv45;3:1>v3=25820f=:j;0:;55rs0`f>5<5s4hi6<8m;<044?363-;<:7?md:l230<73ty:hi4?:3y>f4<6>116=4:5819'520=9l:0b<9::29~w71c2909w0<;?>3=n7)?86;0;4>h6?<087p}=b283><}::mn18:52267902=::k:18:522c7902=::>218:52265902=::1=9m4}r0a5?6=jr79hi4;5:?130<3=279n=4;5:?1f0<3=279;54;5:?132<3=279ho4;5:?1`f<3=2799n4;5:?11a<3=279n?4>4b9~yx{zHIIp5975f`;743>6uIJIw=sO@Qy~DE \ No newline at end of file +$23:5=639;0=i5>6;Fbpd:6=3:5=>5>6;KMTPR=Lh~j0<;50?386g=52Mkm1?50?32?77Qa012784<76m196R`?014?5?69l281Sc>?84>2>58d3;0h|xQn<083:3=5WG>9><<42^L767YneyU9SC:=20486ZH3:=;97?QA436\mhvX:VD?>9?>;2:87?B;:3:5n6=5D`vb87<76l186D@_UU8G87<768;0?7GAPTV9@drf4;0;2k5<:NWWTPR=Y581<3?;;28LQQVR\3Xnxb{<383:==42X696=0k;28Qavsk|581<3j43;]m45554;0;2h5<:ekumviu4;0;2<5;8:69@95=87i087Jnt`C?7?69m2>1EC^ZT;F?7?699;1?6D@_UU8GeqgF4:0;2k5;:NWWTPR=Y591<3?;;58LQQVR\3Xnxb{<283:==32X686=0k;58Qavsk|591<3j44;]m45714:0;2i5;:^l3411;;3:5j6:5sikepfhs4:0;2<5:1:4a?311095>LHW]]0Omyo35;2=5>113>UE858>2:5\J1>1W`g{S:QA49422>1XF=23=?58_O6;A:0294:>665IOMVP?ew}Vn7;7>1e::9MKVR\3N7;7>1f::9KPRW]]0Z0:4?>068e9;>Zh78:>7;7>1c::9gusXl5=1<3h48;kmpZbh}}6<6=0>0::9wuoci}kbb1950?32?=7L??_C08E=26O64:C:[G2GIL:>0MCJ;6:CM@1D6<2Kh`;4Ammb`1=E]OO37O[\_HLEK4=D:=1H6"}`usafw*GwxeoBE^j SQK5154E9';%zej=8:A9+virzjox#L~ldKJWa)flmxn~Qkebmgaw(q`o80OH=4CMI0?FJU12IDA@G[TDF4?FTBI]OO?6Mck49@hnCi92N?7I2?>59G84813M696=0;;E>1:3=C4:0;295K<2<7?A:36=1O080;;E>5:3=C4>0;295K<6<7?Agsi>1Omyo30?:8@drf48:546Jnt`>25;>Bf|h6:97>18:Fbpd:6=730Hlzn<083:2=Ci}k7=374D`vb87<76>1Omyo32?58@drf4:4<7Io{a=6==>Bf|h6>6=08;Ecwe939?2Njxl29>69Geqg;?7=0Hlzn<9<4?Agsi53596Jnt`C;?AgsiH6;255KaucB848?3MkmL2=>`9GeqgF4:0;255KaucB86863L80JI=4FEA7?CBDM=1MHN^<;GFS0>@CXL;h7KJ]S^O[MIOIPVkohk|s^ffgjbbz8k0JIQBXHNJJ]Yflmxn~Qkebmgaw76<;FLG2>NRWZO_=6G=;H21?L753@8>7D<7_178M7>X9<1B>5Q=5:K1W980E>;4I22\47=N<;1B9?5F639J36=NF_20ER=9_031<>OX;?U:=>64I^15[447?2CT?;Q;369OKBODIEn0@]CPAEF\WPDX901G\@QKFG]2e>JWEVNMJR?=a:NSIZBANV;856B_M^FEBZ5>3EZFSIHI_7c8HUKXE\R9SJWEVG^T?Q>_32g?IVJWD_S>R?P20f8HUKXE\R9Sj1G\@QBUY0\5Z1d3EZFS@[W2^3\R=P0`9OTHYJ]Q8T4l5CPL][KW5X9k1FmijP_o2302dKj}qUTb=>=9c9Nip~XWg:;?=l4Mlw{[Zh78:;i7@czx^]m4555j2GfyuQPn1200g=Je|rToae|rba8I}iuW[oxyaz>f:O{kwYUmzgx1?11g9N|jtXZly~`y2=>0d8I}iuW[oxyaz33?3`?H~hzVUe<=>?1c9N|jtXWg:;8=;4N02670=I99?>96@>0456?K77=0?0B<>9149M5502=2D:<;9:;O332<3H68>=>7C??7878J46?9<1E==6:5:L24=123G;;44;4N02:70=I993>96@>0856?K7710?0BH698=>7C?>1878J475;<1E=<<:5:L257123G;:9<;4N03610=I98?296@>1716?K76>>?0BH6909>7C?>9678J47>1<1E=?><5:L265323G;9<:;4N00250=I9;;>96@>20;6?K75:8?0B<<=749M5752=2D:>>7:;O31043H6:==>7C?=4878J4429<1E=?;<5:L260323G;99:;4N006=0=I9;=>96@>26;6?K751>?0B<<6949M5666=2D:?=;:;O30423H6;8?>7C?<1678J4559<1E=><<5:L277323G;8>4;4N01050=I9:9896@>3256?K74;0?0B<=;149M5622=2D:?99:;O300<3?85A12761>H6;<=>7C?<6078J451;<1E=>8:5:L273?23G;8;<;4N01470=I9:=<96@>36;6?K7408?0B<=7549M56>0=2D:?57:;O30=63H6<93>7C?;1478J4261<1E=9<>5:L207523G;?>:;4N061=0=I9=9:96@>4276?K73;>?0B<:<949M5124=2D:89;:;O37023>=85A15701>H6<7C?;5878J4219<1E=98<5:L203123G;?:4;4N06450=I9==>96@>4656?K73?0>0B9?74:L76520Bn}=1:M0?JT5;2EY?>5@R518KW>63Y>0\L\[a:RJJZDR[@NSn6^FN^@VWKGJM:1[^H?4Q59R85833X6:2;5^<383:1=V4;4=7\2<:1<7?T:46=1Z090;;P>6:1=V4?4=7\28:1<7?T:0681Y?6\[L79Qavsk|11Yi~{ct03;?Wct}e~9=:5]erwop6>9:Pfwpjs49427_k|umv?5;d3l4Rdqvhq:429427_k|umv?7;?89Qavsk|5?556\jstnw838e3[oxyaz37;2==>Tb{|f0:0n;SgpqirXadz:7^l4SHEAQVYNFOEj7^GH_CWPMA^e3ZCLSO[\N@OF0>Udd{90_hb;;U[SA7=R8:1]ON74VHGT[Q_WM8n0TDBFNY/[@G&7&8*XXXL/0/3#EVENA>1S@UGP1258\I^NW8><7UBWI^35g>^KP@U::R[?_158\I^NW8=<7UBWI^3;3>^KP@U:5:5WLYK\651069[H]HX98=0TAVA_064?]J_FV;2:6VCXO]72>^KPGU=:6VCXO]42>^KPGU3;6V\T^EM@4c1S_YQYCB48\adXAm;;7Ujb_LcikwPbzzcdb<>4Xeo\Ilhhz_oydaa3:Zpp3=Xf9:;<:5Pn12344>a:]m4567W`g{=?5Pn1234ZojxVUe<=>?179\j5679880Sc>?00]jiuYXf9:;=<74_o2347:76k1Tb=>?2=394;?c9\j567<5;1<374_o2343:76k1Tb=>?6=394;753Vd;<=7Pilr\[k6780;27R`?004?4;7?3Vd;<<830?]jiuYXf9:::1>1189\j566>5;5=55Pn1222979W`g{SR`?004?5;7>3Vd;<<832?3;?Zh788<7>3Qfmq]\j566>585=o5Pn122295=8730Sc>?17>0:4>^kntZYi89;=0>0>6:]m45709;1Tb=>>7^kntZYi89;<=;5Pn122<<=Xf9::4<>>8:]m457?9830Sc>?19325==Xf9::4<<7;^l344>5911Tb=>>823;?Zh7882?=55Pn122<07?3Vd;<<69199\j5660>;37R`?00:;5==Xf9::44?n;^l344>Xadz=7R`?00;5?Zh78;:<7R`?0322<>Yi898;=?2031?Zh78;;Te`~P_o2364713Vd;>9;^l3467>3Vd;<><30?;8[k67;;6:2o5Pn120694=8730Sc>?33>1:<=Xf9:881>19:]m455348427R`?026?6;?89\j564<5>556Qa0117808>3Vd;<>:36?`8[k67;=6<6=06;^l3462;?7<0Sc>?3631?Zh78:=Te`~P_o2372713Vd;<>68;^l346>602Ue<==710c8[k67;1Uba}84_o2307753Vd;<9:=:5Pn12707>a:]m4523W`g{:6Qa01663>Yi89>>=55Pn127147f3Vd;<9;Pilr5?Zh78=<<7R`?0542<>Yi89>==?46>3:<=Xf9:?;1?19:]m45204;4i7R`?055?7?6912Ue<=:8<2<5?Zh78=237R`?05:25==Xf9:?4??8;^l341>402Ue<=:730c8[k67<1Uba}84_o230<>7:]m452>:11Tb=>;933b?Zh78=3Te`~9;^l3406?3Vd;<8>>169\j5628;20Sc>?5102e>Yi89?;Sdc6:]m4536?2Ue<=;>199\j56298;j7R`?043\mhv13Vd;<8<7;^l340469>1Tb=>:23:8[k67=;8:m6Qa0171[lkw>2Ue<=;<7:]m4534911Tb=>:303b?Zh78<9Te`~9;^l340203Vd;<8:>8:]m453398k0Sc>?55]jiu0?5435?Zh78<<<7R`?0442<>Yi89?==?5658[k67=>;37R`?04525d=Xf9:>;Rgbp79\j5620>1Tb=>:80:8[k67=1;:m6Qa017;[lkw>2Ue<=;67:]m453>911Tb=>:903b?Zh78<3Te`~9;^l343603Vd;<;>>8:]m450798k0Sc>?61]jiu0XadzTSc>?7935?Zh781::>6Qa01:3[lkwWVd;<5>>6:]m45>59;1Tb=>72^kntZYi8929=;5Pn12;744Qfmq]\j56?;830Sc>?84>3:g=Xf9:391?50?48[k670?;97R`?094\mhvXWg:;4;?9;^l34=>6:2Ue<=67_hos[Zh7812::6Qa01;457=Xf9:2;Rgbp^]m45?0911Tb=??103;?Zh799;9=:5Pn13356>a:]m4466W`g{46Qa002154>7:]m4465;11Tb=??223b?Zh7998Te`~7;^l35556911Tb=??3334?Zh7999846Qa002074gQfmq:8[k668=;:46Qa002764>8:]m4463<820Sc>>05723>Yi88:?:55Pn133037f3Vd;==:Pilr;?Zh799?:=55Pn13317703Vd;==;<8:]m4462;8k0Sc>>04]jiu>8:]m4460:820Sc>>06123>Yi88:<855Pn133317f3Vd;==9Pilr;?Zh7993:=55Pn133=77?3Vd;==7<169\j5771=20Sc>>0862e>Yi88:2Sdc8:]m44769820Sc>>1002<>Yi88;:?<64_o225426?2Ue<599\j5769<;j7R`?103\mhv43h;;>6o72:c:3>gclVnno3jz~SC~gi3:8gusXF{ox46mu^c?4;gew}Vn78364cqw\`93902i{yRj36?c8gusXl5=1<364cqw\`919?2i{yR|aw29gbc129fg`03:g=nf}Uocxz31?`8mkrXlf0?0m;hlw[air|595n6gat^flqq:36k1bbyQkotv?1;doi|Vgbb?>c:kmpZkn{fUba}64iov\ijun?2cexRazt068mkrXg|~Te`~Piov\kpr602cexR}llj58mvYumhn37d}Prdcg5<=n{Vxnmi?>b:kp[wcflVcf|h5dedr\llcui{icu>5ceea8h`bXadzT`hj>3:ov|7=ioh1ekRgbp^ld52=iafUxia94nhm\wqd43gen46~`l^c`hw>vhz}Ujoa|6;qmqpZgtm}20|b|{_bnhe>vhz}Uh`fka9:rlvqYci}k37}a}t^mse3=wg{~T}o5osv\v`urd}h0|b|{_rgoafr23{oxiy??;sgpaqYneyUyi~k{199qpiYsqyo37~kcebvf`40<{lfnoykk_hos[vckmj~nh<64sikepfjl9?1xddh{cmi\mhvX{acmxnbd199pll`skg~i7~fffuamp969j2ycekzlnu>2:g=t``locz32?f8wmoa|jd0>4?>c9pll`skg~7?374sikepaiim01xddh{ioe10>unog>0dtja:qoescnf}oej6}cawgjjqciWG>98?:4smcualhsmgUE8?:Pilr\wigqm`dicQA4362<>ukioxiah4snwqg`Yaaeoem`kk;rmvvfcXd`dnbo64sqk5154e02y{eR@|em58wuoXag~37~~f_lkpk==tx`Ufc~g7;rrj[vekcj1x|djnt`km858d3zzbhlznio>2:f=tx`njxlga<3<`?vvnlh~jec2<>b9ptlbf|hce090l;rrj`drfag6>2n5|phfbpdoi4?4n7~~fd`vbmk:0294h7~~fd`vbmk:06k1x|djnt`km[5d<{ycomyofn^3a?vvnlh~jecQ=b:qsmagsi`dT?o5|phfbpdoiW=h0}gkaucjjZ3e3zzbhlznio]5f>uwamkmd`P7`9ptlbf|he~xi5|phfbpdir|5:5h6}iecwejss484o7~~fd`vbkpr;:7n0}gkauclqq:46m1x|djnt`mvp929l2y{eio{anww808c3zzbhlznotv?2;`<{ycomyo`uu>4>58c3zzbhlznotv?3;0<{ycbby?=;rrjmkrXadzT}gfnu3f?vrfz}Uxddh{ioe1?qe43}gd46{ashemaa?<~hf~iRmfn89ueisbWfzi96yfduj;?}e>=9:s`>5wosCDu?c;2JKt?kk:G81>4}T==0:mh478;307f17n399iv`>ab82?k7fl380(1=lk5898276e08o08>h5yF05;>5<6280;w^;;:0cf>=>=9:9h;=h533g8 4?42h90n?kk:1814?0>208pV<6m:by0e?7e2:i1?i4=6;3`>f<413n1?o4=8;04>x\3k38p47=<:|&2=5<5mm1G=l652zJ1g5=#9?=1?<94}M3b=?7|,;oi69k4}h0e4?6=3`8h:7>5;h0:7?6=3`8h;7>5;h0b4?6=3`82>7>5;h354?6=3`8j47>5;h0fb?6=3`83>7>5;h0;7?6=3`8387>5;h0:2?6=,8<26?7:;o355$04:>7?23g;=47?4;*0:3?6=,8<26?7j;o355$04:>7?b3g;=4784;*0:=?6=,8<26?7j;o355$04:>7?b3g;=47:4;*0:f?6=,8<26?7j;o355$04:>7?b3g;=47<4;*0:`?6=,8<26?7j;o355$04:>7?b3g;=47>4;n0;e?6=3f83n7>5;n0;=?6=3f82=7>5;n0`0?6=3f8h47>5;n0fa?6=3f8m=7>5;n0e6?6=3f8h=7>5;c0;5?6=i3<1jv*>9181<4=n:ki1<75f1gc94?=n:o91<75f1gd94?=n:1l1<75f21194?=n:h91<75f1g:94?=n:lo1<75`2``94?=e:1:1<7=50;2x 4?72=>0e5m50;9jt$0;3>12>i6>80;66sm26`94?5=83:p(<7?:568m=e=831b4i4?::m224<722wi>:m50;194?6|,83;69:4i9a94?=n0m0;66a>6083>>{e:>n1<7=50;2x 4?72=>0e5m50;9jt$0;3>4033`2h6=44i9f94?=n0l0;66g68;29?j7193:17p}=ac83>7}Y:hh01?6?:042?xu5jj0;6?uQ2ca897>721i0q~?ia;296~X6nh16>:h58b9~w7`42909wS5h4=35a>=b52z\146=::>i14n5rs3c0>5<5sW8j?63=7b8;`>{t9o21<77}Y:lo01?9k:9f8yvb22909w0<8f;355>;5?l03o6s|d783>7}::>h1=;?4=35f>=b:j51738971b2020q~j6:18184?832o70<8e;355>{zj;=j6=47:48`!7>838>o6nh0;66g=f583>>o6no0;66g=9183>>o58:0;66g=a583>>i5ij0;66l=7883>6<729q/=4>5459j5;n355?6=3th9;54?:283>5}#90:1895f8b83>>o?l3:17b?91;29?xd5?<0;6>4?:1y'5<6=<=1b4n4?::k;`?6=3f;==7>5;|`133<72:0;6=u+182901=n0j0;66g7d;29?j7193:17pl=7683>1<729q/=4>51718m=e=831b4i4?::k;a?6=3f;==7>5;|q1ef<72;qU>lm4=35:>4063ty9nn4?:3y]6ge<5;=265m4}r3ee?6=:rT:jl5226:9;5?103h6s|1gd94?4|V8lm70<85;:`?xu5190;6?uQ2828971221n0q~:858b9~w7g32909wS62>=9?;01?98:9a8yvbe2909w0<85;355>;5?>03h6s|db83>7}::><1=;?4=354>=cc348<;7?91:~f7132903684l{%3:4?40<2c9nn4?::k2bd<722c9j84?::k2bc<722c9m:4?::k146<722c9m;4?::m1ea<722h9;>4?:283>5}#90:1895f8b83>>o?l3:17b?91;29?xd5?;0;6>4?:1y'5<6=<=1b4n4?::k;`?6=3f;==7>5;|`12c<72:0;6=u+182901=n0j0;66g7d;29?j7193:17pl=7183>6<729q/=4>5459j5;n355?6=3th9;<4?:583>5}#90:1=;=4i9a94?=n0m0;66g7e;29?j7193:17p}=ae83>7}Y:hn01?9<:042?xu5jj0;6?uQ2ca8971421i0q~?ia;296~X6nh16>:<58b9~w7`22909wSl94=34e>=b52z\146=::>:14n5rs3c5>5<5sW8j:63=718;`>{tll0;6?u22609537<5;=:65m4}rfe>5<5s48=j7?91:?134626=9?;01?9>:9g8yvc62909w0<83;:g?84093;==6srb34f>5<12=02w)?60;05a>o5jj0;66g>f`83>>o5n?0;66g>fg83>>o49;0;66a=ad83>>d5>m0;6>4?:1y'5<6=<=1b4n4?::k;`?6=3f;==7>5;|`12f<72:0;6=u+182901=n0j0;66g7d;29?j7193:17pl=6`83>6<729q/=4>5459j5;n355?6=3th9:o4?:283>5}#90:1=;<4i9a94?=n0m0;66a>6083>>{t:ho1<7;m58e9~w4`a2909wS?if:?12d609>63d=0j1vh=50;0x970f28<:70<9b;:g?xub<3:1>v3=6e8;`>;5>k0::<5r}c05=?6=i3=1==u+182963?5<5<5<5<5<83>?7d6l:188m=b=831d=;?50;9~f70029086=4?{%3:4?233`2h6=44i9f94?=h9?;1<75rb341>5<4290;w)?60;67?l>d2900e5j50;9l537=831vn?8<:181>5<7s-;2<77j;h:a>5<91870>o?k3:17d6k:188k4062900qo<95;297?6=8r.:5=4;4:k;g?6=3`2o6=44o042>5<55;294~"6190::95f8b83>>o?l3:17d6j:188m<>=831d=;?50;9~w7ga2909wS81v?ll:181[4ek279:547c:p5cg=838pRd3ty9j:4?:3y]6c1<5;<<65j4}r3eb?6=:rT:jk522709;5>;03h6s|21194?4|V;:870<94;:`?xu5mo0;6?uQ2dd8970421h0q~?i8;296~X6n116>;;58b9~w7c02909wS631=9?;01?89:9a8yvc12909w0<92;355>;5>?03h6s|e683>7}::?91=;?4=347>=b;;5173897012020q~kn:181841032o70<96;355>{zj;<:6=49:58:!7>838==6g=bb83>>o6nh0;66g=f983>>o6no0;66g=8b83>>i5j90;66l=6183>6<729q/=4>5459j5;n355?6=3th99k4?:283>5}#90:1895f8b83>>o?l3:17b?91;29?xd5=m0;6>4?:1y'5<6=<=1b4n4?::k;`?6=3f;==7>5;|`11`<72:0;6=u+1829534>i6>80;66s|2c294?4|V;h;70<90;355>{t:ki1<7f`83>7}Y9ok01?;i:9a8yv4a03:1>vP=f99>60`=0m1vc3tynn7>52z?11c<6>816>8k58b9~w`e=838p1?;k:042?842m32o7p}jd;296~;5>903h63=5d8224=zuk8>o7>56;69=~"619099n5f2ca94?=n9ok1<75f2g;94?=n9ol1<75f2`c94?=h:k;1<75m24`94?5=83:p(<7?:568m=e=831b4i4?::m224<722wi>8o50;194?6|,83;69:4i9a94?=n0m0;66a>6083>>{e:<21<7=50;2x 4?72=>0e5m50;9jt$0;3>4053`2h6=44i9f94?=h9?;1<75rs3`2>5<5sW8i=63=5c8224=z{;hh6=4={_0ag>;5=k03o6s|1gc94?4|V8lj70<:a;:`?xu5n00;6?uQ2g;8973f21n0q~?if;296~X6no16>8658b9~w7gf2909wS60g=9?;01?;6:9a8yvca2909w0<:8;355>;5=003h6s|f183>7}::<}#90:1>894i3``>5<5<5<5<4290;w)?60;67?l>d2900e5j50;9l537=831vn?;::180>5<7s-;2<7:;;h:`>5<83>?7d6l:188m=b=831d=;?50;9~f73329086=4?{%3:4?71:2c3o7>5;h:g>5<52244953752z\1ff=::<<14n5rs0db>5<5sW;mm63=548;g>{t:oh1<7fg83>7}Y9ol01?;<:9a8yv5693:1>vP<109>605=0m1vk?50;0x973228<:70<:4;:`?xua:3:1>v3=528224=::<>14i5rsg194?4|5;?=65j4=377>4063twi>8<50;094?6|,83;64k4i9`94?=h9?;1<75rb373>5<5290;w)?60;;f?l>e2900c<8>:188yg43m3:1>7>50z&2=5<>m2c3n7>5;n355?6=3tho87>54;091~\60k09w44>4;Y0f<6s8<1q)?60;a2?I7f03;pD?m?;|N2e<<0s-8o47=>8:&1`4<1;2.9h94>789m6`0=;2d8=;4>;o0fg?`43-8nn7:j;|k5b?6=,8<26;k4n04;>5=6885a>h6>10:76g94;29 40>2?o0b<87:398k41>2900nnh50;194?6|R=i1=v95}%3:4?5602F:m44:{%0gh852:l053<63tc=87>5$04:>3e00=o6`>6983?>i6?00;66smd283>6<729qQ8n4>{68~ 4?72:;37A?n9;7x 7b?2:;37)3;0qd8;:18'53?=>j1e=;651:9j2a<72-;=578l;o355;|q23<<72;qU=:74=bd952?52z?`b?0334n86<96;%3;`?7e?2d:4n4>;|q5b?6=:rT=j63k3;47?!7?l33b<,82o6;k4n0:`>4=z{?>1<7m1/=5j56d9m5=e=:2wvnn<50;:92?g|R82i69u>f;`960i652958 7b52>20(>?::39'6a2=9>30(>?;:09'6`3=;890(?km:5g8yl1c290/=;757b9m53>=821b;o4?:%35=?1d3g;=47?4;h5b>5<#9?31;n5a17:96>=n?00;6)?99;5`?k7103907b?73;29 40>28297c?98;28?j7?93:1(<86:0:1?k7103;07b?8f;29 40>28297c?98;08?j70m3:1(<86:0:1?k7103907o?mc;296?6=8r.:5=46e:k;f?6=3f;==7>5;|`2f0<72:0;6=u+182901=n0j0;66g7d;29?j7193:17pl>b283>6<729q/=4>5459j5;n355?6=3tho>7>53;294~\3k3;p;7s+182974><,;n:6;=4$3f7>41>3g8n:7<4n235>4=za?>1<7*>6885g>h6>10:76g9d;29 40>2?i0b<87:198k41>2900qoj>:180>5<7sS>h6i?5629'6a2=9>30b?k9:39m740=92wb:94?:%35=?0d3g;=47?4;h4g>5<#9?31:n5a17:94>=h9>31<75rbe294?5=83:pV9m51z59y!7>839:46B>a886!4c039:46*=d0857>"5l=0:;45a2d496>h49?0:7pg94;29 40>2?i0b<87:098m3b=83.::449c:l22=<732e:;44?::p3g<72:qU;o521c798e84g>h60j0:7p}>b`83>7}:9k?1=;?4=e0921=#91n1=ol4n0:`>4=z{8=m6=4={_34b>;c83;<56*>8e82<7=i91i1>6s|1c:94?5|58h>65j4=0`0>40634n:6;:4$0:g>4d>3g;3o7?4}r5:>5<3sW=270?mc;:a?87e;32o70j?:768 4>c2>i0b<6l:29~w4>42909wS?73:?g6?7012.:4i4>839m5=e=82wx;i4?:3y]3a=:l;0=h6*>8e84g>h60j0;7p}8a;297~X0i27:n>47c:?g4?0c3-;3h79l;o3;g?452z\2<4=:l80:;45+19f95=45<5sW;bb8224=#91n1=5<4n0:`>6=zuk8?m7>52;294~"61902i6g7b;29?j7193:17pl=4983>7<729q/=4>59d9jt$0;3>:183!7>83227b68:188yg43<3:1>7>50z&2=5<>m2c3n7>5;n355?6=3th:ml4?:083>5}#90:1=4<4o045>5<7>53;090~"619098?5f28194?=n:h:1<75`22d94?=e:=;1<7=50;2x 4?72=>0e5m50;9jt$0;3>;5<80::<5rs3;0>5<5sW82?63=408;g>{t:h:1<753;090~"61909?h5f2`;94?=n:h:1<75`22`94?=e::n1<7=50;2x 4?728<97d6l:188m=b=831d=;?50;9~f75d29096=4?{%3:4??b3`2i6=44o042>5<52z\17g=:::n1=;?4}r0b=?6=:rT9m45222f9;5;j03n6s|f483>7}:::n14i5222a95371}#90:1>>o4i3ge>5<5<83>?7d6l:188m=b=831d=;?50;9~f75?29096=4?{%3:4??b3`2i6=44o042>5<52z\172=:::31=;?4}r0fb?6=:rT9ik5222:9;5;003h6s|f783>7}:::314n5222:95371}#90:1>>84i3:`>5<5<6=4<:183!7>83;=>6g7c;29?l>c2900c<8>:188yg44<3:1>7>50z&2=5<>m2c3n7>5;n355?6=3ty9?>4?:3y]665<5;9>6<8>;|q15m4=316>=e52z\1a`=:::>14o5rsg594?4|5;9>65j4=317>4063twi>><50;196?2|,83;6?==;h3e0?6=3`82?7>5;n01b?6=3k88=7>53;294~"6190?86g7c;29?l>c2900c<8>:188yg4483:1>7>50z&2=5<>m2c3n7>5;n355?6=3ty9>k4?:3y]67`<5;9:6<8>;|q2b1<72;qU=k:4=313>=d52z\1=6=:::;14i5rsg:94?4|5;9:65m4=313>4063twi>?k50;694?6|,83;6984i9a94?=n0m0;66g7e;29?j7193:17pl=2b83>1<52523a8m7ba2900e?k?:188m7c52900c?<6:188f74e290?6=4?{%3:4?213`2h6=44i9f94?=n0l0;66a>6083>>{e:;k1<7<50;2x 4?720o0e5l50;9l537=831v?<6:181[451279>o4>609~w7ba2909wSh>50;0xZ7c73489n76k;|q1a7<72;qU>h<4=30a>=cd3489m7?91:~f74?290?6?4:{%3:4?4502c9hk4?::k1a5<722c9i?4?::m160<722h9>:4?:583>5}#90:18;5f8b83>>o?l3:17d6j:188k4062900qo<=6;296?6=8r.:5=46e:k;f?6=3f;==7>5;|q160<72;qU>?;4=304>4063ty9hk4?:3y]6a`<5;8<65m4}r0f4?6=:rT9i=522349;5:>03i6s|f`83>7}::;=14i52234953794?:580>3}#90:1>?:4i3fe>5<5<5<3290;w)?60;65?l>d2900e5j50;9j<`<722e::<4?::a674=8381<7>t$0;3>833n7d6m:188k4062900q~<=0;296~X5:916>?=51738yv4cn3:1>vP=dg9>674=0k1v?k?:181[4b8279><47b:p6`4=838pR?k=;<017?>b3tymn7>52z?166?4>609~wce=838p1?<<:9f8974628<:7psm20d94?2=:3?p(<7?:33e?l4cn3:17dc;29?g46m3:187>50z&2=5<3>2c3o7>5;h:g>5<833n7d6m:188k4062900q~<>c;296~X59j16>vP=dg9>64c=0j1v?k?:181[4b8279=h47d:p6`4=838pR?k=;<02`?>e3tymh7>52z?15`609~yg46j3:187=56z&2=5<59k1b>ih50;9j6`6=831b>h<50;9l641=831i>7<729q/=4>59d9j=8381<7>t$0;3>;59h0::<5rs3fe>5<5sW8oj63=188;f>{t:l:1<77}Y:l801??7:9`8yv`b2909w0<>a;:`?84613;==6s|fg83>7}::8k14h5220:95373}#90:1><84i3fe>5<5<5<3290;w)?60;65?l>d2900e5j50;9j<`<722e::<4?::a642=8381<7>t$0;3>833n7d6m:188k4062900q~<>2;296~X59;16><;51738yv4cn3:1>vP=dg9>643=0j1v?k?:181[4b8279=947b:p6`4=838pR?k=;<027?>e3ty:<=4?:3y>643=0m16><:51738yv7793:1>v3=148;a>;59:0::<5r}c025?6=<3>1;v*>918154=n:ml1<75f2d294?=n:l81<75`21a94?=e:8:1<7:50;2x 4?72=<0e5m50;9j5;n355?6=3th95}#90:15h5f8c83>>i6>80;66sm21f94?4=83:p(<7?:8g8m=d=831d=;?50;9~f76b29096=4?{%3:4??b3`2i6=44o042>5<52z\14f=::8:1=;?4}r0gb?6=:rT9hk5221d9;58m03n6s|2d094?4|V;o97081v<>;:181846832n70{zj;:=6=4<:387!7>838;:6g=9583>>o51?0;66a=0283>>d58<0;6>4?:1y'5<6=<=1b4n4?::k;`?6=3f;==7>5;|`141<72;0;6=u+1829=`=n0k0;66a>6083>>{t:991<77>53;090~"619090e5m50;9jt$0;3>;5880::<5rs3;7>5<5sW82863=008;g>{t:0<1<70783>7}::9;14i5221295370}#90:1=kk4i3;7>5<5<83>?7d6l:188m=b=831d=;?50;9~f4`d29096=4?{%3:4??b3`2i6=44o042>5<52;294~"61902i6g7b;29?j7193:17p}>f`83>7}Y9ok014063twi=k750;194?6|,83;69:4i9a94?=n0m0;66a>6083>>{e9o=1<7=52;6x 4?728l<7d3:1?7>50z&2=5<6>;1b4n4?::k;`?6=3f;==7>5;|`2b0<72;0;6=u+1829=`=n0k0;66a>6083>>{t9o>1<73;==6s|2d694?4|V;o?70?i5;:a?xu5m:0;6?uQ2d1894`121n0q~??9;296~;6n?03o63>f48224=zuk;m?7>55;294~"6190?;6g68;29?l>d2900e5j50;9j<`<722e::<4?::a5ae=8391<7>t$0;3>12>i6>80;66sm1ec94?5=:3>p(<7?:0fb?l4>;3:17d639j5;n355?6=3th:h54?:383>5}#90:15h5f8c83>>i6>80;66s|1e594?4|V8n<70?k9;355>{t:091<77}Y:l901v3>d88;g>;6l10::<5r}c3g1?6=9;0h64b23`;m87>5;h03g?6=3`9;h7>5;h026?6=3`9;n7>5;h023?6=3`9;m7>5;h02g?6=3`9;57>5;h014?6=3`9;47>5;h011?6=3`9;;7>5;h01=?6=3`9;:7>5;h01`?6=3`9;97>5;n3`53;294~"6190::?5f8b83>>o?l3:17b?91;29?xd6kh0;6?4?:1y'5<6=1l1b4o4?::m224<722wi=nm50;194?6|,83;69:4i9a94?=n0m0;66a>6083>>{e9jn1<7=50;2x 4?72=>0e5m50;9jt$0;3>12>i6>80;66sm1bd94?5=83:p(<7?:568m=e=831b4i4?::m224<722wi=i>50;194?6|,83;69:4i9a94?=n0m0;66a>6083>>{e9m;1<7=50;2x 4?72=>0e5m50;9jt$0;3>12>i6>80;66sm1e194?5=83:p(<7?:568m=e=831b4i4?::m224<722wi=i:50;;94?6|,83;6<8:;h:`>5<>o>03:17d7n:188m5;|`2g<<72:0;6=u+182901=n0j0;66g7d;29?j7193:17p}>c983>7}Y9j201uQ1g6894ee21i01vP=0b9>5fe=0j1v>>k:181[57l27:on47d:p644=838pR??=;<3``?>d3ty8;6kl03h6s|20a94?4|V;;h70?lf;:`?xu4800;6?uQ31;894ea21n0q~<=0;296~X5:916=i>58b9~w66?2909wS=?8:?2`5?;50;0xZ74234;o=76l;|q042<72;qU?=94=0f2>=b52z\16<=:9m814n5rs225>5<5sW9;:63>d38;`>{t:;n1<77}Y;9?01v3>c`8224=:9j314n5rs02`>5<5s4;ho7?91:?2`1609>5a2=111vd58:e>{t98;1<740634;o877m;|q257<72;q6=i<5173894b320i0q~?>3;296~;6l:0::<521e69=a=z{8;?6=4={<3g0?71927:o447d:p543=838p128<:7psm1b594?5=83:p(<7?:568m=e=831b4i4?::m224<722wi=ok50;194?6|,83;69:4i9a94?=n0m0;66a>6083>>{e9j:1<7=50;2x 4?72=>0e5m50;9jt$0;3>12>i6>80;66sm1b794?5=83:p(<7?:568m=e=831b4i4?::m224<722wi?<<50;794?6|,83;65?4i4594?=n=90;66g:2;29?l2c2900c<8m:188yg57n3:1?7>50z&2=5<3<2c3o7>5;h:g>5<9181f2=n:o:1<75f2dg94?=h:k>1<75m2c494?5=83:p(<7?:568m=e=831b4i4?::m224<722wi>o;50;094?6|,83;64k4i9`94?=h9?;1<75rs3`7>5<5sW8i863=b78224=z{;l;6=4={_0e4>;5j?03o6s|2dg94?4|V;on700;66g:0;29?l352900e9j50;9l53d=831vn?li:187>7<2s-;2<7b2900c<8>:188yg4el3:1>7>50z&2=5<>m2c3n7>5;n355?6=3ty9nn4?:3y]6ge<5;hn6<8>;|q225<72;qU=;>4=3`g>=d7>52z\1=7=::ko14i5rs3c3>5<5sW8j<63=bd8;a>{t98=1<7=e<5;ho6<8>;|a6ag=8381<7>t$0;3>833n7d6m:188k4062900qo639j5;n355?6=3th9hn4?:283>5}#90:1895f8b83>>o?l3:17b?91;29?xd50m0;6>4?:1y'5<6=9?80e5m50;9j1<7>t$0;3>10>o?m3:17b?91;29?xd5k;0;6?4?:1y'5<6=1l1b4o4?::m224<722wioo4?:582>1}]91h1=v85}[6`>4}02t.:5=4nc:N2e=<6sA8h<6sC1`;93~"5mk0?i6*=d981g6=#:m;1:>5+2e795=`<,;n?6<96;o0f2?45<6885g>h6>10;76g94;29 40>2?i0b<87:098k41>2900n<66:187>1<0s-;2<7?79:k25;h47>5<5<4290;w)?60;356>o?k3:17d6k:188k4062900qo?8c;297?6=8r.:5=4;4:k;g?6=3`2o6=44o042>5<52;294~"61902i6g7b;29?j7193:17pl>7c83>6<729q/=4>5459j5;n355?6=3ty:;44?:3y]52?<58=o6<8>;|q2=e<58=j65l4}r4g>5<5sWvP94:?23g81vq~?89;296~X6?016=57516;8yv7?n3:1>vP>8g9>5=?=91l0q~8;:181[0334;3578;;%3;`?0d3g;3o7?4}r4g>5<5sW83ki7A?n8;3xL7e73tF:m448{%0ff?2b3-8o478g9'6a2=9>30b?k9:39m740=92wb=5h50;9j2a<72-;=578l;o355<83>?7d6l:188m=b=831d=;?50;9~f41f29096=4?{%3:4??b3`2i6=44o042>5<53;294~"6190?86g7c;29?l>c2900c<8>:188yv7013:1>vP>789>52b=9?;0q~?7f;297~X60o16=:m58b9>52g=0k1v;j50;0xZ3b<58=h65j4}r47>5<5sWi1=;?4}r32g?6=:r7:;l4>609>52d=0j1v{z{8=26=4={_34=>;6000:;45rs0:e>5<5sW;3j63>88821<7>2?>0(<6k:7a8j4>d281v;j50;0xZ3b<58226;j4$0:g>3e5<3280?wW?7b;3x2?{]gc"5l<0:4k5+2e6952?"5mk0?i6sf19d94?=n>m0;6)?99;4`?k7103:07d8;:18'53?=>j1e=;651:9l52?=831i=5750;690?1|,83;6<66;h3;b?6=3`31<75m16f94?5=83:p(<7?:041?l>d2900e5j50;9l537=831vn<9l:180>5<7s-;2<7:;;h:`>5<833n7d6m:188k4062900qo?8b;297?6=8r.:5=4;4:k;g?6=3`2o6=44o042>5<52z\23<=:9>n1=;?4}r3;b?6=;rT:4k5216a9k14o5rs7f94?4|V?n01<9l:9f8yv032909wS8;;<34f?>c3ty:=h4?:3y>52b=0j16=:m51738yv76n3:1>v3>7`8224=:9>h14n5rs003>5<5s4;789~w4>a2909wS?7f:?2<<<60o1v;:50;0xZ32<58226;:4$0:g>3ec2?i0b<6l:19~yge?290?6<4;{[3;f?7|>3wQ8n4>{68~ 4?72kn0@41>3-8o478g9'6a2=9>30b?k9:39m740=92.9io4;e:j5=`=831b:i4?:%35=?0d3g;=47>4;h47>5<#9?31:n5a17:95>=h9>31<75m19;94?2=<3=p(<7?:0::?l7?n3:17d8k:188m32=831d=:750;9a52b=8391<7>t$0;3>4053`2h6=44i9f94?=h9?;1<75rb05`>5<4290;w)?60;67?l>d2900e5j50;9l537=831vn<9n:181>5<7s-;2<77j;h:a>5<91870>o?k3:17d6k:188k4062900q~?89;296~X6?016=:j51738yv7?n3:1?vP>8g9>52e=0j16=:o58c9~w3b=838pR;j4=05`>=b2083>7}:9>n14n5216a95377>52z?23d<6>816=:l58b9~w4442909w0?8d;:g?870j3;==6srs05:>5<5sW;<563>88823<=z{82m6=4={_3;b>;6000:4k5rs7694?4|V?>01<66:768 4>c2?i0b<6l:09~w3b=838pR;j4=0::>3b<,82o6;m4n0:`>5=zuki<6=4;:087_7?j3;p:7sU4b8224}O:j:0qA?n9;:xj7cd28=27)"5l80=?6*=d4821=:74n3g5>7=i;8<1=6*=ec87a>{n91l1<75f6e83>!7113=921d=:750;9a5=?=83>1879t$0;3>4>>3`;3j7>5;h4g>5<1<75`16;94?=e9>n1<7=50;2x 4?728<97d6l:188m=b=831d=;?50;9~f41d29086=4?{%3:4?233`2h6=44i9f94?=h9?;1<75rb05b>5<5290;w)?60;;f?l>e2900c<8>:188yg70j3:1?7>50z&2=5<3<2c3o7>5;h:g>5<53z\2i14n5216c952e=9?;0q~?=5;296~;6?h0::<5216`9c34;2909wS?89:?2<<<6?01v<6i:181[7?n27:444>8g9~w32=838pR;:4=0::>32<,82o6;m4n0:`>4=z{?n1<7>2?n0(<6k:7a8j4>d291vqom9:187>4<3sS;3n7?t6;Y0f<6s>0v(<7?:c`8H4g?28qC>n>4}M3b=?>|f;oh6<96;%0gi:516;8j7c12;1e?<851:&1ag<3m2wb=5h50;9j2a<72-;=578l;o355<83>?7d6l:188m=b=831d=;?50;9~f41f29096=4?{%3:4??b3`2i6=44o042>5<53;294~"6190?86g7c;29?l>c2900c<8>:188yv7013:1>vP>789>52b=9?;0q~?7f;297~X60o16=:m58b9>52g=0k1v;j50;0xZ3b<58=h65j4}r47>5<5sW0;6?u216f9i1=;?4}r31609>52d=0j1v<<6:181870l32o70?8b;355>{z{8=26=4={_34=>;6000:;45rs0:e>5<5sW;3j63>88821<7>2?>0(<6k:7a8j4>d281v;j50;0xZ3b<58226;j4$0:g>3e5<3280?wW?7b;3x2?{]gg"5l<0:4k5+2e6952?"5mk0?i6sf19d94?=n>m0;6)?99;4`?k7103:07d8;:18'53?=>j1e=;651:9l52?=831i=5750;690?1|,83;6<66;h3;b?6=3`31<75m16f94?5=83:p(<7?:041?l>d2900e5j50;9l537=831vn<9l:180>5<7s-;2<7:;;h:`>5<833n7d6m:188k4062900qo?8b;297?6=8r.:5=4;4:k;g?6=3`2o6=44o042>5<52z\23<=:9>n1=;?4}r3;b?6=;rT:4k5216a9k14o5rs7f94?4|V?n01<9l:9f8yv032909wS8;;<34f?>c3ty:>l4?:3y>52b=0j16=:m51738yv75j3:1>v3>7`8224=:9>h14n5rs00`>5<5s4;789~w4>a2909wS?7f:?2<<<60o1v;:50;0xZ32<58226;:4$0:g>3ec2?i0b<6l:19~yge3290?6<4;{[3;f?7|>3wQ8n4>{68~ 4?72k30@41>3-8o478g9'6a2=9>30b?k9:39m740=92.9io4;e:j5=`=831b:i4?:%35=?0d3g;=47>4;h47>5<#9?31:n5a17:95>=h9>31<75m19;94?2=<3=p(<7?:0::?l7?n3:17d8k:188m32=831d=:750;9a52b=8391<7>t$0;3>4053`2h6=44i9f94?=h9?;1<75rb05`>5<4290;w)?60;67?l>d2900e5j50;9l537=831vn<9n:181>5<7s-;2<77j;h:a>5<91870>o?k3:17d6k:188k4062900q~?89;296~X6?016=:j51738yv7?n3:1?vP>8g9>52e=0j16=:o58c9~w3b=838pR;j4=05`>=b2e83>7}:9>n14n5216a953752z?23d<6>816=:l58b9~w44a2909w0?8d;:g?870j3;==6srs05:>5<5sW;<563>88823<=z{82m6=4={_3;b>;6000:4k5rs7694?4|V?>01<66:768 4>c2?i0b<6l:09~w3b=838pR;j4=0::>3b<,82o6;m4n0:`>5=zuki86=4;:087_7?j3;p:7sU4b8224}O:j:0qA?n9;5x 7ce2=o0(?j7:3a0?!4c93<87)"5l=0:;45a2d496>h49?0:7pg>8g83>>o1l3:1(<86:7a8j40?2910e;:50;&22<<1k2d::54>;:m23<<722h:444?:587>2}#90:1=574i0:e>5<>i6?00;66l>7e83>6<729q/=4>51708m=e=831b4i4?::m224<722wi=:m50;194?6|,83;69:4i9a94?=n0m0;66a>6083>>{e9>k1<7<50;2x 4?720o0e5l50;9l537=831vn<9m:180>5<7s-;2<7:;;h:`>5<;6?m0::<5rs0:e>5<4sW;3j63>7b8;g>;6?h03n6s|6e83>7}Y>m16=:m58e9~w32=838pR;:4=05a>=b52z?23a609~w4562909w0?8a;355>;6?k03o6s|12094?4|58=o65j4=05a>4063twx=:750;0xZ41>34;357?89:p5=`=838pR<6i;<3;=?7?n2wx:94?:3y]21=:9131:95+19f92f=i91i1=6s|6e83>7}Y>m16=5756e9'5=b=>j1e=5m50:~ffe=83k1?7otZ0:a>7}6i38i6pT;c;0x6f<603w/=4>5bg9O5d>=9rB9o=5rL0c:>=}i:li1=:74$3f;>7e43-8o=78<;%0g1?7?n2.9h94>789m6`0=:2d8=;4<;%0ff?2b3tc:4k4?::k47?6=,8<26:<4n04;>5=;1<7*>68846>h6>10:76g80;29 40>2>80b<87:398m3`=83.::4482:l22=<432c=h7>5$04:>2400<>6`>6986?>i6080;6)?99;3;4>h6>10;76a>7g83>!7113;3<6`>6982?>i6?l0;6)?99;3;4>h6>10976l7e;290?7={78~^1e=9r=1q)?60;ce?I7f03;pD?m?;|N2e<<1s-8o478g9'6a2=9>30b?k9:39m740=92wb=5h50;9j2a<72-;=578l;o355<83>?7d6l:188m=b=831d=;?50;9~f41f29096=4?{%3:4??b3`2i6=44o042>5<53;294~"6190?86g7c;29?l>c2900c<8>:188yv7013:1>vP>789>52b=9?;0q~?7f;297~X60o16=:m58b9>52g=0k1v;j50;0xZ3b<58=h65j4}r47>5<5sWi1=;?4}r300?6=:r7:;l4>609>52d=0j1v<=::181870l32o70?8b;355>{z{8=26=4={_34=>;6000:;45rs0:e>5<5sW;3j63>88821<7>2?>0(<6k:7a8j4>d281v;j50;0xZ3b<58226;j4$0:g>3e5<3280?wW?7b;3x2?{]dc"5l=0:;45a2d496>h49?0:7pg>8g83>>o1l3:1(<86:7a8j40?2910e;:50;&22<<1k2d::54>;:m23<<722h:444?:587>2}#90:1=574i0:e>5<>i6?00;66l>7e83>6<729q/=4>51708m=e=831b4i4?::m224<722wi=:m50;194?6|,83;69:4i9a94?=n0m0;66a>6083>>{e9>k1<7<50;2x 4?720o0e5l50;9l537=831vn<9m:180>5<7s-;2<7:;;h:`>5<;6?m0::<5rs0:e>5<4sW;3j63>7b8;g>;6?h03n6s|6e83>7}Y>m16=:m58e9~w32=838pR;:4=05a>=b52z?23a609~w4502909w0?8a;355>;6?k03o6s|12:94?4|58=o65j4=05a>4063twx=:750;0xZ41>34;357?89:p5=`=838pR<6i;<3;=?7?n2wx:94?:3y]21=:9131:95+19f92f=i91i1=6s|6e83>7}Y>m16=5756e9'5=b=>j1e=5m50:~f=e=83>1=7:tZ0:a>4}12tP?o7?t7;'5<6=im1G=l651zJ1g5=zD8k26;u+2e:96f5<,;n:6;=4$3f6>4>a3-8o87?89:l1a3<53g9::7?4}h3;b?6=3`!7113889j5=`=831b:i4?::k50?6=3f;<57>5;c34`?6=;3:1918227=n0j0;66g7d;29?j7193:17pl>7b83>6<729q/=4>5459j5;n355?6=3th:;l4?:383>5}#90:15h5f8c83>>i6>80;66sm16`94?5=83:p(<7?:568m=e=831b4i4?::m224<722wx=:750;0xZ41>34;d34;7b8;`>{t>=0;6?uQ659>52d=0m1v<=6:181870l32h70?8c;355>{t9:k1<740634;52d=9?;0qp}>7883>7}Y9>301<66:05:?xu60o0;6?uQ19d894>>282m7p}94;296~X1<27:44494:&2;|q5`?6=:rT=h63>8885`>"60m0=o6`>8b83?x{t9>l1<7c28=27)?7d;3;4>h60j0:7p}>8g83>1}Y91l015k519d89=b=91l015m519d8yv172909wS9?;<:f>3b<,82o6:<4n0:`>7=z{?>1<7=1/=5j5739m5=e==2wx;<4?:3y]34=:0m0=h6*>8e846>h60j0:7p}9d;296~X1l273h78;;%3;`?153g;3o7:4}r3;5?6=:rT:4<528b823<=#91n1=5>4n0:`>5=z{?l1<7=1/=5j5739m5=e=;2wx=:k50;0xZ41b342n6<96;%3;`?7?82d:4n4=;|q47?6=:rT13:1?7>50z&2=5<3j2c3n7>5;h5f>5<5<4290;w)?60;6a?l>e2900e:k50;9l537=831vn4850;194?6|,83;69l4i9`94?=n?l0;66a>6083>>{ekl0;694>:5yY5=d=9r<1qW:l:0y4>x"6190jm6B>a982M4d82wG=l758zl1af<68h1/>i652b18 7b62?90(?j::0:e?!4c<3;<56`=e781?k56>3;0(?km:5g8yl7?n3:17d8k:18'53?=>j1e=;650:9j21<72-;=578l;o355;c3;=?6=<3>1;v*>9182<<=n91l1<75f6e83>>o1<3:17b?89;29?g70l3:1?7>50z&2=5<6>;1b4n4?::k;`?6=3f;==7>5;|`23f<72:0;6=u+182901=n0j0;66g7d;29?j7193:17pl>7`83>7<729q/=4>59d9jt$0;3>12>i6>80;66s|16;94?4|V8=270?8d;355>{t91l1<7=t^0:e?870k32h70?8a;:a?xu1l3:1>vP9d:?23fh14i5rs01`>5<5s4;j50;0x941f28<:70?8b;:`?xu6;l0;6?u216f9h1=;?4}|q23<<72;qU=:74=0::>41>3ty:4k4?:3y]5=`<58226<6i;|q50?6=:rT=863>88850>"60m0=o6`>8b82?xu1l3:1>vP9d:?2<<<1l2.:4i49c:l2g<6=rP:4o4={20972v=;:279y!7>83k<7A?n8;3xL7e73tF:m44m{o0fg?70j2.9h54=a09'6a7==>1/>i=517`8 7ea2nm50:&1ga<73g8h57>4$3ga>1c6=44i4494?=n>;0;6)?99;42?k7103:07d8?:18'53?=>81e=;651:9j1c<72-;=578>;o35!7113<:7c?98;68?l3e290/=;75609m53>==21b944?:%35=?063g;=4784;h7;>5<#9?31:<5a17:93>=h9><1<7*>688230=i9?21<65`16694?"6>00:;85a17:95>=h9>91<7*>688230=i9?21>65`16094?"6>00:;85a17:97>=h9>;1<7*>688230=i9?21865`17d94?"6>00:;85a17:91>=h9?n1<7*>688230=i9?21:65`17a94?"6>00:;85a17:93>=e9h=1<7?50;2x 4?72130c5950;9~f7g=83?1<7>t$0;3>=7>o2:3:17d:k:188k40e2900qo?50;794?6|,83;65?4i4594?=n=90;66g:2;29?l2c2900c<8m:188yg4=83?1<7>t$0;3>=7>o2:3:17d:k:188k40e2900qo;50;794?6|,83;65?4i4594?=n=90;66g:2;29?l2c2900c<8m:188yg75290>6=4?{%3:4?>63`?<6=44i4294?=n=;0;66g;d;29?j71j3:17pl>d;291?6=8r.:5=471:k63?6=3`?;6=44i4094?=n6c83>>{e:00;684?:1y'5<6=081b9:4?::k64?6=3`?96=44i5f94?=h9?h1<75rb3d94?4=83:p(<7?:8g8m=d=831d=;?50;9~f67=8381<7>t$0;3>>7>5;h6g>5<5<5sW?>70=d1/=5j5609m5=e=>2wx954?:3y]1==:93?<7)?7d;42?k7?k3=0q~?9d;296~X6>m16>7?9b:&252z\22f=:93;=n6*>8e8230=i91i1;6s|16694?4|V8=?708b82?xu1:3:1>vP92:?1`?303-;3h78>;o3;g?6d2:1v<9>:181[70927:>7?9b:&240e3-;3h7?85:l252z\54>;5i3?<7)?7d;42?k7?k3;0q~;k:181[3c34;96894$0:g>375<5sW;<:63=d;35f>"60m0:;85a19a94>{t9>81<7h60j087p}:f;296~X2n27957;8;%3;`?063g;3o7<4}r7a>5<5sW?i70;5569'5=b=>81e=5m55:p50d=833p144:2:?1`?353ty9i7>59z?1e?2c34;18i522;6g?83=06<58n19=5228864>;493;==63=d;73?x{e:h81<7755;`x^4>e2;q:?7?6:|X7g?4|9<0:;7s+1829e3=K9h21=vF=c19~H4g>2mqe>hm51648 7b?2;k:7)h5kk0;7)281/>nk50:l1gd<63-8nn7:j;|k61?6=3`?=6=44i5;94?=n=k0;6)?99;7b?k7103:07d;6:18'53?==h1e=;651:9j1=<72-;=57;n;o355$04:>40b3g;=47>4;n35`?6=,8<26<8j;o355$04:>40b3g;=47<4;c794?3=83:p(<7?:938m01=831b9=4?::k66?6=3`>o6=44o04a>5<>7>5;h6g>5<o6=44o04a>5<{t<00;69uQ489>1?3534819?521;71?xu213:1>vP:9:?1>01<,82o68o4n0:`>4=z{<21<75<5sW;=j63::04a?!7?l3;=i6`>8b83?xu6>j0;6?uQ17a894<6>k1/=5j517g8j4>d2;1v8l50;0xZ0d<5<0>;6*>8e86e>h60j0;7p}>0;290~;22<:01?4:0:?2>06<58;1=;?4}rg94?2|5<0?h63=:5f894<3l27m6<8>;|a6`1=83?1<7>t$0;3>=7>o2:3:17d:k:188k40e2900qo5<832:7d;8:188m06=831b9?4?::k7`?6=3f;=n7>5;|`1e<<72<0;6=u+1829<4=n=>0;66g:0;29?l352900e9j50;9l53d=831vn?6::18b><{n=80;66g>5e83>>o6=l0;66g5;n60>5<5<4290;w)?60;36g>J6i00:wc5b9O5d?=9rd9in4i5:j5;n355?6=3th:5:4?:487>=}#90:1485C1`:96~"?i383:6F=c19~H4g>2;q/>hl54g9m6`e=nh1ve9j50;9j12<722c:;:4?::k291871>J6i00:wc5<7s-;2<7::;M3b=?7|f;oh65=4}h:`>5<13E;j47<@;i;7pB>a881!4bj3>m7c4}i:li1?>5ro9594?=zj83:6=4::183!7>83297A?n9;3xj7cd2:<0qd;8:188m04=831b=;o50;9j0a<722e::o4?::p0a<72;qU8i5218390a=z{<=1<7k16=4?517`8yv7f;3:1?v3n2;:4?87>93?970?61;35e>{z{=n1<753z\232=:1o03o63n0;:`?xu60h0;6?uQ19c89<`=0m1v:9a8yv7f=3:1>v3n1;355>;6180>;6s|1`494?4|5h:1=;?4=`3951;294~"6190:5?5C1`;95~h5mj0:<<5ro045>5<53;294~"6190?86B>a882k4bk3;;86sf8b83>>o?l3:17b?91;29?xd61k0;6>4?:1y'5<6=<=1G=l751zl1af<6801ve5m50;9jt$0;3>125<5290;w)?60;;f?I7f13;pb?kl:030?xo?j3:17b?91;29?xd61l0;684?:1y'5<6=<11G=l751zl1af<69>1ve5m50;9j5;h;;>5<5<5sW?:70?67;6g?xu6=m0;6;uQ14f894?221i01<7n:9f894?e21n01<7l:9f894?c21h0q~:>:181[2634;2o7?91:p7c<72;qU?k5218c953786=4={_60?87>j3;==6s|14g94?5|V8?n70?65;:g?87>m32h7p}>5g83>7}Y90:;:5218g953752z?2=36c9~w4g62909w0?67;74?87>13;=:6s|1`094?4|583<6<6n;<3:`?7192wx=l=50;0x94?228<:70?66;:g?x{e:l>1<7:52;7x 4?72h?0@dg<,;n36?o>;%0g5?303-8o?7?9b:&1gc<2=2d9oo4>;%0g4?6>o60l0;66a>6c83>>d6j;0;6>4=:5y'5<6=9k80e<6j:188m01=831d=lh50;9a5g7=8391<7>t$0;3>12>i6>80;66sm1c294?4=83:p(<7?:8g8m=d=831d=;?50;9~w4ga2909wS?nf:?2f4<6>81v<6j:181[7?m27:n=47b:p12<72;qU9:521c39d34;i<7?91:~f4<72:0;6=u+18293c=n=>0;66g;d;29?j71j3:17p}:5;296~X2=27:69j4}r74>5<5sW?<70?m2;74?xu6>k0;6?uQ17`894<6>k1v<6j:181[7?m27:n?4>8d9~w4ga2909w0?m2;3bb>;62<=0qpl=e283>1<729q/=4>5819j12<722c>>7>5;h6g>5<1mvT>8c814c=:=0vV9m52z03>74=u-;2<7o7;M3ba88:k4bk3i:7)"5l80>;6*=d2822g=#:jl1985a2b`95>"5l90;7c4;h7a>5<#9?319n5a17:95>=n=00;6)?99;7`?k7103807d;7:18'53?==j1e=;653:9l527=83.::44>719m53>=821d=;h50;&22<<6?91e=;651:9l53b=83.::44>719m53>=:21d=;m50;&22<<6?91e=;653:9a57<72=0;6=u+1829<5=n=>0;66g:2;29?l2c2900c<8m:188yg3=83>1<7>t$0;3>=6>o3l3:17b?9b;29?xd6290?6=4?{%3:4?>73`?<6=44i4094?=n6c83>>{e:3:187>50z&2=5;7>5;h71>5<o7p};9;291~X3127:>7;=;<7917=:93?970<5539~w0?=838pR874=3863>"60m0>o6`>8b81?xu203:1>vP:8:?2>01<,82o68m4n0:`>6=z{8;528h60j097p}>6g83>7}Y9?l0184>6c9'5=b=9>:0b<6l:09~w40d2909wS?9c:?2>40e3-;3h7?80:l2n7>52z\6f>;22<=0(<6k:4a8j4>d281v8j50;0xZ0b<58819:5+19f91f=i91i1<6s|16394?4|V8=:70?=:04a?!7?l3;<<6`>8b83?x{e;991<7;50;2x 4?721;0e8950;9j15<722c>>7>5;h6g>5<918;5>o2?3:17d;?:188m04=831b8i4?::m22g<722wi?=?50;794?6|,83;65?4i4594?=n=90;66g:2;29?l2c2900c<8m:188yg5783:197>50z&2=5;7>5;h73>5<>i6>k0;66sm2gd94?3=83:p(<7?:938m01=831b9=4?::k66?6=3`>o6=44o04a>5<55;294~"61903=6g:7;29?l372900e8<50;9j0a<722e::o4?::a6cb=83?1<7>t$0;3>=7>o2:3:17d:k:188k40e2900qo6c83>>{e:k21<7:50;2x 4?72190e8950;9j53g=831b8i4?::m22g<722wi>ol50;697?0|,83;6l74L0c;>4}O:j:0qA?n9;;xj7cd2ml0(?j7:3c2?!4c93?<7)"5ko0>96`=cc82?!4c83:0b?ml:09'6`d=5;n35f?6=3k;i97>53;294~"6190?86g7c;29?l>c2900c<8>:188yg7e:3:1?7<54z&2=5<6j;1b8l4?::k63?6=3f;jj7>5;c3a5?6=;3:191870>o?k3:17d6k:188k4062900qo?m0;296?6=8r.:5=46e:k;f?6=3f;==7>5;|q2ec<72;qU=lh4=0`2>4063ty?m7>52z\7e>;6j803o6s|5683>7}Y=>16=o>58c9~w4272909w0?m1;:g?87e83;==6srb083>0<729q/=4>5839j12<722c>>7>5;h35e?6=3`>o6=44o04a>5<6=4={_76?87=65j4=0`1>01<580>;6s|17`94?4|V8d34;i>7:n;|q2ec<72;q6=o<51`d894<2:2wx=o:50;0x94d228<:70?517c8yxd4990;684?:1y'5<6=081b9:4?::k64?6=3`?96=44i5f94?=h9?h1<75rbbf94?7>210:5vT>8c816<403wQ8n4={5802?{#90:1o=5C1`:95~N5k91v@30(?j7:3a0?!4c93<87)"5l=0:;45a2d496>h49?037)2?k0b<87:198m3?=83.::449a:l22=<632c=47>5$04:>3g00=m6`>6980?>o1>3:1(<86:7c8j40?2=10e;;50;&22<<1i2d::54:;:k43?6=,8<26;o4n04;>3=<1<7*>6885e>h6>10<76g85;29 40>2?k0b<87:998m22=83.::449a:l22=<>32c5$04:>3g00=m6`>698a?>o083:1(<86:7c8j40?2j10e;h50;&22<<1i2d::54k;:k5`?6=,8<26;o4n04;>`=1<7*>6885e>h6>10m76a>8983>!7113;3;6`>6983?>i60?0;6)?99;3;3>h6>10:76a>8483>!7113;3;6`>6981?>i60=0;6)?99;3;3>h6>10876a>8283>!7113;3;6`>6987?>i6080;6)?99;3;3>h6>10>76a>7g83>!7113;3;6`>6985?>i6?l0;6)?99;3;3>h6>10<76l6d;290?7={78~^1e=9r=1q)?60;`4?I7f03;pD?m?;|N2e<<1s-8o478g9'6a2=9>30b?k9:39m740=92wb=5h50;9j2a<72-;=578l;o355<83>?7d6l:188m=b=831d=;?50;9~f41f29096=4?{%3:4??b3`2i6=44o042>5<53;294~"6190?86g7c;29?l>c2900c<8>:188yv7013:1>vP>789>52b=9?;0q~?7f;297~X60o16=:m58b9>52g=0k1v;j50;0xZ3b<58=h65j4}r47>5<5sWi1=;?4}r376?6=:r7:;l4>609>52d=0j1v<:<:181870l32o70?8b;355>{z{8=26=4={_34=>;6000:;45rs0:e>5<5sW;3j63>88821<7>2?>0(<6k:7a8j4>d281v;j50;0xZ3b<58226;j4$0:g>3e5<3280?wW?7b;3x2?{]g0"5l=0:;45a2d496>h49?0:7pg>8g83>>o1l3:1(<86:7a8j40?2910e;:50;&22<<1k2d::54>;:m23<<722h:444?:587>2}#90:1=574i0:e>5<>i6?00;66l>7e83>6<729q/=4>51708m=e=831b4i4?::m224<722wi=:m50;194?6|,83;69:4i9a94?=n0m0;66a>6083>>{e9>k1<7<50;2x 4?720o0e5l50;9l537=831vn<9m:180>5<7s-;2<7:;;h:`>5<;6?m0::<5rs0:e>5<4sW;3j63>7b8;g>;6?h03n6s|6e83>7}Y>m16=:m58e9~w32=838pR;:4=05a>=b52z?23a609~w4222909w0?8a;355>;6?k03o6s|15494?4|58=o65j4=05a>4063twx=:750;0xZ41>34;357?89:p5=`=838pR<6i;<3;=?7?n2wx:94?:3y]21=:9131:95+19f92f=i91i1=6s|6e83>7}Y>m16=5756e9'5=b=>j1e=5m50:~f1=7:tZ0:a>4}12tP?o7?t7;'5<6=j<1G=l651zJ1g5=zD8k26;u+2e:96f5<,;n:6;=4$3f6>4>a3-8o87?89:l1a3<53g9::7?4}h3;b?6=3`!7113889j5=`=831b:i4?::k50?6=3f;<57>5;c34`?6=;3:1918227=n0j0;66g7d;29?j7193:17pl>7b83>6<729q/=4>5459j5;n355?6=3th:;l4?:383>5}#90:15h5f8c83>>i6>80;66sm16`94?5=83:p(<7?:568m=e=831b4i4?::m224<722wx=:750;0xZ41>34;d34;7b8;`>{t>=0;6?uQ659>52d=0m1v<:8:181870l32h70?8c;355>{t9=21<740634;52d=9?;0qp}>7883>7}Y9>301<66:05:?xu60o0;6?uQ19d894>>282m7p}94;296~X1<27:44494:&2;|q5`?6=:rT=h63>8885`>"60m0=o6`>8b83?x{e1h0;694>:5yY5=d=9r<1qW:l:0y4>x"6190i86B>a982M4d82wG=l756z&1`=<5k:1/>i?5629'6a3=91l0(?j;:05:?k4b>380b>?9:09~m4>a2900e;j50;&22<<1k2d::54?;:k50?6=,8<26;m4n04;>4=5<32=0o60o0;66g9d;29?l032900c<96:188f41c29086=4?{%3:4?71:2c3o7>5;h:g>5<91870>o?k3:17d6k:188k4062900qo?8a;296?6=8r.:5=46e:k;f?6=3f;==7>5;|`23g<72:0;6=u+182901=n0j0;66g7d;29?j7193:17p}>7883>7}Y9>301<9k:042?xu60o0;6>uQ19d8941d21i01<9n:9`8yv0c2909wS8k;<34g?>c3ty=87>52z\50>;6?k03h6s|15c94?4|58=o65m4=05`>4063ty:8o4?:3y>52g=9?;01<9m:9a8yv73k3:1>v3>7e8;`>;6?k0::<5r}r34=?6=:rT:;45219;952?52z\25<5sW54;390~\60k0:w;4rZ5a95~1=u-;2<7l<;M3ba885!4c038h?6*=d0857>"5l<0:4k5+2e6952?{n91l1<75f6e83>!7113=921d=:750;9a5=?=83>1879t$0;3>4>>3`;3j7>5;h4g>5<1<75`16;94?=e9>n1<7=50;2x 4?728<97d6l:188m=b=831d=;?50;9~f41d29086=4?{%3:4?233`2h6=44i9f94?=h9?;1<75rb05b>5<5290;w)?60;;f?l>e2900c<8>:188yg70j3:1?7>50z&2=5<3<2c3o7>5;h:g>5<53z\2i14n5216c952e=9?;0q~?;e;296~;6?h0::<5216`9m6=4={<34`?>c34;2909wS?89:?2<<<6?01v<6i:181[7?n27:444>8g9~w32=838pR;:4=0::>32<,82o6;m4n0:`>4=z{?n1<7>2?n0(<6k:7a8j4>d291vqo6j:187>4<3sS;3n7?t6;Y0f<6s>0v(<7?:c08H4g?28qC>n>4}M3b=?0|,;n36?m<;%0g5?043-8o97?7f:&1`1<6?01e>h852:l053<63tc:4k4?::k5`?6=,8<26;m4n04;>5=1<7*>6885g>h6>10:76a>7883>>d6000;694;:6y'5<6=9130e<6i:188m3b=831b:94?::m23<<722h:;i4?:283>5}#90:1=;<4i9a94?=n0m0;66a>6083>>{e9>i1<7=50;2x 4?72=>0e5m50;9jt$0;3>83>?7d6l:188m=b=831d=;?50;9~w41>2909wS?89:?23a<6>81v<6i:180[7?n27:;n47c:?23di14i5rs7694?4|V?>01<9m:9f8yv7283:1>v3>7e8;g>;6?j0::<5rs072>5<5s4;31<7{t>=0;6?uQ659>5=?=>=1/=5j56b9m5=e=92wx:i4?:3y]2a=:9131:i5+19f92f=i91i1<6srb9f94?2=93>pV<6m:0y5>x\3k3;p;7s+1829f4=K9h21=vF=c19~H4g>2?q/>i652b18 7b62?90(?j::0:e?!4c<3;<56`=e781?k56>3;0qd?7f;29?l0c290/=;756b9m53>=821b:94?:%35=?0d3g;=47?4;n34=?6=3k;357>54;693~"6190:445f19d94?=n>m0;66g94;29?j7013:17o?8d;297?6=8r.:5=4>639j5;n355?6=3th:;n4?:283>5}#90:1895f8b83>>o?l3:17b?91;29?xd6?h0;6?4?:1y'5<6=1l1b4o4?::m224<722wi=:l50;194?6|,83;69:4i9a94?=n0m0;66a>6083>>{t9>31<7d34;5483>7}:9>n14i5216`95374>a3ty=87>52z\50>;6000=86*>8e85g>h60j0:7p}9d;296~X1l27:4449d:&2h6{I0`4>{K9h31:v*=d981g6=#:m;1:>5+2e795=`<,;n?6<96;o0f2?45<6885g>h6>10;76g94;29 40>2?i0b<87:098k41>2900n<66:187>1<0s-;2<7?79:k25;h47>5<5<4290;w)?60;356>o?k3:17d6k:188k4062900qo?8c;297?6=8r.:5=4;4:k;g?6=3`2o6=44o042>5<52;294~"61902i6g7b;29?j7193:17pl>7c83>6<729q/=4>5459j5;n355?6=3ty:;44?:3y]52?<58=o6<8>;|q2=e<58=j65l4}r4g>5<5sWvP94:?23g0;6?u216c9537<58=i65m4}r3681vq~?89;296~X6?016=57516;8yv7?n3:1>vP>8g9>5=?=91l0q~8;:181[0334;3578;;%3;`?0d3g;3o7?4}r4g>5<5sW3:1>vP96:?:e?0c3-;3h78n;o3;g?259z\2m1/=5j56`9m5=e=:2wx;84?:3y]30=:0j0=86*>8e85e>h60j037p}99;296~X11273h78k;%3;`?0f3g;3o7?4}r3;5?6=:rT:4<529c823<=#91n1=594n0:`>0=z{8236=4={_3;<>;?k3;<56*>8e82<2=i91i1<6s|16g94?4|V8=n707k:05:?!7?l3;3;6`>8b84?xu1<3:1>vP94:?:`?033-;3h78n;o3;g?`52z\2<3=:0m0:;45+19f95=1:014o5659'5=b=>h1e=5m5c:p33<72;qU;;529e85`>"60m0=m6`>8b84?xu0<3:1>vP84:?;`?033-;3h78n;o3;g??52z\2<0=:0l0:;45+19f95=1=014m56e9'5=b=>h1e=5m56:p52`=838pR<9i;<;`>41>3-;3h7?77:l252z\53>;>03789'5=b=91=0b<6l:59~w3b=838pR;j4=8a921=#91n1:l5a19a9a>{t>k0;6?uQ6c9>52z\5b>;>j3789'5=b=91=0b<6l:29~w27=838pR:?4=8:921=#91n1:l5a19a9f>{t><0;6?uQ649>=g<1l2.:4i49a:l252z\47>;?m30<729q/=4>5859j12<722c><7>5;h35e?6=3`>o6=44o04a>5<55;294~"61903=6g:7;29?l372900e8<50;9j0a<722e::o4?::a6<6=83?1<7>t$0;3>=7>o2:3:17d:k:188k40e2900qo7?:180>5<7s-;2<7:m;h:a>5<o1<75`17394?=zj;o26=4=:183!7>833n7d6m:188k4062900qo7>:180>5<7s-;2<7:m;h:a>5<o1<75`17394?=zj0?1<7=50;2x 4?72=h0e5l50;9j3`<722e::<4?::a=7<72:0;6=u+18290g=n0k0;66g8e;29?j7193:17pl7f;297?6=8r.:5=4;b:k;f?6=3`=n6=44o042>5<83>i7d6m:188m2c=831d=;?50;9~f<2=8391<7>t$0;3>1d>i6>80;66s|28594?0|V;3<70mn:7f89f2=>=16on494:?``?03342m6<8>;%3;`?4>m2d:4n48;|q1==<72464=b7921=:kj0=h63ld;4g?8?728<:7)?7d;0:a>h60j0=7p}=9883>0}Y:0301n85659>gf<1n27hh78i;<;2>4063-;3h7<6e:l2;>:3;==6*>8e81=`=i91i186s|28`94?3|V;3i70mm:7f89f>=>=16oi481:?:7?7192.:4i4=9d9m5=e=;2wx>4m50;1xZ7?d34io6:=4=869537<,82o6?7j;o3;g?454z\1=a=:k00=863ld;57?8?228<:7)?7d;0:a>h60j0:7p}=9g83>6}Y:0l0148517389fb=?<1/=5j528g8j4>d291v?6n:181[4?i279484;1:p6=d=838pR?6m;<0;1?243ty9j=4?:3y]6c6<5;h<6?h?;|q1g3<72:qU>n84=3a6>=b<5;2>6<;k;|q1<<<72;qU>574=3:6>6`55z\1=6=::=81>4=4=311>7?434;om7<63:?1`24?50;0xZ7?6343<6<8>;|q1g1<72;qU>n:4=3a6>4063ty9o:4?:2y]6f1<5;i>65k4=3:6>43b3ty9m=4?:7y]6d6<5;>96?o?;<00a?4f8279nk4=a19>6d4==?16>h758c9~w7e?2909wS:279nk4=939>g`<1l2wx>hk50;4xZ7cb3483=7o952dg89fg=>=16>ho517`8yv7b=3:1>v3lc;34a>;5i;0>46*>8e82a2=i91i1>6s|17294?c|V8<;70=>2;6g?84f?3>o70;5lh03n63=c48;g>;5m>0?h63=e`87`>;5ih0?h63=a887`>;4990?h63<1087`>;50o0?h63=9187`>{t:h21<7=t^3c;?8eb2?>01?6::438yv4bn3:1?vP=eg9>63?=:ll01?=n:3ge?xu5n80;6?uQ2g389ol517`8yv4?:3:1>vP=839>6=3=;l1v?6<:181[4?;279484;0:p6=2=838pR?6;;<0;1?253ty9o<4?:3y]6f7<5;i96<8>;|q1f=<72;q65447b:?1f=<6>k1v?h;:180840i38m863=fd863>;5nm0::o5+19f96cg5<3s48<876c9>g3<1l2wx?=750;1x94b22::270=?4;346>;dl3<<7)?7d;13g>h60j087p}=e283>0}:9o=1>h=4=0fb>7c4348n87?7e:?1a6<6>k16?5m4=3f`>=e<5;2o6<8>;|q04`<72;q6?=h5173897df21i0q~7`f3g;3o7?4}r0f0?6=0r7:j:4=e59>5f1=0j16=ok58b9>5f6=0j16=n<58b9>5f3=0j16>5j58e9>6`2=9?h0q~<60;291~;5?h095=52d585b>;d=31}::lo4=22e>=b<5j31:i522`c953d517y>744==;16>l95539>6a1=9?;01?o=:5;897c02<801?kn:04b?84fi3?970;50o0>>63=91866>{t:1l1<7:t=3:2>7>a34n?6;j4=b692a=::1l1=;l4}r124?6=;r794i47c:?1a1<2?278==4>6c9~w7be290nw0;5m=0>963=e287`>;5lm0>963<0287`>;48;0?h63<0087`>;4890?h63=fg87`>;5nl0?h63=fe87`>;5nj0?h63=b987`>;5jk0>96s|2c694?4|5;h<6?l;;<0eg?303ty8=?4?:5y>63c=;8801?:n:9`8967528a68;3>;>?32i70=>1;74?xu5n<0;6>u226696c3<5;lm6894=3df>40e3-;3h75f3=9?;01?jk:4f8 4>c28i87c?7c;28yv4f;3:1?v3=8081e6=:kj0<<63=a3822f=#91n1>l;4n0:`>7=z{;>m6=48{<064?71927h57?7f:?`9l50;0x9a2=9>301n=5659'5=b=:=i0b<6l:09~w6762908w0<:7;125>;48o03o63<10822g=z{;k?6=4<{<04e?4f<27ho79>;<0b6?71l2.:4i4=a49m5=e=92wx=oj50;0x94db28<:708b80?xu6k80;6?u21b09537<5;no68l4$0:g>4e43g;3o7?4}r065?6=:r799?4>609>gf<60o1v?hm:181842?38mn63<02822g=#91n1>ko4n0:`>5=z{8l:6=4={<130?0534io6<67;%3;`?7a82d:4n4?;|q2a3<72;q6on4>7g9>6d4==01/=5j51d58j4>d281v?ll:1825~;50809nn5226c96ge<5;=?6?ll;<05a?4ek279:44=bb9>637=:ki01?;l:3``?842?38io63=bg81ff=:1?0o014;57d9>=7<0m273j79j;<;0>2c<50>1;h5rs3c:>5<3s48=57k1v{t:0<1<7;t^3;5?847>382:63=0381=3=:9oo1>484=0d:>=b<,82o6?7:;o3;g?652z?2`f<6>816?<>5519~w66?2908w0?k5;13<>;48=0:;<52ce852>"60m08{t:k31<7406343<6:k4}r0;a?6=:r79hn47d:?`a?7012wx=n850;;x94e028<:70=?3;73?857:3?;70=?1;73?85783?;70c983>7}:9m?1=n64=3`;>0152z?`0?7012794k4:7:p5a0=838p1n=516;897de2<=0q~?j3;296~;di3;<563=e`863>{t9mo1<7609>752==<16>l<5549~w4c32909w0mm:05:?84b?3?<7p}>dg83>7}::h=19:52c7823<=z{;o<6=4;{<05=?4b?279?l4=e69>gg<1<279i:4>6c9~w7222909w0<;6;355>;48=0>:6s|1d294?4|5:;96894=b5952?52z?`{t:o<1<7=t=34f>7`1349;<7;8;<0eb?71j2.:4i4=f`9m5=e=<2wx=k650;7x97>628l370<99;3e<>;6n00::<52cc825<5s4;m?7?91:?1a6<2?2wx=k:50;1x975528l?70?i7;3e0>;6l<0:j95rs0db>5::51gc8970b28lj70<99;3ee>;5>80:jl5224a95cg<5;?<6e34;mi7?ia:?2`f8b81?xu59>0;6?u220`9641<58n>6??8;|q2bc<72hq6>5?51gd8971f28lm70<84;3eb>;5>l0:jk5227;95c`<5;<:6fg9>606=0k16>=<51gd8yv4fj3:1>v3=8081eg=:0o03n6*>8e81f7=i91i1;6s|20a94?4|5;;m6??l;<3g1?46k2wx>==50;4x97>62;:870<8a;037>;5?=09<>5227;9655<5;?965l4=325>7643ty9j>4?:2y>6=7=:o901?hk:45897`d28h60j0<7p}=2183>7}::;>1>?>4=0f6>7473ty:nk4?:3y>5f6=9?;01?jk:4;8 4>c28i87c?7c;08yv4313:1>v3=4`8224=:100=m50;0x97762;:h70?k5;03g>{t:==1<740634in6<6i;|q16<<72;q6>?m523;894b22;827p}=1383>7}::8<1><<4=0f6>7753ty98>4?:3y>612=9?;01nj519d8yv72i3:1>v3>a`8223=:;8:19:5rs31e>5<5s48?:76m;<076?44n2wx>?j50;0x974b28<:70?k5;01`>{t;9=1<7=t=0f6>660349;87?9f:?``?023-;3h7=?c:l2637=:o201>>=:458966628h60j097p}=a783>6}::>>1>l84=ba936=::h81=;h4$0:g>7g23g;3o7>4}r01b?6=:r798h47b:?177<5:o1v?k=:18a8e52>n01?;5:=09i?5220d96`4<5;;i6?k=;<022?4b:279=<4=e39>5c5=1116>ij51638 4>c2;o:7c?7c;28yv57=3:1?v3>d48040=:;9>1=;m4=bf933=#91n1?=m4n0:`>2=z{::i6=4<{<3g1?57j278<94>759>ga<112.:4i4<0b9m5=e=92wx>>=50;0x97512;98705<4s48=576c9'5=b=:ok0b<6l:29~w7d42909w0<:7;0a7>;>>32i7)?7d;0a6>h60j0;7p}=3683>7}:::k1>>94=3`b>=b52z?041<2m27hh7?74:&28b85?xu48m0;6>u21e7975b<5::?6<99;3d<,82o6>>l;o3;g?652z?17`<5;k16=im58e9~w7?3290>wS<64:?143<51=16>=<5286894`b2;3?70?i9;:`?!7?l38296`>8b82?xu6mj0;6?u231691a=:km0:4>5+19f95c65<5s4i96<6>;<3`6?>c3-;3h760e=:k;014;58c9'5=b=:k80b<6l:09~w7ba290iw0m=:6c8974b21i01?ih4=33a>7ba348::76ab=9?n0(<6k:3g2?k7?k380q~?jb;296~;48=0>n63ld;3;5>"60m0:j=5a19a91>{t:ho1<77gb343965l4$0:g>7d53g;3o7:4}r0ga?6==r7o878;;2?<58l865m4=0a4>=b<5;no6<8l;%3;`?4b92d:4n4<;|q1a5<72kq6o?48b:?16`n4=e19>67>=:l:01?<;:3g3?846n38n<63=1c81a5=::8<1>h>4=332>7c734;m?76j;<0g`?71n2.:4i4=e09m5=e=92wx=ho50;0x96632<301nj516d8 4>c28l;7c?7c;48yv4703:1>v3l2;34b>;6k903h6*>8e814d=i91i1>6s|2c294?4|5;<:6?l?;<;7>=d<,82o6?l=;o3;g?452z?041<1827hh7?76:&2>;:4:89fb=9>o0(<6k:0d3?k7?k3=0q~"60m09n?5a19a91>{t:9h1<765j4$0:g>76f3g;3o7>4}r07`?6=:r798h4>609>g6<60o1v8b83?xu58>0;6?u2c3823`=:9ko14i5+19f965g5rs3ce>5<5s48=57e3-;3h7744==916>l95519>6`1==916>ho5519>6dg==916>l75519>6=`==916>4>5519>6`?=9?;0qpsr}AB@5ok}ABA5{GHYqvLM \ No newline at end of file diff --git a/spi6502b.pnx b/spi6502b.pnx index b04911f..4b243e9 100644 --- a/spi6502b.pnx +++ b/spi6502b.pnx @@ -15,4 +15,4 @@ sr (SLOW|FAST|slow|fast) "SLOW" dir (BIDIR|bidir|INPUT|input|OUTPUT|output) "BIDIR"> ]> - + diff --git a/spi6502b.prj b/spi6502b.prj index dcf3158..7d4ebfb 100644 --- a/spi6502b.prj +++ b/spi6502b.prj @@ -1 +1,2 @@ +vhdl work address_decoder.vhf vhdl work SPI6502B1.1.vhd diff --git a/spi6502b.rpt b/spi6502b.rpt index 8088d76..7880cd0 100644 --- a/spi6502b.rpt +++ b/spi6502b.rpt @@ -1,7 +1,7 @@ cpldfit: version G.38 Xilinx Inc. Fitter Report -Design Name: spi6502b Date: 5- 6-2017, 5:47PM +Design Name: spi6502b Date: 5-11-2017, 2:09AM Device Used: XC9572XL-10-PC44 Fitting Status: Successful @@ -9,38 +9,38 @@ Fitting Status: Successful Macrocells Product Terms Registers Pins Function Block Used Used Used Used Inputs Used -50 /72 ( 69%) 202 /360 ( 56%) 37 /72 ( 51%) 23 /34 ( 68%) 106/216 ( 49%) +56 /72 ( 78%) 209 /360 ( 58%) 38 /72 ( 53%) 32 /34 ( 94%) 116/216 ( 54%) PIN RESOURCES: Signal Type Required Mapped | Pin Type Used Remaining ------------------------------------|--------------------------------------- -Input : 10 10 | I/O : 19 9 -Output : 5 5 | GCK/IO : 3 0 -Bidirectional : 8 8 | GTS/IO : 1 1 -GCK : 0 0 | GSR/IO : 0 1 +Input : 13 13 | I/O : 26 2 +Output : 10 10 | GCK/IO : 3 0 +Bidirectional : 8 8 | GTS/IO : 2 0 +GCK : 1 1 | GSR/IO : 1 0 GTS : 0 0 | GSR : 0 0 | ---- ---- - Total 23 23 + Total 32 32 MACROCELL RESOURCES: Total Macrocells Available 72 -Registered Macrocells 37 -Non-registered Macrocell driving I/O 10 +Registered Macrocells 38 +Non-registered Macrocell driving I/O 15 GLOBAL RESOURCES: -Global clock net(s) unused. +Signal 'extclk' mapped onto global clock net GCK2. Global output enable net(s) unused. Global set/reset net(s) unused. POWER DATA: -There are 50 macrocells in high performance mode (MCHP). +There are 56 macrocells in high performance mode (MCHP). There are 0 macrocells in low power mode (MCLP). -There are a total of 50 macrocells used (MC). +There are a total of 56 macrocells used (MC). End of Resource Summary *************** Summary of Required Resources ****************** @@ -48,68 +48,78 @@ End of Resource Summary ** LOGIC ** Signal Total Signals Loc Pwr Slew Pin Pin Pin Reg Init Name Pt Used Mode Rate # Type Use State -$OpTx$INV$22__$INT 3 5 FB2_6 STD 37 I/O (b) -cpha 5 8 FB1_18 STD (b) (b) RESET -cpol 5 8 FB1_16 STD (b) (b) RESET -cpu_Nirq 1 1 FB3_9 STD FAST 14 I/O O -cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST 2 4 FB4_1 STD (b) (b) -cpu_d<0> 5 10 FB1_5 STD FAST 2 I/O I/O -cpu_d<1> 4 9 FB1_6 STD FAST 3 I/O I/O -cpu_d<2> 4 9 FB1_8 STD FAST 4 I/O I/O -cpu_d<3> 3 8 FB1_15 STD FAST 8 I/O I/O -cpu_d<4> 5 10 FB1_17 STD FAST 9 I/O I/O -cpu_d<5> 4 9 FB3_2 STD FAST 11 I/O I/O -cpu_d<6> 3 8 FB3_5 STD FAST 12 I/O I/O -cpu_d<7> 3 8 FB3_8 STD FAST 13 I/O I/O -divisor<0> 5 8 FB1_14 STD 7 GCK/I/O I RESET -divisor<1> 5 8 FB1_13 STD (b) (b) RESET -divisor<2> 5 8 FB1_12 STD (b) (b) RESET -ece 5 8 FB1_11 STD 6 GCK/I/O I RESET -frx 5 8 FB1_10 STD (b) (b) RESET -ier 5 8 FB4_9 STD (b) (b) RESET +$OpTx$INV$24__$INT 3 5 FB2_6 STD 37 I/O I +add_dec/XLXN_11 1 4 FB3_17 STD 22 I/O I RESET +b10 1 2 FB4_8 STD FAST 27 I/O O +b8 1 2 FB4_2 STD FAST 25 I/O O +b9 1 2 FB4_5 STD FAST 26 I/O O +cpha 5 7 FB1_18 STD (b) (b) RESET +cpol 5 7 FB1_16 STD (b) (b) RESET +cpu_Nirq 1 1 FB2_9 STD FAST 39 GSR/I/O O +cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST 2 4 FB3_18 STD (b) (b) +cpu_d<0> 5 9 FB1_5 STD FAST 2 I/O I/O +cpu_d<1> 4 8 FB1_6 STD FAST 3 I/O I/O +cpu_d<2> 4 8 FB1_8 STD FAST 4 I/O I/O +cpu_d<3> 3 7 FB1_15 STD FAST 8 I/O I/O +cpu_d<4> 5 9 FB1_17 STD FAST 9 I/O I/O +cpu_d<5> 4 8 FB3_2 STD FAST 11 I/O I/O +cpu_d<6> 3 7 FB3_5 STD FAST 12 I/O I/O +cpu_d<7> 3 7 FB3_8 STD FAST 13 I/O I/O +divisor<0> 5 7 FB1_14 STD 7 GCK/I/O I RESET +divisor<1> 5 7 FB1_13 STD (b) (b) RESET +divisor<2> 5 7 FB1_12 STD (b) (b) RESET +ece 5 7 FB1_11 STD 6 GCK/I/O GCK/I RESET +frx 5 7 FB1_10 STD (b) (b) RESET +ier 5 7 FB4_18 STD (b) (b) RESET led 1 3 FB4_14 STD FAST 29 I/O O -shiftcnt<0> 3 4 FB2_5 STD 36 I/O (b) RESET -shiftcnt<1> 4 5 FB2_17 STD 44 I/O I RESET -shiftcnt<2> 4 6 FB2_16 STD (b) (b) RESET -shiftcnt<3> 4 7 FB2_15 STD 43 I/O (b) RESET -shiftdone 3 6 FB2_4 STD (b) (b) RESET +ng 1 3 FB3_15 STD FAST 20 I/O O +noe 2 3 FB3_9 STD FAST 14 I/O O +shiftcnt<0> 3 4 FB2_5 STD 36 I/O I RESET +shiftcnt<1> 4 5 FB4_16 STD (b) (b) RESET +shiftcnt<2> 4 6 FB4_15 STD 33 I/O (b) RESET +shiftcnt<3> 4 7 FB4_13 STD (b) (b) RESET +shiftdone 3 6 FB4_1 STD (b) (b) RESET shifting2 2 3 FB2_3 STD (b) (b) RESET -slaveinten 5 8 FB1_9 STD 5 GCK/I/O I RESET -spi_Nsel 5 8 FB4_11 STD FAST 28 I/O O RESET +slaveinten 5 7 FB1_9 STD 5 GCK/I/O I RESET +spi_Nsel 5 7 FB4_11 STD FAST 28 I/O O RESET spi_mosi 11 16 FB2_2 STD FAST 35 I/O O RESET spi_sclk 6 7 FB4_17 STD FAST 34 I/O O RESET -spidatain<0> 4 6 FB2_14 STD 42 GTS/I/O I RESET -spidatain<1> 4 5 FB2_13 STD (b) (b) RESET -spidatain<2> 4 5 FB2_12 STD (b) (b) RESET -spidatain<3> 4 5 FB2_11 STD 40 GTS/I/O (b) RESET -spidatain<4> 4 5 FB2_10 STD (b) (b) RESET -spidatain<5> 4 5 FB2_9 STD 39 GSR/I/O (b) RESET -spidatain<6> 4 5 FB2_8 STD 38 I/O (b) RESET +spidatain<0> 4 6 FB4_12 STD (b) (b) RESET +spidatain<1> 4 5 FB4_10 STD (b) (b) RESET +spidatain<2> 4 5 FB2_13 STD (b) (b) RESET +spidatain<3> 4 5 FB2_12 STD (b) (b) RESET +spidatain<4> 4 5 FB2_11 STD 40 GTS/I/O I RESET +spidatain<5> 4 5 FB2_10 STD (b) (b) RESET +spidatain<6> 4 5 FB2_8 STD 38 I/O I RESET spidatain<7> 4 5 FB2_7 STD (b) (b) RESET -spidataout<0> 4 8 FB1_4 STD (b) (b) RESET -spidataout<1> 4 8 FB1_3 STD (b) (b) RESET -spidataout<2> 4 8 FB1_2 STD 1 I/O (b) RESET -spidataout<3> 4 8 FB4_8 STD 27 I/O (b) RESET -spidataout<4> 4 8 FB4_7 STD (b) (b) RESET -spidataout<5> 4 8 FB4_6 STD (b) (b) RESET -spidataout<6> 4 8 FB4_5 STD 26 I/O (b) RESET -spidataout<7> 4 8 FB4_4 STD (b) (b) RESET -start_shifting 4 8 FB4_3 STD (b) (b) RESET +spidataout<0> 4 7 FB1_4 STD (b) (b) RESET +spidataout<1> 4 7 FB1_3 STD (b) (b) RESET +spidataout<2> 4 7 FB1_2 STD 1 I/O (b) RESET +spidataout<3> 4 7 FB1_1 STD (b) (b) RESET +spidataout<4> 4 7 FB4_9 STD (b) (b) RESET +spidataout<5> 4 7 FB4_7 STD (b) (b) RESET +spidataout<6> 4 7 FB4_6 STD (b) (b) RESET +spidataout<7> 4 7 FB4_4 STD (b) (b) RESET +start_shifting 4 7 FB4_3 STD (b) (b) RESET start_shifting/start_shifting_RSTF__$INT 1 2 FB2_18 STD (b) (b) -tc 3 5 FB4_2 STD 25 I/O (b) RESET -tmo 5 8 FB1_7 STD (b) (b) RESET +tc 3 4 FB2_4 STD (b) (b) RESET +tmo 5 7 FB1_7 STD (b) (b) RESET ** INPUTS ** Signal Loc Pin Pin Pin Name # Type Use Ncs2 FB3_11 18 I/O I +a10 FB2_8 38 I/O I +a8 FB2_5 36 I/O I +a9 FB2_6 37 I/O I cpu_Nphi2 FB1_9 5 GCK/I/O I cpu_Nres FB3_14 19 I/O I cpu_a<0> FB3_17 22 I/O I cpu_a<1> FB3_16 24 I/O I cpu_rnw FB1_14 7 GCK/I/O I -cs1 FB3_15 20 I/O I -extclk FB1_11 6 GCK/I/O I +extclk FB1_11 6 GCK/I/O GCK/I +nio_sel FB2_11 40 GTS/I/O I +nio_stb FB2_15 43 I/O I spi_int FB2_14 42 GTS/I/O I spi_miso FB2_17 44 I/O I @@ -118,18 +128,18 @@ End of Resources *********************Function Block Resource Summary*********************** Function # of FB Inputs Signals Total O/IO IO Block Macrocells Used Used Pt Used Req Avail -FB1 17 31 31 78 0/5 9 -FB2 17 30 30 67 1/0 9 -FB3 4 14 14 11 1/3 9 -FB4 12 31 31 46 3/0 7 +FB1 18 31 31 82 0/5 9 +FB2 13 31 31 48 2/0 9 +FB3 7 20 20 16 2/3 9 +FB4 18 34 34 63 6/0 7 ---- ----- ----- ----- - 50 202 5/8 34 + 56 209 10/8 34 *********************************** FB1 *********************************** Number of function block inputs used/remaining: 31/23 Number of signals used by logic mapping into function block: 31 Signal Total Imp Exp Unused Loc Pwr Pin Pin Pin Name Pt Pt Pt Pt Mode # Type Use -(unused) 0 0 0 5 FB1_1 (b) +spidataout<3> 4 0 0 1 FB1_1 STD (b) (b) spidataout<2> 4 0 0 1 FB1_2 STD 1 I/O (b) spidataout<1> 4 0 0 1 FB1_3 STD (b) (b) spidataout<0> 4 0 0 1 FB1_4 STD (b) (b) @@ -139,7 +149,7 @@ tmo 5 0 0 0 FB1_7 STD (b) (b) cpu_d<2> 4 0 0 1 FB1_8 STD 4 I/O I/O slaveinten 5 0 0 0 FB1_9 STD 5 GCK/I/O I frx 5 0 0 0 FB1_10 STD (b) (b) -ece 5 0 0 0 FB1_11 STD 6 GCK/I/O I +ece 5 0 0 0 FB1_11 STD 6 GCK/I/O GCK/I divisor<2> 5 0 0 0 FB1_12 STD (b) (b) divisor<1> 5 0 0 0 FB1_13 STD (b) (b) divisor<0> 5 0 0 0 FB1_14 STD 7 GCK/I/O I @@ -149,37 +159,38 @@ cpu_d<4> 5 0 0 0 FB1_17 STD 9 I/O I/O cpha 5 0 0 0 FB1_18 STD (b) (b) Signals Used by Logic in Function Block - 1: cpu_d<0>.PIN 12: cpu_a<1> 22: spi_int - 2: cpu_d<1>.PIN 13: cpu_rnw 23: spidatain<0> - 3: cpu_d<2>.PIN 14: cs1 24: spidatain<1> - 4: cpu_d<3>.PIN 15: divisor<0> 25: spidatain<2> - 5: cpu_d<4>.PIN 16: divisor<1> 26: spidatain<3> - 6: Ncs2 17: divisor<2> 27: spidatain<4> - 7: cpha 18: ece 28: spidataout<0> - 8: cpol 19: frx 29: spidataout<1> - 9: cpu_Nphi2 20: slaveinten 30: spidataout<2> - 10: cpu_Nres 21: spi_Nsel 31: tmo + 1: cpu_d<0>.PIN 12: cpu_a<1> 22: spidatain<0> + 2: cpu_d<1>.PIN 13: cpu_rnw 23: spidatain<1> + 3: cpu_d<2>.PIN 14: divisor<0> 24: spidatain<2> + 4: cpu_d<3>.PIN 15: divisor<1> 25: spidatain<3> + 5: cpu_d<4>.PIN 16: divisor<2> 26: spidatain<4> + 6: Ncs2 17: ece 27: spidataout<0> + 7: cpha 18: frx 28: spidataout<1> + 8: cpol 19: slaveinten 29: spidataout<2> + 9: cpu_Nphi2 20: spi_Nsel 30: spidataout<3> + 10: cpu_Nres 21: spi_int 31: tmo 11: cpu_a<0> Signal 1 2 3 4 Signals FB Name 0----+----0----+----0----+----0----+----0 Used Inputs -spidataout<2> ..X..X...XXXXX...............X.......... 8 8 -spidataout<1> .X...X...XXXXX..............X........... 8 8 -spidataout<0> X....X...XXXXX.............X............ 8 8 -cpu_d<0> .....XX.X.XXXXX.....X.X................. 10 10 -cpu_d<1> .....X.XX.XXXX.X.......X................ 9 9 -tmo ...X.X...XXXXX................X......... 8 8 -cpu_d<2> .....X..X.XXXX..XX......X............... 9 9 -slaveinten ....XX...XXXXX.....X.................... 8 8 -frx ....XX...XXXXX....X..................... 8 8 -ece ..X..X...XXXXX...X...................... 8 8 -divisor<2> ..X..X...XXXXX..X....................... 8 8 -divisor<1> .X...X...XXXXX.X........................ 8 8 -divisor<0> X....X...XXXXXX......................... 8 8 -cpu_d<3> .....X..X.XXXX...........X....X......... 8 8 -cpol .X...X.X.XXXXX.......................... 8 8 -cpu_d<4> .....X..X.XXXX....XX.X....X............. 10 10 -cpha X....XX..XXXXX.......................... 8 8 +spidataout<3> ...X.X...XXXX................X.......... 7 7 +spidataout<2> ..X..X...XXXX...............X........... 7 7 +spidataout<1> .X...X...XXXX..............X............ 7 7 +spidataout<0> X....X...XXXX.............X............. 7 7 +cpu_d<0> .....XX.X.XXXX.....X.X.................. 9 9 +cpu_d<1> .....X.XX.XXX.X.......X................. 8 8 +tmo ...X.X...XXXX.................X......... 7 7 +cpu_d<2> .....X..X.XXX..XX......X................ 8 8 +slaveinten ....XX...XXXX.....X..................... 7 7 +frx ....XX...XXXX....X...................... 7 7 +ece ..X..X...XXXX...X....................... 7 7 +divisor<2> ..X..X...XXXX..X........................ 7 7 +divisor<1> .X...X...XXXX.X......................... 7 7 +divisor<0> X....X...XXXXX.......................... 7 7 +cpu_d<3> .....X..X.XXX...........X.....X......... 7 7 +cpol .X...X.X.XXXX........................... 7 7 +cpu_d<4> .....X..X.XXX....XX.X....X.............. 9 9 +cpha X....XX..XXXX........................... 7 7 0----+----1----+----2----+----3----+----4 0 0 0 0 Legend: @@ -197,63 +208,61 @@ X(@) - Signal used as input (wire-AND input) to the macrocell logic. The number of Signals Used may exceed the number of FB Inputs Used due to wire-ANDing in the switch matrix. *********************************** FB2 *********************************** -Number of function block inputs used/remaining: 30/24 -Number of signals used by logic mapping into function block: 30 +Number of function block inputs used/remaining: 31/23 +Number of signals used by logic mapping into function block: 31 Signal Total Imp Exp Unused Loc Pwr Pin Pin Pin Name Pt Pt Pt Pt Mode # Type Use (unused) 0 0 \/5 0 FB2_1 (b) (b) spi_mosi 11 6<- 0 0 FB2_2 STD 35 I/O O shifting2 2 0 /\1 2 FB2_3 STD (b) (b) -shiftdone 3 0 0 2 FB2_4 STD (b) (b) -shiftcnt<0> 3 0 0 2 FB2_5 STD 36 I/O (b) -$OpTx$INV$22__$INT 3 0 0 2 FB2_6 STD 37 I/O (b) +tc 3 0 0 2 FB2_4 STD (b) (b) +shiftcnt<0> 3 0 0 2 FB2_5 STD 36 I/O I +$OpTx$INV$24__$INT 3 0 0 2 FB2_6 STD 37 I/O I spidatain<7> 4 0 0 1 FB2_7 STD (b) (b) -spidatain<6> 4 0 0 1 FB2_8 STD 38 I/O (b) -spidatain<5> 4 0 0 1 FB2_9 STD 39 GSR/I/O (b) -spidatain<4> 4 0 0 1 FB2_10 STD (b) (b) -spidatain<3> 4 0 0 1 FB2_11 STD 40 GTS/I/O (b) -spidatain<2> 4 0 0 1 FB2_12 STD (b) (b) -spidatain<1> 4 0 0 1 FB2_13 STD (b) (b) -spidatain<0> 4 0 0 1 FB2_14 STD 42 GTS/I/O I -shiftcnt<3> 4 0 0 1 FB2_15 STD 43 I/O (b) -shiftcnt<2> 4 0 0 1 FB2_16 STD (b) (b) -shiftcnt<1> 4 0 0 1 FB2_17 STD 44 I/O I +spidatain<6> 4 0 0 1 FB2_8 STD 38 I/O I +cpu_Nirq 1 0 0 4 FB2_9 STD 39 GSR/I/O O +spidatain<5> 4 0 0 1 FB2_10 STD (b) (b) +spidatain<4> 4 0 0 1 FB2_11 STD 40 GTS/I/O I +spidatain<3> 4 0 0 1 FB2_12 STD (b) (b) +spidatain<2> 4 0 0 1 FB2_13 STD (b) (b) +(unused) 0 0 0 5 FB2_14 42 GTS/I/O I +(unused) 0 0 0 5 FB2_15 43 I/O I +(unused) 0 0 0 5 FB2_16 (b) +(unused) 0 0 0 5 FB2_17 44 I/O I start_shifting/start_shifting_RSTF__$INT 1 0 0 4 FB2_18 STD (b) (b) Signals Used by Logic in Function Block - 1: $OpTx$INV$22__$INT - 11: shifting2 21: spidataout<0> - 2: cpu_Nphi2 12: spi_Nsel 22: spidataout<1> - 3: cpu_Nres 13: spi_miso 23: spidataout<2> - 4: ece 14: spidatain<0> 24: spidataout<3> - 5: extclk 15: spidatain<1> 25: spidataout<4> - 6: shiftcnt<0> 16: spidatain<2> 26: spidataout<5> - 7: shiftcnt<1> 17: spidatain<3> 27: spidataout<6> - 8: shiftcnt<2> 18: spidatain<4> 28: spidataout<7> - 9: shiftcnt<3> 19: spidatain<5> 29: start_shifting - 10: shiftdone 20: spidatain<6> 30: tmo + 1: $OpTx$INV$24__$INT + 12: shiftcnt<2> 22: spidataout<0> + 2: Ncs2 13: shiftcnt<3> 23: spidataout<1> + 3: cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST + 14: shiftdone 24: spidataout<2> + 4: cpu_Nphi2 15: shifting2 25: spidataout<3> + 5: cpu_Nres 16: spidatain<1> 26: spidataout<4> + 6: cpu_a<0> 17: spidatain<2> 27: spidataout<5> + 7: cpu_a<1> 18: spidatain<3> 28: spidataout<6> + 8: ece 19: spidatain<4> 29: spidataout<7> + 9: extclk 20: spidatain<5> 30: start_shifting + 10: shiftcnt<0> 21: spidatain<6> 31: tmo + 11: shiftcnt<1> Signal 1 2 3 4 Signals FB Name 0----+----0----+----0----+----0----+----0 Used Inputs -spi_mosi X.X...XXXXX.........XXXXXXXX.X.......... 16 16 -shifting2 X........X..................X........... 3 3 -shiftdone X.X..XXXX............................... 6 6 -shiftcnt<0> X.X..X....X............................. 4 4 -$OpTx$INV$22__$INT .X.XX.....X.................X........... 5 5 -spidatain<7> X.X..X....X........X.................... 5 5 -spidatain<6> X.X..X....X.......X..................... 5 5 -spidatain<5> X.X..X....X......X...................... 5 5 -spidatain<4> X.X..X....X.....X....................... 5 5 -spidatain<3> X.X..X....X....X........................ 5 5 -spidatain<2> X.X..X....X...X......................... 5 5 -spidatain<1> X.X..X....X..X.......................... 5 5 -spidatain<0> X.X..X....XXX........................... 6 6 -shiftcnt<3> X.X..XXXX.X............................. 7 7 -shiftcnt<2> X.X..XXX..X............................. 6 6 -shiftcnt<1> X.X..XX...X............................. 5 5 +spi_mosi X...X.....XXXXX......XXXXXXXX.X......... 16 16 +shifting2 X............X...............X.......... 3 3 +tc .X...XX......X.......................... 4 4 +shiftcnt<0> X...X....X....X......................... 4 4 +$OpTx$INV$24__$INT ...X...XX.....X..............X.......... 5 5 +spidatain<7> X...X....X....X.....X................... 5 5 +spidatain<6> X...X....X....X....X.................... 5 5 +cpu_Nirq ..X..................................... 1 1 +spidatain<5> X...X....X....X...X..................... 5 5 +spidatain<4> X...X....X....X..X...................... 5 5 +spidatain<3> X...X....X....X.X....................... 5 5 +spidatain<2> X...X....X....XX........................ 5 5 start_shifting/start_shifting_RSTF__$INT - ..X......X.............................. 2 2 + ....X........X.......................... 2 2 0----+----1----+----2----+----3----+----4 0 0 0 0 Legend: @@ -271,8 +280,8 @@ X(@) - Signal used as input (wire-AND input) to the macrocell logic. The number of Signals Used may exceed the number of FB Inputs Used due to wire-ANDing in the switch matrix. *********************************** FB3 *********************************** -Number of function block inputs used/remaining: 14/40 -Number of signals used by logic mapping into function block: 14 +Number of function block inputs used/remaining: 20/34 +Number of signals used by logic mapping into function block: 20 Signal Total Imp Exp Unused Loc Pwr Pin Pin Pin Name Pt Pt Pt Pt Mode # Type Use (unused) 0 0 0 5 FB3_1 (b) @@ -283,31 +292,37 @@ cpu_d<6> 3 0 0 2 FB3_5 STD 12 I/O I/O (unused) 0 0 0 5 FB3_6 (b) (unused) 0 0 0 5 FB3_7 (b) cpu_d<7> 3 0 0 2 FB3_8 STD 13 I/O I/O -cpu_Nirq 1 0 0 4 FB3_9 STD 14 I/O O +noe 2 0 0 3 FB3_9 STD 14 I/O O (unused) 0 0 0 5 FB3_10 (b) (unused) 0 0 0 5 FB3_11 18 I/O I (unused) 0 0 0 5 FB3_12 (b) (unused) 0 0 0 5 FB3_13 (b) (unused) 0 0 0 5 FB3_14 19 I/O I -(unused) 0 0 0 5 FB3_15 20 I/O I +ng 1 0 0 4 FB3_15 STD 20 I/O O (unused) 0 0 0 5 FB3_16 24 I/O I -(unused) 0 0 0 5 FB3_17 22 I/O I -(unused) 0 0 0 5 FB3_18 (b) +add_dec/XLXN_11 1 0 0 4 FB3_17 STD 22 I/O I +cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST + 2 0 0 3 FB3_18 STD (b) (b) Signals Used by Logic in Function Block - 1: Ncs2 6: cpu_rnw 11: spidatain<6> - 2: cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST - 7: cs1 12: spidatain<7> - 3: cpu_Nphi2 8: ier 13: start_shifting - 4: cpu_a<0> 9: shifting2 14: tc - 5: cpu_a<1> 10: spidatain<5> + 1: Ncs2 8: cpu_a<1> 15: spi_int + 2: a10 9: cpu_rnw 16: spidatain<5> + 3: a8 10: ier 17: spidatain<6> + 4: a9 11: nio_sel 18: spidatain<7> + 5: add_dec/XLXN_11 12: nio_stb 19: start_shifting + 6: cpu_Nphi2 13: shifting2 20: tc + 7: cpu_a<0> 14: slaveinten Signal 1 2 3 4 Signals FB Name 0----+----0----+----0----+----0----+----0 Used Inputs -cpu_d<5> X.XXXXX.XX..X........................... 9 9 -cpu_d<6> X.XXXXXX..X............................. 8 8 -cpu_d<7> X.XXXXX....X.X.......................... 8 8 -cpu_Nirq .X...................................... 1 1 +cpu_d<5> X....XXXX...X..X..X..................... 8 8 +cpu_d<6> X....XXXXX......X....................... 7 7 +cpu_d<7> X....XXXX........X.X.................... 7 7 +noe ....X.....XX............................ 3 3 +ng X.........XX............................ 3 3 +add_dec/XLXN_11 .XXX.......X............................ 4 4 +cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST + .........X...XX....X.................... 4 4 0----+----1----+----2----+----3----+----4 0 0 0 0 Legend: @@ -325,59 +340,64 @@ X(@) - Signal used as input (wire-AND input) to the macrocell logic. The number of Signals Used may exceed the number of FB Inputs Used due to wire-ANDing in the switch matrix. *********************************** FB4 *********************************** -Number of function block inputs used/remaining: 31/23 -Number of signals used by logic mapping into function block: 31 +Number of function block inputs used/remaining: 34/20 +Number of signals used by logic mapping into function block: 34 Signal Total Imp Exp Unused Loc Pwr Pin Pin Pin Name Pt Pt Pt Pt Mode # Type Use -cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST - 2 0 0 3 FB4_1 STD (b) (b) -tc 3 0 0 2 FB4_2 STD 25 I/O (b) +shiftdone 3 0 0 2 FB4_1 STD (b) (b) +b8 1 0 0 4 FB4_2 STD 25 I/O O start_shifting 4 0 0 1 FB4_3 STD (b) (b) spidataout<7> 4 0 0 1 FB4_4 STD (b) (b) -spidataout<6> 4 0 0 1 FB4_5 STD 26 I/O (b) -spidataout<5> 4 0 0 1 FB4_6 STD (b) (b) -spidataout<4> 4 0 0 1 FB4_7 STD (b) (b) -spidataout<3> 4 0 0 1 FB4_8 STD 27 I/O (b) -ier 5 0 0 0 FB4_9 STD (b) (b) -(unused) 0 0 0 5 FB4_10 (b) +b9 1 0 0 4 FB4_5 STD 26 I/O O +spidataout<6> 4 0 0 1 FB4_6 STD (b) (b) +spidataout<5> 4 0 0 1 FB4_7 STD (b) (b) +b10 1 0 0 4 FB4_8 STD 27 I/O O +spidataout<4> 4 0 0 1 FB4_9 STD (b) (b) +spidatain<1> 4 0 0 1 FB4_10 STD (b) (b) spi_Nsel 5 0 0 0 FB4_11 STD 28 I/O O -(unused) 0 0 0 5 FB4_12 (b) -(unused) 0 0 0 5 FB4_13 (b) +spidatain<0> 4 0 0 1 FB4_12 STD (b) (b) +shiftcnt<3> 4 0 0 1 FB4_13 STD (b) (b) led 1 0 0 4 FB4_14 STD 29 I/O O -(unused) 0 0 0 5 FB4_15 33 I/O -(unused) 0 0 \/1 4 FB4_16 (b) (b) +shiftcnt<2> 4 0 0 1 FB4_15 STD 33 I/O (b) +shiftcnt<1> 4 0 \/1 0 FB4_16 STD (b) (b) spi_sclk 6 1<- 0 0 FB4_17 STD 34 I/O O -(unused) 0 0 0 5 FB4_18 (b) +ier 5 0 0 0 FB4_18 STD (b) (b) Signals Used by Logic in Function Block - 1: $OpTx$INV$22__$INT - 12: cpu_a<0> 22: spi_Nsel - 2: cpu_d<0>.PIN 13: cpu_a<1> 23: spi_int - 3: cpu_d<3>.PIN 14: cpu_rnw 24: spidataout<3> - 4: cpu_d<4>.PIN 15: cs1 25: spidataout<4> - 5: cpu_d<5>.PIN 16: frx 26: spidataout<5> - 6: cpu_d<6>.PIN 17: ier 27: spidataout<6> - 7: cpu_d<7>.PIN 18: shiftcnt<0> 28: spidataout<7> - 8: Ncs2 19: shiftdone 29: start_shifting - 9: cpha 20: shifting2 30: start_shifting/start_shifting_RSTF__$INT - 10: cpol 21: slaveinten 31: tc - 11: cpu_Nres + 1: $OpTx$INV$24__$INT + 13: cpu_Nres 24: shiftdone + 2: cpu_d<0>.PIN 14: cpu_a<0> 25: shifting2 + 3: cpu_d<4>.PIN 15: cpu_a<1> 26: spi_Nsel + 4: cpu_d<5>.PIN 16: cpu_rnw 27: spi_miso + 5: cpu_d<6>.PIN 17: frx 28: spidatain<0> + 6: cpu_d<7>.PIN 18: ier 29: spidataout<4> + 7: Ncs2 19: nio_sel 30: spidataout<5> + 8: a10 20: shiftcnt<0> 31: spidataout<6> + 9: a8 21: shiftcnt<1> 32: spidataout<7> + 10: a9 22: shiftcnt<2> 33: start_shifting + 11: cpha 23: shiftcnt<3> 34: start_shifting/start_shifting_RSTF__$INT + 12: cpol Signal 1 2 3 4 Signals FB Name 0----+----0----+----0----+----0----+----0 Used Inputs -cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST - ................X...X.X.......X......... 4 4 -tc .......X...XX.X...X..................... 5 5 -start_shifting .......X...XXXXX............XX.......... 8 8 -spidataout<7> ......XX..XXXXX............X............ 8 8 -spidataout<6> .....X.X..XXXXX...........X............. 8 8 -spidataout<5> ....X..X..XXXXX..........X.............. 8 8 -spidataout<4> ...X...X..XXXXX.........X............... 8 8 -spidataout<3> ..X....X..XXXXX........X................ 8 8 -ier .....X.X..XXXXX.X....................... 8 8 -spi_Nsel .X.....X..XXXXX......X.................. 8 8 -led ...................X.X......X........... 3 3 -spi_sclk X.......XXX......XXX.................... 7 7 +shiftdone X...........X......XXXX................. 6 6 +b8 ........X.........X..................... 2 2 +start_shifting ......X......XXXX...............XX...... 7 7 +spidataout<7> .....XX.....XXXX...............X........ 7 7 +b9 .........X........X..................... 2 2 +spidataout<6> ....X.X.....XXXX..............X......... 7 7 +spidataout<5> ...X..X.....XXXX.............X.......... 7 7 +b10 .......X..........X..................... 2 2 +spidataout<4> ..X...X.....XXXX............X........... 7 7 +spidatain<1> X...........X......X....X..X............ 5 5 +spi_Nsel .X....X.....XXXX.........X.............. 7 7 +spidatain<0> X...........X......X....XXX............. 6 6 +shiftcnt<3> X...........X......XXXX.X............... 7 7 +led ........................XX......X....... 3 3 +shiftcnt<2> X...........X......XXX..X............... 6 6 +shiftcnt<1> X...........X......XX...X............... 5 5 +spi_sclk X.........XXX......X...XX............... 7 7 +ier ....X.X.....XXXX.X...................... 7 7 0----+----1----+----2----+----3----+----4 0 0 0 0 Legend: @@ -398,23 +418,31 @@ X(@) - Signal used as input (wire-AND input) to the macrocell logic. ; Implemented Equations. -$OpTx$INV$22__$INT <= ((ece AND NOT extclk) +$OpTx$INV$24__$INT <= ((ece AND NOT extclk) OR (NOT ece AND NOT cpu_Nphi2) OR (NOT start_shifting AND NOT shifting2)); +FDCPE_add_dec/XLXN_11: FDCPE port map (add_dec/XLXN_11,add_dec/XLXN_11_D,extclk,'0','0'); +add_dec/XLXN_11_D <= (NOT nio_stb AND a9 AND a8 AND a10); -FTCPE_cpha: FTCPE port map (cpha,cpha_T,cpha_C,NOT cpu_Nres,'0',NOT cpu_rnw); +b10 <= (a10 AND nio_sel); + + +b8 <= (a8 AND nio_sel); + + +b9 <= (a9 AND nio_sel); + +FTCPE_cpha: FTCPE port map (cpha,cpha_T,Ncs2,NOT cpu_Nres,'0',NOT cpu_rnw); cpha_T <= ((cpha AND NOT cpu_a(1) AND cpu_a(0) AND NOT cpu_d(0).PIN) OR (NOT cpha AND NOT cpu_a(1) AND cpu_a(0) AND cpu_d(0).PIN)); -cpha_C <= NOT ((cs1 AND NOT Ncs2)); -FTCPE_cpol: FTCPE port map (cpol,cpol_T,cpol_C,NOT cpu_Nres,'0',NOT cpu_rnw); +FTCPE_cpol: FTCPE port map (cpol,cpol_T,Ncs2,NOT cpu_Nres,'0',NOT cpu_rnw); cpol_T <= ((cpol AND NOT cpu_a(1) AND cpu_a(0) AND NOT cpu_d(1).PIN) OR (NOT cpol AND NOT cpu_a(1) AND cpu_a(0) AND cpu_d(1).PIN)); -cpol_C <= NOT ((cs1 AND NOT Ncs2)); cpu_Nirq_I <= '0'; @@ -425,128 +453,122 @@ cpu_Nirq_OE <= cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST; cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST <= ((ier AND tc) OR (slaveinten AND NOT spi_int)); -FTCPE_divisor0: FTCPE port map (divisor(0),divisor_T(0),divisor_C(0),NOT cpu_Nres,'0',NOT cpu_rnw); +FTCPE_divisor0: FTCPE port map (divisor(0),divisor_T(0),Ncs2,NOT cpu_Nres,'0',NOT cpu_rnw); divisor_T(0) <= ((divisor(0) AND cpu_a(1) AND NOT cpu_a(0) AND NOT cpu_d(0).PIN) OR (NOT divisor(0) AND cpu_a(1) AND NOT cpu_a(0) AND cpu_d(0).PIN)); -divisor_C(0) <= NOT ((cs1 AND NOT Ncs2)); -FTCPE_divisor1: FTCPE port map (divisor(1),divisor_T(1),divisor_C(1),NOT cpu_Nres,'0',NOT cpu_rnw); +FTCPE_divisor1: FTCPE port map (divisor(1),divisor_T(1),Ncs2,NOT cpu_Nres,'0',NOT cpu_rnw); divisor_T(1) <= ((divisor(1) AND cpu_a(1) AND NOT cpu_a(0) AND NOT cpu_d(1).PIN) OR (NOT divisor(1) AND cpu_a(1) AND NOT cpu_a(0) AND cpu_d(1).PIN)); -divisor_C(1) <= NOT ((cs1 AND NOT Ncs2)); -FTCPE_divisor2: FTCPE port map (divisor(2),divisor_T(2),divisor_C(2),NOT cpu_Nres,'0',NOT cpu_rnw); +FTCPE_divisor2: FTCPE port map (divisor(2),divisor_T(2),Ncs2,NOT cpu_Nres,'0',NOT cpu_rnw); divisor_T(2) <= ((divisor(2) AND cpu_a(1) AND NOT cpu_a(0) AND NOT cpu_d(2).PIN) OR (NOT divisor(2) AND cpu_a(1) AND NOT cpu_a(0) AND cpu_d(2).PIN)); -divisor_C(2) <= NOT ((cs1 AND NOT Ncs2)); -FTCPE_ece: FTCPE port map (ece,ece_T,ece_C,NOT cpu_Nres,'0',NOT cpu_rnw); +FTCPE_ece: FTCPE port map (ece,ece_T,Ncs2,NOT cpu_Nres,'0',NOT cpu_rnw); ece_T <= ((ece AND NOT cpu_a(1) AND cpu_a(0) AND NOT cpu_d(2).PIN) OR (NOT ece AND NOT cpu_a(1) AND cpu_a(0) AND cpu_d(2).PIN)); -ece_C <= NOT ((cs1 AND NOT Ncs2)); -FTCPE_frx: FTCPE port map (frx,frx_T,frx_C,NOT cpu_Nres,'0',NOT cpu_rnw); +FTCPE_frx: FTCPE port map (frx,frx_T,Ncs2,NOT cpu_Nres,'0',NOT cpu_rnw); frx_T <= ((frx AND NOT cpu_a(1) AND cpu_a(0) AND NOT cpu_d(4).PIN) OR (NOT frx AND NOT cpu_a(1) AND cpu_a(0) AND cpu_d(4).PIN)); -frx_C <= NOT ((cs1 AND NOT Ncs2)); -FTCPE_ier: FTCPE port map (ier,ier_T,ier_C,NOT cpu_Nres,'0',NOT cpu_rnw); +FTCPE_ier: FTCPE port map (ier,ier_T,Ncs2,NOT cpu_Nres,'0',NOT cpu_rnw); ier_T <= ((ier AND NOT cpu_a(1) AND cpu_a(0) AND NOT cpu_d(6).PIN) OR (NOT ier AND NOT cpu_a(1) AND cpu_a(0) AND cpu_d(6).PIN)); -ier_C <= NOT ((cs1 AND NOT Ncs2)); -cpu_d_I(0) <= ((cpu_rnw AND spi_Nsel AND cpu_a(1) AND cs1 AND NOT Ncs2 AND +cpu_d_I(0) <= ((NOT Ncs2 AND cpu_rnw AND spi_Nsel AND cpu_a(1) AND cpu_a(0) AND cpu_Nphi2) - OR (cpu_rnw AND cpha AND NOT cpu_a(1) AND cs1 AND NOT Ncs2 AND - cpu_a(0) AND cpu_Nphi2) - OR (cpu_rnw AND divisor(0) AND cpu_a(1) AND cs1 AND NOT Ncs2 AND + OR (NOT Ncs2 AND cpu_rnw AND cpha AND NOT cpu_a(1) AND cpu_a(0) AND + cpu_Nphi2) + OR (NOT Ncs2 AND cpu_rnw AND divisor(0) AND cpu_a(1) AND NOT cpu_a(0) AND cpu_Nphi2) - OR (cpu_rnw AND spidatain(0) AND NOT cpu_a(1) AND cs1 AND NOT Ncs2 AND + OR (NOT Ncs2 AND cpu_rnw AND spidatain(0) AND NOT cpu_a(1) AND NOT cpu_a(0) AND cpu_Nphi2)); cpu_d(0) <= cpu_d_I(0) when cpu_d_OE(0) = '1' else 'Z'; -cpu_d_OE(0) <= (cpu_rnw AND cs1 AND NOT Ncs2 AND cpu_Nphi2); +cpu_d_OE(0) <= (NOT Ncs2 AND cpu_rnw AND cpu_Nphi2); -cpu_d_I(1) <= ((cpu_rnw AND cpol AND NOT cpu_a(1) AND cs1 AND NOT Ncs2 AND - cpu_a(0) AND cpu_Nphi2) - OR (cpu_rnw AND divisor(1) AND cpu_a(1) AND cs1 AND NOT Ncs2 AND +cpu_d_I(1) <= ((NOT Ncs2 AND cpu_rnw AND cpol AND NOT cpu_a(1) AND cpu_a(0) AND + cpu_Nphi2) + OR (NOT Ncs2 AND cpu_rnw AND divisor(1) AND cpu_a(1) AND NOT cpu_a(0) AND cpu_Nphi2) - OR (cpu_rnw AND spidatain(1) AND NOT cpu_a(1) AND cs1 AND NOT Ncs2 AND + OR (NOT Ncs2 AND cpu_rnw AND spidatain(1) AND NOT cpu_a(1) AND NOT cpu_a(0) AND cpu_Nphi2)); cpu_d(1) <= cpu_d_I(1) when cpu_d_OE(1) = '1' else 'Z'; -cpu_d_OE(1) <= (cpu_rnw AND cs1 AND NOT Ncs2 AND cpu_Nphi2); +cpu_d_OE(1) <= (NOT Ncs2 AND cpu_rnw AND cpu_Nphi2); -cpu_d_I(2) <= ((cpu_rnw AND ece AND NOT cpu_a(1) AND cs1 AND NOT Ncs2 AND - cpu_a(0) AND cpu_Nphi2) - OR (cpu_rnw AND divisor(2) AND cpu_a(1) AND cs1 AND NOT Ncs2 AND +cpu_d_I(2) <= ((NOT Ncs2 AND cpu_rnw AND ece AND NOT cpu_a(1) AND cpu_a(0) AND + cpu_Nphi2) + OR (NOT Ncs2 AND cpu_rnw AND divisor(2) AND cpu_a(1) AND NOT cpu_a(0) AND cpu_Nphi2) - OR (cpu_rnw AND spidatain(2) AND NOT cpu_a(1) AND cs1 AND NOT Ncs2 AND + OR (NOT Ncs2 AND cpu_rnw AND spidatain(2) AND NOT cpu_a(1) AND NOT cpu_a(0) AND cpu_Nphi2)); cpu_d(2) <= cpu_d_I(2) when cpu_d_OE(2) = '1' else 'Z'; -cpu_d_OE(2) <= (cpu_rnw AND cs1 AND NOT Ncs2 AND cpu_Nphi2); +cpu_d_OE(2) <= (NOT Ncs2 AND cpu_rnw AND cpu_Nphi2); -cpu_d_I(3) <= ((cpu_rnw AND tmo AND NOT cpu_a(1) AND cs1 AND NOT Ncs2 AND - cpu_a(0) AND cpu_Nphi2) - OR (cpu_rnw AND spidatain(3) AND NOT cpu_a(1) AND cs1 AND NOT Ncs2 AND +cpu_d_I(3) <= ((NOT Ncs2 AND cpu_rnw AND tmo AND NOT cpu_a(1) AND cpu_a(0) AND + cpu_Nphi2) + OR (NOT Ncs2 AND cpu_rnw AND spidatain(3) AND NOT cpu_a(1) AND NOT cpu_a(0) AND cpu_Nphi2)); cpu_d(3) <= cpu_d_I(3) when cpu_d_OE(3) = '1' else 'Z'; -cpu_d_OE(3) <= (cpu_rnw AND cs1 AND NOT Ncs2 AND cpu_Nphi2); +cpu_d_OE(3) <= (NOT Ncs2 AND cpu_rnw AND cpu_Nphi2); -cpu_d_I(4) <= ((cpu_rnw AND frx AND NOT cpu_a(1) AND cs1 AND NOT Ncs2 AND +cpu_d_I(4) <= ((NOT Ncs2 AND cpu_rnw AND frx AND NOT cpu_a(1) AND cpu_a(0) AND + cpu_Nphi2) + OR (NOT Ncs2 AND cpu_rnw AND slaveinten AND cpu_a(1) AND cpu_a(0) AND cpu_Nphi2) - OR (cpu_rnw AND slaveinten AND cpu_a(1) AND cs1 AND NOT Ncs2 AND - cpu_a(0) AND cpu_Nphi2) - OR (cpu_rnw AND spidatain(4) AND NOT cpu_a(1) AND cs1 AND NOT Ncs2 AND + OR (NOT Ncs2 AND cpu_rnw AND spidatain(4) AND NOT cpu_a(1) AND NOT cpu_a(0) AND cpu_Nphi2) - OR (cpu_rnw AND cpu_a(1) AND cs1 AND NOT Ncs2 AND NOT cpu_a(0) AND - NOT spi_int AND cpu_Nphi2)); + OR (NOT Ncs2 AND cpu_rnw AND cpu_a(1) AND NOT cpu_a(0) AND NOT spi_int AND + cpu_Nphi2)); cpu_d(4) <= cpu_d_I(4) when cpu_d_OE(4) = '1' else 'Z'; -cpu_d_OE(4) <= (cpu_rnw AND cs1 AND NOT Ncs2 AND cpu_Nphi2); +cpu_d_OE(4) <= (NOT Ncs2 AND cpu_rnw AND cpu_Nphi2); -cpu_d_I(5) <= ((cpu_rnw AND spidatain(5) AND NOT cpu_a(1) AND cs1 AND NOT Ncs2 AND +cpu_d_I(5) <= ((NOT Ncs2 AND cpu_rnw AND spidatain(5) AND NOT cpu_a(1) AND NOT cpu_a(0) AND cpu_Nphi2) - OR (cpu_rnw AND NOT cpu_a(1) AND start_shifting AND cs1 AND - NOT Ncs2 AND cpu_a(0) AND cpu_Nphi2) - OR (cpu_rnw AND NOT cpu_a(1) AND cs1 AND NOT Ncs2 AND cpu_a(0) AND + OR (NOT Ncs2 AND cpu_rnw AND NOT cpu_a(1) AND start_shifting AND + cpu_a(0) AND cpu_Nphi2) + OR (NOT Ncs2 AND cpu_rnw AND NOT cpu_a(1) AND cpu_a(0) AND shifting2 AND cpu_Nphi2)); cpu_d(5) <= cpu_d_I(5) when cpu_d_OE(5) = '1' else 'Z'; -cpu_d_OE(5) <= (cpu_rnw AND cs1 AND NOT Ncs2 AND cpu_Nphi2); +cpu_d_OE(5) <= (NOT Ncs2 AND cpu_rnw AND cpu_Nphi2); -cpu_d_I(6) <= ((cpu_rnw AND ier AND NOT cpu_a(1) AND cs1 AND NOT Ncs2 AND - cpu_a(0) AND cpu_Nphi2) - OR (cpu_rnw AND spidatain(6) AND NOT cpu_a(1) AND cs1 AND NOT Ncs2 AND +cpu_d_I(6) <= ((NOT Ncs2 AND cpu_rnw AND ier AND NOT cpu_a(1) AND cpu_a(0) AND + cpu_Nphi2) + OR (NOT Ncs2 AND cpu_rnw AND spidatain(6) AND NOT cpu_a(1) AND NOT cpu_a(0) AND cpu_Nphi2)); cpu_d(6) <= cpu_d_I(6) when cpu_d_OE(6) = '1' else 'Z'; -cpu_d_OE(6) <= (cpu_rnw AND cs1 AND NOT Ncs2 AND cpu_Nphi2); +cpu_d_OE(6) <= (NOT Ncs2 AND cpu_rnw AND cpu_Nphi2); -cpu_d_I(7) <= ((cpu_rnw AND spidatain(7) AND NOT cpu_a(1) AND cs1 AND NOT Ncs2 AND +cpu_d_I(7) <= ((NOT Ncs2 AND cpu_rnw AND spidatain(7) AND NOT cpu_a(1) AND NOT cpu_a(0) AND cpu_Nphi2) - OR (cpu_rnw AND NOT cpu_a(1) AND tc AND cs1 AND NOT Ncs2 AND - cpu_a(0) AND cpu_Nphi2)); + OR (NOT Ncs2 AND cpu_rnw AND NOT cpu_a(1) AND tc AND cpu_a(0) AND + cpu_Nphi2)); cpu_d(7) <= cpu_d_I(7) when cpu_d_OE(7) = '1' else 'Z'; -cpu_d_OE(7) <= (cpu_rnw AND cs1 AND NOT Ncs2 AND cpu_Nphi2); +cpu_d_OE(7) <= (NOT Ncs2 AND cpu_rnw AND cpu_Nphi2); -FDCPE_spi_mosi: FDCPE port map (spi_mosi_I,spi_mosi,NOT $OpTx$INV$22__$INT,'0',NOT cpu_Nres); +FDCPE_spi_mosi: FDCPE port map (spi_mosi_I,spi_mosi,NOT $OpTx$INV$24__$INT,'0',NOT cpu_Nres); spi_mosi <= ((EXP6_.EXP) OR (shifting2.EXP) - OR (shiftcnt(3) AND shiftcnt(2) AND NOT shiftcnt(1) AND - NOT shiftdone AND NOT spidataout(1) AND shifting2) - OR (NOT shiftcnt(3) AND shiftcnt(2) AND NOT shiftcnt(1) AND - NOT shiftdone AND NOT spidataout(5) AND shifting2)); + OR (shiftcnt(3) AND shiftcnt(2) AND shiftcnt(1) AND + NOT shiftdone AND NOT spidataout(0) AND shifting2) + OR (NOT shiftcnt(3) AND shiftcnt(2) AND shiftcnt(1) AND + NOT shiftdone AND NOT spidataout(4) AND shifting2)); spi_mosi <= spi_mosi_I when spi_mosi_OE = '1' else 'Z'; spi_mosi_OE <= NOT tmo; -FDCPE_spi_sclk: FDCPE port map (spi_sclk,spi_sclk_D,NOT $OpTx$INV$22__$INT,spi_sclk_CLR,spi_sclk_PRE); +FDCPE_spi_sclk: FDCPE port map (spi_sclk,spi_sclk_D,NOT $OpTx$INV$24__$INT,spi_sclk_CLR,spi_sclk_PRE); spi_sclk_D <= cpol XOR -spi_sclk_D <= ((EXP7_.EXP) +spi_sclk_D <= ((shiftcnt(1).EXP) OR (cpu_Nres AND NOT cpha AND shiftcnt(0) AND NOT shiftdone AND shifting2)); spi_sclk_CLR <= (NOT cpu_Nres AND NOT cpol); @@ -555,144 +577,138 @@ spi_sclk_PRE <= (NOT cpu_Nres AND cpol); led <= (spi_Nsel AND NOT start_shifting AND NOT shifting2); -FDCPE_shiftcnt0: FDCPE port map (shiftcnt(0),shiftcnt_D(0),NOT $OpTx$INV$22__$INT,NOT cpu_Nres,'0'); + +ng <= (Ncs2 AND nio_stb AND nio_sel); + + +noe <= NOT (((NOT nio_stb AND add_dec/XLXN_11) + OR (NOT nio_sel AND add_dec/XLXN_11))); + +FDCPE_shiftcnt0: FDCPE port map (shiftcnt(0),shiftcnt_D(0),NOT $OpTx$INV$24__$INT,NOT cpu_Nres,'0'); shiftcnt_D(0) <= (NOT shiftcnt(0) AND shifting2); -FDCPE_shiftcnt1: FDCPE port map (shiftcnt(1),shiftcnt_D(1),NOT $OpTx$INV$22__$INT,NOT cpu_Nres,'0'); +FDCPE_shiftcnt1: FDCPE port map (shiftcnt(1),shiftcnt_D(1),NOT $OpTx$INV$24__$INT,NOT cpu_Nres,'0'); shiftcnt_D(1) <= ((shiftcnt(0) AND NOT shiftcnt(1) AND shifting2) OR (NOT shiftcnt(0) AND shiftcnt(1) AND shifting2)); -FTCPE_shiftcnt2: FTCPE port map (shiftcnt(2),shiftcnt_T(2),NOT $OpTx$INV$22__$INT,NOT cpu_Nres,'0'); +FTCPE_shiftcnt2: FTCPE port map (shiftcnt(2),shiftcnt_T(2),NOT $OpTx$INV$24__$INT,NOT cpu_Nres,'0'); shiftcnt_T(2) <= ((shiftcnt(2) AND NOT shifting2) OR (shiftcnt(0) AND shiftcnt(1) AND shifting2)); -FTCPE_shiftcnt3: FTCPE port map (shiftcnt(3),shiftcnt_T(3),NOT $OpTx$INV$22__$INT,NOT cpu_Nres,'0'); +FTCPE_shiftcnt3: FTCPE port map (shiftcnt(3),shiftcnt_T(3),NOT $OpTx$INV$24__$INT,NOT cpu_Nres,'0'); shiftcnt_T(3) <= ((shiftcnt(3) AND NOT shifting2) OR (shiftcnt(2) AND shiftcnt(0) AND shiftcnt(1) AND shifting2)); -FDCPE_shiftdone: FDCPE port map (shiftdone,shiftdone_D,NOT $OpTx$INV$22__$INT,NOT cpu_Nres,'0'); +FDCPE_shiftdone: FDCPE port map (shiftdone,shiftdone_D,NOT $OpTx$INV$24__$INT,NOT cpu_Nres,'0'); shiftdone_D <= (shiftcnt(3) AND shiftcnt(2) AND shiftcnt(0) AND shiftcnt(1)); -FDCPE_shifting2: FDCPE port map (shifting2,shifting2_D,NOT $OpTx$INV$22__$INT,'0','0'); +FDCPE_shifting2: FDCPE port map (shifting2,shifting2_D,NOT $OpTx$INV$24__$INT,'0','0'); shifting2_D <= (NOT shiftdone AND start_shifting); -FTCPE_slaveinten: FTCPE port map (slaveinten,slaveinten_T,slaveinten_C,NOT cpu_Nres,'0',NOT cpu_rnw); +FTCPE_slaveinten: FTCPE port map (slaveinten,slaveinten_T,Ncs2,NOT cpu_Nres,'0',NOT cpu_rnw); slaveinten_T <= ((slaveinten AND cpu_a(1) AND cpu_a(0) AND NOT cpu_d(4).PIN) OR (NOT slaveinten AND cpu_a(1) AND cpu_a(0) AND cpu_d(4).PIN)); -slaveinten_C <= NOT ((cs1 AND NOT Ncs2)); -FTCPE_spi_Nsel: FTCPE port map (spi_Nsel,spi_Nsel_T,spi_Nsel_C,'0',NOT cpu_Nres,NOT cpu_rnw); +FTCPE_spi_Nsel: FTCPE port map (spi_Nsel,spi_Nsel_T,Ncs2,'0',NOT cpu_Nres,NOT cpu_rnw); spi_Nsel_T <= ((spi_Nsel AND cpu_a(1) AND cpu_a(0) AND NOT cpu_d(0).PIN) OR (NOT spi_Nsel AND cpu_a(1) AND cpu_a(0) AND cpu_d(0).PIN)); -spi_Nsel_C <= NOT ((cs1 AND NOT Ncs2)); -FDCPE_spidatain0: FDCPE port map (spidatain(0),spidatain_D(0),NOT $OpTx$INV$22__$INT,NOT cpu_Nres,'0',spidatain_CE(0)); +FDCPE_spidatain0: FDCPE port map (spidatain(0),spidatain_D(0),NOT $OpTx$INV$24__$INT,NOT cpu_Nres,'0',spidatain_CE(0)); spidatain_D(0) <= (NOT spi_Nsel AND spi_miso); spidatain_CE(0) <= (shiftcnt(0) AND shifting2); -FDCPE_spidatain1: FDCPE port map (spidatain(1),spidatain(0),NOT $OpTx$INV$22__$INT,NOT cpu_Nres,'0',spidatain_CE(1)); +FDCPE_spidatain1: FDCPE port map (spidatain(1),spidatain(0),NOT $OpTx$INV$24__$INT,NOT cpu_Nres,'0',spidatain_CE(1)); spidatain_CE(1) <= (shiftcnt(0) AND shifting2); -FDCPE_spidatain2: FDCPE port map (spidatain(2),spidatain(1),NOT $OpTx$INV$22__$INT,NOT cpu_Nres,'0',spidatain_CE(2)); +FDCPE_spidatain2: FDCPE port map (spidatain(2),spidatain(1),NOT $OpTx$INV$24__$INT,NOT cpu_Nres,'0',spidatain_CE(2)); spidatain_CE(2) <= (shiftcnt(0) AND shifting2); -FDCPE_spidatain3: FDCPE port map (spidatain(3),spidatain(2),NOT $OpTx$INV$22__$INT,NOT cpu_Nres,'0',spidatain_CE(3)); +FDCPE_spidatain3: FDCPE port map (spidatain(3),spidatain(2),NOT $OpTx$INV$24__$INT,NOT cpu_Nres,'0',spidatain_CE(3)); spidatain_CE(3) <= (shiftcnt(0) AND shifting2); -FDCPE_spidatain4: FDCPE port map (spidatain(4),spidatain(3),NOT $OpTx$INV$22__$INT,NOT cpu_Nres,'0',spidatain_CE(4)); +FDCPE_spidatain4: FDCPE port map (spidatain(4),spidatain(3),NOT $OpTx$INV$24__$INT,NOT cpu_Nres,'0',spidatain_CE(4)); spidatain_CE(4) <= (shiftcnt(0) AND shifting2); -FDCPE_spidatain5: FDCPE port map (spidatain(5),spidatain(4),NOT $OpTx$INV$22__$INT,NOT cpu_Nres,'0',spidatain_CE(5)); +FDCPE_spidatain5: FDCPE port map (spidatain(5),spidatain(4),NOT $OpTx$INV$24__$INT,NOT cpu_Nres,'0',spidatain_CE(5)); spidatain_CE(5) <= (shiftcnt(0) AND shifting2); -FDCPE_spidatain6: FDCPE port map (spidatain(6),spidatain(5),NOT $OpTx$INV$22__$INT,NOT cpu_Nres,'0',spidatain_CE(6)); +FDCPE_spidatain6: FDCPE port map (spidatain(6),spidatain(5),NOT $OpTx$INV$24__$INT,NOT cpu_Nres,'0',spidatain_CE(6)); spidatain_CE(6) <= (shiftcnt(0) AND shifting2); -FDCPE_spidatain7: FDCPE port map (spidatain(7),spidatain(6),NOT $OpTx$INV$22__$INT,NOT cpu_Nres,'0',spidatain_CE(7)); +FDCPE_spidatain7: FDCPE port map (spidatain(7),spidatain(6),NOT $OpTx$INV$24__$INT,NOT cpu_Nres,'0',spidatain_CE(7)); spidatain_CE(7) <= (shiftcnt(0) AND shifting2); -FTCPE_spidataout0: FTCPE port map (spidataout(0),spidataout_T(0),spidataout_C(0),'0','0',spidataout_CE(0)); +FTCPE_spidataout0: FTCPE port map (spidataout(0),spidataout_T(0),Ncs2,'0','0',spidataout_CE(0)); spidataout_T(0) <= ((NOT cpu_a(1) AND spidataout(0) AND NOT cpu_a(0) AND NOT cpu_d(0).PIN) OR (NOT cpu_a(1) AND NOT spidataout(0) AND NOT cpu_a(0) AND cpu_d(0).PIN)); -spidataout_C(0) <= NOT ((cs1 AND NOT Ncs2)); spidataout_CE(0) <= (cpu_Nres AND NOT cpu_rnw); -FTCPE_spidataout1: FTCPE port map (spidataout(1),spidataout_T(1),spidataout_C(1),'0','0',spidataout_CE(1)); +FTCPE_spidataout1: FTCPE port map (spidataout(1),spidataout_T(1),Ncs2,'0','0',spidataout_CE(1)); spidataout_T(1) <= ((NOT cpu_a(1) AND spidataout(1) AND NOT cpu_a(0) AND NOT cpu_d(1).PIN) OR (NOT cpu_a(1) AND NOT spidataout(1) AND NOT cpu_a(0) AND cpu_d(1).PIN)); -spidataout_C(1) <= NOT ((cs1 AND NOT Ncs2)); spidataout_CE(1) <= (cpu_Nres AND NOT cpu_rnw); -FTCPE_spidataout2: FTCPE port map (spidataout(2),spidataout_T(2),spidataout_C(2),'0','0',spidataout_CE(2)); +FTCPE_spidataout2: FTCPE port map (spidataout(2),spidataout_T(2),Ncs2,'0','0',spidataout_CE(2)); spidataout_T(2) <= ((NOT cpu_a(1) AND spidataout(2) AND NOT cpu_a(0) AND NOT cpu_d(2).PIN) OR (NOT cpu_a(1) AND NOT spidataout(2) AND NOT cpu_a(0) AND cpu_d(2).PIN)); -spidataout_C(2) <= NOT ((cs1 AND NOT Ncs2)); spidataout_CE(2) <= (cpu_Nres AND NOT cpu_rnw); -FTCPE_spidataout3: FTCPE port map (spidataout(3),spidataout_T(3),spidataout_C(3),'0','0',spidataout_CE(3)); +FTCPE_spidataout3: FTCPE port map (spidataout(3),spidataout_T(3),Ncs2,'0','0',spidataout_CE(3)); spidataout_T(3) <= ((NOT cpu_a(1) AND spidataout(3) AND NOT cpu_a(0) AND NOT cpu_d(3).PIN) OR (NOT cpu_a(1) AND NOT spidataout(3) AND NOT cpu_a(0) AND cpu_d(3).PIN)); -spidataout_C(3) <= NOT ((cs1 AND NOT Ncs2)); spidataout_CE(3) <= (cpu_Nres AND NOT cpu_rnw); -FTCPE_spidataout4: FTCPE port map (spidataout(4),spidataout_T(4),spidataout_C(4),'0','0',spidataout_CE(4)); +FTCPE_spidataout4: FTCPE port map (spidataout(4),spidataout_T(4),Ncs2,'0','0',spidataout_CE(4)); spidataout_T(4) <= ((NOT cpu_a(1) AND spidataout(4) AND NOT cpu_a(0) AND NOT cpu_d(4).PIN) OR (NOT cpu_a(1) AND NOT spidataout(4) AND NOT cpu_a(0) AND cpu_d(4).PIN)); -spidataout_C(4) <= NOT ((cs1 AND NOT Ncs2)); spidataout_CE(4) <= (cpu_Nres AND NOT cpu_rnw); -FTCPE_spidataout5: FTCPE port map (spidataout(5),spidataout_T(5),spidataout_C(5),'0','0',spidataout_CE(5)); +FTCPE_spidataout5: FTCPE port map (spidataout(5),spidataout_T(5),Ncs2,'0','0',spidataout_CE(5)); spidataout_T(5) <= ((NOT cpu_a(1) AND spidataout(5) AND NOT cpu_a(0) AND NOT cpu_d(5).PIN) OR (NOT cpu_a(1) AND NOT spidataout(5) AND NOT cpu_a(0) AND cpu_d(5).PIN)); -spidataout_C(5) <= NOT ((cs1 AND NOT Ncs2)); spidataout_CE(5) <= (cpu_Nres AND NOT cpu_rnw); -FTCPE_spidataout6: FTCPE port map (spidataout(6),spidataout_T(6),spidataout_C(6),'0','0',spidataout_CE(6)); +FTCPE_spidataout6: FTCPE port map (spidataout(6),spidataout_T(6),Ncs2,'0','0',spidataout_CE(6)); spidataout_T(6) <= ((NOT cpu_a(1) AND spidataout(6) AND NOT cpu_a(0) AND NOT cpu_d(6).PIN) OR (NOT cpu_a(1) AND NOT spidataout(6) AND NOT cpu_a(0) AND cpu_d(6).PIN)); -spidataout_C(6) <= NOT ((cs1 AND NOT Ncs2)); spidataout_CE(6) <= (cpu_Nres AND NOT cpu_rnw); -FTCPE_spidataout7: FTCPE port map (spidataout(7),spidataout_T(7),spidataout_C(7),'0','0',spidataout_CE(7)); +FTCPE_spidataout7: FTCPE port map (spidataout(7),spidataout_T(7),Ncs2,'0','0',spidataout_CE(7)); spidataout_T(7) <= ((NOT cpu_a(1) AND spidataout(7) AND NOT cpu_a(0) AND NOT cpu_d(7).PIN) OR (NOT cpu_a(1) AND NOT spidataout(7) AND NOT cpu_a(0) AND cpu_d(7).PIN)); -spidataout_C(7) <= NOT ((cs1 AND NOT Ncs2)); spidataout_CE(7) <= (cpu_Nres AND NOT cpu_rnw); -FTCPE_start_shifting: FTCPE port map (start_shifting,start_shifting_T,start_shifting_C,NOT start_shifting/start_shifting_RSTF__$INT,'0'); +FTCPE_start_shifting: FTCPE port map (start_shifting,start_shifting_T,Ncs2,NOT start_shifting/start_shifting_RSTF__$INT,'0'); start_shifting_T <= ((NOT cpu_rnw AND NOT cpu_a(1) AND NOT start_shifting AND NOT cpu_a(0)) OR (frx AND NOT cpu_a(1) AND NOT start_shifting AND NOT cpu_a(0))); -start_shifting_C <= NOT ((cs1 AND NOT Ncs2)); start_shifting/start_shifting_RSTF__$INT <= (cpu_Nres AND NOT shiftdone); -FDCPE_tc: FDCPE port map (tc,'0',tc_C,'0',shiftdone,tc_CE); -tc_C <= NOT ((cs1 AND NOT Ncs2)); +FDCPE_tc: FDCPE port map (tc,'0',Ncs2,'0',shiftdone,tc_CE); tc_CE <= (NOT cpu_a(1) AND NOT cpu_a(0)); -FTCPE_tmo: FTCPE port map (tmo,tmo_T,tmo_C,NOT cpu_Nres,'0',NOT cpu_rnw); +FTCPE_tmo: FTCPE port map (tmo,tmo_T,Ncs2,NOT cpu_Nres,'0',NOT cpu_rnw); tmo_T <= ((tmo AND NOT cpu_a(1) AND cpu_a(0) AND NOT cpu_d(3).PIN) OR (NOT tmo AND NOT cpu_a(1) AND cpu_a(0) AND cpu_d(3).PIN)); -tmo_C <= NOT ((cs1 AND NOT Ncs2)); Register Legend: FDCPE (Q,D,C,CLR,PRE,CE); @@ -725,9 +741,9 @@ Pin Signal Pin Signal No. Name No. Name 1 TIE 23 GND 2 cpu_d<0> 24 cpu_a<1> - 3 cpu_d<1> 25 TIE - 4 cpu_d<2> 26 TIE - 5 cpu_Nphi2 27 TIE + 3 cpu_d<1> 25 b8 + 4 cpu_d<2> 26 b9 + 5 cpu_Nphi2 27 b10 6 extclk 28 spi_Nsel 7 cpu_rnw 29 led 8 cpu_d<3> 30 TDO @@ -736,14 +752,14 @@ No. Name No. Name 11 cpu_d<5> 33 TIE 12 cpu_d<6> 34 spi_sclk 13 cpu_d<7> 35 spi_mosi - 14 cpu_Nirq 36 TIE - 15 TDI 37 TIE - 16 TMS 38 TIE - 17 TCK 39 TIE - 18 Ncs2 40 TIE + 14 noe 36 a8 + 15 TDI 37 a9 + 16 TMS 38 a10 + 17 TCK 39 cpu_Nirq + 18 Ncs2 40 nio_sel 19 cpu_Nres 41 VCC - 20 cs1 42 spi_int - 21 VCC 43 TIE + 20 ng 42 spi_int + 21 VCC 43 nio_stb 22 cpu_a<0> 44 spi_miso diff --git a/spi6502b.syr b/spi6502b.syr index 86a74ef..3b0c698 100644 --- a/spi6502b.syr +++ b/spi6502b.syr @@ -66,6 +66,10 @@ wysiwyg : NO ========================================================================= * HDL Compilation * ========================================================================= +Compiling vhdl file C:/sources/AppleIISd/address_decoder.vhf in Library work. +Architecture behavioral of Entity fd_mxilinx_address_decoder is up to date. +Architecture behavioral of Entity fdrs_mxilinx_address_decoder is up to date. +Architecture behavioral of Entity address_decoder is up to date. Compiling vhdl file C:/sources/AppleIISd/SPI6502B1.1.vhd in Library work. Entity (Architecture ) compiled. @@ -73,22 +77,48 @@ Entity (Architecture ) compiled. * HDL Analysis * ========================================================================= Analyzing Entity (Architecture ). -INFO:Xst:1561 - C:/sources/AppleIISd/SPI6502B1.1.vhd line 203: Mux is complete : default of case is discarded -INFO:Xst:1561 - C:/sources/AppleIISd/SPI6502B1.1.vhd line 316: Mux is complete : default of case is discarded +INFO:Xst:1561 - C:/sources/AppleIISd/SPI6502B1.1.vhd line 240: Mux is complete : default of case is discarded +INFO:Xst:1561 - C:/sources/AppleIISd/SPI6502B1.1.vhd line 353: Mux is complete : default of case is discarded Entity analyzed. Unit generated. +Analyzing Entity (Architecture ). + Set user-defined property "HU_SET = XLXI_16_1" for instance in unit . +Entity analyzed. Unit generated. + +Analyzing Entity (Architecture ). + Set user-defined property "HU_SET = U0_0" for instance in unit . +Entity analyzed. Unit generated. + +Analyzing Entity (Architecture ). +Entity analyzed. Unit generated. + ========================================================================= * HDL Synthesis * ========================================================================= +Synthesizing Unit . + Related source file is C:/sources/AppleIISd/address_decoder.vhf. +Unit synthesized. + + +Synthesizing Unit . + Related source file is C:/sources/AppleIISd/address_decoder.vhf. +Unit synthesized. + + +Synthesizing Unit . + Related source file is C:/sources/AppleIISd/address_decoder.vhf. +Unit synthesized. + + Synthesizing Unit . Related source file is C:/sources/AppleIISd/SPI6502B1.1.vhd. Found 8-bit tristate buffer for signal . Found 1-bit tristate buffer for signal . Found 1-bit tristate buffer for signal . - Found 1-bit xor3 for signal <$n0040> created at line 206. - Found 4-bit adder for signal <$n0047> created at line 160. + Found 1-bit xor3 for signal <$n0040> created at line 243. + Found 4-bit adder for signal <$n0047> created at line 197. Found 1-bit register for signal . Found 1-bit register for signal . Found 3-bit down counter for signal . @@ -153,6 +183,12 @@ Macro Statistics Optimizing unit ... +Optimizing unit ... + +Optimizing unit ... + +Optimizing unit ... + ========================================================================= * Final Report * ========================================================================= @@ -169,7 +205,7 @@ Clock Enable : YES wysiwyg : NO Design Statistics -# IOs : 23 +# IOs : 32 Macro Statistics : # Registers : 60 @@ -181,33 +217,37 @@ Macro Statistics : # 1-bit xor2 : 5 Cell Usage : -# BELS : 252 -# AND2 : 119 -# AND3 : 5 +# BELS : 256 +# AND2 : 120 +# AND3 : 6 # AND4 : 1 -# GND : 1 -# INV : 77 -# OR2 : 42 +# GND : 2 +# INV : 76 +# OR2 : 43 # OR3 : 1 -# VCC : 1 +# VCC : 2 # XOR2 : 5 -# FlipFlops/Latches : 37 +# FlipFlops/Latches : 38 # FD : 1 # FDC : 5 # FDCE : 27 -# FDCP : 1 +# FDCP : 2 # FDP : 1 # FDPE : 2 -# IO Buffers : 23 -# IBUF : 10 +# IO Buffers : 32 +# IBUF : 14 # IOBUFE : 8 -# OBUF : 3 +# OBUF : 8 # OBUFE : 2 +# Others : 5 +# AND2B1 : 2 +# AND4B1 : 1 +# NAND2 : 2 ========================================================================= -CPU : 0.65 / 1.07 s | Elapsed : 0.00 / 1.00 s +CPU : 1.05 / 1.48 s | Elapsed : 1.00 / 1.00 s --> -Total memory usage is 68376 kilobytes +Total memory usage is 69656 kilobytes diff --git a/spi6502b.vm6 b/spi6502b.vm6 index 694f27c..cd64402 100644 --- a/spi6502b.vm6 +++ b/spi6502b.vm6 @@ -8,3425 +8,3536 @@ NETWORK | spi6502b | 0 | 0 | 16391 INPUT_INSTANCE | 0 | 0 | NULL | cpu_Nres_IBUF | spi6502b_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | cpu_Nres | 4063 | PI | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | cpu_Nres | 4234 | PI | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX MACROCELL_INSTANCE | Inv+PrldLow+PinTrst+OptxMapped | int_mosi | spi6502b_COPY_0_COPY_0 | 2155889920 | 12 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<3> | 4006 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<3>.Q | shiftcnt<3> | 1 | 0 | MC_UIM +NODE | shiftcnt<3> | 4166 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<3>.Q | shiftcnt<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<2> | 4007 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<2>.Q | shiftcnt<2> | 1 | 0 | MC_UIM +NODE | shiftcnt<2> | 4167 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<2>.Q | shiftcnt<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<1> | 4009 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<1>.Q | shiftcnt<1> | 1 | 0 | MC_UIM +NODE | shiftcnt<1> | 4169 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<1>.Q | shiftcnt<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftdone | 4010 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftdone.Q | shiftdone | 1 | 0 | MC_UIM +NODE | shiftdone | 4170 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftdone.Q | shiftdone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidataout<5> | 4018 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<5>.Q | spidataout<5> | 1 | 0 | MC_UIM +NODE | spidataout<4> | 4177 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<4>.Q | spidataout<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shifting2 | 4032 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM +NODE | shifting2 | 4192 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidataout<1> | 4014 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<1>.Q | spidataout<1> | 1 | 0 | MC_UIM +NODE | spidataout<0> | 4173 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<0>.Q | spidataout<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP6_.EXP | 4389 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | EXP6_.EXP | EXP6_ | 4 | 0 | MC_EXPORT +NODE | EXP6_.EXP | 4588 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | EXP6_.EXP | EXP6_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shifting2.EXP | 4390 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.EXP | shifting2 | 4 | 0 | MC_EXPORT +NODE | shifting2.EXP | 4589 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.EXP | shifting2 | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$INV$22__$INT.UIM | 4060 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$22__$INT.Q | $OpTx$INV$22__$INT | 1 | 0 | MC_UIM +NODE | $OpTx$INV$24__$INT.UIM | 4231 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$24__$INT.Q | $OpTx$INV$24__$INT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | tmo | 3983 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | tmo.Q | tmo | 1 | 0 | MC_UIM +NODE | tmo | 4139 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | tmo.Q | tmo | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | int_mosi | 3972 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_mosi.Q | int_mosi | 0 | 0 | MC_Q +NODE | int_mosi | 4127 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_mosi.Q | int_mosi | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 2 | 0 | MC_OE -NODE | int_mosi$OE | 3973 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_mosi.BUFOE.OUT | int_mosi | 2 | 0 | MC_OE +NODE | int_mosi$OE | 4128 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_mosi.BUFOE.OUT | int_mosi | 2 | 0 | MC_OE SIGNAL_INSTANCE | int_mosi.SI | int_mosi | 0 | 12 | 5 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<3> | 4006 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<3>.Q | shiftcnt<3> | 1 | 0 | MC_UIM +NODE | shiftcnt<3> | 4166 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<3>.Q | shiftcnt<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<2> | 4007 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<2>.Q | shiftcnt<2> | 1 | 0 | MC_UIM +NODE | shiftcnt<2> | 4167 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<2>.Q | shiftcnt<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<1> | 4009 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<1>.Q | shiftcnt<1> | 1 | 0 | MC_UIM +NODE | shiftcnt<1> | 4169 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<1>.Q | shiftcnt<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftdone | 4010 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftdone.Q | shiftdone | 1 | 0 | MC_UIM +NODE | shiftdone | 4170 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftdone.Q | shiftdone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidataout<5> | 4018 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<5>.Q | spidataout<5> | 1 | 0 | MC_UIM +NODE | spidataout<4> | 4177 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<4>.Q | spidataout<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shifting2 | 4032 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM +NODE | shifting2 | 4192 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidataout<1> | 4014 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<1>.Q | spidataout<1> | 1 | 0 | MC_UIM +NODE | spidataout<0> | 4173 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<0>.Q | spidataout<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP6_.EXP | 4389 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | EXP6_.EXP | EXP6_ | 4 | 0 | MC_EXPORT +NODE | EXP6_.EXP | 4588 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | EXP6_.EXP | EXP6_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shifting2.EXP | 4390 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.EXP | shifting2 | 4 | 0 | MC_EXPORT +NODE | shifting2.EXP | 4589 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.EXP | shifting2 | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$INV$22__$INT.UIM | 4060 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$22__$INT.Q | $OpTx$INV$22__$INT | 1 | 0 | MC_UIM +NODE | $OpTx$INV$24__$INT.UIM | 4231 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$24__$INT.Q | $OpTx$INV$24__$INT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | tmo | 3983 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | tmo.Q | tmo | 1 | 0 | MC_UIM +NODE | tmo | 4139 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | tmo.Q | tmo | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | int_mosi.D1 | 4074 | ? | 0 | 4096 | int_mosi | NULL | NULL | int_mosi.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | int_mosi.D1 | 4249 | ? | 0 | 4096 | int_mosi | NULL | NULL | int_mosi.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | int_mosi.D2 | 4075 | ? | 0 | 4096 | int_mosi | NULL | NULL | int_mosi.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | int_mosi.D2 | 4250 | ? | 0 | 4096 | int_mosi | NULL | NULL | int_mosi.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | EXP6_.EXP SPPTERM | 1 | IV_TRUE | shifting2.EXP -SPPTERM | 6 | IV_TRUE | shiftcnt<3> | IV_TRUE | shiftcnt<2> | IV_FALSE | shiftcnt<1> | IV_FALSE | shiftdone | IV_FALSE | spidataout<1> | IV_TRUE | shifting2 -SPPTERM | 6 | IV_FALSE | shiftcnt<3> | IV_TRUE | shiftcnt<2> | IV_FALSE | shiftcnt<1> | IV_FALSE | shiftdone | IV_FALSE | spidataout<5> | IV_TRUE | shifting2 +SPPTERM | 6 | IV_TRUE | shiftcnt<3> | IV_TRUE | shiftcnt<2> | IV_TRUE | shiftcnt<1> | IV_FALSE | shiftdone | IV_FALSE | spidataout<0> | IV_TRUE | shifting2 +SPPTERM | 6 | IV_FALSE | shiftcnt<3> | IV_TRUE | shiftcnt<2> | IV_TRUE | shiftcnt<1> | IV_FALSE | shiftdone | IV_FALSE | spidataout<4> | IV_TRUE | shifting2 OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF -SIGNAL | NODE | int_mosi.CLKF | 4076 | ? | 0 | 4096 | int_mosi | NULL | NULL | int_mosi.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 1 | IV_FALSE | $OpTx$INV$22__$INT.UIM +SIGNAL | NODE | int_mosi.CLKF | 4251 | ? | 0 | 4096 | int_mosi | NULL | NULL | int_mosi.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_FALSE | $OpTx$INV$24__$INT.UIM OUTPUT_NODE_TYPE | 5 | 9 | MC_SI_SETF -SIGNAL | NODE | int_mosi.SETF | 4077 | ? | 0 | 4096 | int_mosi | NULL | NULL | int_mosi.SI | 5 | 9 | MC_SI_SETF +SIGNAL | NODE | int_mosi.SETF | 4252 | ? | 0 | 4096 | int_mosi | NULL | NULL | int_mosi.SI | 5 | 9 | MC_SI_SETF SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF OUTPUT_NODE_TYPE | 4 | 9 | MC_SI_TRST -SIGNAL | NODE | int_mosi.TRST | 4079 | ? | 0 | 4096 | int_mosi | NULL | NULL | int_mosi.SI | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | int_mosi.TRST | 4254 | ? | 0 | 4096 | int_mosi | NULL | NULL | int_mosi.SI | 4 | 9 | MC_SI_TRST SPPTERM | 1 | IV_FALSE | tmo SRFF_INSTANCE | int_mosi.REG | int_mosi | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | int_mosi.D | 4073 | ? | 0 | 0 | int_mosi | NULL | NULL | int_mosi.XOR | 0 | 7 | ALU_F +NODE | int_mosi.D | 4248 | ? | 0 | 0 | int_mosi | NULL | NULL | int_mosi.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -SIGNAL | NODE | int_mosi.CLKF | 4076 | ? | 0 | 4096 | int_mosi | NULL | NULL | int_mosi.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 1 | IV_FALSE | $OpTx$INV$22__$INT.UIM +SIGNAL | NODE | int_mosi.CLKF | 4251 | ? | 0 | 4096 | int_mosi | NULL | NULL | int_mosi.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_FALSE | $OpTx$INV$24__$INT.UIM INPUT_NODE_TYPE | 2 | 8 | SRFF_S -SIGNAL | NODE | int_mosi.SETF | 4077 | ? | 0 | 4096 | int_mosi | NULL | NULL | int_mosi.SI | 5 | 9 | MC_SI_SETF +SIGNAL | NODE | int_mosi.SETF | 4252 | ? | 0 | 4096 | int_mosi | NULL | NULL | int_mosi.SI | 5 | 9 | MC_SI_SETF SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | int_mosi.Q | 4080 | ? | 0 | 0 | int_mosi | NULL | NULL | int_mosi.REG | 0 | 8 | SRFF_Q +NODE | int_mosi.Q | 4255 | ? | 0 | 0 | int_mosi | NULL | NULL | int_mosi.REG | 0 | 8 | SRFF_Q BUF_INSTANCE | int_mosi.BUFOE | int_mosi | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 10 | CTOR_UNKNOWN -SIGNAL | NODE | int_mosi.TRST | 4079 | ? | 0 | 4096 | int_mosi | NULL | NULL | int_mosi.SI | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | int_mosi.TRST | 4254 | ? | 0 | 4096 | int_mosi | NULL | NULL | int_mosi.SI | 4 | 9 | MC_SI_TRST SPPTERM | 1 | IV_FALSE | tmo OUTPUT_NODE_TYPE | 0 | 10 | BUF_OUT -NODE | int_mosi.BUFOE.OUT | 4078 | ? | 0 | 0 | int_mosi | NULL | NULL | int_mosi.BUFOE | 0 | 10 | BUF_OUT - -INPUT_INSTANCE | 0 | 0 | NULL | cpu_rnw_IBUF | spi6502b_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | cpu_rnw | 4064 | PI | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | cpu_rnw_IBUF | 3974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +NODE | int_mosi.BUFOE.OUT | 4253 | ? | 0 | 0 | int_mosi | NULL | NULL | int_mosi.BUFOE | 0 | 10 | BUF_OUT INPUT_INSTANCE | 0 | 0 | NULL | Ncs2_IBUF | spi6502b_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | Ncs2 | 4068 | PI | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | Ncs2 | 4235 | PI | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX -INPUT_INSTANCE | 0 | 0 | NULL | cs1_IBUF | spi6502b_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_INSTANCE | 0 | 0 | NULL | cpu_rnw_IBUF | spi6502b_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | cs1 | 4067 | PI | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | cpu_rnw | 4236 | PI | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +NODE | cpu_rnw_IBUF | 4130 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX -INPUT_INSTANCE | 0 | 0 | NULL | N2889 | spi6502b_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_INSTANCE | 0 | 0 | NULL | N3017 | spi6502b_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | cpu_d<0> | 4054 | PIPO | 0 | 64 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_d<0> | 0 | 6 | OI_OUT +NODE | cpu_d<0> | 4221 | PIPO | 0 | 64 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_d<0> | 0 | 6 | OI_OUT OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | N2889 | 4033 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N2889 | 0 | 5 | II_IMUX +NODE | N3017 | 4196 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3017 | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | cpu_a_0_IBUF | spi6502b_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | cpu_a<0> | 4069 | PI | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | cpu_a<0> | 4244 | PI | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | cpu_a_1_IBUF | spi6502b_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | cpu_a<1> | 4066 | PI | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | cpu_a<1> | 4242 | PI | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | slavesel | spi6502b_COPY_0_COPY_0 | 2424312832 | 8 | 2 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | slavesel | spi6502b_COPY_0_COPY_0 | 2155877376 | 7 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | slavesel | 3976 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slavesel.Q | slavesel | 1 | 0 | MC_UIM +NODE | slavesel | 4132 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slavesel.Q | slavesel | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N2889 | 4033 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N2889 | 0 | 5 | II_IMUX +NODE | N3017 | 4196 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3017 | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_rnw_IBUF | 3974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +NODE | cpu_rnw_IBUF | 4130 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | slavesel$Q | 3975 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slavesel.Q | slavesel | 0 | 0 | MC_Q +NODE | slavesel$Q | 4131 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slavesel.Q | slavesel | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | slavesel | 3976 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slavesel.Q | slavesel | 1 | 0 | MC_UIM +NODE | slavesel | 4132 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slavesel.Q | slavesel | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | slavesel.SI | slavesel | 0 | 8 | 5 +SIGNAL_INSTANCE | slavesel.SI | slavesel | 0 | 7 | 5 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | slavesel | 3976 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slavesel.Q | slavesel | 1 | 0 | MC_UIM +NODE | slavesel | 4132 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slavesel.Q | slavesel | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N2889 | 4033 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N2889 | 0 | 5 | II_IMUX +NODE | N3017 | 4196 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3017 | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_rnw_IBUF | 3974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +NODE | cpu_rnw_IBUF | 4130 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | slavesel.D1 | 4082 | ? | 0 | 4096 | slavesel | NULL | NULL | slavesel.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | slavesel.D1 | 4257 | ? | 0 | 4096 | slavesel | NULL | NULL | slavesel.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | slavesel.D2 | 4083 | ? | 0 | 4096 | slavesel | NULL | NULL | slavesel.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 4 | IV_TRUE | slavesel | IV_TRUE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_FALSE | N2889 -SPPTERM | 4 | IV_FALSE | slavesel | IV_TRUE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_TRUE | N2889 +SIGNAL | NODE | slavesel.D2 | 4258 | ? | 0 | 4096 | slavesel | NULL | NULL | slavesel.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 4 | IV_TRUE | slavesel | IV_TRUE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_FALSE | N3017 +SPPTERM | 4 | IV_FALSE | slavesel | IV_TRUE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_TRUE | N3017 OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF -SIGNAL | NODE | slavesel.CLKF | 4084 | ? | 0 | 4096 | slavesel | NULL | NULL | slavesel.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +SIGNAL | NODE | slavesel.CLKF | 4259 | ? | 0 | 4096 | slavesel | NULL | NULL | slavesel.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | Ncs2_IBUF OUTPUT_NODE_TYPE | 5 | 9 | MC_SI_SETF -SIGNAL | NODE | slavesel.SETF | 4085 | ? | 0 | 4096 | slavesel | NULL | NULL | slavesel.SI | 5 | 9 | MC_SI_SETF +SIGNAL | NODE | slavesel.SETF | 4260 | ? | 0 | 4096 | slavesel | NULL | NULL | slavesel.SI | 5 | 9 | MC_SI_SETF SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | slavesel.CE | 4086 | ? | 0 | 4096 | slavesel | NULL | NULL | slavesel.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | slavesel.CE | 4261 | ? | 0 | 4096 | slavesel | NULL | NULL | slavesel.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_FALSE | cpu_rnw_IBUF SRFF_INSTANCE | slavesel.REG | slavesel | 0 | 4 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | slavesel.D | 4081 | ? | 0 | 0 | slavesel | NULL | NULL | slavesel.XOR | 0 | 7 | ALU_F +NODE | slavesel.D | 4256 | ? | 0 | 0 | slavesel | NULL | NULL | slavesel.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -SIGNAL | NODE | slavesel.CLKF | 4084 | ? | 0 | 4096 | slavesel | NULL | NULL | slavesel.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +SIGNAL | NODE | slavesel.CLKF | 4259 | ? | 0 | 4096 | slavesel | NULL | NULL | slavesel.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | Ncs2_IBUF INPUT_NODE_TYPE | 2 | 8 | SRFF_S -SIGNAL | NODE | slavesel.SETF | 4085 | ? | 0 | 4096 | slavesel | NULL | NULL | slavesel.SI | 5 | 9 | MC_SI_SETF +SIGNAL | NODE | slavesel.SETF | 4260 | ? | 0 | 4096 | slavesel | NULL | NULL | slavesel.SI | 5 | 9 | MC_SI_SETF SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | slavesel.CE | 4086 | ? | 0 | 4096 | slavesel | NULL | NULL | slavesel.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | slavesel.CE | 4261 | ? | 0 | 4096 | slavesel | NULL | NULL | slavesel.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_FALSE | cpu_rnw_IBUF OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | slavesel.Q | 4087 | ? | 0 | 0 | slavesel | NULL | NULL | slavesel.REG | 0 | 8 | SRFF_Q +NODE | slavesel.Q | 4262 | ? | 0 | 0 | slavesel | NULL | NULL | slavesel.REG | 0 | 8 | SRFF_Q -INPUT_INSTANCE | 0 | 0 | NULL | N2891 | spi6502b_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_INSTANCE | 0 | 0 | NULL | N3019 | spi6502b_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | cpu_d<1> | 4055 | PIPO | 0 | 64 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_d<1> | 0 | 6 | OI_OUT +NODE | cpu_d<1> | 4222 | PIPO | 0 | 64 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_d<1> | 0 | 6 | OI_OUT OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | N2891 | 4034 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N2891 | 0 | 5 | II_IMUX +NODE | N3019 | 4197 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3019 | 0 | 5 | II_IMUX -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | cpol | spi6502b_COPY_0_COPY_0 | 2424312832 | 8 | 1 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cpol | spi6502b_COPY_0_COPY_0 | 2155877376 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpol | 3977 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | cpol.Q | cpol | 1 | 0 | MC_UIM +NODE | cpol | 4133 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | cpol.Q | cpol | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N2891 | 4034 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N2891 | 0 | 5 | II_IMUX +NODE | N3019 | 4197 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3019 | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_rnw_IBUF | 3974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +NODE | cpu_rnw_IBUF | 4130 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cpol | 3977 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | cpol.Q | cpol | 1 | 0 | MC_UIM +NODE | cpol | 4133 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | cpol.Q | cpol | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | cpol.SI | cpol | 0 | 8 | 5 +SIGNAL_INSTANCE | cpol.SI | cpol | 0 | 7 | 5 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpol | 3977 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | cpol.Q | cpol | 1 | 0 | MC_UIM +NODE | cpol | 4133 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | cpol.Q | cpol | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N2891 | 4034 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N2891 | 0 | 5 | II_IMUX +NODE | N3019 | 4197 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3019 | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_rnw_IBUF | 3974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +NODE | cpu_rnw_IBUF | 4130 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cpol.D1 | 4089 | ? | 0 | 4096 | cpol | NULL | NULL | cpol.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cpol.D1 | 4264 | ? | 0 | 4096 | cpol | NULL | NULL | cpol.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cpol.D2 | 4090 | ? | 0 | 4096 | cpol | NULL | NULL | cpol.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 4 | IV_TRUE | cpol | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_FALSE | N2891 -SPPTERM | 4 | IV_FALSE | cpol | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_TRUE | N2891 +SIGNAL | NODE | cpol.D2 | 4265 | ? | 0 | 4096 | cpol | NULL | NULL | cpol.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 4 | IV_TRUE | cpol | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_FALSE | N3019 +SPPTERM | 4 | IV_FALSE | cpol | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_TRUE | N3019 OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF -SIGNAL | NODE | cpol.CLKF | 4091 | ? | 0 | 4096 | cpol | NULL | NULL | cpol.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +SIGNAL | NODE | cpol.CLKF | 4266 | ? | 0 | 4096 | cpol | NULL | NULL | cpol.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | Ncs2_IBUF OUTPUT_NODE_TYPE | 6 | 9 | MC_SI_RSTF -SIGNAL | NODE | cpol.RSTF | 4092 | ? | 0 | 4096 | cpol | NULL | NULL | cpol.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | cpol.RSTF | 4267 | ? | 0 | 4096 | cpol | NULL | NULL | cpol.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cpol.CE | 4093 | ? | 0 | 4096 | cpol | NULL | NULL | cpol.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cpol.CE | 4268 | ? | 0 | 4096 | cpol | NULL | NULL | cpol.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_FALSE | cpu_rnw_IBUF SRFF_INSTANCE | cpol.REG | cpol | 0 | 4 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cpol.D | 4088 | ? | 0 | 0 | cpol | NULL | NULL | cpol.XOR | 0 | 7 | ALU_F +NODE | cpol.D | 4263 | ? | 0 | 0 | cpol | NULL | NULL | cpol.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -SIGNAL | NODE | cpol.CLKF | 4091 | ? | 0 | 4096 | cpol | NULL | NULL | cpol.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +SIGNAL | NODE | cpol.CLKF | 4266 | ? | 0 | 4096 | cpol | NULL | NULL | cpol.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | Ncs2_IBUF INPUT_NODE_TYPE | 3 | 8 | SRFF_R -SIGNAL | NODE | cpol.RSTF | 4092 | ? | 0 | 4096 | cpol | NULL | NULL | cpol.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | cpol.RSTF | 4267 | ? | 0 | 4096 | cpol | NULL | NULL | cpol.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cpol.CE | 4093 | ? | 0 | 4096 | cpol | NULL | NULL | cpol.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cpol.CE | 4268 | ? | 0 | 4096 | cpol | NULL | NULL | cpol.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_FALSE | cpu_rnw_IBUF OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cpol.Q | 4094 | ? | 0 | 0 | cpol | NULL | NULL | cpol.REG | 0 | 8 | SRFF_Q +NODE | cpol.Q | 4269 | ? | 0 | 0 | cpol | NULL | NULL | cpol.REG | 0 | 8 | SRFF_Q -INPUT_INSTANCE | 0 | 0 | NULL | N2893 | spi6502b_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_INSTANCE | 0 | 0 | NULL | N3021 | spi6502b_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | cpu_d<2> | 4056 | PIPO | 0 | 64 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_d<2> | 0 | 6 | OI_OUT +NODE | cpu_d<2> | 4223 | PIPO | 0 | 64 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_d<2> | 0 | 6 | OI_OUT OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | N2893 | 4035 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N2893 | 0 | 5 | II_IMUX +NODE | N3021 | 4198 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3021 | 0 | 5 | II_IMUX -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | ece | spi6502b_COPY_0_COPY_0 | 2424312832 | 8 | 1 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | ece | spi6502b_COPY_0_COPY_0 | 2155877376 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ece | 3978 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | ece.Q | ece | 1 | 0 | MC_UIM +NODE | ece | 4134 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | ece.Q | ece | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N2893 | 4035 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N2893 | 0 | 5 | II_IMUX +NODE | N3021 | 4198 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3021 | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_rnw_IBUF | 3974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +NODE | cpu_rnw_IBUF | 4130 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ece | 3978 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | ece.Q | ece | 1 | 0 | MC_UIM +NODE | ece | 4134 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | ece.Q | ece | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | ece.SI | ece | 0 | 8 | 5 +SIGNAL_INSTANCE | ece.SI | ece | 0 | 7 | 5 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ece | 3978 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | ece.Q | ece | 1 | 0 | MC_UIM +NODE | ece | 4134 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | ece.Q | ece | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N2893 | 4035 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N2893 | 0 | 5 | II_IMUX +NODE | N3021 | 4198 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3021 | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_rnw_IBUF | 3974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +NODE | cpu_rnw_IBUF | 4130 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ece.D1 | 4096 | ? | 0 | 4096 | ece | NULL | NULL | ece.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ece.D1 | 4271 | ? | 0 | 4096 | ece | NULL | NULL | ece.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ece.D2 | 4097 | ? | 0 | 4096 | ece | NULL | NULL | ece.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 4 | IV_TRUE | ece | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_FALSE | N2893 -SPPTERM | 4 | IV_FALSE | ece | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_TRUE | N2893 +SIGNAL | NODE | ece.D2 | 4272 | ? | 0 | 4096 | ece | NULL | NULL | ece.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 4 | IV_TRUE | ece | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_FALSE | N3021 +SPPTERM | 4 | IV_FALSE | ece | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_TRUE | N3021 OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF -SIGNAL | NODE | ece.CLKF | 4098 | ? | 0 | 4096 | ece | NULL | NULL | ece.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +SIGNAL | NODE | ece.CLKF | 4273 | ? | 0 | 4096 | ece | NULL | NULL | ece.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | Ncs2_IBUF OUTPUT_NODE_TYPE | 6 | 9 | MC_SI_RSTF -SIGNAL | NODE | ece.RSTF | 4099 | ? | 0 | 4096 | ece | NULL | NULL | ece.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | ece.RSTF | 4274 | ? | 0 | 4096 | ece | NULL | NULL | ece.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | ece.CE | 4100 | ? | 0 | 4096 | ece | NULL | NULL | ece.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | ece.CE | 4275 | ? | 0 | 4096 | ece | NULL | NULL | ece.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_FALSE | cpu_rnw_IBUF SRFF_INSTANCE | ece.REG | ece | 0 | 4 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ece.D | 4095 | ? | 0 | 0 | ece | NULL | NULL | ece.XOR | 0 | 7 | ALU_F +NODE | ece.D | 4270 | ? | 0 | 0 | ece | NULL | NULL | ece.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -SIGNAL | NODE | ece.CLKF | 4098 | ? | 0 | 4096 | ece | NULL | NULL | ece.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +SIGNAL | NODE | ece.CLKF | 4273 | ? | 0 | 4096 | ece | NULL | NULL | ece.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | Ncs2_IBUF INPUT_NODE_TYPE | 3 | 8 | SRFF_R -SIGNAL | NODE | ece.RSTF | 4099 | ? | 0 | 4096 | ece | NULL | NULL | ece.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | ece.RSTF | 4274 | ? | 0 | 4096 | ece | NULL | NULL | ece.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | ece.CE | 4100 | ? | 0 | 4096 | ece | NULL | NULL | ece.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | ece.CE | 4275 | ? | 0 | 4096 | ece | NULL | NULL | ece.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_FALSE | cpu_rnw_IBUF OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ece.Q | 4101 | ? | 0 | 0 | ece | NULL | NULL | ece.REG | 0 | 8 | SRFF_Q +NODE | ece.Q | 4276 | ? | 0 | 0 | ece | NULL | NULL | ece.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | cpha | spi6502b_COPY_0_COPY_0 | 2424312832 | 8 | 1 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cpha | spi6502b_COPY_0_COPY_0 | 2155877376 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpha | 3979 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | cpha.Q | cpha | 1 | 0 | MC_UIM +NODE | cpha | 4135 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | cpha.Q | cpha | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N2889 | 4033 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N2889 | 0 | 5 | II_IMUX +NODE | N3017 | 4196 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3017 | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_rnw_IBUF | 3974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +NODE | cpu_rnw_IBUF | 4130 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cpha | 3979 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | cpha.Q | cpha | 1 | 0 | MC_UIM +NODE | cpha | 4135 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | cpha.Q | cpha | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | cpha.SI | cpha | 0 | 8 | 5 +SIGNAL_INSTANCE | cpha.SI | cpha | 0 | 7 | 5 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpha | 3979 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | cpha.Q | cpha | 1 | 0 | MC_UIM +NODE | cpha | 4135 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | cpha.Q | cpha | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N2889 | 4033 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N2889 | 0 | 5 | II_IMUX +NODE | N3017 | 4196 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3017 | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_rnw_IBUF | 3974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +NODE | cpu_rnw_IBUF | 4130 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cpha.D1 | 4103 | ? | 0 | 4096 | cpha | NULL | NULL | cpha.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cpha.D1 | 4278 | ? | 0 | 4096 | cpha | NULL | NULL | cpha.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cpha.D2 | 4104 | ? | 0 | 4096 | cpha | NULL | NULL | cpha.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 4 | IV_TRUE | cpha | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_FALSE | N2889 -SPPTERM | 4 | IV_FALSE | cpha | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_TRUE | N2889 +SIGNAL | NODE | cpha.D2 | 4279 | ? | 0 | 4096 | cpha | NULL | NULL | cpha.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 4 | IV_TRUE | cpha | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_FALSE | N3017 +SPPTERM | 4 | IV_FALSE | cpha | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_TRUE | N3017 OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF -SIGNAL | NODE | cpha.CLKF | 4105 | ? | 0 | 4096 | cpha | NULL | NULL | cpha.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +SIGNAL | NODE | cpha.CLKF | 4280 | ? | 0 | 4096 | cpha | NULL | NULL | cpha.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | Ncs2_IBUF OUTPUT_NODE_TYPE | 6 | 9 | MC_SI_RSTF -SIGNAL | NODE | cpha.RSTF | 4106 | ? | 0 | 4096 | cpha | NULL | NULL | cpha.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | cpha.RSTF | 4281 | ? | 0 | 4096 | cpha | NULL | NULL | cpha.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cpha.CE | 4107 | ? | 0 | 4096 | cpha | NULL | NULL | cpha.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cpha.CE | 4282 | ? | 0 | 4096 | cpha | NULL | NULL | cpha.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_FALSE | cpu_rnw_IBUF SRFF_INSTANCE | cpha.REG | cpha | 0 | 4 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cpha.D | 4102 | ? | 0 | 0 | cpha | NULL | NULL | cpha.XOR | 0 | 7 | ALU_F +NODE | cpha.D | 4277 | ? | 0 | 0 | cpha | NULL | NULL | cpha.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -SIGNAL | NODE | cpha.CLKF | 4105 | ? | 0 | 4096 | cpha | NULL | NULL | cpha.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +SIGNAL | NODE | cpha.CLKF | 4280 | ? | 0 | 4096 | cpha | NULL | NULL | cpha.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | Ncs2_IBUF INPUT_NODE_TYPE | 3 | 8 | SRFF_R -SIGNAL | NODE | cpha.RSTF | 4106 | ? | 0 | 4096 | cpha | NULL | NULL | cpha.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | cpha.RSTF | 4281 | ? | 0 | 4096 | cpha | NULL | NULL | cpha.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cpha.CE | 4107 | ? | 0 | 4096 | cpha | NULL | NULL | cpha.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cpha.CE | 4282 | ? | 0 | 4096 | cpha | NULL | NULL | cpha.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_FALSE | cpu_rnw_IBUF OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cpha.Q | 4108 | ? | 0 | 0 | cpha | NULL | NULL | cpha.REG | 0 | 8 | SRFF_Q +NODE | cpha.Q | 4283 | ? | 0 | 0 | cpha | NULL | NULL | cpha.REG | 0 | 8 | SRFF_Q -INPUT_INSTANCE | 0 | 0 | NULL | N2897 | spi6502b_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_INSTANCE | 0 | 0 | NULL | N3025 | spi6502b_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | cpu_d<4> | 4057 | PIPO | 0 | 64 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_d<4> | 0 | 6 | OI_OUT +NODE | cpu_d<4> | 4224 | PIPO | 0 | 64 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_d<4> | 0 | 6 | OI_OUT OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | N2897 | 4037 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N2897 | 0 | 5 | II_IMUX +NODE | N3025 | 4200 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3025 | 0 | 5 | II_IMUX -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | frx | spi6502b_COPY_0_COPY_0 | 2424312832 | 8 | 1 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | frx | spi6502b_COPY_0_COPY_0 | 2155877376 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | frx | 3980 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | frx.Q | frx | 1 | 0 | MC_UIM +NODE | frx | 4136 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | frx.Q | frx | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N2897 | 4037 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N2897 | 0 | 5 | II_IMUX +NODE | N3025 | 4200 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3025 | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_rnw_IBUF | 3974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +NODE | cpu_rnw_IBUF | 4130 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | frx | 3980 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | frx.Q | frx | 1 | 0 | MC_UIM +NODE | frx | 4136 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | frx.Q | frx | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | frx.SI | frx | 0 | 8 | 5 +SIGNAL_INSTANCE | frx.SI | frx | 0 | 7 | 5 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | frx | 3980 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | frx.Q | frx | 1 | 0 | MC_UIM +NODE | frx | 4136 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | frx.Q | frx | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N2897 | 4037 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N2897 | 0 | 5 | II_IMUX +NODE | N3025 | 4200 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3025 | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_rnw_IBUF | 3974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +NODE | cpu_rnw_IBUF | 4130 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | frx.D1 | 4110 | ? | 0 | 4096 | frx | NULL | NULL | frx.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | frx.D1 | 4285 | ? | 0 | 4096 | frx | NULL | NULL | frx.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | frx.D2 | 4111 | ? | 0 | 4096 | frx | NULL | NULL | frx.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 4 | IV_TRUE | frx | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_FALSE | N2897 -SPPTERM | 4 | IV_FALSE | frx | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_TRUE | N2897 +SIGNAL | NODE | frx.D2 | 4286 | ? | 0 | 4096 | frx | NULL | NULL | frx.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 4 | IV_TRUE | frx | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_FALSE | N3025 +SPPTERM | 4 | IV_FALSE | frx | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_TRUE | N3025 OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF -SIGNAL | NODE | frx.CLKF | 4112 | ? | 0 | 4096 | frx | NULL | NULL | frx.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +SIGNAL | NODE | frx.CLKF | 4287 | ? | 0 | 4096 | frx | NULL | NULL | frx.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | Ncs2_IBUF OUTPUT_NODE_TYPE | 6 | 9 | MC_SI_RSTF -SIGNAL | NODE | frx.RSTF | 4113 | ? | 0 | 4096 | frx | NULL | NULL | frx.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | frx.RSTF | 4288 | ? | 0 | 4096 | frx | NULL | NULL | frx.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | frx.CE | 4114 | ? | 0 | 4096 | frx | NULL | NULL | frx.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | frx.CE | 4289 | ? | 0 | 4096 | frx | NULL | NULL | frx.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_FALSE | cpu_rnw_IBUF SRFF_INSTANCE | frx.REG | frx | 0 | 4 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | frx.D | 4109 | ? | 0 | 0 | frx | NULL | NULL | frx.XOR | 0 | 7 | ALU_F +NODE | frx.D | 4284 | ? | 0 | 0 | frx | NULL | NULL | frx.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -SIGNAL | NODE | frx.CLKF | 4112 | ? | 0 | 4096 | frx | NULL | NULL | frx.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +SIGNAL | NODE | frx.CLKF | 4287 | ? | 0 | 4096 | frx | NULL | NULL | frx.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | Ncs2_IBUF INPUT_NODE_TYPE | 3 | 8 | SRFF_R -SIGNAL | NODE | frx.RSTF | 4113 | ? | 0 | 4096 | frx | NULL | NULL | frx.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | frx.RSTF | 4288 | ? | 0 | 4096 | frx | NULL | NULL | frx.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | frx.CE | 4114 | ? | 0 | 4096 | frx | NULL | NULL | frx.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | frx.CE | 4289 | ? | 0 | 4096 | frx | NULL | NULL | frx.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_FALSE | cpu_rnw_IBUF OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | frx.Q | 4115 | ? | 0 | 0 | frx | NULL | NULL | frx.REG | 0 | 8 | SRFF_Q +NODE | frx.Q | 4290 | ? | 0 | 0 | frx | NULL | NULL | frx.REG | 0 | 8 | SRFF_Q -INPUT_INSTANCE | 0 | 0 | NULL | N2901 | spi6502b_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_INSTANCE | 0 | 0 | NULL | N3029 | spi6502b_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | cpu_d<6> | 4052 | PIPO | 0 | 64 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_d<6> | 0 | 6 | OI_OUT +NODE | cpu_d<6> | 4218 | PIPO | 0 | 64 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_d<6> | 0 | 6 | OI_OUT OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | N2901 | 4038 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N2901 | 0 | 5 | II_IMUX +NODE | N3029 | 4201 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3029 | 0 | 5 | II_IMUX -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | ier | spi6502b_COPY_0_COPY_0 | 2424312832 | 8 | 1 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | ier | spi6502b_COPY_0_COPY_0 | 2155877376 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ier | 3981 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | ier.Q | ier | 1 | 0 | MC_UIM +NODE | ier | 4137 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | ier.Q | ier | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N2901 | 4038 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N2901 | 0 | 5 | II_IMUX +NODE | N3029 | 4201 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3029 | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_rnw_IBUF | 3974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +NODE | cpu_rnw_IBUF | 4130 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ier | 3981 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | ier.Q | ier | 1 | 0 | MC_UIM +NODE | ier | 4137 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | ier.Q | ier | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | ier.SI | ier | 0 | 8 | 5 +SIGNAL_INSTANCE | ier.SI | ier | 0 | 7 | 5 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ier | 3981 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | ier.Q | ier | 1 | 0 | MC_UIM +NODE | ier | 4137 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | ier.Q | ier | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N2901 | 4038 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N2901 | 0 | 5 | II_IMUX +NODE | N3029 | 4201 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3029 | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_rnw_IBUF | 3974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +NODE | cpu_rnw_IBUF | 4130 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ier.D1 | 4117 | ? | 0 | 4096 | ier | NULL | NULL | ier.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ier.D1 | 4292 | ? | 0 | 4096 | ier | NULL | NULL | ier.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ier.D2 | 4118 | ? | 0 | 4096 | ier | NULL | NULL | ier.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 4 | IV_TRUE | ier | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_FALSE | N2901 -SPPTERM | 4 | IV_FALSE | ier | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_TRUE | N2901 +SIGNAL | NODE | ier.D2 | 4293 | ? | 0 | 4096 | ier | NULL | NULL | ier.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 4 | IV_TRUE | ier | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_FALSE | N3029 +SPPTERM | 4 | IV_FALSE | ier | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_TRUE | N3029 OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF -SIGNAL | NODE | ier.CLKF | 4119 | ? | 0 | 4096 | ier | NULL | NULL | ier.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +SIGNAL | NODE | ier.CLKF | 4294 | ? | 0 | 4096 | ier | NULL | NULL | ier.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | Ncs2_IBUF OUTPUT_NODE_TYPE | 6 | 9 | MC_SI_RSTF -SIGNAL | NODE | ier.RSTF | 4120 | ? | 0 | 4096 | ier | NULL | NULL | ier.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | ier.RSTF | 4295 | ? | 0 | 4096 | ier | NULL | NULL | ier.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | ier.CE | 4121 | ? | 0 | 4096 | ier | NULL | NULL | ier.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | ier.CE | 4296 | ? | 0 | 4096 | ier | NULL | NULL | ier.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_FALSE | cpu_rnw_IBUF SRFF_INSTANCE | ier.REG | ier | 0 | 4 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ier.D | 4116 | ? | 0 | 0 | ier | NULL | NULL | ier.XOR | 0 | 7 | ALU_F +NODE | ier.D | 4291 | ? | 0 | 0 | ier | NULL | NULL | ier.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -SIGNAL | NODE | ier.CLKF | 4119 | ? | 0 | 4096 | ier | NULL | NULL | ier.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +SIGNAL | NODE | ier.CLKF | 4294 | ? | 0 | 4096 | ier | NULL | NULL | ier.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | Ncs2_IBUF INPUT_NODE_TYPE | 3 | 8 | SRFF_R -SIGNAL | NODE | ier.RSTF | 4120 | ? | 0 | 4096 | ier | NULL | NULL | ier.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | ier.RSTF | 4295 | ? | 0 | 4096 | ier | NULL | NULL | ier.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | ier.CE | 4121 | ? | 0 | 4096 | ier | NULL | NULL | ier.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | ier.CE | 4296 | ? | 0 | 4096 | ier | NULL | NULL | ier.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_FALSE | cpu_rnw_IBUF OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ier.Q | 4122 | ? | 0 | 0 | ier | NULL | NULL | ier.REG | 0 | 8 | SRFF_Q +NODE | ier.Q | 4297 | ? | 0 | 0 | ier | NULL | NULL | ier.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | slaveinten | spi6502b_COPY_0_COPY_0 | 2424312832 | 8 | 1 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | slaveinten | spi6502b_COPY_0_COPY_0 | 2155877376 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | slaveinten | 3982 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slaveinten.Q | slaveinten | 1 | 0 | MC_UIM +NODE | slaveinten | 4138 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slaveinten.Q | slaveinten | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N2897 | 4037 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N2897 | 0 | 5 | II_IMUX +NODE | N3025 | 4200 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3025 | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_rnw_IBUF | 3974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +NODE | cpu_rnw_IBUF | 4130 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | slaveinten | 3982 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slaveinten.Q | slaveinten | 1 | 0 | MC_UIM +NODE | slaveinten | 4138 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slaveinten.Q | slaveinten | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | slaveinten.SI | slaveinten | 0 | 8 | 5 +SIGNAL_INSTANCE | slaveinten.SI | slaveinten | 0 | 7 | 5 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | slaveinten | 3982 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slaveinten.Q | slaveinten | 1 | 0 | MC_UIM +NODE | slaveinten | 4138 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slaveinten.Q | slaveinten | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N2897 | 4037 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N2897 | 0 | 5 | II_IMUX +NODE | N3025 | 4200 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3025 | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_rnw_IBUF | 3974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +NODE | cpu_rnw_IBUF | 4130 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | slaveinten.D1 | 4124 | ? | 0 | 4096 | slaveinten | NULL | NULL | slaveinten.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | slaveinten.D1 | 4299 | ? | 0 | 4096 | slaveinten | NULL | NULL | slaveinten.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | slaveinten.D2 | 4125 | ? | 0 | 4096 | slaveinten | NULL | NULL | slaveinten.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 4 | IV_TRUE | slaveinten | IV_TRUE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_FALSE | N2897 -SPPTERM | 4 | IV_FALSE | slaveinten | IV_TRUE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_TRUE | N2897 +SIGNAL | NODE | slaveinten.D2 | 4300 | ? | 0 | 4096 | slaveinten | NULL | NULL | slaveinten.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 4 | IV_TRUE | slaveinten | IV_TRUE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_FALSE | N3025 +SPPTERM | 4 | IV_FALSE | slaveinten | IV_TRUE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_TRUE | N3025 OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF -SIGNAL | NODE | slaveinten.CLKF | 4126 | ? | 0 | 4096 | slaveinten | NULL | NULL | slaveinten.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +SIGNAL | NODE | slaveinten.CLKF | 4301 | ? | 0 | 4096 | slaveinten | NULL | NULL | slaveinten.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | Ncs2_IBUF OUTPUT_NODE_TYPE | 6 | 9 | MC_SI_RSTF -SIGNAL | NODE | slaveinten.RSTF | 4127 | ? | 0 | 4096 | slaveinten | NULL | NULL | slaveinten.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | slaveinten.RSTF | 4302 | ? | 0 | 4096 | slaveinten | NULL | NULL | slaveinten.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | slaveinten.CE | 4128 | ? | 0 | 4096 | slaveinten | NULL | NULL | slaveinten.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | slaveinten.CE | 4303 | ? | 0 | 4096 | slaveinten | NULL | NULL | slaveinten.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_FALSE | cpu_rnw_IBUF SRFF_INSTANCE | slaveinten.REG | slaveinten | 0 | 4 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | slaveinten.D | 4123 | ? | 0 | 0 | slaveinten | NULL | NULL | slaveinten.XOR | 0 | 7 | ALU_F +NODE | slaveinten.D | 4298 | ? | 0 | 0 | slaveinten | NULL | NULL | slaveinten.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -SIGNAL | NODE | slaveinten.CLKF | 4126 | ? | 0 | 4096 | slaveinten | NULL | NULL | slaveinten.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +SIGNAL | NODE | slaveinten.CLKF | 4301 | ? | 0 | 4096 | slaveinten | NULL | NULL | slaveinten.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | Ncs2_IBUF INPUT_NODE_TYPE | 3 | 8 | SRFF_R -SIGNAL | NODE | slaveinten.RSTF | 4127 | ? | 0 | 4096 | slaveinten | NULL | NULL | slaveinten.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | slaveinten.RSTF | 4302 | ? | 0 | 4096 | slaveinten | NULL | NULL | slaveinten.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | slaveinten.CE | 4128 | ? | 0 | 4096 | slaveinten | NULL | NULL | slaveinten.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | slaveinten.CE | 4303 | ? | 0 | 4096 | slaveinten | NULL | NULL | slaveinten.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_FALSE | cpu_rnw_IBUF OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | slaveinten.Q | 4129 | ? | 0 | 0 | slaveinten | NULL | NULL | slaveinten.REG | 0 | 8 | SRFF_Q +NODE | slaveinten.Q | 4304 | ? | 0 | 0 | slaveinten | NULL | NULL | slaveinten.REG | 0 | 8 | SRFF_Q -INPUT_INSTANCE | 0 | 0 | NULL | N2895 | spi6502b_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_INSTANCE | 0 | 0 | NULL | N3023 | spi6502b_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | cpu_d<3> | 4050 | PIPO | 0 | 64 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_d<3> | 0 | 6 | OI_OUT +NODE | cpu_d<3> | 4216 | PIPO | 0 | 64 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_d<3> | 0 | 6 | OI_OUT OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | N2895 | 4036 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N2895 | 0 | 5 | II_IMUX +NODE | N3023 | 4199 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3023 | 0 | 5 | II_IMUX -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | tmo | spi6502b_COPY_0_COPY_0 | 2424312832 | 8 | 1 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | tmo | spi6502b_COPY_0_COPY_0 | 2155877376 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | tmo | 3983 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | tmo.Q | tmo | 1 | 0 | MC_UIM +NODE | tmo | 4139 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | tmo.Q | tmo | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N2895 | 4036 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N2895 | 0 | 5 | II_IMUX +NODE | N3023 | 4199 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3023 | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_rnw_IBUF | 3974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +NODE | cpu_rnw_IBUF | 4130 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | tmo | 3983 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | tmo.Q | tmo | 1 | 0 | MC_UIM +NODE | tmo | 4139 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | tmo.Q | tmo | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | tmo.SI | tmo | 0 | 8 | 5 +SIGNAL_INSTANCE | tmo.SI | tmo | 0 | 7 | 5 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | tmo | 3983 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | tmo.Q | tmo | 1 | 0 | MC_UIM +NODE | tmo | 4139 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | tmo.Q | tmo | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N2895 | 4036 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N2895 | 0 | 5 | II_IMUX +NODE | N3023 | 4199 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3023 | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_rnw_IBUF | 3974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +NODE | cpu_rnw_IBUF | 4130 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | tmo.D1 | 4131 | ? | 0 | 4096 | tmo | NULL | NULL | tmo.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | tmo.D1 | 4306 | ? | 0 | 4096 | tmo | NULL | NULL | tmo.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | tmo.D2 | 4132 | ? | 0 | 4096 | tmo | NULL | NULL | tmo.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 4 | IV_TRUE | tmo | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_FALSE | N2895 -SPPTERM | 4 | IV_FALSE | tmo | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_TRUE | N2895 +SIGNAL | NODE | tmo.D2 | 4307 | ? | 0 | 4096 | tmo | NULL | NULL | tmo.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 4 | IV_TRUE | tmo | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_FALSE | N3023 +SPPTERM | 4 | IV_FALSE | tmo | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_TRUE | N3023 OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF -SIGNAL | NODE | tmo.CLKF | 4133 | ? | 0 | 4096 | tmo | NULL | NULL | tmo.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +SIGNAL | NODE | tmo.CLKF | 4308 | ? | 0 | 4096 | tmo | NULL | NULL | tmo.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | Ncs2_IBUF OUTPUT_NODE_TYPE | 6 | 9 | MC_SI_RSTF -SIGNAL | NODE | tmo.RSTF | 4134 | ? | 0 | 4096 | tmo | NULL | NULL | tmo.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | tmo.RSTF | 4309 | ? | 0 | 4096 | tmo | NULL | NULL | tmo.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | tmo.CE | 4135 | ? | 0 | 4096 | tmo | NULL | NULL | tmo.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | tmo.CE | 4310 | ? | 0 | 4096 | tmo | NULL | NULL | tmo.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_FALSE | cpu_rnw_IBUF SRFF_INSTANCE | tmo.REG | tmo | 0 | 4 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | tmo.D | 4130 | ? | 0 | 0 | tmo | NULL | NULL | tmo.XOR | 0 | 7 | ALU_F +NODE | tmo.D | 4305 | ? | 0 | 0 | tmo | NULL | NULL | tmo.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -SIGNAL | NODE | tmo.CLKF | 4133 | ? | 0 | 4096 | tmo | NULL | NULL | tmo.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +SIGNAL | NODE | tmo.CLKF | 4308 | ? | 0 | 4096 | tmo | NULL | NULL | tmo.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | Ncs2_IBUF INPUT_NODE_TYPE | 3 | 8 | SRFF_R -SIGNAL | NODE | tmo.RSTF | 4134 | ? | 0 | 4096 | tmo | NULL | NULL | tmo.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | tmo.RSTF | 4309 | ? | 0 | 4096 | tmo | NULL | NULL | tmo.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | tmo.CE | 4135 | ? | 0 | 4096 | tmo | NULL | NULL | tmo.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | tmo.CE | 4310 | ? | 0 | 4096 | tmo | NULL | NULL | tmo.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_FALSE | cpu_rnw_IBUF OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | tmo.Q | 4136 | ? | 0 | 0 | tmo | NULL | NULL | tmo.REG | 0 | 8 | SRFF_Q +NODE | tmo.Q | 4311 | ? | 0 | 0 | tmo | NULL | NULL | tmo.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | divisor<0> | spi6502b_COPY_0_COPY_0 | 2424312832 | 8 | 1 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | divisor<0> | spi6502b_COPY_0_COPY_0 | 2155877376 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | divisor<0> | 3984 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | divisor<0>.Q | divisor<0> | 1 | 0 | MC_UIM +NODE | divisor<0> | 4140 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | divisor<0>.Q | divisor<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N2889 | 4033 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N2889 | 0 | 5 | II_IMUX +NODE | N3017 | 4196 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3017 | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_rnw_IBUF | 3974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +NODE | cpu_rnw_IBUF | 4130 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | divisor<0> | 3984 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | divisor<0>.Q | divisor<0> | 1 | 0 | MC_UIM +NODE | divisor<0> | 4140 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | divisor<0>.Q | divisor<0> | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | divisor<0>.SI | divisor<0> | 0 | 8 | 5 +SIGNAL_INSTANCE | divisor<0>.SI | divisor<0> | 0 | 7 | 5 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | divisor<0> | 3984 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | divisor<0>.Q | divisor<0> | 1 | 0 | MC_UIM +NODE | divisor<0> | 4140 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | divisor<0>.Q | divisor<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N2889 | 4033 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N2889 | 0 | 5 | II_IMUX +NODE | N3017 | 4196 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3017 | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_rnw_IBUF | 3974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +NODE | cpu_rnw_IBUF | 4130 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | divisor<0>.D1 | 4138 | ? | 0 | 4096 | divisor<0> | NULL | NULL | divisor<0>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | divisor<0>.D1 | 4313 | ? | 0 | 4096 | divisor<0> | NULL | NULL | divisor<0>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | divisor<0>.D2 | 4139 | ? | 0 | 4096 | divisor<0> | NULL | NULL | divisor<0>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 4 | IV_TRUE | divisor<0> | IV_TRUE | cpu_a_1_IBUF | IV_FALSE | cpu_a_0_IBUF | IV_FALSE | N2889 -SPPTERM | 4 | IV_FALSE | divisor<0> | IV_TRUE | cpu_a_1_IBUF | IV_FALSE | cpu_a_0_IBUF | IV_TRUE | N2889 +SIGNAL | NODE | divisor<0>.D2 | 4314 | ? | 0 | 4096 | divisor<0> | NULL | NULL | divisor<0>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 4 | IV_TRUE | divisor<0> | IV_TRUE | cpu_a_1_IBUF | IV_FALSE | cpu_a_0_IBUF | IV_FALSE | N3017 +SPPTERM | 4 | IV_FALSE | divisor<0> | IV_TRUE | cpu_a_1_IBUF | IV_FALSE | cpu_a_0_IBUF | IV_TRUE | N3017 OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF -SIGNAL | NODE | divisor<0>.CLKF | 4140 | ? | 0 | 4096 | divisor<0> | NULL | NULL | divisor<0>.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +SIGNAL | NODE | divisor<0>.CLKF | 4315 | ? | 0 | 4096 | divisor<0> | NULL | NULL | divisor<0>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | Ncs2_IBUF OUTPUT_NODE_TYPE | 6 | 9 | MC_SI_RSTF -SIGNAL | NODE | divisor<0>.RSTF | 4141 | ? | 0 | 4096 | divisor<0> | NULL | NULL | divisor<0>.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | divisor<0>.RSTF | 4316 | ? | 0 | 4096 | divisor<0> | NULL | NULL | divisor<0>.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | divisor<0>.CE | 4142 | ? | 0 | 4096 | divisor<0> | NULL | NULL | divisor<0>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | divisor<0>.CE | 4317 | ? | 0 | 4096 | divisor<0> | NULL | NULL | divisor<0>.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_FALSE | cpu_rnw_IBUF SRFF_INSTANCE | divisor<0>.REG | divisor<0> | 0 | 4 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | divisor<0>.D | 4137 | ? | 0 | 0 | divisor<0> | NULL | NULL | divisor<0>.XOR | 0 | 7 | ALU_F +NODE | divisor<0>.D | 4312 | ? | 0 | 0 | divisor<0> | NULL | NULL | divisor<0>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -SIGNAL | NODE | divisor<0>.CLKF | 4140 | ? | 0 | 4096 | divisor<0> | NULL | NULL | divisor<0>.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +SIGNAL | NODE | divisor<0>.CLKF | 4315 | ? | 0 | 4096 | divisor<0> | NULL | NULL | divisor<0>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | Ncs2_IBUF INPUT_NODE_TYPE | 3 | 8 | SRFF_R -SIGNAL | NODE | divisor<0>.RSTF | 4141 | ? | 0 | 4096 | divisor<0> | NULL | NULL | divisor<0>.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | divisor<0>.RSTF | 4316 | ? | 0 | 4096 | divisor<0> | NULL | NULL | divisor<0>.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | divisor<0>.CE | 4142 | ? | 0 | 4096 | divisor<0> | NULL | NULL | divisor<0>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | divisor<0>.CE | 4317 | ? | 0 | 4096 | divisor<0> | NULL | NULL | divisor<0>.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_FALSE | cpu_rnw_IBUF OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | divisor<0>.Q | 4143 | ? | 0 | 0 | divisor<0> | NULL | NULL | divisor<0>.REG | 0 | 8 | SRFF_Q +NODE | divisor<0>.Q | 4318 | ? | 0 | 0 | divisor<0> | NULL | NULL | divisor<0>.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | divisor<1> | spi6502b_COPY_0_COPY_0 | 2424312832 | 8 | 1 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | divisor<1> | spi6502b_COPY_0_COPY_0 | 2155877376 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | divisor<1> | 3985 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | divisor<1>.Q | divisor<1> | 1 | 0 | MC_UIM +NODE | divisor<1> | 4141 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | divisor<1>.Q | divisor<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N2891 | 4034 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N2891 | 0 | 5 | II_IMUX +NODE | N3019 | 4197 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3019 | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_rnw_IBUF | 3974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +NODE | cpu_rnw_IBUF | 4130 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | divisor<1> | 3985 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | divisor<1>.Q | divisor<1> | 1 | 0 | MC_UIM +NODE | divisor<1> | 4141 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | divisor<1>.Q | divisor<1> | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | divisor<1>.SI | divisor<1> | 0 | 8 | 5 +SIGNAL_INSTANCE | divisor<1>.SI | divisor<1> | 0 | 7 | 5 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | divisor<1> | 3985 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | divisor<1>.Q | divisor<1> | 1 | 0 | MC_UIM +NODE | divisor<1> | 4141 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | divisor<1>.Q | divisor<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N2891 | 4034 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N2891 | 0 | 5 | II_IMUX +NODE | N3019 | 4197 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3019 | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_rnw_IBUF | 3974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +NODE | cpu_rnw_IBUF | 4130 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | divisor<1>.D1 | 4145 | ? | 0 | 4096 | divisor<1> | NULL | NULL | divisor<1>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | divisor<1>.D1 | 4320 | ? | 0 | 4096 | divisor<1> | NULL | NULL | divisor<1>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | divisor<1>.D2 | 4146 | ? | 0 | 4096 | divisor<1> | NULL | NULL | divisor<1>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 4 | IV_TRUE | divisor<1> | IV_TRUE | cpu_a_1_IBUF | IV_FALSE | cpu_a_0_IBUF | IV_FALSE | N2891 -SPPTERM | 4 | IV_FALSE | divisor<1> | IV_TRUE | cpu_a_1_IBUF | IV_FALSE | cpu_a_0_IBUF | IV_TRUE | N2891 +SIGNAL | NODE | divisor<1>.D2 | 4321 | ? | 0 | 4096 | divisor<1> | NULL | NULL | divisor<1>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 4 | IV_TRUE | divisor<1> | IV_TRUE | cpu_a_1_IBUF | IV_FALSE | cpu_a_0_IBUF | IV_FALSE | N3019 +SPPTERM | 4 | IV_FALSE | divisor<1> | IV_TRUE | cpu_a_1_IBUF | IV_FALSE | cpu_a_0_IBUF | IV_TRUE | N3019 OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF -SIGNAL | NODE | divisor<1>.CLKF | 4147 | ? | 0 | 4096 | divisor<1> | NULL | NULL | divisor<1>.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +SIGNAL | NODE | divisor<1>.CLKF | 4322 | ? | 0 | 4096 | divisor<1> | NULL | NULL | divisor<1>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | Ncs2_IBUF OUTPUT_NODE_TYPE | 6 | 9 | MC_SI_RSTF -SIGNAL | NODE | divisor<1>.RSTF | 4148 | ? | 0 | 4096 | divisor<1> | NULL | NULL | divisor<1>.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | divisor<1>.RSTF | 4323 | ? | 0 | 4096 | divisor<1> | NULL | NULL | divisor<1>.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | divisor<1>.CE | 4149 | ? | 0 | 4096 | divisor<1> | NULL | NULL | divisor<1>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | divisor<1>.CE | 4324 | ? | 0 | 4096 | divisor<1> | NULL | NULL | divisor<1>.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_FALSE | cpu_rnw_IBUF SRFF_INSTANCE | divisor<1>.REG | divisor<1> | 0 | 4 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | divisor<1>.D | 4144 | ? | 0 | 0 | divisor<1> | NULL | NULL | divisor<1>.XOR | 0 | 7 | ALU_F +NODE | divisor<1>.D | 4319 | ? | 0 | 0 | divisor<1> | NULL | NULL | divisor<1>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -SIGNAL | NODE | divisor<1>.CLKF | 4147 | ? | 0 | 4096 | divisor<1> | NULL | NULL | divisor<1>.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +SIGNAL | NODE | divisor<1>.CLKF | 4322 | ? | 0 | 4096 | divisor<1> | NULL | NULL | divisor<1>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | Ncs2_IBUF INPUT_NODE_TYPE | 3 | 8 | SRFF_R -SIGNAL | NODE | divisor<1>.RSTF | 4148 | ? | 0 | 4096 | divisor<1> | NULL | NULL | divisor<1>.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | divisor<1>.RSTF | 4323 | ? | 0 | 4096 | divisor<1> | NULL | NULL | divisor<1>.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | divisor<1>.CE | 4149 | ? | 0 | 4096 | divisor<1> | NULL | NULL | divisor<1>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | divisor<1>.CE | 4324 | ? | 0 | 4096 | divisor<1> | NULL | NULL | divisor<1>.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_FALSE | cpu_rnw_IBUF OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | divisor<1>.Q | 4150 | ? | 0 | 0 | divisor<1> | NULL | NULL | divisor<1>.REG | 0 | 8 | SRFF_Q +NODE | divisor<1>.Q | 4325 | ? | 0 | 0 | divisor<1> | NULL | NULL | divisor<1>.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | divisor<2> | spi6502b_COPY_0_COPY_0 | 2424312832 | 8 | 1 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | divisor<2> | spi6502b_COPY_0_COPY_0 | 2155877376 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | divisor<2> | 3986 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | divisor<2>.Q | divisor<2> | 1 | 0 | MC_UIM +NODE | divisor<2> | 4142 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | divisor<2>.Q | divisor<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N2893 | 4035 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N2893 | 0 | 5 | II_IMUX +NODE | N3021 | 4198 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3021 | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_rnw_IBUF | 3974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +NODE | cpu_rnw_IBUF | 4130 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | divisor<2> | 3986 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | divisor<2>.Q | divisor<2> | 1 | 0 | MC_UIM +NODE | divisor<2> | 4142 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | divisor<2>.Q | divisor<2> | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | divisor<2>.SI | divisor<2> | 0 | 8 | 5 +SIGNAL_INSTANCE | divisor<2>.SI | divisor<2> | 0 | 7 | 5 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | divisor<2> | 3986 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | divisor<2>.Q | divisor<2> | 1 | 0 | MC_UIM +NODE | divisor<2> | 4142 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | divisor<2>.Q | divisor<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N2893 | 4035 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N2893 | 0 | 5 | II_IMUX +NODE | N3021 | 4198 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3021 | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_rnw_IBUF | 3974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +NODE | cpu_rnw_IBUF | 4130 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | divisor<2>.D1 | 4152 | ? | 0 | 4096 | divisor<2> | NULL | NULL | divisor<2>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | divisor<2>.D1 | 4327 | ? | 0 | 4096 | divisor<2> | NULL | NULL | divisor<2>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | divisor<2>.D2 | 4153 | ? | 0 | 4096 | divisor<2> | NULL | NULL | divisor<2>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 4 | IV_TRUE | divisor<2> | IV_TRUE | cpu_a_1_IBUF | IV_FALSE | cpu_a_0_IBUF | IV_FALSE | N2893 -SPPTERM | 4 | IV_FALSE | divisor<2> | IV_TRUE | cpu_a_1_IBUF | IV_FALSE | cpu_a_0_IBUF | IV_TRUE | N2893 +SIGNAL | NODE | divisor<2>.D2 | 4328 | ? | 0 | 4096 | divisor<2> | NULL | NULL | divisor<2>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 4 | IV_TRUE | divisor<2> | IV_TRUE | cpu_a_1_IBUF | IV_FALSE | cpu_a_0_IBUF | IV_FALSE | N3021 +SPPTERM | 4 | IV_FALSE | divisor<2> | IV_TRUE | cpu_a_1_IBUF | IV_FALSE | cpu_a_0_IBUF | IV_TRUE | N3021 OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF -SIGNAL | NODE | divisor<2>.CLKF | 4154 | ? | 0 | 4096 | divisor<2> | NULL | NULL | divisor<2>.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +SIGNAL | NODE | divisor<2>.CLKF | 4329 | ? | 0 | 4096 | divisor<2> | NULL | NULL | divisor<2>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | Ncs2_IBUF OUTPUT_NODE_TYPE | 6 | 9 | MC_SI_RSTF -SIGNAL | NODE | divisor<2>.RSTF | 4155 | ? | 0 | 4096 | divisor<2> | NULL | NULL | divisor<2>.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | divisor<2>.RSTF | 4330 | ? | 0 | 4096 | divisor<2> | NULL | NULL | divisor<2>.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | divisor<2>.CE | 4156 | ? | 0 | 4096 | divisor<2> | NULL | NULL | divisor<2>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | divisor<2>.CE | 4331 | ? | 0 | 4096 | divisor<2> | NULL | NULL | divisor<2>.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_FALSE | cpu_rnw_IBUF SRFF_INSTANCE | divisor<2>.REG | divisor<2> | 0 | 4 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | divisor<2>.D | 4151 | ? | 0 | 0 | divisor<2> | NULL | NULL | divisor<2>.XOR | 0 | 7 | ALU_F +NODE | divisor<2>.D | 4326 | ? | 0 | 0 | divisor<2> | NULL | NULL | divisor<2>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -SIGNAL | NODE | divisor<2>.CLKF | 4154 | ? | 0 | 4096 | divisor<2> | NULL | NULL | divisor<2>.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +SIGNAL | NODE | divisor<2>.CLKF | 4329 | ? | 0 | 4096 | divisor<2> | NULL | NULL | divisor<2>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | Ncs2_IBUF INPUT_NODE_TYPE | 3 | 8 | SRFF_R -SIGNAL | NODE | divisor<2>.RSTF | 4155 | ? | 0 | 4096 | divisor<2> | NULL | NULL | divisor<2>.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | divisor<2>.RSTF | 4330 | ? | 0 | 4096 | divisor<2> | NULL | NULL | divisor<2>.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | divisor<2>.CE | 4156 | ? | 0 | 4096 | divisor<2> | NULL | NULL | divisor<2>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | divisor<2>.CE | 4331 | ? | 0 | 4096 | divisor<2> | NULL | NULL | divisor<2>.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_FALSE | cpu_rnw_IBUF OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | divisor<2>.Q | 4157 | ? | 0 | 0 | divisor<2> | NULL | NULL | divisor<2>.REG | 0 | 8 | SRFF_Q +NODE | divisor<2>.Q | 4332 | ? | 0 | 0 | divisor<2> | NULL | NULL | divisor<2>.REG | 0 | 8 | SRFF_Q INPUT_INSTANCE | 0 | 0 | NULL | spi_miso_IBUF | spi6502b_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | spi_miso | 4065 | PI | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | spi_miso | 4237 | PI | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | spi_miso_IBUF | 3987 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | spi_miso_IBUF | 0 | 5 | II_IMUX +NODE | spi_miso_IBUF | 4143 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | spi_miso_IBUF | 0 | 5 | II_IMUX MACROCELL_INSTANCE | PrldLow+OptxMapped | spidatain<0> | spi6502b_COPY_0_COPY_0 | 2155873280 | 6 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | slavesel | 3976 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slavesel.Q | slavesel | 1 | 0 | MC_UIM +NODE | slavesel | 4132 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slavesel.Q | slavesel | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spi_miso_IBUF | 3987 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | spi_miso_IBUF | 0 | 5 | II_IMUX +NODE | spi_miso_IBUF | 4143 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | spi_miso_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$INV$22__$INT.UIM | 4060 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$22__$INT.Q | $OpTx$INV$22__$INT | 1 | 0 | MC_UIM +NODE | $OpTx$INV$24__$INT.UIM | 4231 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$24__$INT.Q | $OpTx$INV$24__$INT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<0> | 4008 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM +NODE | shiftcnt<0> | 4168 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shifting2 | 4032 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM +NODE | shifting2 | 4192 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | spidatain<0> | 3988 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<0>.Q | spidatain<0> | 1 | 0 | MC_UIM +NODE | spidatain<0> | 4144 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<0>.Q | spidatain<0> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | spidatain<0>.SI | spidatain<0> | 0 | 6 | 5 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | slavesel | 3976 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slavesel.Q | slavesel | 1 | 0 | MC_UIM +NODE | slavesel | 4132 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slavesel.Q | slavesel | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spi_miso_IBUF | 3987 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | spi_miso_IBUF | 0 | 5 | II_IMUX +NODE | spi_miso_IBUF | 4143 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | spi_miso_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$INV$22__$INT.UIM | 4060 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$22__$INT.Q | $OpTx$INV$22__$INT | 1 | 0 | MC_UIM +NODE | $OpTx$INV$24__$INT.UIM | 4231 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$24__$INT.Q | $OpTx$INV$24__$INT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<0> | 4008 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM +NODE | shiftcnt<0> | 4168 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shifting2 | 4032 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM +NODE | shifting2 | 4192 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | spidatain<0>.D1 | 4159 | ? | 0 | 4096 | spidatain<0> | NULL | NULL | spidatain<0>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | spidatain<0>.D1 | 4334 | ? | 0 | 4096 | spidatain<0> | NULL | NULL | spidatain<0>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | spidatain<0>.D2 | 4160 | ? | 0 | 4096 | spidatain<0> | NULL | NULL | spidatain<0>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | spidatain<0>.D2 | 4335 | ? | 0 | 4096 | spidatain<0> | NULL | NULL | spidatain<0>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_FALSE | slavesel | IV_TRUE | spi_miso_IBUF OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF -SIGNAL | NODE | spidatain<0>.CLKF | 4161 | ? | 0 | 4096 | spidatain<0> | NULL | NULL | spidatain<0>.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 1 | IV_FALSE | $OpTx$INV$22__$INT.UIM +SIGNAL | NODE | spidatain<0>.CLKF | 4336 | ? | 0 | 4096 | spidatain<0> | NULL | NULL | spidatain<0>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_FALSE | $OpTx$INV$24__$INT.UIM OUTPUT_NODE_TYPE | 6 | 9 | MC_SI_RSTF -SIGNAL | NODE | spidatain<0>.RSTF | 4162 | ? | 0 | 4096 | spidatain<0> | NULL | NULL | spidatain<0>.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | spidatain<0>.RSTF | 4337 | ? | 0 | 4096 | spidatain<0> | NULL | NULL | spidatain<0>.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | spidatain<0>.CE | 4163 | ? | 0 | 4096 | spidatain<0> | NULL | NULL | spidatain<0>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | spidatain<0>.CE | 4338 | ? | 0 | 4096 | spidatain<0> | NULL | NULL | spidatain<0>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_TRUE | shiftcnt<0> | IV_TRUE | shifting2 SRFF_INSTANCE | spidatain<0>.REG | spidatain<0> | 0 | 4 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | spidatain<0>.D | 4158 | ? | 0 | 0 | spidatain<0> | NULL | NULL | spidatain<0>.XOR | 0 | 7 | ALU_F +NODE | spidatain<0>.D | 4333 | ? | 0 | 0 | spidatain<0> | NULL | NULL | spidatain<0>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -SIGNAL | NODE | spidatain<0>.CLKF | 4161 | ? | 0 | 4096 | spidatain<0> | NULL | NULL | spidatain<0>.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 1 | IV_FALSE | $OpTx$INV$22__$INT.UIM +SIGNAL | NODE | spidatain<0>.CLKF | 4336 | ? | 0 | 4096 | spidatain<0> | NULL | NULL | spidatain<0>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_FALSE | $OpTx$INV$24__$INT.UIM INPUT_NODE_TYPE | 3 | 8 | SRFF_R -SIGNAL | NODE | spidatain<0>.RSTF | 4162 | ? | 0 | 4096 | spidatain<0> | NULL | NULL | spidatain<0>.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | spidatain<0>.RSTF | 4337 | ? | 0 | 4096 | spidatain<0> | NULL | NULL | spidatain<0>.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | spidatain<0>.CE | 4163 | ? | 0 | 4096 | spidatain<0> | NULL | NULL | spidatain<0>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | spidatain<0>.CE | 4338 | ? | 0 | 4096 | spidatain<0> | NULL | NULL | spidatain<0>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_TRUE | shiftcnt<0> | IV_TRUE | shifting2 OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | spidatain<0>.Q | 4164 | ? | 0 | 0 | spidatain<0> | NULL | NULL | spidatain<0>.REG | 0 | 8 | SRFF_Q +NODE | spidatain<0>.Q | 4339 | ? | 0 | 0 | spidatain<0> | NULL | NULL | spidatain<0>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | spidatain<1> | spi6502b_COPY_0_COPY_0 | 2155873280 | 5 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidatain<0> | 3988 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<0>.Q | spidatain<0> | 1 | 0 | MC_UIM +NODE | spidatain<0> | 4144 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<0>.Q | spidatain<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$INV$22__$INT.UIM | 4060 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$22__$INT.Q | $OpTx$INV$22__$INT | 1 | 0 | MC_UIM +NODE | $OpTx$INV$24__$INT.UIM | 4231 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$24__$INT.Q | $OpTx$INV$24__$INT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<0> | 4008 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM +NODE | shiftcnt<0> | 4168 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shifting2 | 4032 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM +NODE | shifting2 | 4192 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | spidatain<1> | 3989 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<1>.Q | spidatain<1> | 1 | 0 | MC_UIM +NODE | spidatain<1> | 4145 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<1>.Q | spidatain<1> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | spidatain<1>.SI | spidatain<1> | 0 | 5 | 5 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidatain<0> | 3988 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<0>.Q | spidatain<0> | 1 | 0 | MC_UIM +NODE | spidatain<0> | 4144 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<0>.Q | spidatain<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$INV$22__$INT.UIM | 4060 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$22__$INT.Q | $OpTx$INV$22__$INT | 1 | 0 | MC_UIM +NODE | $OpTx$INV$24__$INT.UIM | 4231 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$24__$INT.Q | $OpTx$INV$24__$INT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<0> | 4008 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM +NODE | shiftcnt<0> | 4168 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shifting2 | 4032 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM +NODE | shifting2 | 4192 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | spidatain<1>.D1 | 4166 | ? | 0 | 4096 | spidatain<1> | NULL | NULL | spidatain<1>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | spidatain<1>.D1 | 4341 | ? | 0 | 4096 | spidatain<1> | NULL | NULL | spidatain<1>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | spidatain<1>.D2 | 4167 | ? | 0 | 4096 | spidatain<1> | NULL | NULL | spidatain<1>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | spidatain<1>.D2 | 4342 | ? | 0 | 4096 | spidatain<1> | NULL | NULL | spidatain<1>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | spidatain<0> OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF -SIGNAL | NODE | spidatain<1>.CLKF | 4168 | ? | 0 | 4096 | spidatain<1> | NULL | NULL | spidatain<1>.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 1 | IV_FALSE | $OpTx$INV$22__$INT.UIM +SIGNAL | NODE | spidatain<1>.CLKF | 4343 | ? | 0 | 4096 | spidatain<1> | NULL | NULL | spidatain<1>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_FALSE | $OpTx$INV$24__$INT.UIM OUTPUT_NODE_TYPE | 6 | 9 | MC_SI_RSTF -SIGNAL | NODE | spidatain<1>.RSTF | 4169 | ? | 0 | 4096 | spidatain<1> | NULL | NULL | spidatain<1>.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | spidatain<1>.RSTF | 4344 | ? | 0 | 4096 | spidatain<1> | NULL | NULL | spidatain<1>.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | spidatain<1>.CE | 4170 | ? | 0 | 4096 | spidatain<1> | NULL | NULL | spidatain<1>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | spidatain<1>.CE | 4345 | ? | 0 | 4096 | spidatain<1> | NULL | NULL | spidatain<1>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_TRUE | shiftcnt<0> | IV_TRUE | shifting2 SRFF_INSTANCE | spidatain<1>.REG | spidatain<1> | 0 | 4 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | spidatain<1>.D | 4165 | ? | 0 | 0 | spidatain<1> | NULL | NULL | spidatain<1>.XOR | 0 | 7 | ALU_F +NODE | spidatain<1>.D | 4340 | ? | 0 | 0 | spidatain<1> | NULL | NULL | spidatain<1>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -SIGNAL | NODE | spidatain<1>.CLKF | 4168 | ? | 0 | 4096 | spidatain<1> | NULL | NULL | spidatain<1>.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 1 | IV_FALSE | $OpTx$INV$22__$INT.UIM +SIGNAL | NODE | spidatain<1>.CLKF | 4343 | ? | 0 | 4096 | spidatain<1> | NULL | NULL | spidatain<1>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_FALSE | $OpTx$INV$24__$INT.UIM INPUT_NODE_TYPE | 3 | 8 | SRFF_R -SIGNAL | NODE | spidatain<1>.RSTF | 4169 | ? | 0 | 4096 | spidatain<1> | NULL | NULL | spidatain<1>.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | spidatain<1>.RSTF | 4344 | ? | 0 | 4096 | spidatain<1> | NULL | NULL | spidatain<1>.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | spidatain<1>.CE | 4170 | ? | 0 | 4096 | spidatain<1> | NULL | NULL | spidatain<1>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | spidatain<1>.CE | 4345 | ? | 0 | 4096 | spidatain<1> | NULL | NULL | spidatain<1>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_TRUE | shiftcnt<0> | IV_TRUE | shifting2 OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | spidatain<1>.Q | 4171 | ? | 0 | 0 | spidatain<1> | NULL | NULL | spidatain<1>.REG | 0 | 8 | SRFF_Q +NODE | spidatain<1>.Q | 4346 | ? | 0 | 0 | spidatain<1> | NULL | NULL | spidatain<1>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | spidatain<2> | spi6502b_COPY_0_COPY_0 | 2155873280 | 5 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidatain<1> | 3989 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<1>.Q | spidatain<1> | 1 | 0 | MC_UIM +NODE | spidatain<1> | 4145 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<1>.Q | spidatain<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$INV$22__$INT.UIM | 4060 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$22__$INT.Q | $OpTx$INV$22__$INT | 1 | 0 | MC_UIM +NODE | $OpTx$INV$24__$INT.UIM | 4231 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$24__$INT.Q | $OpTx$INV$24__$INT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<0> | 4008 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM +NODE | shiftcnt<0> | 4168 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shifting2 | 4032 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM +NODE | shifting2 | 4192 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | spidatain<2> | 3990 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<2>.Q | spidatain<2> | 1 | 0 | MC_UIM +NODE | spidatain<2> | 4146 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<2>.Q | spidatain<2> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | spidatain<2>.SI | spidatain<2> | 0 | 5 | 5 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidatain<1> | 3989 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<1>.Q | spidatain<1> | 1 | 0 | MC_UIM +NODE | spidatain<1> | 4145 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<1>.Q | spidatain<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$INV$22__$INT.UIM | 4060 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$22__$INT.Q | $OpTx$INV$22__$INT | 1 | 0 | MC_UIM +NODE | $OpTx$INV$24__$INT.UIM | 4231 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$24__$INT.Q | $OpTx$INV$24__$INT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<0> | 4008 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM +NODE | shiftcnt<0> | 4168 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shifting2 | 4032 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM +NODE | shifting2 | 4192 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | spidatain<2>.D1 | 4173 | ? | 0 | 4096 | spidatain<2> | NULL | NULL | spidatain<2>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | spidatain<2>.D1 | 4348 | ? | 0 | 4096 | spidatain<2> | NULL | NULL | spidatain<2>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | spidatain<2>.D2 | 4174 | ? | 0 | 4096 | spidatain<2> | NULL | NULL | spidatain<2>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | spidatain<2>.D2 | 4349 | ? | 0 | 4096 | spidatain<2> | NULL | NULL | spidatain<2>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | spidatain<1> OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF -SIGNAL | NODE | spidatain<2>.CLKF | 4175 | ? | 0 | 4096 | spidatain<2> | NULL | NULL | spidatain<2>.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 1 | IV_FALSE | $OpTx$INV$22__$INT.UIM +SIGNAL | NODE | spidatain<2>.CLKF | 4350 | ? | 0 | 4096 | spidatain<2> | NULL | NULL | spidatain<2>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_FALSE | $OpTx$INV$24__$INT.UIM OUTPUT_NODE_TYPE | 6 | 9 | MC_SI_RSTF -SIGNAL | NODE | spidatain<2>.RSTF | 4176 | ? | 0 | 4096 | spidatain<2> | NULL | NULL | spidatain<2>.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | spidatain<2>.RSTF | 4351 | ? | 0 | 4096 | spidatain<2> | NULL | NULL | spidatain<2>.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | spidatain<2>.CE | 4177 | ? | 0 | 4096 | spidatain<2> | NULL | NULL | spidatain<2>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | spidatain<2>.CE | 4352 | ? | 0 | 4096 | spidatain<2> | NULL | NULL | spidatain<2>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_TRUE | shiftcnt<0> | IV_TRUE | shifting2 SRFF_INSTANCE | spidatain<2>.REG | spidatain<2> | 0 | 4 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | spidatain<2>.D | 4172 | ? | 0 | 0 | spidatain<2> | NULL | NULL | spidatain<2>.XOR | 0 | 7 | ALU_F +NODE | spidatain<2>.D | 4347 | ? | 0 | 0 | spidatain<2> | NULL | NULL | spidatain<2>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -SIGNAL | NODE | spidatain<2>.CLKF | 4175 | ? | 0 | 4096 | spidatain<2> | NULL | NULL | spidatain<2>.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 1 | IV_FALSE | $OpTx$INV$22__$INT.UIM +SIGNAL | NODE | spidatain<2>.CLKF | 4350 | ? | 0 | 4096 | spidatain<2> | NULL | NULL | spidatain<2>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_FALSE | $OpTx$INV$24__$INT.UIM INPUT_NODE_TYPE | 3 | 8 | SRFF_R -SIGNAL | NODE | spidatain<2>.RSTF | 4176 | ? | 0 | 4096 | spidatain<2> | NULL | NULL | spidatain<2>.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | spidatain<2>.RSTF | 4351 | ? | 0 | 4096 | spidatain<2> | NULL | NULL | spidatain<2>.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | spidatain<2>.CE | 4177 | ? | 0 | 4096 | spidatain<2> | NULL | NULL | spidatain<2>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | spidatain<2>.CE | 4352 | ? | 0 | 4096 | spidatain<2> | NULL | NULL | spidatain<2>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_TRUE | shiftcnt<0> | IV_TRUE | shifting2 OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | spidatain<2>.Q | 4178 | ? | 0 | 0 | spidatain<2> | NULL | NULL | spidatain<2>.REG | 0 | 8 | SRFF_Q +NODE | spidatain<2>.Q | 4353 | ? | 0 | 0 | spidatain<2> | NULL | NULL | spidatain<2>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | spidatain<3> | spi6502b_COPY_0_COPY_0 | 2155873280 | 5 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidatain<2> | 3990 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<2>.Q | spidatain<2> | 1 | 0 | MC_UIM +NODE | spidatain<2> | 4146 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<2>.Q | spidatain<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$INV$22__$INT.UIM | 4060 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$22__$INT.Q | $OpTx$INV$22__$INT | 1 | 0 | MC_UIM +NODE | $OpTx$INV$24__$INT.UIM | 4231 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$24__$INT.Q | $OpTx$INV$24__$INT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<0> | 4008 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM +NODE | shiftcnt<0> | 4168 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shifting2 | 4032 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM +NODE | shifting2 | 4192 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | spidatain<3> | 3991 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<3>.Q | spidatain<3> | 1 | 0 | MC_UIM +NODE | spidatain<3> | 4147 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<3>.Q | spidatain<3> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | spidatain<3>.SI | spidatain<3> | 0 | 5 | 5 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidatain<2> | 3990 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<2>.Q | spidatain<2> | 1 | 0 | MC_UIM +NODE | spidatain<2> | 4146 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<2>.Q | spidatain<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$INV$22__$INT.UIM | 4060 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$22__$INT.Q | $OpTx$INV$22__$INT | 1 | 0 | MC_UIM +NODE | $OpTx$INV$24__$INT.UIM | 4231 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$24__$INT.Q | $OpTx$INV$24__$INT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<0> | 4008 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM +NODE | shiftcnt<0> | 4168 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shifting2 | 4032 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM +NODE | shifting2 | 4192 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | spidatain<3>.D1 | 4180 | ? | 0 | 4096 | spidatain<3> | NULL | NULL | spidatain<3>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | spidatain<3>.D1 | 4355 | ? | 0 | 4096 | spidatain<3> | NULL | NULL | spidatain<3>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | spidatain<3>.D2 | 4181 | ? | 0 | 4096 | spidatain<3> | NULL | NULL | spidatain<3>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | spidatain<3>.D2 | 4356 | ? | 0 | 4096 | spidatain<3> | NULL | NULL | spidatain<3>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | spidatain<2> OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF -SIGNAL | NODE | spidatain<3>.CLKF | 4182 | ? | 0 | 4096 | spidatain<3> | NULL | NULL | spidatain<3>.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 1 | IV_FALSE | $OpTx$INV$22__$INT.UIM +SIGNAL | NODE | spidatain<3>.CLKF | 4357 | ? | 0 | 4096 | spidatain<3> | NULL | NULL | spidatain<3>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_FALSE | $OpTx$INV$24__$INT.UIM OUTPUT_NODE_TYPE | 6 | 9 | MC_SI_RSTF -SIGNAL | NODE | spidatain<3>.RSTF | 4183 | ? | 0 | 4096 | spidatain<3> | NULL | NULL | spidatain<3>.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | spidatain<3>.RSTF | 4358 | ? | 0 | 4096 | spidatain<3> | NULL | NULL | spidatain<3>.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | spidatain<3>.CE | 4184 | ? | 0 | 4096 | spidatain<3> | NULL | NULL | spidatain<3>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | spidatain<3>.CE | 4359 | ? | 0 | 4096 | spidatain<3> | NULL | NULL | spidatain<3>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_TRUE | shiftcnt<0> | IV_TRUE | shifting2 SRFF_INSTANCE | spidatain<3>.REG | spidatain<3> | 0 | 4 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | spidatain<3>.D | 4179 | ? | 0 | 0 | spidatain<3> | NULL | NULL | spidatain<3>.XOR | 0 | 7 | ALU_F +NODE | spidatain<3>.D | 4354 | ? | 0 | 0 | spidatain<3> | NULL | NULL | spidatain<3>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -SIGNAL | NODE | spidatain<3>.CLKF | 4182 | ? | 0 | 4096 | spidatain<3> | NULL | NULL | spidatain<3>.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 1 | IV_FALSE | $OpTx$INV$22__$INT.UIM +SIGNAL | NODE | spidatain<3>.CLKF | 4357 | ? | 0 | 4096 | spidatain<3> | NULL | NULL | spidatain<3>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_FALSE | $OpTx$INV$24__$INT.UIM INPUT_NODE_TYPE | 3 | 8 | SRFF_R -SIGNAL | NODE | spidatain<3>.RSTF | 4183 | ? | 0 | 4096 | spidatain<3> | NULL | NULL | spidatain<3>.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | spidatain<3>.RSTF | 4358 | ? | 0 | 4096 | spidatain<3> | NULL | NULL | spidatain<3>.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | spidatain<3>.CE | 4184 | ? | 0 | 4096 | spidatain<3> | NULL | NULL | spidatain<3>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | spidatain<3>.CE | 4359 | ? | 0 | 4096 | spidatain<3> | NULL | NULL | spidatain<3>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_TRUE | shiftcnt<0> | IV_TRUE | shifting2 OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | spidatain<3>.Q | 4185 | ? | 0 | 0 | spidatain<3> | NULL | NULL | spidatain<3>.REG | 0 | 8 | SRFF_Q +NODE | spidatain<3>.Q | 4360 | ? | 0 | 0 | spidatain<3> | NULL | NULL | spidatain<3>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | spidatain<4> | spi6502b_COPY_0_COPY_0 | 2155873280 | 5 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidatain<3> | 3991 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<3>.Q | spidatain<3> | 1 | 0 | MC_UIM +NODE | spidatain<3> | 4147 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<3>.Q | spidatain<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$INV$22__$INT.UIM | 4060 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$22__$INT.Q | $OpTx$INV$22__$INT | 1 | 0 | MC_UIM +NODE | $OpTx$INV$24__$INT.UIM | 4231 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$24__$INT.Q | $OpTx$INV$24__$INT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<0> | 4008 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM +NODE | shiftcnt<0> | 4168 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shifting2 | 4032 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM +NODE | shifting2 | 4192 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | spidatain<4> | 3992 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<4>.Q | spidatain<4> | 1 | 0 | MC_UIM +NODE | spidatain<4> | 4148 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<4>.Q | spidatain<4> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | spidatain<4>.SI | spidatain<4> | 0 | 5 | 5 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidatain<3> | 3991 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<3>.Q | spidatain<3> | 1 | 0 | MC_UIM +NODE | spidatain<3> | 4147 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<3>.Q | spidatain<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$INV$22__$INT.UIM | 4060 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$22__$INT.Q | $OpTx$INV$22__$INT | 1 | 0 | MC_UIM +NODE | $OpTx$INV$24__$INT.UIM | 4231 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$24__$INT.Q | $OpTx$INV$24__$INT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<0> | 4008 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM +NODE | shiftcnt<0> | 4168 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shifting2 | 4032 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM +NODE | shifting2 | 4192 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | spidatain<4>.D1 | 4187 | ? | 0 | 4096 | spidatain<4> | NULL | NULL | spidatain<4>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | spidatain<4>.D1 | 4362 | ? | 0 | 4096 | spidatain<4> | NULL | NULL | spidatain<4>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | spidatain<4>.D2 | 4188 | ? | 0 | 4096 | spidatain<4> | NULL | NULL | spidatain<4>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | spidatain<4>.D2 | 4363 | ? | 0 | 4096 | spidatain<4> | NULL | NULL | spidatain<4>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | spidatain<3> OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF -SIGNAL | NODE | spidatain<4>.CLKF | 4189 | ? | 0 | 4096 | spidatain<4> | NULL | NULL | spidatain<4>.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 1 | IV_FALSE | $OpTx$INV$22__$INT.UIM +SIGNAL | NODE | spidatain<4>.CLKF | 4364 | ? | 0 | 4096 | spidatain<4> | NULL | NULL | spidatain<4>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_FALSE | $OpTx$INV$24__$INT.UIM OUTPUT_NODE_TYPE | 6 | 9 | MC_SI_RSTF -SIGNAL | NODE | spidatain<4>.RSTF | 4190 | ? | 0 | 4096 | spidatain<4> | NULL | NULL | spidatain<4>.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | spidatain<4>.RSTF | 4365 | ? | 0 | 4096 | spidatain<4> | NULL | NULL | spidatain<4>.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | spidatain<4>.CE | 4191 | ? | 0 | 4096 | spidatain<4> | NULL | NULL | spidatain<4>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | spidatain<4>.CE | 4366 | ? | 0 | 4096 | spidatain<4> | NULL | NULL | spidatain<4>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_TRUE | shiftcnt<0> | IV_TRUE | shifting2 SRFF_INSTANCE | spidatain<4>.REG | spidatain<4> | 0 | 4 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | spidatain<4>.D | 4186 | ? | 0 | 0 | spidatain<4> | NULL | NULL | spidatain<4>.XOR | 0 | 7 | ALU_F +NODE | spidatain<4>.D | 4361 | ? | 0 | 0 | spidatain<4> | NULL | NULL | spidatain<4>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -SIGNAL | NODE | spidatain<4>.CLKF | 4189 | ? | 0 | 4096 | spidatain<4> | NULL | NULL | spidatain<4>.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 1 | IV_FALSE | $OpTx$INV$22__$INT.UIM +SIGNAL | NODE | spidatain<4>.CLKF | 4364 | ? | 0 | 4096 | spidatain<4> | NULL | NULL | spidatain<4>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_FALSE | $OpTx$INV$24__$INT.UIM INPUT_NODE_TYPE | 3 | 8 | SRFF_R -SIGNAL | NODE | spidatain<4>.RSTF | 4190 | ? | 0 | 4096 | spidatain<4> | NULL | NULL | spidatain<4>.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | spidatain<4>.RSTF | 4365 | ? | 0 | 4096 | spidatain<4> | NULL | NULL | spidatain<4>.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | spidatain<4>.CE | 4191 | ? | 0 | 4096 | spidatain<4> | NULL | NULL | spidatain<4>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | spidatain<4>.CE | 4366 | ? | 0 | 4096 | spidatain<4> | NULL | NULL | spidatain<4>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_TRUE | shiftcnt<0> | IV_TRUE | shifting2 OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | spidatain<4>.Q | 4192 | ? | 0 | 0 | spidatain<4> | NULL | NULL | spidatain<4>.REG | 0 | 8 | SRFF_Q +NODE | spidatain<4>.Q | 4367 | ? | 0 | 0 | spidatain<4> | NULL | NULL | spidatain<4>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | spidatain<5> | spi6502b_COPY_0_COPY_0 | 2155873280 | 5 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidatain<4> | 3992 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<4>.Q | spidatain<4> | 1 | 0 | MC_UIM +NODE | spidatain<4> | 4148 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<4>.Q | spidatain<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$INV$22__$INT.UIM | 4060 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$22__$INT.Q | $OpTx$INV$22__$INT | 1 | 0 | MC_UIM +NODE | $OpTx$INV$24__$INT.UIM | 4231 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$24__$INT.Q | $OpTx$INV$24__$INT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<0> | 4008 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM +NODE | shiftcnt<0> | 4168 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shifting2 | 4032 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM +NODE | shifting2 | 4192 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | spidatain<5> | 3993 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<5>.Q | spidatain<5> | 1 | 0 | MC_UIM +NODE | spidatain<5> | 4149 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<5>.Q | spidatain<5> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | spidatain<5>.SI | spidatain<5> | 0 | 5 | 5 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidatain<4> | 3992 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<4>.Q | spidatain<4> | 1 | 0 | MC_UIM +NODE | spidatain<4> | 4148 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<4>.Q | spidatain<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$INV$22__$INT.UIM | 4060 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$22__$INT.Q | $OpTx$INV$22__$INT | 1 | 0 | MC_UIM +NODE | $OpTx$INV$24__$INT.UIM | 4231 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$24__$INT.Q | $OpTx$INV$24__$INT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<0> | 4008 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM +NODE | shiftcnt<0> | 4168 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shifting2 | 4032 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM +NODE | shifting2 | 4192 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | spidatain<5>.D1 | 4194 | ? | 0 | 4096 | spidatain<5> | NULL | NULL | spidatain<5>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | spidatain<5>.D1 | 4369 | ? | 0 | 4096 | spidatain<5> | NULL | NULL | spidatain<5>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | spidatain<5>.D2 | 4195 | ? | 0 | 4096 | spidatain<5> | NULL | NULL | spidatain<5>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | spidatain<5>.D2 | 4370 | ? | 0 | 4096 | spidatain<5> | NULL | NULL | spidatain<5>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | spidatain<4> OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF -SIGNAL | NODE | spidatain<5>.CLKF | 4196 | ? | 0 | 4096 | spidatain<5> | NULL | NULL | spidatain<5>.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 1 | IV_FALSE | $OpTx$INV$22__$INT.UIM +SIGNAL | NODE | spidatain<5>.CLKF | 4371 | ? | 0 | 4096 | spidatain<5> | NULL | NULL | spidatain<5>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_FALSE | $OpTx$INV$24__$INT.UIM OUTPUT_NODE_TYPE | 6 | 9 | MC_SI_RSTF -SIGNAL | NODE | spidatain<5>.RSTF | 4197 | ? | 0 | 4096 | spidatain<5> | NULL | NULL | spidatain<5>.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | spidatain<5>.RSTF | 4372 | ? | 0 | 4096 | spidatain<5> | NULL | NULL | spidatain<5>.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | spidatain<5>.CE | 4198 | ? | 0 | 4096 | spidatain<5> | NULL | NULL | spidatain<5>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | spidatain<5>.CE | 4373 | ? | 0 | 4096 | spidatain<5> | NULL | NULL | spidatain<5>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_TRUE | shiftcnt<0> | IV_TRUE | shifting2 SRFF_INSTANCE | spidatain<5>.REG | spidatain<5> | 0 | 4 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | spidatain<5>.D | 4193 | ? | 0 | 0 | spidatain<5> | NULL | NULL | spidatain<5>.XOR | 0 | 7 | ALU_F +NODE | spidatain<5>.D | 4368 | ? | 0 | 0 | spidatain<5> | NULL | NULL | spidatain<5>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -SIGNAL | NODE | spidatain<5>.CLKF | 4196 | ? | 0 | 4096 | spidatain<5> | NULL | NULL | spidatain<5>.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 1 | IV_FALSE | $OpTx$INV$22__$INT.UIM +SIGNAL | NODE | spidatain<5>.CLKF | 4371 | ? | 0 | 4096 | spidatain<5> | NULL | NULL | spidatain<5>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_FALSE | $OpTx$INV$24__$INT.UIM INPUT_NODE_TYPE | 3 | 8 | SRFF_R -SIGNAL | NODE | spidatain<5>.RSTF | 4197 | ? | 0 | 4096 | spidatain<5> | NULL | NULL | spidatain<5>.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | spidatain<5>.RSTF | 4372 | ? | 0 | 4096 | spidatain<5> | NULL | NULL | spidatain<5>.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | spidatain<5>.CE | 4198 | ? | 0 | 4096 | spidatain<5> | NULL | NULL | spidatain<5>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | spidatain<5>.CE | 4373 | ? | 0 | 4096 | spidatain<5> | NULL | NULL | spidatain<5>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_TRUE | shiftcnt<0> | IV_TRUE | shifting2 OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | spidatain<5>.Q | 4199 | ? | 0 | 0 | spidatain<5> | NULL | NULL | spidatain<5>.REG | 0 | 8 | SRFF_Q +NODE | spidatain<5>.Q | 4374 | ? | 0 | 0 | spidatain<5> | NULL | NULL | spidatain<5>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | spidatain<6> | spi6502b_COPY_0_COPY_0 | 2155873280 | 5 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidatain<5> | 3993 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<5>.Q | spidatain<5> | 1 | 0 | MC_UIM +NODE | spidatain<5> | 4149 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<5>.Q | spidatain<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$INV$22__$INT.UIM | 4060 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$22__$INT.Q | $OpTx$INV$22__$INT | 1 | 0 | MC_UIM +NODE | $OpTx$INV$24__$INT.UIM | 4231 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$24__$INT.Q | $OpTx$INV$24__$INT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<0> | 4008 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM +NODE | shiftcnt<0> | 4168 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shifting2 | 4032 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM +NODE | shifting2 | 4192 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | spidatain<6> | 3994 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<6>.Q | spidatain<6> | 1 | 0 | MC_UIM +NODE | spidatain<6> | 4150 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<6>.Q | spidatain<6> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | spidatain<6>.SI | spidatain<6> | 0 | 5 | 5 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidatain<5> | 3993 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<5>.Q | spidatain<5> | 1 | 0 | MC_UIM +NODE | spidatain<5> | 4149 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<5>.Q | spidatain<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$INV$22__$INT.UIM | 4060 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$22__$INT.Q | $OpTx$INV$22__$INT | 1 | 0 | MC_UIM +NODE | $OpTx$INV$24__$INT.UIM | 4231 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$24__$INT.Q | $OpTx$INV$24__$INT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<0> | 4008 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM +NODE | shiftcnt<0> | 4168 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shifting2 | 4032 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM +NODE | shifting2 | 4192 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | spidatain<6>.D1 | 4201 | ? | 0 | 4096 | spidatain<6> | NULL | NULL | spidatain<6>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | spidatain<6>.D1 | 4376 | ? | 0 | 4096 | spidatain<6> | NULL | NULL | spidatain<6>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | spidatain<6>.D2 | 4202 | ? | 0 | 4096 | spidatain<6> | NULL | NULL | spidatain<6>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | spidatain<6>.D2 | 4377 | ? | 0 | 4096 | spidatain<6> | NULL | NULL | spidatain<6>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | spidatain<5> OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF -SIGNAL | NODE | spidatain<6>.CLKF | 4203 | ? | 0 | 4096 | spidatain<6> | NULL | NULL | spidatain<6>.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 1 | IV_FALSE | $OpTx$INV$22__$INT.UIM +SIGNAL | NODE | spidatain<6>.CLKF | 4378 | ? | 0 | 4096 | spidatain<6> | NULL | NULL | spidatain<6>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_FALSE | $OpTx$INV$24__$INT.UIM OUTPUT_NODE_TYPE | 6 | 9 | MC_SI_RSTF -SIGNAL | NODE | spidatain<6>.RSTF | 4204 | ? | 0 | 4096 | spidatain<6> | NULL | NULL | spidatain<6>.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | spidatain<6>.RSTF | 4379 | ? | 0 | 4096 | spidatain<6> | NULL | NULL | spidatain<6>.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | spidatain<6>.CE | 4205 | ? | 0 | 4096 | spidatain<6> | NULL | NULL | spidatain<6>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | spidatain<6>.CE | 4380 | ? | 0 | 4096 | spidatain<6> | NULL | NULL | spidatain<6>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_TRUE | shiftcnt<0> | IV_TRUE | shifting2 SRFF_INSTANCE | spidatain<6>.REG | spidatain<6> | 0 | 4 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | spidatain<6>.D | 4200 | ? | 0 | 0 | spidatain<6> | NULL | NULL | spidatain<6>.XOR | 0 | 7 | ALU_F +NODE | spidatain<6>.D | 4375 | ? | 0 | 0 | spidatain<6> | NULL | NULL | spidatain<6>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -SIGNAL | NODE | spidatain<6>.CLKF | 4203 | ? | 0 | 4096 | spidatain<6> | NULL | NULL | spidatain<6>.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 1 | IV_FALSE | $OpTx$INV$22__$INT.UIM +SIGNAL | NODE | spidatain<6>.CLKF | 4378 | ? | 0 | 4096 | spidatain<6> | NULL | NULL | spidatain<6>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_FALSE | $OpTx$INV$24__$INT.UIM INPUT_NODE_TYPE | 3 | 8 | SRFF_R -SIGNAL | NODE | spidatain<6>.RSTF | 4204 | ? | 0 | 4096 | spidatain<6> | NULL | NULL | spidatain<6>.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | spidatain<6>.RSTF | 4379 | ? | 0 | 4096 | spidatain<6> | NULL | NULL | spidatain<6>.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | spidatain<6>.CE | 4205 | ? | 0 | 4096 | spidatain<6> | NULL | NULL | spidatain<6>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | spidatain<6>.CE | 4380 | ? | 0 | 4096 | spidatain<6> | NULL | NULL | spidatain<6>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_TRUE | shiftcnt<0> | IV_TRUE | shifting2 OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | spidatain<6>.Q | 4206 | ? | 0 | 0 | spidatain<6> | NULL | NULL | spidatain<6>.REG | 0 | 8 | SRFF_Q +NODE | spidatain<6>.Q | 4381 | ? | 0 | 0 | spidatain<6> | NULL | NULL | spidatain<6>.REG | 0 | 8 | SRFF_Q + +INPUT_INSTANCE | 0 | 0 | NULL | nio_stb_IBUF | spi6502b_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | nio_stb | 4238 | PI | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | nio_stb_IBUF | 4151 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | nio_stb_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | a9_IBUF | spi6502b_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | a9 | 4239 | PI | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | a9_IBUF | 4152 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | a9_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | a8_IBUF | spi6502b_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | a8 | 4240 | PI | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | a8_IBUF | 4153 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | a8_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | a10_IBUF | spi6502b_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | a10 | 4241 | PI | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | a10_IBUF | 4154 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | a10_IBUF | 0 | 5 | II_IMUX MACROCELL_INSTANCE | PrldLow+OptxMapped | spidatain<7> | spi6502b_COPY_0_COPY_0 | 2155873280 | 5 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidatain<6> | 3994 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<6>.Q | spidatain<6> | 1 | 0 | MC_UIM +NODE | spidatain<6> | 4150 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<6>.Q | spidatain<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$INV$22__$INT.UIM | 4060 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$22__$INT.Q | $OpTx$INV$22__$INT | 1 | 0 | MC_UIM +NODE | $OpTx$INV$24__$INT.UIM | 4231 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$24__$INT.Q | $OpTx$INV$24__$INT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<0> | 4008 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM +NODE | shiftcnt<0> | 4168 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shifting2 | 4032 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM +NODE | shifting2 | 4192 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | spidatain<7> | 3995 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<7>.Q | spidatain<7> | 1 | 0 | MC_UIM +NODE | spidatain<7> | 4155 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<7>.Q | spidatain<7> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | spidatain<7>.SI | spidatain<7> | 0 | 5 | 5 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidatain<6> | 3994 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<6>.Q | spidatain<6> | 1 | 0 | MC_UIM +NODE | spidatain<6> | 4150 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<6>.Q | spidatain<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$INV$22__$INT.UIM | 4060 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$22__$INT.Q | $OpTx$INV$22__$INT | 1 | 0 | MC_UIM +NODE | $OpTx$INV$24__$INT.UIM | 4231 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$24__$INT.Q | $OpTx$INV$24__$INT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<0> | 4008 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM +NODE | shiftcnt<0> | 4168 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shifting2 | 4032 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM +NODE | shifting2 | 4192 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | spidatain<7>.D1 | 4208 | ? | 0 | 4096 | spidatain<7> | NULL | NULL | spidatain<7>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | spidatain<7>.D1 | 4383 | ? | 0 | 4096 | spidatain<7> | NULL | NULL | spidatain<7>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | spidatain<7>.D2 | 4209 | ? | 0 | 4096 | spidatain<7> | NULL | NULL | spidatain<7>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | spidatain<7>.D2 | 4384 | ? | 0 | 4096 | spidatain<7> | NULL | NULL | spidatain<7>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | spidatain<6> OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF -SIGNAL | NODE | spidatain<7>.CLKF | 4210 | ? | 0 | 4096 | spidatain<7> | NULL | NULL | spidatain<7>.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 1 | IV_FALSE | $OpTx$INV$22__$INT.UIM +SIGNAL | NODE | spidatain<7>.CLKF | 4385 | ? | 0 | 4096 | spidatain<7> | NULL | NULL | spidatain<7>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_FALSE | $OpTx$INV$24__$INT.UIM OUTPUT_NODE_TYPE | 6 | 9 | MC_SI_RSTF -SIGNAL | NODE | spidatain<7>.RSTF | 4211 | ? | 0 | 4096 | spidatain<7> | NULL | NULL | spidatain<7>.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | spidatain<7>.RSTF | 4386 | ? | 0 | 4096 | spidatain<7> | NULL | NULL | spidatain<7>.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | spidatain<7>.CE | 4212 | ? | 0 | 4096 | spidatain<7> | NULL | NULL | spidatain<7>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | spidatain<7>.CE | 4387 | ? | 0 | 4096 | spidatain<7> | NULL | NULL | spidatain<7>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_TRUE | shiftcnt<0> | IV_TRUE | shifting2 SRFF_INSTANCE | spidatain<7>.REG | spidatain<7> | 0 | 4 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | spidatain<7>.D | 4207 | ? | 0 | 0 | spidatain<7> | NULL | NULL | spidatain<7>.XOR | 0 | 7 | ALU_F +NODE | spidatain<7>.D | 4382 | ? | 0 | 0 | spidatain<7> | NULL | NULL | spidatain<7>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -SIGNAL | NODE | spidatain<7>.CLKF | 4210 | ? | 0 | 4096 | spidatain<7> | NULL | NULL | spidatain<7>.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 1 | IV_FALSE | $OpTx$INV$22__$INT.UIM +SIGNAL | NODE | spidatain<7>.CLKF | 4385 | ? | 0 | 4096 | spidatain<7> | NULL | NULL | spidatain<7>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_FALSE | $OpTx$INV$24__$INT.UIM INPUT_NODE_TYPE | 3 | 8 | SRFF_R -SIGNAL | NODE | spidatain<7>.RSTF | 4211 | ? | 0 | 4096 | spidatain<7> | NULL | NULL | spidatain<7>.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | spidatain<7>.RSTF | 4386 | ? | 0 | 4096 | spidatain<7> | NULL | NULL | spidatain<7>.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | spidatain<7>.CE | 4212 | ? | 0 | 4096 | spidatain<7> | NULL | NULL | spidatain<7>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | spidatain<7>.CE | 4387 | ? | 0 | 4096 | spidatain<7> | NULL | NULL | spidatain<7>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_TRUE | shiftcnt<0> | IV_TRUE | shifting2 OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | spidatain<7>.Q | 4213 | ? | 0 | 0 | spidatain<7> | NULL | NULL | spidatain<7>.REG | 0 | 8 | SRFF_Q +NODE | spidatain<7>.Q | 4388 | ? | 0 | 0 | spidatain<7> | NULL | NULL | spidatain<7>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | int_sclk | spi6502b_COPY_0_COPY_0 | 2155873280 | 8 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpol | 3977 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | cpol.Q | cpol | 1 | 0 | MC_UIM +NODE | cpol | 4133 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | cpol.Q | cpol | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpha | 3979 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | cpha.Q | cpha | 1 | 0 | MC_UIM +NODE | cpha | 4135 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | cpha.Q | cpha | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<0> | 4008 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM +NODE | shiftcnt<0> | 4168 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftdone | 4010 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftdone.Q | shiftdone | 1 | 0 | MC_UIM +NODE | shiftdone | 4170 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftdone.Q | shiftdone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shifting2 | 4032 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM +NODE | shifting2 | 4192 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$INV$22__$INT.UIM | 4060 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$22__$INT.Q | $OpTx$INV$22__$INT | 1 | 0 | MC_UIM +NODE | $OpTx$INV$24__$INT.UIM | 4231 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$24__$INT.Q | $OpTx$INV$24__$INT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP7_.EXP | 4392 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | EXP7_.EXP | EXP7_ | 4 | 0 | MC_EXPORT +NODE | shiftcnt<1>.EXP | 4591 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<1>.EXP | shiftcnt<1> | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | int_sclk | 3996 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_sclk.Q | int_sclk | 0 | 0 | MC_Q +NODE | int_sclk | 4156 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_sclk.Q | int_sclk | 0 | 0 | MC_Q SIGNAL_INSTANCE | int_sclk.SI | int_sclk | 0 | 8 | 5 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpol | 3977 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | cpol.Q | cpol | 1 | 0 | MC_UIM +NODE | cpol | 4133 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | cpol.Q | cpol | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpha | 3979 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | cpha.Q | cpha | 1 | 0 | MC_UIM +NODE | cpha | 4135 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | cpha.Q | cpha | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<0> | 4008 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM +NODE | shiftcnt<0> | 4168 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftdone | 4010 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftdone.Q | shiftdone | 1 | 0 | MC_UIM +NODE | shiftdone | 4170 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftdone.Q | shiftdone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shifting2 | 4032 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM +NODE | shifting2 | 4192 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$INV$22__$INT.UIM | 4060 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$22__$INT.Q | $OpTx$INV$22__$INT | 1 | 0 | MC_UIM +NODE | $OpTx$INV$24__$INT.UIM | 4231 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$24__$INT.Q | $OpTx$INV$24__$INT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP7_.EXP | 4392 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | EXP7_.EXP | EXP7_ | 4 | 0 | MC_EXPORT +NODE | shiftcnt<1>.EXP | 4591 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<1>.EXP | shiftcnt<1> | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | int_sclk.D1 | 4215 | ? | 0 | 4096 | int_sclk | NULL | NULL | int_sclk.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | int_sclk.D1 | 4390 | ? | 0 | 4096 | int_sclk | NULL | NULL | int_sclk.SI | 1 | 9 | MC_SI_D1 SPPTERM | 1 | IV_TRUE | cpol OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | int_sclk.D2 | 4216 | ? | 0 | 4096 | int_sclk | NULL | NULL | int_sclk.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP7_.EXP +SIGNAL | NODE | int_sclk.D2 | 4391 | ? | 0 | 4096 | int_sclk | NULL | NULL | int_sclk.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | shiftcnt<1>.EXP SPPTERM | 5 | IV_TRUE | cpu_Nres_IBUF | IV_FALSE | cpha | IV_TRUE | shiftcnt<0> | IV_FALSE | shiftdone | IV_TRUE | shifting2 OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF -SIGNAL | NODE | int_sclk.CLKF | 4217 | ? | 0 | 4096 | int_sclk | NULL | NULL | int_sclk.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 1 | IV_FALSE | $OpTx$INV$22__$INT.UIM +SIGNAL | NODE | int_sclk.CLKF | 4392 | ? | 0 | 4096 | int_sclk | NULL | NULL | int_sclk.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_FALSE | $OpTx$INV$24__$INT.UIM OUTPUT_NODE_TYPE | 5 | 9 | MC_SI_SETF -SIGNAL | NODE | int_sclk.SETF | 4218 | ? | 0 | 4096 | int_sclk | NULL | NULL | int_sclk.SI | 5 | 9 | MC_SI_SETF +SIGNAL | NODE | int_sclk.SETF | 4393 | ? | 0 | 4096 | int_sclk | NULL | NULL | int_sclk.SI | 5 | 9 | MC_SI_SETF SPPTERM | 2 | IV_FALSE | cpu_Nres_IBUF | IV_TRUE | cpol OUTPUT_NODE_TYPE | 6 | 9 | MC_SI_RSTF -SIGNAL | NODE | int_sclk.RSTF | 4219 | ? | 0 | 4096 | int_sclk | NULL | NULL | int_sclk.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | int_sclk.RSTF | 4394 | ? | 0 | 4096 | int_sclk | NULL | NULL | int_sclk.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 2 | IV_FALSE | cpu_Nres_IBUF | IV_FALSE | cpol SRFF_INSTANCE | int_sclk.REG | int_sclk | 0 | 4 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | int_sclk.D | 4214 | ? | 0 | 0 | int_sclk | NULL | NULL | int_sclk.XOR | 0 | 7 | ALU_F +NODE | int_sclk.D | 4389 | ? | 0 | 0 | int_sclk | NULL | NULL | int_sclk.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -SIGNAL | NODE | int_sclk.CLKF | 4217 | ? | 0 | 4096 | int_sclk | NULL | NULL | int_sclk.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 1 | IV_FALSE | $OpTx$INV$22__$INT.UIM +SIGNAL | NODE | int_sclk.CLKF | 4392 | ? | 0 | 4096 | int_sclk | NULL | NULL | int_sclk.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_FALSE | $OpTx$INV$24__$INT.UIM INPUT_NODE_TYPE | 2 | 8 | SRFF_S -SIGNAL | NODE | int_sclk.SETF | 4218 | ? | 0 | 4096 | int_sclk | NULL | NULL | int_sclk.SI | 5 | 9 | MC_SI_SETF +SIGNAL | NODE | int_sclk.SETF | 4393 | ? | 0 | 4096 | int_sclk | NULL | NULL | int_sclk.SI | 5 | 9 | MC_SI_SETF SPPTERM | 2 | IV_FALSE | cpu_Nres_IBUF | IV_TRUE | cpol INPUT_NODE_TYPE | 3 | 8 | SRFF_R -SIGNAL | NODE | int_sclk.RSTF | 4219 | ? | 0 | 4096 | int_sclk | NULL | NULL | int_sclk.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | int_sclk.RSTF | 4394 | ? | 0 | 4096 | int_sclk | NULL | NULL | int_sclk.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 2 | IV_FALSE | cpu_Nres_IBUF | IV_FALSE | cpol OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | int_sclk.Q | 4220 | ? | 0 | 0 | int_sclk | NULL | NULL | int_sclk.REG | 0 | 8 | SRFF_Q +NODE | int_sclk.Q | 4395 | ? | 0 | 0 | int_sclk | NULL | NULL | int_sclk.REG | 0 | 8 | SRFF_Q INPUT_INSTANCE | 0 | 0 | NULL | cpu_Nphi2_IBUF | spi6502b_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | cpu_Nphi2 | 4071 | PI | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | cpu_Nphi2 | 4247 | PI | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | cpu_Nphi2_IBUF | 4042 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nphi2_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nphi2_IBUF | 4205 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nphi2_IBUF | 0 | 5 | II_IMUX -MACROCELL_INSTANCE | PinTrst+OptxMapped | int_dout<3> | spi6502b_COPY_0_COPY_0 | 2155888640 | 8 | 2 +MACROCELL_INSTANCE | PinTrst+OptxMapped | int_dout<3> | spi6502b_COPY_0_COPY_0 | 2155888640 | 7 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_rnw_IBUF | 3974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidatain<3> | 3991 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<3>.Q | spidatain<3> | 1 | 0 | MC_UIM +NODE | cpu_rnw_IBUF | 4130 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +NODE | spidatain<3> | 4147 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<3>.Q | spidatain<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nphi2_IBUF | 4205 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nphi2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nphi2_IBUF | 4042 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nphi2_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | tmo | 3983 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | tmo.Q | tmo | 1 | 0 | MC_UIM +NODE | tmo | 4139 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | tmo.Q | tmo | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | int_dout<3> | 3998 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<3>.Q | int_dout<3> | 0 | 0 | MC_Q +NODE | int_dout<3> | 4158 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<3>.Q | int_dout<3> | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 2 | 0 | MC_OE -NODE | int_dout<3>$OE | 3999 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<3>.BUFOE.OUT | int_dout<3> | 2 | 0 | MC_OE +NODE | int_dout<3>$OE | 4159 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<3>.BUFOE.OUT | int_dout<3> | 2 | 0 | MC_OE -SIGNAL_INSTANCE | int_dout<3>.SI | int_dout<3> | 0 | 8 | 3 +SIGNAL_INSTANCE | int_dout<3>.SI | int_dout<3> | 0 | 7 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_rnw_IBUF | 3974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidatain<3> | 3991 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<3>.Q | spidatain<3> | 1 | 0 | MC_UIM +NODE | cpu_rnw_IBUF | 4130 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +NODE | spidatain<3> | 4147 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<3>.Q | spidatain<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nphi2_IBUF | 4205 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nphi2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nphi2_IBUF | 4042 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nphi2_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | tmo | 3983 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | tmo.Q | tmo | 1 | 0 | MC_UIM +NODE | tmo | 4139 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | tmo.Q | tmo | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | int_dout<3>.D1 | 4222 | ? | 0 | 4096 | int_dout<3> | NULL | NULL | int_dout<3>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | int_dout<3>.D1 | 4397 | ? | 0 | 4096 | int_dout<3> | NULL | NULL | int_dout<3>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | int_dout<3>.D2 | 4223 | ? | 0 | 4096 | int_dout<3> | NULL | NULL | int_dout<3>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 7 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | tmo | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_TRUE | cpu_Nphi2_IBUF -SPPTERM | 7 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | spidatain<3> | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_FALSE | cpu_a_0_IBUF | IV_TRUE | cpu_Nphi2_IBUF +SIGNAL | NODE | int_dout<3>.D2 | 4398 | ? | 0 | 4096 | int_dout<3> | NULL | NULL | int_dout<3>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 6 | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | tmo | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_TRUE | cpu_Nphi2_IBUF +SPPTERM | 6 | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | spidatain<3> | IV_FALSE | cpu_a_1_IBUF | IV_FALSE | cpu_a_0_IBUF | IV_TRUE | cpu_Nphi2_IBUF OUTPUT_NODE_TYPE | 4 | 9 | MC_SI_TRST -SIGNAL | NODE | int_dout<3>.TRST | 4225 | ? | 0 | 4096 | int_dout<3> | NULL | NULL | int_dout<3>.SI | 4 | 9 | MC_SI_TRST -SPPTERM | 4 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_Nphi2_IBUF +SIGNAL | NODE | int_dout<3>.TRST | 4400 | ? | 0 | 4096 | int_dout<3> | NULL | NULL | int_dout<3>.SI | 4 | 9 | MC_SI_TRST +SPPTERM | 3 | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | cpu_Nphi2_IBUF SRFF_INSTANCE | int_dout<3>.REG | int_dout<3> | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | int_dout<3>.D | 4221 | ? | 0 | 0 | int_dout<3> | NULL | NULL | int_dout<3>.XOR | 0 | 7 | ALU_F +NODE | int_dout<3>.D | 4396 | ? | 0 | 0 | int_dout<3> | NULL | NULL | int_dout<3>.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | int_dout<3>.Q | 4226 | ? | 0 | 0 | int_dout<3> | NULL | NULL | int_dout<3>.REG | 0 | 8 | SRFF_Q +NODE | int_dout<3>.Q | 4401 | ? | 0 | 0 | int_dout<3> | NULL | NULL | int_dout<3>.REG | 0 | 8 | SRFF_Q BUF_INSTANCE | int_dout<3>.BUFOE | int_dout<3> | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 10 | CTOR_UNKNOWN -SIGNAL | NODE | int_dout<3>.TRST | 4225 | ? | 0 | 4096 | int_dout<3> | NULL | NULL | int_dout<3>.SI | 4 | 9 | MC_SI_TRST -SPPTERM | 4 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_Nphi2_IBUF +SIGNAL | NODE | int_dout<3>.TRST | 4400 | ? | 0 | 4096 | int_dout<3> | NULL | NULL | int_dout<3>.SI | 4 | 9 | MC_SI_TRST +SPPTERM | 3 | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | cpu_Nphi2_IBUF OUTPUT_NODE_TYPE | 0 | 10 | BUF_OUT -NODE | int_dout<3>.BUFOE.OUT | 4224 | ? | 0 | 0 | int_dout<3> | NULL | NULL | int_dout<3>.BUFOE | 0 | 10 | BUF_OUT +NODE | int_dout<3>.BUFOE.OUT | 4399 | ? | 0 | 0 | int_dout<3> | NULL | NULL | int_dout<3>.BUFOE | 0 | 10 | BUF_OUT -MACROCELL_INSTANCE | PinTrst+OptxMapped | int_dout<5> | spi6502b_COPY_0_COPY_0 | 2155888640 | 9 | 2 +MACROCELL_INSTANCE | PinTrst+OptxMapped | int_dout<5> | spi6502b_COPY_0_COPY_0 | 2155888640 | 8 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_rnw_IBUF | 3974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +NODE | cpu_rnw_IBUF | 4130 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | start_shifting | 4011 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | start_shifting.Q | start_shifting | 1 | 0 | MC_UIM +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +NODE | start_shifting | 4171 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | start_shifting.Q | start_shifting | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nphi2_IBUF | 4205 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nphi2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nphi2_IBUF | 4042 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nphi2_IBUF | 0 | 5 | II_IMUX +NODE | shifting2 | 4192 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shifting2 | 4032 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidatain<5> | 3993 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<5>.Q | spidatain<5> | 1 | 0 | MC_UIM +NODE | spidatain<5> | 4149 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<5>.Q | spidatain<5> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | int_dout<5> | 4000 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<5>.Q | int_dout<5> | 0 | 0 | MC_Q +NODE | int_dout<5> | 4160 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<5>.Q | int_dout<5> | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 2 | 0 | MC_OE -NODE | int_dout<5>$OE | 4001 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<5>.BUFOE.OUT | int_dout<5> | 2 | 0 | MC_OE +NODE | int_dout<5>$OE | 4161 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<5>.BUFOE.OUT | int_dout<5> | 2 | 0 | MC_OE -SIGNAL_INSTANCE | int_dout<5>.SI | int_dout<5> | 0 | 9 | 3 +SIGNAL_INSTANCE | int_dout<5>.SI | int_dout<5> | 0 | 8 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_rnw_IBUF | 3974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +NODE | cpu_rnw_IBUF | 4130 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | start_shifting | 4011 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | start_shifting.Q | start_shifting | 1 | 0 | MC_UIM +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +NODE | start_shifting | 4171 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | start_shifting.Q | start_shifting | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nphi2_IBUF | 4205 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nphi2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nphi2_IBUF | 4042 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nphi2_IBUF | 0 | 5 | II_IMUX +NODE | shifting2 | 4192 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shifting2 | 4032 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidatain<5> | 3993 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<5>.Q | spidatain<5> | 1 | 0 | MC_UIM +NODE | spidatain<5> | 4149 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<5>.Q | spidatain<5> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | int_dout<5>.D1 | 4228 | ? | 0 | 4096 | int_dout<5> | NULL | NULL | int_dout<5>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | int_dout<5>.D1 | 4403 | ? | 0 | 4096 | int_dout<5> | NULL | NULL | int_dout<5>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | int_dout<5>.D2 | 4229 | ? | 0 | 4096 | int_dout<5> | NULL | NULL | int_dout<5>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 7 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | spidatain<5> | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_FALSE | cpu_a_0_IBUF | IV_TRUE | cpu_Nphi2_IBUF -SPPTERM | 7 | IV_TRUE | cpu_rnw_IBUF | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | start_shifting | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_TRUE | cpu_Nphi2_IBUF -SPPTERM | 7 | IV_TRUE | cpu_rnw_IBUF | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_TRUE | shifting2 | IV_TRUE | cpu_Nphi2_IBUF +SIGNAL | NODE | int_dout<5>.D2 | 4404 | ? | 0 | 4096 | int_dout<5> | NULL | NULL | int_dout<5>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 6 | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | spidatain<5> | IV_FALSE | cpu_a_1_IBUF | IV_FALSE | cpu_a_0_IBUF | IV_TRUE | cpu_Nphi2_IBUF +SPPTERM | 6 | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_rnw_IBUF | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | start_shifting | IV_TRUE | cpu_a_0_IBUF | IV_TRUE | cpu_Nphi2_IBUF +SPPTERM | 6 | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_rnw_IBUF | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_TRUE | shifting2 | IV_TRUE | cpu_Nphi2_IBUF OUTPUT_NODE_TYPE | 4 | 9 | MC_SI_TRST -SIGNAL | NODE | int_dout<5>.TRST | 4231 | ? | 0 | 4096 | int_dout<5> | NULL | NULL | int_dout<5>.SI | 4 | 9 | MC_SI_TRST -SPPTERM | 4 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_Nphi2_IBUF +SIGNAL | NODE | int_dout<5>.TRST | 4406 | ? | 0 | 4096 | int_dout<5> | NULL | NULL | int_dout<5>.SI | 4 | 9 | MC_SI_TRST +SPPTERM | 3 | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | cpu_Nphi2_IBUF SRFF_INSTANCE | int_dout<5>.REG | int_dout<5> | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | int_dout<5>.D | 4227 | ? | 0 | 0 | int_dout<5> | NULL | NULL | int_dout<5>.XOR | 0 | 7 | ALU_F +NODE | int_dout<5>.D | 4402 | ? | 0 | 0 | int_dout<5> | NULL | NULL | int_dout<5>.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | int_dout<5>.Q | 4232 | ? | 0 | 0 | int_dout<5> | NULL | NULL | int_dout<5>.REG | 0 | 8 | SRFF_Q +NODE | int_dout<5>.Q | 4407 | ? | 0 | 0 | int_dout<5> | NULL | NULL | int_dout<5>.REG | 0 | 8 | SRFF_Q BUF_INSTANCE | int_dout<5>.BUFOE | int_dout<5> | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 10 | CTOR_UNKNOWN -SIGNAL | NODE | int_dout<5>.TRST | 4231 | ? | 0 | 4096 | int_dout<5> | NULL | NULL | int_dout<5>.SI | 4 | 9 | MC_SI_TRST -SPPTERM | 4 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_Nphi2_IBUF +SIGNAL | NODE | int_dout<5>.TRST | 4406 | ? | 0 | 4096 | int_dout<5> | NULL | NULL | int_dout<5>.SI | 4 | 9 | MC_SI_TRST +SPPTERM | 3 | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | cpu_Nphi2_IBUF OUTPUT_NODE_TYPE | 0 | 10 | BUF_OUT -NODE | int_dout<5>.BUFOE.OUT | 4230 | ? | 0 | 0 | int_dout<5> | NULL | NULL | int_dout<5>.BUFOE | 0 | 10 | BUF_OUT +NODE | int_dout<5>.BUFOE.OUT | 4405 | ? | 0 | 0 | int_dout<5> | NULL | NULL | int_dout<5>.BUFOE | 0 | 10 | BUF_OUT -MACROCELL_INSTANCE | PinTrst+OptxMapped | int_dout<6> | spi6502b_COPY_0_COPY_0 | 2155888640 | 8 | 2 +MACROCELL_INSTANCE | PinTrst+OptxMapped | int_dout<6> | spi6502b_COPY_0_COPY_0 | 2155888640 | 7 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_rnw_IBUF | 3974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidatain<6> | 3994 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<6>.Q | spidatain<6> | 1 | 0 | MC_UIM +NODE | cpu_rnw_IBUF | 4130 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +NODE | spidatain<6> | 4150 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<6>.Q | spidatain<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nphi2_IBUF | 4205 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nphi2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nphi2_IBUF | 4042 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nphi2_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ier | 3981 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | ier.Q | ier | 1 | 0 | MC_UIM +NODE | ier | 4137 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | ier.Q | ier | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | int_dout<6> | 4002 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<6>.Q | int_dout<6> | 0 | 0 | MC_Q +NODE | int_dout<6> | 4162 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<6>.Q | int_dout<6> | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 2 | 0 | MC_OE -NODE | int_dout<6>$OE | 4003 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<6>.BUFOE.OUT | int_dout<6> | 2 | 0 | MC_OE +NODE | int_dout<6>$OE | 4163 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<6>.BUFOE.OUT | int_dout<6> | 2 | 0 | MC_OE -SIGNAL_INSTANCE | int_dout<6>.SI | int_dout<6> | 0 | 8 | 3 +SIGNAL_INSTANCE | int_dout<6>.SI | int_dout<6> | 0 | 7 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_rnw_IBUF | 3974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidatain<6> | 3994 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<6>.Q | spidatain<6> | 1 | 0 | MC_UIM +NODE | cpu_rnw_IBUF | 4130 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +NODE | spidatain<6> | 4150 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<6>.Q | spidatain<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nphi2_IBUF | 4205 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nphi2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nphi2_IBUF | 4042 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nphi2_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ier | 3981 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | ier.Q | ier | 1 | 0 | MC_UIM +NODE | ier | 4137 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | ier.Q | ier | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | int_dout<6>.D1 | 4234 | ? | 0 | 4096 | int_dout<6> | NULL | NULL | int_dout<6>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | int_dout<6>.D1 | 4409 | ? | 0 | 4096 | int_dout<6> | NULL | NULL | int_dout<6>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | int_dout<6>.D2 | 4235 | ? | 0 | 4096 | int_dout<6> | NULL | NULL | int_dout<6>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 7 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | ier | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_TRUE | cpu_Nphi2_IBUF -SPPTERM | 7 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | spidatain<6> | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_FALSE | cpu_a_0_IBUF | IV_TRUE | cpu_Nphi2_IBUF +SIGNAL | NODE | int_dout<6>.D2 | 4410 | ? | 0 | 4096 | int_dout<6> | NULL | NULL | int_dout<6>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 6 | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | ier | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_TRUE | cpu_Nphi2_IBUF +SPPTERM | 6 | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | spidatain<6> | IV_FALSE | cpu_a_1_IBUF | IV_FALSE | cpu_a_0_IBUF | IV_TRUE | cpu_Nphi2_IBUF OUTPUT_NODE_TYPE | 4 | 9 | MC_SI_TRST -SIGNAL | NODE | int_dout<6>.TRST | 4237 | ? | 0 | 4096 | int_dout<6> | NULL | NULL | int_dout<6>.SI | 4 | 9 | MC_SI_TRST -SPPTERM | 4 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_Nphi2_IBUF +SIGNAL | NODE | int_dout<6>.TRST | 4412 | ? | 0 | 4096 | int_dout<6> | NULL | NULL | int_dout<6>.SI | 4 | 9 | MC_SI_TRST +SPPTERM | 3 | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | cpu_Nphi2_IBUF SRFF_INSTANCE | int_dout<6>.REG | int_dout<6> | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | int_dout<6>.D | 4233 | ? | 0 | 0 | int_dout<6> | NULL | NULL | int_dout<6>.XOR | 0 | 7 | ALU_F +NODE | int_dout<6>.D | 4408 | ? | 0 | 0 | int_dout<6> | NULL | NULL | int_dout<6>.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | int_dout<6>.Q | 4238 | ? | 0 | 0 | int_dout<6> | NULL | NULL | int_dout<6>.REG | 0 | 8 | SRFF_Q +NODE | int_dout<6>.Q | 4413 | ? | 0 | 0 | int_dout<6> | NULL | NULL | int_dout<6>.REG | 0 | 8 | SRFF_Q BUF_INSTANCE | int_dout<6>.BUFOE | int_dout<6> | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 10 | CTOR_UNKNOWN -SIGNAL | NODE | int_dout<6>.TRST | 4237 | ? | 0 | 4096 | int_dout<6> | NULL | NULL | int_dout<6>.SI | 4 | 9 | MC_SI_TRST -SPPTERM | 4 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_Nphi2_IBUF +SIGNAL | NODE | int_dout<6>.TRST | 4412 | ? | 0 | 4096 | int_dout<6> | NULL | NULL | int_dout<6>.SI | 4 | 9 | MC_SI_TRST +SPPTERM | 3 | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | cpu_Nphi2_IBUF OUTPUT_NODE_TYPE | 0 | 10 | BUF_OUT -NODE | int_dout<6>.BUFOE.OUT | 4236 | ? | 0 | 0 | int_dout<6> | NULL | NULL | int_dout<6>.BUFOE | 0 | 10 | BUF_OUT +NODE | int_dout<6>.BUFOE.OUT | 4411 | ? | 0 | 0 | int_dout<6> | NULL | NULL | int_dout<6>.BUFOE | 0 | 10 | BUF_OUT -MACROCELL_INSTANCE | PinTrst+OptxMapped | int_dout<7> | spi6502b_COPY_0_COPY_0 | 2155888640 | 8 | 2 +MACROCELL_INSTANCE | PinTrst+OptxMapped | int_dout<7> | spi6502b_COPY_0_COPY_0 | 2155888640 | 7 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_rnw_IBUF | 3974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidatain<7> | 3995 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<7>.Q | spidatain<7> | 1 | 0 | MC_UIM +NODE | cpu_rnw_IBUF | 4130 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +NODE | spidatain<7> | 4155 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<7>.Q | spidatain<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nphi2_IBUF | 4205 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nphi2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nphi2_IBUF | 4042 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nphi2_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | tc | 4012 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | tc.Q | tc | 1 | 0 | MC_UIM +NODE | tc | 4172 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | tc.Q | tc | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | int_dout<7> | 4004 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<7>.Q | int_dout<7> | 0 | 0 | MC_Q +NODE | int_dout<7> | 4164 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<7>.Q | int_dout<7> | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 2 | 0 | MC_OE -NODE | int_dout<7>$OE | 4005 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<7>.BUFOE.OUT | int_dout<7> | 2 | 0 | MC_OE +NODE | int_dout<7>$OE | 4165 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<7>.BUFOE.OUT | int_dout<7> | 2 | 0 | MC_OE -SIGNAL_INSTANCE | int_dout<7>.SI | int_dout<7> | 0 | 8 | 3 +SIGNAL_INSTANCE | int_dout<7>.SI | int_dout<7> | 0 | 7 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_rnw_IBUF | 3974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidatain<7> | 3995 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<7>.Q | spidatain<7> | 1 | 0 | MC_UIM +NODE | cpu_rnw_IBUF | 4130 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +NODE | spidatain<7> | 4155 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<7>.Q | spidatain<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nphi2_IBUF | 4205 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nphi2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nphi2_IBUF | 4042 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nphi2_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | tc | 4012 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | tc.Q | tc | 1 | 0 | MC_UIM +NODE | tc | 4172 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | tc.Q | tc | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | int_dout<7>.D1 | 4240 | ? | 0 | 4096 | int_dout<7> | NULL | NULL | int_dout<7>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | int_dout<7>.D1 | 4415 | ? | 0 | 4096 | int_dout<7> | NULL | NULL | int_dout<7>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | int_dout<7>.D2 | 4241 | ? | 0 | 4096 | int_dout<7> | NULL | NULL | int_dout<7>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 7 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | spidatain<7> | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_FALSE | cpu_a_0_IBUF | IV_TRUE | cpu_Nphi2_IBUF -SPPTERM | 7 | IV_TRUE | cpu_rnw_IBUF | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | tc | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_TRUE | cpu_Nphi2_IBUF +SIGNAL | NODE | int_dout<7>.D2 | 4416 | ? | 0 | 4096 | int_dout<7> | NULL | NULL | int_dout<7>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 6 | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | spidatain<7> | IV_FALSE | cpu_a_1_IBUF | IV_FALSE | cpu_a_0_IBUF | IV_TRUE | cpu_Nphi2_IBUF +SPPTERM | 6 | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_rnw_IBUF | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | tc | IV_TRUE | cpu_a_0_IBUF | IV_TRUE | cpu_Nphi2_IBUF OUTPUT_NODE_TYPE | 4 | 9 | MC_SI_TRST -SIGNAL | NODE | int_dout<7>.TRST | 4243 | ? | 0 | 4096 | int_dout<7> | NULL | NULL | int_dout<7>.SI | 4 | 9 | MC_SI_TRST -SPPTERM | 4 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_Nphi2_IBUF +SIGNAL | NODE | int_dout<7>.TRST | 4418 | ? | 0 | 4096 | int_dout<7> | NULL | NULL | int_dout<7>.SI | 4 | 9 | MC_SI_TRST +SPPTERM | 3 | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | cpu_Nphi2_IBUF SRFF_INSTANCE | int_dout<7>.REG | int_dout<7> | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | int_dout<7>.D | 4239 | ? | 0 | 0 | int_dout<7> | NULL | NULL | int_dout<7>.XOR | 0 | 7 | ALU_F +NODE | int_dout<7>.D | 4414 | ? | 0 | 0 | int_dout<7> | NULL | NULL | int_dout<7>.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | int_dout<7>.Q | 4244 | ? | 0 | 0 | int_dout<7> | NULL | NULL | int_dout<7>.REG | 0 | 8 | SRFF_Q +NODE | int_dout<7>.Q | 4419 | ? | 0 | 0 | int_dout<7> | NULL | NULL | int_dout<7>.REG | 0 | 8 | SRFF_Q BUF_INSTANCE | int_dout<7>.BUFOE | int_dout<7> | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 10 | CTOR_UNKNOWN -SIGNAL | NODE | int_dout<7>.TRST | 4243 | ? | 0 | 4096 | int_dout<7> | NULL | NULL | int_dout<7>.SI | 4 | 9 | MC_SI_TRST -SPPTERM | 4 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_Nphi2_IBUF +SIGNAL | NODE | int_dout<7>.TRST | 4418 | ? | 0 | 4096 | int_dout<7> | NULL | NULL | int_dout<7>.SI | 4 | 9 | MC_SI_TRST +SPPTERM | 3 | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | cpu_Nphi2_IBUF OUTPUT_NODE_TYPE | 0 | 10 | BUF_OUT -NODE | int_dout<7>.BUFOE.OUT | 4242 | ? | 0 | 0 | int_dout<7> | NULL | NULL | int_dout<7>.BUFOE | 0 | 10 | BUF_OUT +NODE | int_dout<7>.BUFOE.OUT | 4417 | ? | 0 | 0 | int_dout<7> | NULL | NULL | int_dout<7>.BUFOE | 0 | 10 | BUF_OUT MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | shiftcnt<3> | spi6502b_COPY_0_COPY_0 | 2155877376 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<2> | 4007 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<2>.Q | shiftcnt<2> | 1 | 0 | MC_UIM +NODE | shiftcnt<2> | 4167 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<2>.Q | shiftcnt<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<0> | 4008 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM +NODE | shiftcnt<0> | 4168 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<1> | 4009 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<1>.Q | shiftcnt<1> | 1 | 0 | MC_UIM +NODE | shiftcnt<1> | 4169 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<1>.Q | shiftcnt<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shifting2 | 4032 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM +NODE | shifting2 | 4192 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<3> | 4006 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<3>.Q | shiftcnt<3> | 1 | 0 | MC_UIM +NODE | shiftcnt<3> | 4166 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<3>.Q | shiftcnt<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$INV$22__$INT.UIM | 4060 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$22__$INT.Q | $OpTx$INV$22__$INT | 1 | 0 | MC_UIM +NODE | $OpTx$INV$24__$INT.UIM | 4231 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$24__$INT.Q | $OpTx$INV$24__$INT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | shiftcnt<3> | 4006 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<3>.Q | shiftcnt<3> | 1 | 0 | MC_UIM +NODE | shiftcnt<3> | 4166 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<3>.Q | shiftcnt<3> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | shiftcnt<3>.SI | shiftcnt<3> | 0 | 7 | 4 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<2> | 4007 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<2>.Q | shiftcnt<2> | 1 | 0 | MC_UIM +NODE | shiftcnt<2> | 4167 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<2>.Q | shiftcnt<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<0> | 4008 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM +NODE | shiftcnt<0> | 4168 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<1> | 4009 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<1>.Q | shiftcnt<1> | 1 | 0 | MC_UIM +NODE | shiftcnt<1> | 4169 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<1>.Q | shiftcnt<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shifting2 | 4032 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM +NODE | shifting2 | 4192 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<3> | 4006 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<3>.Q | shiftcnt<3> | 1 | 0 | MC_UIM +NODE | shiftcnt<3> | 4166 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<3>.Q | shiftcnt<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$INV$22__$INT.UIM | 4060 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$22__$INT.Q | $OpTx$INV$22__$INT | 1 | 0 | MC_UIM +NODE | $OpTx$INV$24__$INT.UIM | 4231 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$24__$INT.Q | $OpTx$INV$24__$INT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | shiftcnt<3>.D1 | 4246 | ? | 0 | 4096 | shiftcnt<3> | NULL | NULL | shiftcnt<3>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | shiftcnt<3>.D1 | 4421 | ? | 0 | 4096 | shiftcnt<3> | NULL | NULL | shiftcnt<3>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | shiftcnt<3>.D2 | 4247 | ? | 0 | 4096 | shiftcnt<3> | NULL | NULL | shiftcnt<3>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | shiftcnt<3>.D2 | 4422 | ? | 0 | 4096 | shiftcnt<3> | NULL | NULL | shiftcnt<3>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | shiftcnt<3> | IV_FALSE | shifting2 SPPTERM | 4 | IV_TRUE | shiftcnt<2> | IV_TRUE | shiftcnt<0> | IV_TRUE | shiftcnt<1> | IV_TRUE | shifting2 OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF -SIGNAL | NODE | shiftcnt<3>.CLKF | 4248 | ? | 0 | 4096 | shiftcnt<3> | NULL | NULL | shiftcnt<3>.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 1 | IV_FALSE | $OpTx$INV$22__$INT.UIM +SIGNAL | NODE | shiftcnt<3>.CLKF | 4423 | ? | 0 | 4096 | shiftcnt<3> | NULL | NULL | shiftcnt<3>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_FALSE | $OpTx$INV$24__$INT.UIM OUTPUT_NODE_TYPE | 6 | 9 | MC_SI_RSTF -SIGNAL | NODE | shiftcnt<3>.RSTF | 4249 | ? | 0 | 4096 | shiftcnt<3> | NULL | NULL | shiftcnt<3>.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | shiftcnt<3>.RSTF | 4424 | ? | 0 | 4096 | shiftcnt<3> | NULL | NULL | shiftcnt<3>.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF SRFF_INSTANCE | shiftcnt<3>.REG | shiftcnt<3> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | shiftcnt<3>.D | 4245 | ? | 0 | 0 | shiftcnt<3> | NULL | NULL | shiftcnt<3>.XOR | 0 | 7 | ALU_F +NODE | shiftcnt<3>.D | 4420 | ? | 0 | 0 | shiftcnt<3> | NULL | NULL | shiftcnt<3>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -SIGNAL | NODE | shiftcnt<3>.CLKF | 4248 | ? | 0 | 4096 | shiftcnt<3> | NULL | NULL | shiftcnt<3>.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 1 | IV_FALSE | $OpTx$INV$22__$INT.UIM +SIGNAL | NODE | shiftcnt<3>.CLKF | 4423 | ? | 0 | 4096 | shiftcnt<3> | NULL | NULL | shiftcnt<3>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_FALSE | $OpTx$INV$24__$INT.UIM INPUT_NODE_TYPE | 3 | 8 | SRFF_R -SIGNAL | NODE | shiftcnt<3>.RSTF | 4249 | ? | 0 | 4096 | shiftcnt<3> | NULL | NULL | shiftcnt<3>.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | shiftcnt<3>.RSTF | 4424 | ? | 0 | 4096 | shiftcnt<3> | NULL | NULL | shiftcnt<3>.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | shiftcnt<3>.Q | 4250 | ? | 0 | 0 | shiftcnt<3> | NULL | NULL | shiftcnt<3>.REG | 0 | 8 | SRFF_Q +NODE | shiftcnt<3>.Q | 4425 | ? | 0 | 0 | shiftcnt<3> | NULL | NULL | shiftcnt<3>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | shiftcnt<2> | spi6502b_COPY_0_COPY_0 | 2155877376 | 6 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<0> | 4008 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM +NODE | shiftcnt<0> | 4168 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<1> | 4009 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<1>.Q | shiftcnt<1> | 1 | 0 | MC_UIM +NODE | shiftcnt<1> | 4169 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<1>.Q | shiftcnt<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shifting2 | 4032 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM +NODE | shifting2 | 4192 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<2> | 4007 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<2>.Q | shiftcnt<2> | 1 | 0 | MC_UIM +NODE | shiftcnt<2> | 4167 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<2>.Q | shiftcnt<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$INV$22__$INT.UIM | 4060 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$22__$INT.Q | $OpTx$INV$22__$INT | 1 | 0 | MC_UIM +NODE | $OpTx$INV$24__$INT.UIM | 4231 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$24__$INT.Q | $OpTx$INV$24__$INT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | shiftcnt<2> | 4007 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<2>.Q | shiftcnt<2> | 1 | 0 | MC_UIM +NODE | shiftcnt<2> | 4167 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<2>.Q | shiftcnt<2> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | shiftcnt<2>.SI | shiftcnt<2> | 0 | 6 | 4 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<0> | 4008 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM +NODE | shiftcnt<0> | 4168 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<1> | 4009 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<1>.Q | shiftcnt<1> | 1 | 0 | MC_UIM +NODE | shiftcnt<1> | 4169 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<1>.Q | shiftcnt<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shifting2 | 4032 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM +NODE | shifting2 | 4192 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<2> | 4007 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<2>.Q | shiftcnt<2> | 1 | 0 | MC_UIM +NODE | shiftcnt<2> | 4167 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<2>.Q | shiftcnt<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$INV$22__$INT.UIM | 4060 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$22__$INT.Q | $OpTx$INV$22__$INT | 1 | 0 | MC_UIM +NODE | $OpTx$INV$24__$INT.UIM | 4231 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$24__$INT.Q | $OpTx$INV$24__$INT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | shiftcnt<2>.D1 | 4252 | ? | 0 | 4096 | shiftcnt<2> | NULL | NULL | shiftcnt<2>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | shiftcnt<2>.D1 | 4427 | ? | 0 | 4096 | shiftcnt<2> | NULL | NULL | shiftcnt<2>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | shiftcnt<2>.D2 | 4253 | ? | 0 | 4096 | shiftcnt<2> | NULL | NULL | shiftcnt<2>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | shiftcnt<2>.D2 | 4428 | ? | 0 | 4096 | shiftcnt<2> | NULL | NULL | shiftcnt<2>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | shiftcnt<2> | IV_FALSE | shifting2 SPPTERM | 3 | IV_TRUE | shiftcnt<0> | IV_TRUE | shiftcnt<1> | IV_TRUE | shifting2 OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF -SIGNAL | NODE | shiftcnt<2>.CLKF | 4254 | ? | 0 | 4096 | shiftcnt<2> | NULL | NULL | shiftcnt<2>.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 1 | IV_FALSE | $OpTx$INV$22__$INT.UIM +SIGNAL | NODE | shiftcnt<2>.CLKF | 4429 | ? | 0 | 4096 | shiftcnt<2> | NULL | NULL | shiftcnt<2>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_FALSE | $OpTx$INV$24__$INT.UIM OUTPUT_NODE_TYPE | 6 | 9 | MC_SI_RSTF -SIGNAL | NODE | shiftcnt<2>.RSTF | 4255 | ? | 0 | 4096 | shiftcnt<2> | NULL | NULL | shiftcnt<2>.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | shiftcnt<2>.RSTF | 4430 | ? | 0 | 4096 | shiftcnt<2> | NULL | NULL | shiftcnt<2>.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF SRFF_INSTANCE | shiftcnt<2>.REG | shiftcnt<2> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | shiftcnt<2>.D | 4251 | ? | 0 | 0 | shiftcnt<2> | NULL | NULL | shiftcnt<2>.XOR | 0 | 7 | ALU_F +NODE | shiftcnt<2>.D | 4426 | ? | 0 | 0 | shiftcnt<2> | NULL | NULL | shiftcnt<2>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -SIGNAL | NODE | shiftcnt<2>.CLKF | 4254 | ? | 0 | 4096 | shiftcnt<2> | NULL | NULL | shiftcnt<2>.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 1 | IV_FALSE | $OpTx$INV$22__$INT.UIM +SIGNAL | NODE | shiftcnt<2>.CLKF | 4429 | ? | 0 | 4096 | shiftcnt<2> | NULL | NULL | shiftcnt<2>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_FALSE | $OpTx$INV$24__$INT.UIM INPUT_NODE_TYPE | 3 | 8 | SRFF_R -SIGNAL | NODE | shiftcnt<2>.RSTF | 4255 | ? | 0 | 4096 | shiftcnt<2> | NULL | NULL | shiftcnt<2>.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | shiftcnt<2>.RSTF | 4430 | ? | 0 | 4096 | shiftcnt<2> | NULL | NULL | shiftcnt<2>.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | shiftcnt<2>.Q | 4256 | ? | 0 | 0 | shiftcnt<2> | NULL | NULL | shiftcnt<2>.REG | 0 | 8 | SRFF_Q +NODE | shiftcnt<2>.Q | 4431 | ? | 0 | 0 | shiftcnt<2> | NULL | NULL | shiftcnt<2>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | shiftcnt<0> | spi6502b_COPY_0_COPY_0 | 2155873280 | 4 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<0> | 4008 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM +NODE | shiftcnt<0> | 4168 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shifting2 | 4032 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM +NODE | shifting2 | 4192 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$INV$22__$INT.UIM | 4060 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$22__$INT.Q | $OpTx$INV$22__$INT | 1 | 0 | MC_UIM +NODE | $OpTx$INV$24__$INT.UIM | 4231 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$24__$INT.Q | $OpTx$INV$24__$INT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | shiftcnt<0> | 4008 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM +NODE | shiftcnt<0> | 4168 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | shiftcnt<0>.SI | shiftcnt<0> | 0 | 4 | 4 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<0> | 4008 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM +NODE | shiftcnt<0> | 4168 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shifting2 | 4032 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM +NODE | shifting2 | 4192 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$INV$22__$INT.UIM | 4060 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$22__$INT.Q | $OpTx$INV$22__$INT | 1 | 0 | MC_UIM +NODE | $OpTx$INV$24__$INT.UIM | 4231 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$24__$INT.Q | $OpTx$INV$24__$INT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | shiftcnt<0>.D1 | 4258 | ? | 0 | 4096 | shiftcnt<0> | NULL | NULL | shiftcnt<0>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | shiftcnt<0>.D1 | 4433 | ? | 0 | 4096 | shiftcnt<0> | NULL | NULL | shiftcnt<0>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | shiftcnt<0>.D2 | 4259 | ? | 0 | 4096 | shiftcnt<0> | NULL | NULL | shiftcnt<0>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | shiftcnt<0>.D2 | 4434 | ? | 0 | 4096 | shiftcnt<0> | NULL | NULL | shiftcnt<0>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_FALSE | shiftcnt<0> | IV_TRUE | shifting2 OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF -SIGNAL | NODE | shiftcnt<0>.CLKF | 4260 | ? | 0 | 4096 | shiftcnt<0> | NULL | NULL | shiftcnt<0>.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 1 | IV_FALSE | $OpTx$INV$22__$INT.UIM +SIGNAL | NODE | shiftcnt<0>.CLKF | 4435 | ? | 0 | 4096 | shiftcnt<0> | NULL | NULL | shiftcnt<0>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_FALSE | $OpTx$INV$24__$INT.UIM OUTPUT_NODE_TYPE | 6 | 9 | MC_SI_RSTF -SIGNAL | NODE | shiftcnt<0>.RSTF | 4261 | ? | 0 | 4096 | shiftcnt<0> | NULL | NULL | shiftcnt<0>.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | shiftcnt<0>.RSTF | 4436 | ? | 0 | 4096 | shiftcnt<0> | NULL | NULL | shiftcnt<0>.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF SRFF_INSTANCE | shiftcnt<0>.REG | shiftcnt<0> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | shiftcnt<0>.D | 4257 | ? | 0 | 0 | shiftcnt<0> | NULL | NULL | shiftcnt<0>.XOR | 0 | 7 | ALU_F +NODE | shiftcnt<0>.D | 4432 | ? | 0 | 0 | shiftcnt<0> | NULL | NULL | shiftcnt<0>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -SIGNAL | NODE | shiftcnt<0>.CLKF | 4260 | ? | 0 | 4096 | shiftcnt<0> | NULL | NULL | shiftcnt<0>.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 1 | IV_FALSE | $OpTx$INV$22__$INT.UIM +SIGNAL | NODE | shiftcnt<0>.CLKF | 4435 | ? | 0 | 4096 | shiftcnt<0> | NULL | NULL | shiftcnt<0>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_FALSE | $OpTx$INV$24__$INT.UIM INPUT_NODE_TYPE | 3 | 8 | SRFF_R -SIGNAL | NODE | shiftcnt<0>.RSTF | 4261 | ? | 0 | 4096 | shiftcnt<0> | NULL | NULL | shiftcnt<0>.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | shiftcnt<0>.RSTF | 4436 | ? | 0 | 4096 | shiftcnt<0> | NULL | NULL | shiftcnt<0>.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | shiftcnt<0>.Q | 4262 | ? | 0 | 0 | shiftcnt<0> | NULL | NULL | shiftcnt<0>.REG | 0 | 8 | SRFF_Q +NODE | shiftcnt<0>.Q | 4437 | ? | 0 | 0 | shiftcnt<0> | NULL | NULL | shiftcnt<0>.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+OptxMapped | shiftcnt<1> | spi6502b_COPY_0_COPY_0 | 2155873280 | 5 | 1 +MACROCELL_INSTANCE | PrldLow+OptxMapped | shiftcnt<1> | spi6502b_COPY_0_COPY_0 | 2155873280 | 7 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<0> | 4008 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM +NODE | shiftcnt<0> | 4168 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<1> | 4009 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<1>.Q | shiftcnt<1> | 1 | 0 | MC_UIM +NODE | shiftcnt<1> | 4169 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<1>.Q | shiftcnt<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shifting2 | 4032 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM +NODE | shifting2 | 4192 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$INV$22__$INT.UIM | 4060 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$22__$INT.Q | $OpTx$INV$22__$INT | 1 | 0 | MC_UIM +NODE | $OpTx$INV$24__$INT.UIM | 4231 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$24__$INT.Q | $OpTx$INV$24__$INT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpha | 4135 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | cpha.Q | cpha | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shiftdone | 4170 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftdone.Q | shiftdone | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | shiftcnt<1> | 4009 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<1>.Q | shiftcnt<1> | 1 | 0 | MC_UIM +NODE | shiftcnt<1> | 4169 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<1>.Q | shiftcnt<1> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | shiftcnt<1>.EXP | 4591 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<1>.EXP | shiftcnt<1> | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | shiftcnt<1>.SI | shiftcnt<1> | 0 | 5 | 4 +SIGNAL_INSTANCE | shiftcnt<1>.SI | shiftcnt<1> | 0 | 7 | 5 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<0> | 4008 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM +NODE | shiftcnt<0> | 4168 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<1> | 4009 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<1>.Q | shiftcnt<1> | 1 | 0 | MC_UIM +NODE | shiftcnt<1> | 4169 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<1>.Q | shiftcnt<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shifting2 | 4032 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM +NODE | shifting2 | 4192 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$INV$22__$INT.UIM | 4060 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$22__$INT.Q | $OpTx$INV$22__$INT | 1 | 0 | MC_UIM +NODE | $OpTx$INV$24__$INT.UIM | 4231 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$24__$INT.Q | $OpTx$INV$24__$INT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpha | 4135 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | cpha.Q | cpha | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shiftdone | 4170 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftdone.Q | shiftdone | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | shiftcnt<1>.D1 | 4264 | ? | 0 | 4096 | shiftcnt<1> | NULL | NULL | shiftcnt<1>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | shiftcnt<1>.D1 | 4439 | ? | 0 | 4096 | shiftcnt<1> | NULL | NULL | shiftcnt<1>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | shiftcnt<1>.D2 | 4265 | ? | 0 | 4096 | shiftcnt<1> | NULL | NULL | shiftcnt<1>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | shiftcnt<1>.D2 | 4440 | ? | 0 | 4096 | shiftcnt<1> | NULL | NULL | shiftcnt<1>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | shiftcnt<0> | IV_FALSE | shiftcnt<1> | IV_TRUE | shifting2 SPPTERM | 3 | IV_FALSE | shiftcnt<0> | IV_TRUE | shiftcnt<1> | IV_TRUE | shifting2 OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF -SIGNAL | NODE | shiftcnt<1>.CLKF | 4266 | ? | 0 | 4096 | shiftcnt<1> | NULL | NULL | shiftcnt<1>.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 1 | IV_FALSE | $OpTx$INV$22__$INT.UIM +SIGNAL | NODE | shiftcnt<1>.CLKF | 4441 | ? | 0 | 4096 | shiftcnt<1> | NULL | NULL | shiftcnt<1>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_FALSE | $OpTx$INV$24__$INT.UIM OUTPUT_NODE_TYPE | 6 | 9 | MC_SI_RSTF -SIGNAL | NODE | shiftcnt<1>.RSTF | 4267 | ? | 0 | 4096 | shiftcnt<1> | NULL | NULL | shiftcnt<1>.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | shiftcnt<1>.RSTF | 4442 | ? | 0 | 4096 | shiftcnt<1> | NULL | NULL | shiftcnt<1>.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | shiftcnt<1>.EXP | 4590 | ? | 0 | 0 | shiftcnt<1> | NULL | NULL | shiftcnt<1>.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 5 | IV_TRUE | cpu_Nres_IBUF | IV_TRUE | cpha | IV_FALSE | shiftcnt<0> | IV_FALSE | shiftdone | IV_TRUE | shifting2 SRFF_INSTANCE | shiftcnt<1>.REG | shiftcnt<1> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | shiftcnt<1>.D | 4263 | ? | 0 | 0 | shiftcnt<1> | NULL | NULL | shiftcnt<1>.XOR | 0 | 7 | ALU_F +NODE | shiftcnt<1>.D | 4438 | ? | 0 | 0 | shiftcnt<1> | NULL | NULL | shiftcnt<1>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -SIGNAL | NODE | shiftcnt<1>.CLKF | 4266 | ? | 0 | 4096 | shiftcnt<1> | NULL | NULL | shiftcnt<1>.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 1 | IV_FALSE | $OpTx$INV$22__$INT.UIM +SIGNAL | NODE | shiftcnt<1>.CLKF | 4441 | ? | 0 | 4096 | shiftcnt<1> | NULL | NULL | shiftcnt<1>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_FALSE | $OpTx$INV$24__$INT.UIM INPUT_NODE_TYPE | 3 | 8 | SRFF_R -SIGNAL | NODE | shiftcnt<1>.RSTF | 4267 | ? | 0 | 4096 | shiftcnt<1> | NULL | NULL | shiftcnt<1>.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | shiftcnt<1>.RSTF | 4442 | ? | 0 | 4096 | shiftcnt<1> | NULL | NULL | shiftcnt<1>.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | shiftcnt<1>.Q | 4268 | ? | 0 | 0 | shiftcnt<1> | NULL | NULL | shiftcnt<1>.REG | 0 | 8 | SRFF_Q +NODE | shiftcnt<1>.Q | 4443 | ? | 0 | 0 | shiftcnt<1> | NULL | NULL | shiftcnt<1>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | shiftdone | spi6502b_COPY_0_COPY_0 | 2155873280 | 6 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<3> | 4006 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<3>.Q | shiftcnt<3> | 1 | 0 | MC_UIM +NODE | shiftcnt<3> | 4166 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<3>.Q | shiftcnt<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<2> | 4007 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<2>.Q | shiftcnt<2> | 1 | 0 | MC_UIM +NODE | shiftcnt<2> | 4167 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<2>.Q | shiftcnt<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<0> | 4008 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM +NODE | shiftcnt<0> | 4168 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<1> | 4009 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<1>.Q | shiftcnt<1> | 1 | 0 | MC_UIM +NODE | shiftcnt<1> | 4169 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<1>.Q | shiftcnt<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$INV$22__$INT.UIM | 4060 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$22__$INT.Q | $OpTx$INV$22__$INT | 1 | 0 | MC_UIM +NODE | $OpTx$INV$24__$INT.UIM | 4231 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$24__$INT.Q | $OpTx$INV$24__$INT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | shiftdone | 4010 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftdone.Q | shiftdone | 1 | 0 | MC_UIM +NODE | shiftdone | 4170 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftdone.Q | shiftdone | 1 | 0 | MC_UIM SIGNAL_INSTANCE | shiftdone.SI | shiftdone | 0 | 6 | 4 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<3> | 4006 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<3>.Q | shiftcnt<3> | 1 | 0 | MC_UIM +NODE | shiftcnt<3> | 4166 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<3>.Q | shiftcnt<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<2> | 4007 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<2>.Q | shiftcnt<2> | 1 | 0 | MC_UIM +NODE | shiftcnt<2> | 4167 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<2>.Q | shiftcnt<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<0> | 4008 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM +NODE | shiftcnt<0> | 4168 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<1> | 4009 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<1>.Q | shiftcnt<1> | 1 | 0 | MC_UIM +NODE | shiftcnt<1> | 4169 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<1>.Q | shiftcnt<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$INV$22__$INT.UIM | 4060 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$22__$INT.Q | $OpTx$INV$22__$INT | 1 | 0 | MC_UIM +NODE | $OpTx$INV$24__$INT.UIM | 4231 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$24__$INT.Q | $OpTx$INV$24__$INT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | shiftdone.D1 | 4270 | ? | 0 | 4096 | shiftdone | NULL | NULL | shiftdone.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | shiftdone.D1 | 4445 | ? | 0 | 4096 | shiftdone | NULL | NULL | shiftdone.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | shiftdone.D2 | 4271 | ? | 0 | 4096 | shiftdone | NULL | NULL | shiftdone.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | shiftdone.D2 | 4446 | ? | 0 | 4096 | shiftdone | NULL | NULL | shiftdone.SI | 2 | 9 | MC_SI_D2 SPPTERM | 4 | IV_TRUE | shiftcnt<3> | IV_TRUE | shiftcnt<2> | IV_TRUE | shiftcnt<0> | IV_TRUE | shiftcnt<1> OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF -SIGNAL | NODE | shiftdone.CLKF | 4272 | ? | 0 | 4096 | shiftdone | NULL | NULL | shiftdone.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 1 | IV_FALSE | $OpTx$INV$22__$INT.UIM +SIGNAL | NODE | shiftdone.CLKF | 4447 | ? | 0 | 4096 | shiftdone | NULL | NULL | shiftdone.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_FALSE | $OpTx$INV$24__$INT.UIM OUTPUT_NODE_TYPE | 6 | 9 | MC_SI_RSTF -SIGNAL | NODE | shiftdone.RSTF | 4273 | ? | 0 | 4096 | shiftdone | NULL | NULL | shiftdone.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | shiftdone.RSTF | 4448 | ? | 0 | 4096 | shiftdone | NULL | NULL | shiftdone.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF SRFF_INSTANCE | shiftdone.REG | shiftdone | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | shiftdone.D | 4269 | ? | 0 | 0 | shiftdone | NULL | NULL | shiftdone.XOR | 0 | 7 | ALU_F +NODE | shiftdone.D | 4444 | ? | 0 | 0 | shiftdone | NULL | NULL | shiftdone.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -SIGNAL | NODE | shiftdone.CLKF | 4272 | ? | 0 | 4096 | shiftdone | NULL | NULL | shiftdone.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 1 | IV_FALSE | $OpTx$INV$22__$INT.UIM +SIGNAL | NODE | shiftdone.CLKF | 4447 | ? | 0 | 4096 | shiftdone | NULL | NULL | shiftdone.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_FALSE | $OpTx$INV$24__$INT.UIM INPUT_NODE_TYPE | 3 | 8 | SRFF_R -SIGNAL | NODE | shiftdone.RSTF | 4273 | ? | 0 | 4096 | shiftdone | NULL | NULL | shiftdone.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | shiftdone.RSTF | 4448 | ? | 0 | 4096 | shiftdone | NULL | NULL | shiftdone.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | shiftdone.Q | 4274 | ? | 0 | 0 | shiftdone | NULL | NULL | shiftdone.REG | 0 | 8 | SRFF_Q +NODE | shiftdone.Q | 4449 | ? | 0 | 0 | shiftdone | NULL | NULL | shiftdone.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | start_shifting | spi6502b_COPY_0_COPY_0 | 2424312832 | 8 | 1 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | start_shifting | spi6502b_COPY_0_COPY_0 | 2155877376 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | frx | 3980 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | frx.Q | frx | 1 | 0 | MC_UIM +NODE | frx | 4136 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | frx.Q | frx | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | start_shifting | 4011 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | start_shifting.Q | start_shifting | 1 | 0 | MC_UIM +NODE | start_shifting | 4171 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | start_shifting.Q | start_shifting | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_rnw_IBUF | 3974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +NODE | cpu_rnw_IBUF | 4130 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | start_shifting/start_shifting_RSTF__$INT.UIM | 4061 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | start_shifting/start_shifting_RSTF__$INT.Q | start_shifting/start_shifting_RSTF__$INT | 1 | 0 | MC_UIM +NODE | start_shifting/start_shifting_RSTF__$INT.UIM | 4232 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | start_shifting/start_shifting_RSTF__$INT.Q | start_shifting/start_shifting_RSTF__$INT | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | start_shifting | 4011 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | start_shifting.Q | start_shifting | 1 | 0 | MC_UIM +NODE | start_shifting | 4171 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | start_shifting.Q | start_shifting | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | start_shifting.SI | start_shifting | 0 | 8 | 4 +SIGNAL_INSTANCE | start_shifting.SI | start_shifting | 0 | 7 | 4 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | frx | 3980 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | frx.Q | frx | 1 | 0 | MC_UIM +NODE | frx | 4136 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | frx.Q | frx | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | start_shifting | 4011 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | start_shifting.Q | start_shifting | 1 | 0 | MC_UIM +NODE | start_shifting | 4171 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | start_shifting.Q | start_shifting | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_rnw_IBUF | 3974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +NODE | cpu_rnw_IBUF | 4130 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | start_shifting/start_shifting_RSTF__$INT.UIM | 4061 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | start_shifting/start_shifting_RSTF__$INT.Q | start_shifting/start_shifting_RSTF__$INT | 1 | 0 | MC_UIM +NODE | start_shifting/start_shifting_RSTF__$INT.UIM | 4232 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | start_shifting/start_shifting_RSTF__$INT.Q | start_shifting/start_shifting_RSTF__$INT | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | start_shifting.D1 | 4276 | ? | 0 | 4096 | start_shifting | NULL | NULL | start_shifting.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | start_shifting.D1 | 4451 | ? | 0 | 4096 | start_shifting | NULL | NULL | start_shifting.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | start_shifting.D2 | 4277 | ? | 0 | 6144 | start_shifting | NULL | NULL | start_shifting.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | start_shifting.D2 | 4452 | ? | 0 | 6144 | start_shifting | NULL | NULL | start_shifting.SI | 2 | 9 | MC_SI_D2 SPPTERM | 4 | IV_FALSE | cpu_rnw_IBUF | IV_FALSE | cpu_a_1_IBUF | IV_FALSE | start_shifting | IV_FALSE | cpu_a_0_IBUF SPPTERM | 4 | IV_TRUE | frx | IV_FALSE | cpu_a_1_IBUF | IV_FALSE | start_shifting | IV_FALSE | cpu_a_0_IBUF OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF -SIGNAL | NODE | start_shifting.CLKF | 4278 | ? | 0 | 4096 | start_shifting | NULL | NULL | start_shifting.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +SIGNAL | NODE | start_shifting.CLKF | 4453 | ? | 0 | 4096 | start_shifting | NULL | NULL | start_shifting.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | Ncs2_IBUF OUTPUT_NODE_TYPE | 6 | 9 | MC_SI_RSTF -SIGNAL | NODE | start_shifting.RSTF | 4279 | ? | 0 | 4096 | start_shifting | NULL | NULL | start_shifting.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | start_shifting.RSTF | 4454 | ? | 0 | 4096 | start_shifting | NULL | NULL | start_shifting.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_FALSE | start_shifting/start_shifting_RSTF__$INT.UIM SRFF_INSTANCE | start_shifting.REG | start_shifting | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | start_shifting.D | 4275 | ? | 0 | 0 | start_shifting | NULL | NULL | start_shifting.XOR | 0 | 7 | ALU_F +NODE | start_shifting.D | 4450 | ? | 0 | 0 | start_shifting | NULL | NULL | start_shifting.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -SIGNAL | NODE | start_shifting.CLKF | 4278 | ? | 0 | 4096 | start_shifting | NULL | NULL | start_shifting.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +SIGNAL | NODE | start_shifting.CLKF | 4453 | ? | 0 | 4096 | start_shifting | NULL | NULL | start_shifting.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | Ncs2_IBUF INPUT_NODE_TYPE | 3 | 8 | SRFF_R -SIGNAL | NODE | start_shifting.RSTF | 4279 | ? | 0 | 4096 | start_shifting | NULL | NULL | start_shifting.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | start_shifting.RSTF | 4454 | ? | 0 | 4096 | start_shifting | NULL | NULL | start_shifting.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_FALSE | start_shifting/start_shifting_RSTF__$INT.UIM OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | start_shifting.Q | 4280 | ? | 0 | 0 | start_shifting | NULL | NULL | start_shifting.REG | 0 | 8 | SRFF_Q +NODE | start_shifting.Q | 4455 | ? | 0 | 0 | start_shifting | NULL | NULL | start_shifting.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | tc | spi6502b_COPY_0_COPY_0 | 2424308736 | 5 | 1 +MACROCELL_INSTANCE | PrldLow+OptxMapped | tc | spi6502b_COPY_0_COPY_0 | 2155873280 | 4 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +NODE | shiftdone | 4170 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftdone.Q | shiftdone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftdone | 4010 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftdone.Q | shiftdone | 1 | 0 | MC_UIM +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | tc | 4012 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | tc.Q | tc | 1 | 0 | MC_UIM +NODE | tc | 4172 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | tc.Q | tc | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | tc.SI | tc | 0 | 5 | 5 +SIGNAL_INSTANCE | tc.SI | tc | 0 | 4 | 5 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +NODE | shiftdone | 4170 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftdone.Q | shiftdone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftdone | 4010 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftdone.Q | shiftdone | 1 | 0 | MC_UIM +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | tc.D1 | 4282 | ? | 0 | 4096 | tc | NULL | NULL | tc.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | tc.D1 | 4457 | ? | 0 | 4096 | tc | NULL | NULL | tc.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | tc.D2 | 4283 | ? | 0 | 6144 | tc | NULL | NULL | tc.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | tc.D2 | 4458 | ? | 0 | 6144 | tc | NULL | NULL | tc.SI | 2 | 9 | MC_SI_D2 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF -SIGNAL | NODE | tc.CLKF | 4284 | ? | 0 | 4096 | tc | NULL | NULL | tc.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +SIGNAL | NODE | tc.CLKF | 4459 | ? | 0 | 4096 | tc | NULL | NULL | tc.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | Ncs2_IBUF OUTPUT_NODE_TYPE | 5 | 9 | MC_SI_SETF -SIGNAL | NODE | tc.SETF | 4285 | ? | 0 | 4096 | tc | NULL | NULL | tc.SI | 5 | 9 | MC_SI_SETF +SIGNAL | NODE | tc.SETF | 4460 | ? | 0 | 4096 | tc | NULL | NULL | tc.SI | 5 | 9 | MC_SI_SETF SPPTERM | 1 | IV_TRUE | shiftdone OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | tc.CE | 4286 | ? | 0 | 4096 | tc | NULL | NULL | tc.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | tc.CE | 4461 | ? | 0 | 4096 | tc | NULL | NULL | tc.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_FALSE | cpu_a_1_IBUF | IV_FALSE | cpu_a_0_IBUF SRFF_INSTANCE | tc.REG | tc | 0 | 4 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | tc.D | 4281 | ? | 0 | 0 | tc | NULL | NULL | tc.XOR | 0 | 7 | ALU_F +NODE | tc.D | 4456 | ? | 0 | 0 | tc | NULL | NULL | tc.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -SIGNAL | NODE | tc.CLKF | 4284 | ? | 0 | 4096 | tc | NULL | NULL | tc.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +SIGNAL | NODE | tc.CLKF | 4459 | ? | 0 | 4096 | tc | NULL | NULL | tc.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | Ncs2_IBUF INPUT_NODE_TYPE | 2 | 8 | SRFF_S -SIGNAL | NODE | tc.SETF | 4285 | ? | 0 | 4096 | tc | NULL | NULL | tc.SI | 5 | 9 | MC_SI_SETF +SIGNAL | NODE | tc.SETF | 4460 | ? | 0 | 4096 | tc | NULL | NULL | tc.SI | 5 | 9 | MC_SI_SETF SPPTERM | 1 | IV_TRUE | shiftdone INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | tc.CE | 4286 | ? | 0 | 4096 | tc | NULL | NULL | tc.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | tc.CE | 4461 | ? | 0 | 4096 | tc | NULL | NULL | tc.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_FALSE | cpu_a_1_IBUF | IV_FALSE | cpu_a_0_IBUF OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | tc.Q | 4287 | ? | 0 | 0 | tc | NULL | NULL | tc.REG | 0 | 8 | SRFF_Q +NODE | tc.Q | 4462 | ? | 0 | 0 | tc | NULL | NULL | tc.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | spidataout<0> | spi6502b_COPY_0_COPY_0 | 2424312832 | 8 | 1 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | spidataout<0> | spi6502b_COPY_0_COPY_0 | 2155877376 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidataout<0> | 4013 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<0>.Q | spidataout<0> | 1 | 0 | MC_UIM +NODE | spidataout<0> | 4173 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<0>.Q | spidataout<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N2889 | 4033 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N2889 | 0 | 5 | II_IMUX +NODE | N3017 | 4196 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3017 | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_rnw_IBUF | 3974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +NODE | cpu_rnw_IBUF | 4130 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | spidataout<0> | 4013 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<0>.Q | spidataout<0> | 1 | 0 | MC_UIM +NODE | spidataout<0> | 4173 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<0>.Q | spidataout<0> | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | spidataout<0>.SI | spidataout<0> | 0 | 8 | 4 +SIGNAL_INSTANCE | spidataout<0>.SI | spidataout<0> | 0 | 7 | 4 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidataout<0> | 4013 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<0>.Q | spidataout<0> | 1 | 0 | MC_UIM +NODE | spidataout<0> | 4173 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<0>.Q | spidataout<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N2889 | 4033 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N2889 | 0 | 5 | II_IMUX +NODE | N3017 | 4196 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3017 | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_rnw_IBUF | 3974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +NODE | cpu_rnw_IBUF | 4130 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | spidataout<0>.D1 | 4289 | ? | 0 | 4096 | spidataout<0> | NULL | NULL | spidataout<0>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | spidataout<0>.D1 | 4464 | ? | 0 | 4096 | spidataout<0> | NULL | NULL | spidataout<0>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | spidataout<0>.D2 | 4290 | ? | 0 | 4096 | spidataout<0> | NULL | NULL | spidataout<0>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 4 | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | spidataout<0> | IV_FALSE | cpu_a_0_IBUF | IV_FALSE | N2889 -SPPTERM | 4 | IV_FALSE | cpu_a_1_IBUF | IV_FALSE | spidataout<0> | IV_FALSE | cpu_a_0_IBUF | IV_TRUE | N2889 +SIGNAL | NODE | spidataout<0>.D2 | 4465 | ? | 0 | 4096 | spidataout<0> | NULL | NULL | spidataout<0>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 4 | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | spidataout<0> | IV_FALSE | cpu_a_0_IBUF | IV_FALSE | N3017 +SPPTERM | 4 | IV_FALSE | cpu_a_1_IBUF | IV_FALSE | spidataout<0> | IV_FALSE | cpu_a_0_IBUF | IV_TRUE | N3017 OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF -SIGNAL | NODE | spidataout<0>.CLKF | 4291 | ? | 0 | 4096 | spidataout<0> | NULL | NULL | spidataout<0>.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +SIGNAL | NODE | spidataout<0>.CLKF | 4466 | ? | 0 | 4096 | spidataout<0> | NULL | NULL | spidataout<0>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | Ncs2_IBUF OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | spidataout<0>.CE | 4292 | ? | 0 | 4096 | spidataout<0> | NULL | NULL | spidataout<0>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | spidataout<0>.CE | 4467 | ? | 0 | 4096 | spidataout<0> | NULL | NULL | spidataout<0>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_TRUE | cpu_Nres_IBUF | IV_FALSE | cpu_rnw_IBUF SRFF_INSTANCE | spidataout<0>.REG | spidataout<0> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | spidataout<0>.D | 4288 | ? | 0 | 0 | spidataout<0> | NULL | NULL | spidataout<0>.XOR | 0 | 7 | ALU_F +NODE | spidataout<0>.D | 4463 | ? | 0 | 0 | spidataout<0> | NULL | NULL | spidataout<0>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -SIGNAL | NODE | spidataout<0>.CLKF | 4291 | ? | 0 | 4096 | spidataout<0> | NULL | NULL | spidataout<0>.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +SIGNAL | NODE | spidataout<0>.CLKF | 4466 | ? | 0 | 4096 | spidataout<0> | NULL | NULL | spidataout<0>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | Ncs2_IBUF INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | spidataout<0>.CE | 4292 | ? | 0 | 4096 | spidataout<0> | NULL | NULL | spidataout<0>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | spidataout<0>.CE | 4467 | ? | 0 | 4096 | spidataout<0> | NULL | NULL | spidataout<0>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_TRUE | cpu_Nres_IBUF | IV_FALSE | cpu_rnw_IBUF OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | spidataout<0>.Q | 4293 | ? | 0 | 0 | spidataout<0> | NULL | NULL | spidataout<0>.REG | 0 | 8 | SRFF_Q +NODE | spidataout<0>.Q | 4468 | ? | 0 | 0 | spidataout<0> | NULL | NULL | spidataout<0>.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | spidataout<1> | spi6502b_COPY_0_COPY_0 | 2424312832 | 8 | 1 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | spidataout<1> | spi6502b_COPY_0_COPY_0 | 2155877376 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidataout<1> | 4014 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<1>.Q | spidataout<1> | 1 | 0 | MC_UIM +NODE | spidataout<1> | 4174 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<1>.Q | spidataout<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N2891 | 4034 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N2891 | 0 | 5 | II_IMUX +NODE | N3019 | 4197 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3019 | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_rnw_IBUF | 3974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +NODE | cpu_rnw_IBUF | 4130 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | spidataout<1> | 4014 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<1>.Q | spidataout<1> | 1 | 0 | MC_UIM +NODE | spidataout<1> | 4174 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<1>.Q | spidataout<1> | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | spidataout<1>.SI | spidataout<1> | 0 | 8 | 4 +SIGNAL_INSTANCE | spidataout<1>.SI | spidataout<1> | 0 | 7 | 4 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidataout<1> | 4014 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<1>.Q | spidataout<1> | 1 | 0 | MC_UIM +NODE | spidataout<1> | 4174 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<1>.Q | spidataout<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N2891 | 4034 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N2891 | 0 | 5 | II_IMUX +NODE | N3019 | 4197 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3019 | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_rnw_IBUF | 3974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +NODE | cpu_rnw_IBUF | 4130 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | spidataout<1>.D1 | 4295 | ? | 0 | 4096 | spidataout<1> | NULL | NULL | spidataout<1>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | spidataout<1>.D1 | 4470 | ? | 0 | 4096 | spidataout<1> | NULL | NULL | spidataout<1>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | spidataout<1>.D2 | 4296 | ? | 0 | 4096 | spidataout<1> | NULL | NULL | spidataout<1>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 4 | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | spidataout<1> | IV_FALSE | cpu_a_0_IBUF | IV_FALSE | N2891 -SPPTERM | 4 | IV_FALSE | cpu_a_1_IBUF | IV_FALSE | spidataout<1> | IV_FALSE | cpu_a_0_IBUF | IV_TRUE | N2891 +SIGNAL | NODE | spidataout<1>.D2 | 4471 | ? | 0 | 4096 | spidataout<1> | NULL | NULL | spidataout<1>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 4 | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | spidataout<1> | IV_FALSE | cpu_a_0_IBUF | IV_FALSE | N3019 +SPPTERM | 4 | IV_FALSE | cpu_a_1_IBUF | IV_FALSE | spidataout<1> | IV_FALSE | cpu_a_0_IBUF | IV_TRUE | N3019 OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF -SIGNAL | NODE | spidataout<1>.CLKF | 4297 | ? | 0 | 4096 | spidataout<1> | NULL | NULL | spidataout<1>.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +SIGNAL | NODE | spidataout<1>.CLKF | 4472 | ? | 0 | 4096 | spidataout<1> | NULL | NULL | spidataout<1>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | Ncs2_IBUF OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | spidataout<1>.CE | 4298 | ? | 0 | 4096 | spidataout<1> | NULL | NULL | spidataout<1>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | spidataout<1>.CE | 4473 | ? | 0 | 4096 | spidataout<1> | NULL | NULL | spidataout<1>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_TRUE | cpu_Nres_IBUF | IV_FALSE | cpu_rnw_IBUF SRFF_INSTANCE | spidataout<1>.REG | spidataout<1> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | spidataout<1>.D | 4294 | ? | 0 | 0 | spidataout<1> | NULL | NULL | spidataout<1>.XOR | 0 | 7 | ALU_F +NODE | spidataout<1>.D | 4469 | ? | 0 | 0 | spidataout<1> | NULL | NULL | spidataout<1>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -SIGNAL | NODE | spidataout<1>.CLKF | 4297 | ? | 0 | 4096 | spidataout<1> | NULL | NULL | spidataout<1>.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +SIGNAL | NODE | spidataout<1>.CLKF | 4472 | ? | 0 | 4096 | spidataout<1> | NULL | NULL | spidataout<1>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | Ncs2_IBUF INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | spidataout<1>.CE | 4298 | ? | 0 | 4096 | spidataout<1> | NULL | NULL | spidataout<1>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | spidataout<1>.CE | 4473 | ? | 0 | 4096 | spidataout<1> | NULL | NULL | spidataout<1>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_TRUE | cpu_Nres_IBUF | IV_FALSE | cpu_rnw_IBUF OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | spidataout<1>.Q | 4299 | ? | 0 | 0 | spidataout<1> | NULL | NULL | spidataout<1>.REG | 0 | 8 | SRFF_Q +NODE | spidataout<1>.Q | 4474 | ? | 0 | 0 | spidataout<1> | NULL | NULL | spidataout<1>.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | spidataout<2> | spi6502b_COPY_0_COPY_0 | 2424312832 | 8 | 1 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | spidataout<2> | spi6502b_COPY_0_COPY_0 | 2155877376 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidataout<2> | 4015 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<2>.Q | spidataout<2> | 1 | 0 | MC_UIM +NODE | spidataout<2> | 4175 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<2>.Q | spidataout<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N2893 | 4035 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N2893 | 0 | 5 | II_IMUX +NODE | N3021 | 4198 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3021 | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_rnw_IBUF | 3974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +NODE | cpu_rnw_IBUF | 4130 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | spidataout<2> | 4015 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<2>.Q | spidataout<2> | 1 | 0 | MC_UIM +NODE | spidataout<2> | 4175 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<2>.Q | spidataout<2> | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | spidataout<2>.SI | spidataout<2> | 0 | 8 | 4 +SIGNAL_INSTANCE | spidataout<2>.SI | spidataout<2> | 0 | 7 | 4 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidataout<2> | 4015 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<2>.Q | spidataout<2> | 1 | 0 | MC_UIM +NODE | spidataout<2> | 4175 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<2>.Q | spidataout<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N2893 | 4035 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N2893 | 0 | 5 | II_IMUX +NODE | N3021 | 4198 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3021 | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_rnw_IBUF | 3974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +NODE | cpu_rnw_IBUF | 4130 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | spidataout<2>.D1 | 4301 | ? | 0 | 4096 | spidataout<2> | NULL | NULL | spidataout<2>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | spidataout<2>.D1 | 4476 | ? | 0 | 4096 | spidataout<2> | NULL | NULL | spidataout<2>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | spidataout<2>.D2 | 4302 | ? | 0 | 4096 | spidataout<2> | NULL | NULL | spidataout<2>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 4 | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | spidataout<2> | IV_FALSE | cpu_a_0_IBUF | IV_FALSE | N2893 -SPPTERM | 4 | IV_FALSE | cpu_a_1_IBUF | IV_FALSE | spidataout<2> | IV_FALSE | cpu_a_0_IBUF | IV_TRUE | N2893 +SIGNAL | NODE | spidataout<2>.D2 | 4477 | ? | 0 | 4096 | spidataout<2> | NULL | NULL | spidataout<2>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 4 | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | spidataout<2> | IV_FALSE | cpu_a_0_IBUF | IV_FALSE | N3021 +SPPTERM | 4 | IV_FALSE | cpu_a_1_IBUF | IV_FALSE | spidataout<2> | IV_FALSE | cpu_a_0_IBUF | IV_TRUE | N3021 OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF -SIGNAL | NODE | spidataout<2>.CLKF | 4303 | ? | 0 | 4096 | spidataout<2> | NULL | NULL | spidataout<2>.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +SIGNAL | NODE | spidataout<2>.CLKF | 4478 | ? | 0 | 4096 | spidataout<2> | NULL | NULL | spidataout<2>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | Ncs2_IBUF OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | spidataout<2>.CE | 4304 | ? | 0 | 4096 | spidataout<2> | NULL | NULL | spidataout<2>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | spidataout<2>.CE | 4479 | ? | 0 | 4096 | spidataout<2> | NULL | NULL | spidataout<2>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_TRUE | cpu_Nres_IBUF | IV_FALSE | cpu_rnw_IBUF SRFF_INSTANCE | spidataout<2>.REG | spidataout<2> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | spidataout<2>.D | 4300 | ? | 0 | 0 | spidataout<2> | NULL | NULL | spidataout<2>.XOR | 0 | 7 | ALU_F +NODE | spidataout<2>.D | 4475 | ? | 0 | 0 | spidataout<2> | NULL | NULL | spidataout<2>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -SIGNAL | NODE | spidataout<2>.CLKF | 4303 | ? | 0 | 4096 | spidataout<2> | NULL | NULL | spidataout<2>.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +SIGNAL | NODE | spidataout<2>.CLKF | 4478 | ? | 0 | 4096 | spidataout<2> | NULL | NULL | spidataout<2>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | Ncs2_IBUF INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | spidataout<2>.CE | 4304 | ? | 0 | 4096 | spidataout<2> | NULL | NULL | spidataout<2>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | spidataout<2>.CE | 4479 | ? | 0 | 4096 | spidataout<2> | NULL | NULL | spidataout<2>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_TRUE | cpu_Nres_IBUF | IV_FALSE | cpu_rnw_IBUF OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | spidataout<2>.Q | 4305 | ? | 0 | 0 | spidataout<2> | NULL | NULL | spidataout<2>.REG | 0 | 8 | SRFF_Q +NODE | spidataout<2>.Q | 4480 | ? | 0 | 0 | spidataout<2> | NULL | NULL | spidataout<2>.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | spidataout<3> | spi6502b_COPY_0_COPY_0 | 2424312832 | 8 | 1 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | spidataout<3> | spi6502b_COPY_0_COPY_0 | 2155877376 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidataout<3> | 4016 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<3>.Q | spidataout<3> | 1 | 0 | MC_UIM +NODE | spidataout<3> | 4176 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<3>.Q | spidataout<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N2895 | 4036 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N2895 | 0 | 5 | II_IMUX +NODE | N3023 | 4199 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3023 | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_rnw_IBUF | 3974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +NODE | cpu_rnw_IBUF | 4130 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | spidataout<3> | 4016 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<3>.Q | spidataout<3> | 1 | 0 | MC_UIM +NODE | spidataout<3> | 4176 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<3>.Q | spidataout<3> | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | spidataout<3>.SI | spidataout<3> | 0 | 8 | 4 +SIGNAL_INSTANCE | spidataout<3>.SI | spidataout<3> | 0 | 7 | 4 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidataout<3> | 4016 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<3>.Q | spidataout<3> | 1 | 0 | MC_UIM +NODE | spidataout<3> | 4176 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<3>.Q | spidataout<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N2895 | 4036 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N2895 | 0 | 5 | II_IMUX +NODE | N3023 | 4199 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3023 | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_rnw_IBUF | 3974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +NODE | cpu_rnw_IBUF | 4130 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | spidataout<3>.D1 | 4307 | ? | 0 | 4096 | spidataout<3> | NULL | NULL | spidataout<3>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | spidataout<3>.D1 | 4482 | ? | 0 | 4096 | spidataout<3> | NULL | NULL | spidataout<3>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | spidataout<3>.D2 | 4308 | ? | 0 | 4096 | spidataout<3> | NULL | NULL | spidataout<3>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 4 | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | spidataout<3> | IV_FALSE | cpu_a_0_IBUF | IV_FALSE | N2895 -SPPTERM | 4 | IV_FALSE | cpu_a_1_IBUF | IV_FALSE | spidataout<3> | IV_FALSE | cpu_a_0_IBUF | IV_TRUE | N2895 +SIGNAL | NODE | spidataout<3>.D2 | 4483 | ? | 0 | 4096 | spidataout<3> | NULL | NULL | spidataout<3>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 4 | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | spidataout<3> | IV_FALSE | cpu_a_0_IBUF | IV_FALSE | N3023 +SPPTERM | 4 | IV_FALSE | cpu_a_1_IBUF | IV_FALSE | spidataout<3> | IV_FALSE | cpu_a_0_IBUF | IV_TRUE | N3023 OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF -SIGNAL | NODE | spidataout<3>.CLKF | 4309 | ? | 0 | 4096 | spidataout<3> | NULL | NULL | spidataout<3>.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +SIGNAL | NODE | spidataout<3>.CLKF | 4484 | ? | 0 | 4096 | spidataout<3> | NULL | NULL | spidataout<3>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | Ncs2_IBUF OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | spidataout<3>.CE | 4310 | ? | 0 | 4096 | spidataout<3> | NULL | NULL | spidataout<3>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | spidataout<3>.CE | 4485 | ? | 0 | 4096 | spidataout<3> | NULL | NULL | spidataout<3>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_TRUE | cpu_Nres_IBUF | IV_FALSE | cpu_rnw_IBUF SRFF_INSTANCE | spidataout<3>.REG | spidataout<3> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | spidataout<3>.D | 4306 | ? | 0 | 0 | spidataout<3> | NULL | NULL | spidataout<3>.XOR | 0 | 7 | ALU_F +NODE | spidataout<3>.D | 4481 | ? | 0 | 0 | spidataout<3> | NULL | NULL | spidataout<3>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -SIGNAL | NODE | spidataout<3>.CLKF | 4309 | ? | 0 | 4096 | spidataout<3> | NULL | NULL | spidataout<3>.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +SIGNAL | NODE | spidataout<3>.CLKF | 4484 | ? | 0 | 4096 | spidataout<3> | NULL | NULL | spidataout<3>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | Ncs2_IBUF INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | spidataout<3>.CE | 4310 | ? | 0 | 4096 | spidataout<3> | NULL | NULL | spidataout<3>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | spidataout<3>.CE | 4485 | ? | 0 | 4096 | spidataout<3> | NULL | NULL | spidataout<3>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_TRUE | cpu_Nres_IBUF | IV_FALSE | cpu_rnw_IBUF OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | spidataout<3>.Q | 4311 | ? | 0 | 0 | spidataout<3> | NULL | NULL | spidataout<3>.REG | 0 | 8 | SRFF_Q +NODE | spidataout<3>.Q | 4486 | ? | 0 | 0 | spidataout<3> | NULL | NULL | spidataout<3>.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | spidataout<4> | spi6502b_COPY_0_COPY_0 | 2424312832 | 8 | 1 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | spidataout<4> | spi6502b_COPY_0_COPY_0 | 2155877376 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidataout<4> | 4017 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<4>.Q | spidataout<4> | 1 | 0 | MC_UIM +NODE | spidataout<4> | 4177 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<4>.Q | spidataout<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N2897 | 4037 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N2897 | 0 | 5 | II_IMUX +NODE | N3025 | 4200 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3025 | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_rnw_IBUF | 3974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +NODE | cpu_rnw_IBUF | 4130 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | spidataout<4> | 4017 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<4>.Q | spidataout<4> | 1 | 0 | MC_UIM +NODE | spidataout<4> | 4177 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<4>.Q | spidataout<4> | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | spidataout<4>.SI | spidataout<4> | 0 | 8 | 4 +SIGNAL_INSTANCE | spidataout<4>.SI | spidataout<4> | 0 | 7 | 4 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidataout<4> | 4017 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<4>.Q | spidataout<4> | 1 | 0 | MC_UIM +NODE | spidataout<4> | 4177 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<4>.Q | spidataout<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N2897 | 4037 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N2897 | 0 | 5 | II_IMUX +NODE | N3025 | 4200 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3025 | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_rnw_IBUF | 3974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +NODE | cpu_rnw_IBUF | 4130 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | spidataout<4>.D1 | 4313 | ? | 0 | 4096 | spidataout<4> | NULL | NULL | spidataout<4>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | spidataout<4>.D1 | 4488 | ? | 0 | 4096 | spidataout<4> | NULL | NULL | spidataout<4>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | spidataout<4>.D2 | 4314 | ? | 0 | 4096 | spidataout<4> | NULL | NULL | spidataout<4>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 4 | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | spidataout<4> | IV_FALSE | cpu_a_0_IBUF | IV_FALSE | N2897 -SPPTERM | 4 | IV_FALSE | cpu_a_1_IBUF | IV_FALSE | spidataout<4> | IV_FALSE | cpu_a_0_IBUF | IV_TRUE | N2897 +SIGNAL | NODE | spidataout<4>.D2 | 4489 | ? | 0 | 4096 | spidataout<4> | NULL | NULL | spidataout<4>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 4 | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | spidataout<4> | IV_FALSE | cpu_a_0_IBUF | IV_FALSE | N3025 +SPPTERM | 4 | IV_FALSE | cpu_a_1_IBUF | IV_FALSE | spidataout<4> | IV_FALSE | cpu_a_0_IBUF | IV_TRUE | N3025 OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF -SIGNAL | NODE | spidataout<4>.CLKF | 4315 | ? | 0 | 4096 | spidataout<4> | NULL | NULL | spidataout<4>.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +SIGNAL | NODE | spidataout<4>.CLKF | 4490 | ? | 0 | 4096 | spidataout<4> | NULL | NULL | spidataout<4>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | Ncs2_IBUF OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | spidataout<4>.CE | 4316 | ? | 0 | 4096 | spidataout<4> | NULL | NULL | spidataout<4>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | spidataout<4>.CE | 4491 | ? | 0 | 4096 | spidataout<4> | NULL | NULL | spidataout<4>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_TRUE | cpu_Nres_IBUF | IV_FALSE | cpu_rnw_IBUF SRFF_INSTANCE | spidataout<4>.REG | spidataout<4> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | spidataout<4>.D | 4312 | ? | 0 | 0 | spidataout<4> | NULL | NULL | spidataout<4>.XOR | 0 | 7 | ALU_F +NODE | spidataout<4>.D | 4487 | ? | 0 | 0 | spidataout<4> | NULL | NULL | spidataout<4>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -SIGNAL | NODE | spidataout<4>.CLKF | 4315 | ? | 0 | 4096 | spidataout<4> | NULL | NULL | spidataout<4>.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +SIGNAL | NODE | spidataout<4>.CLKF | 4490 | ? | 0 | 4096 | spidataout<4> | NULL | NULL | spidataout<4>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | Ncs2_IBUF INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | spidataout<4>.CE | 4316 | ? | 0 | 4096 | spidataout<4> | NULL | NULL | spidataout<4>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | spidataout<4>.CE | 4491 | ? | 0 | 4096 | spidataout<4> | NULL | NULL | spidataout<4>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_TRUE | cpu_Nres_IBUF | IV_FALSE | cpu_rnw_IBUF OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | spidataout<4>.Q | 4317 | ? | 0 | 0 | spidataout<4> | NULL | NULL | spidataout<4>.REG | 0 | 8 | SRFF_Q +NODE | spidataout<4>.Q | 4492 | ? | 0 | 0 | spidataout<4> | NULL | NULL | spidataout<4>.REG | 0 | 8 | SRFF_Q -INPUT_INSTANCE | 0 | 0 | NULL | N2899 | spi6502b_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_INSTANCE | 0 | 0 | NULL | N3027 | spi6502b_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | cpu_d<5> | 4051 | PIPO | 0 | 64 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_d<5> | 0 | 6 | OI_OUT +NODE | cpu_d<5> | 4217 | PIPO | 0 | 64 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_d<5> | 0 | 6 | OI_OUT OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | N2899 | 4040 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N2899 | 0 | 5 | II_IMUX +NODE | N3027 | 4203 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3027 | 0 | 5 | II_IMUX -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | spidataout<5> | spi6502b_COPY_0_COPY_0 | 2424312832 | 8 | 1 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | spidataout<5> | spi6502b_COPY_0_COPY_0 | 2155877376 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidataout<5> | 4018 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<5>.Q | spidataout<5> | 1 | 0 | MC_UIM +NODE | spidataout<5> | 4178 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<5>.Q | spidataout<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N2899 | 4040 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N2899 | 0 | 5 | II_IMUX +NODE | N3027 | 4203 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3027 | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_rnw_IBUF | 3974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +NODE | cpu_rnw_IBUF | 4130 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | spidataout<5> | 4018 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<5>.Q | spidataout<5> | 1 | 0 | MC_UIM +NODE | spidataout<5> | 4178 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<5>.Q | spidataout<5> | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | spidataout<5>.SI | spidataout<5> | 0 | 8 | 4 +SIGNAL_INSTANCE | spidataout<5>.SI | spidataout<5> | 0 | 7 | 4 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidataout<5> | 4018 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<5>.Q | spidataout<5> | 1 | 0 | MC_UIM +NODE | spidataout<5> | 4178 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<5>.Q | spidataout<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N2899 | 4040 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N2899 | 0 | 5 | II_IMUX +NODE | N3027 | 4203 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3027 | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_rnw_IBUF | 3974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +NODE | cpu_rnw_IBUF | 4130 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | spidataout<5>.D1 | 4319 | ? | 0 | 4096 | spidataout<5> | NULL | NULL | spidataout<5>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | spidataout<5>.D1 | 4494 | ? | 0 | 4096 | spidataout<5> | NULL | NULL | spidataout<5>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | spidataout<5>.D2 | 4320 | ? | 0 | 4096 | spidataout<5> | NULL | NULL | spidataout<5>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 4 | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | spidataout<5> | IV_FALSE | cpu_a_0_IBUF | IV_FALSE | N2899 -SPPTERM | 4 | IV_FALSE | cpu_a_1_IBUF | IV_FALSE | spidataout<5> | IV_FALSE | cpu_a_0_IBUF | IV_TRUE | N2899 +SIGNAL | NODE | spidataout<5>.D2 | 4495 | ? | 0 | 4096 | spidataout<5> | NULL | NULL | spidataout<5>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 4 | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | spidataout<5> | IV_FALSE | cpu_a_0_IBUF | IV_FALSE | N3027 +SPPTERM | 4 | IV_FALSE | cpu_a_1_IBUF | IV_FALSE | spidataout<5> | IV_FALSE | cpu_a_0_IBUF | IV_TRUE | N3027 OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF -SIGNAL | NODE | spidataout<5>.CLKF | 4321 | ? | 0 | 4096 | spidataout<5> | NULL | NULL | spidataout<5>.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +SIGNAL | NODE | spidataout<5>.CLKF | 4496 | ? | 0 | 4096 | spidataout<5> | NULL | NULL | spidataout<5>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | Ncs2_IBUF OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | spidataout<5>.CE | 4322 | ? | 0 | 4096 | spidataout<5> | NULL | NULL | spidataout<5>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | spidataout<5>.CE | 4497 | ? | 0 | 4096 | spidataout<5> | NULL | NULL | spidataout<5>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_TRUE | cpu_Nres_IBUF | IV_FALSE | cpu_rnw_IBUF SRFF_INSTANCE | spidataout<5>.REG | spidataout<5> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | spidataout<5>.D | 4318 | ? | 0 | 0 | spidataout<5> | NULL | NULL | spidataout<5>.XOR | 0 | 7 | ALU_F +NODE | spidataout<5>.D | 4493 | ? | 0 | 0 | spidataout<5> | NULL | NULL | spidataout<5>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -SIGNAL | NODE | spidataout<5>.CLKF | 4321 | ? | 0 | 4096 | spidataout<5> | NULL | NULL | spidataout<5>.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +SIGNAL | NODE | spidataout<5>.CLKF | 4496 | ? | 0 | 4096 | spidataout<5> | NULL | NULL | spidataout<5>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | Ncs2_IBUF INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | spidataout<5>.CE | 4322 | ? | 0 | 4096 | spidataout<5> | NULL | NULL | spidataout<5>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | spidataout<5>.CE | 4497 | ? | 0 | 4096 | spidataout<5> | NULL | NULL | spidataout<5>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_TRUE | cpu_Nres_IBUF | IV_FALSE | cpu_rnw_IBUF OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | spidataout<5>.Q | 4323 | ? | 0 | 0 | spidataout<5> | NULL | NULL | spidataout<5>.REG | 0 | 8 | SRFF_Q +NODE | spidataout<5>.Q | 4498 | ? | 0 | 0 | spidataout<5> | NULL | NULL | spidataout<5>.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | spidataout<6> | spi6502b_COPY_0_COPY_0 | 2424312832 | 8 | 1 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | spidataout<6> | spi6502b_COPY_0_COPY_0 | 2155877376 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidataout<6> | 4019 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<6>.Q | spidataout<6> | 1 | 0 | MC_UIM +NODE | spidataout<6> | 4179 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<6>.Q | spidataout<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N2901 | 4038 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N2901 | 0 | 5 | II_IMUX +NODE | N3029 | 4201 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3029 | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_rnw_IBUF | 3974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +NODE | cpu_rnw_IBUF | 4130 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | spidataout<6> | 4019 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<6>.Q | spidataout<6> | 1 | 0 | MC_UIM +NODE | spidataout<6> | 4179 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<6>.Q | spidataout<6> | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | spidataout<6>.SI | spidataout<6> | 0 | 8 | 4 +SIGNAL_INSTANCE | spidataout<6>.SI | spidataout<6> | 0 | 7 | 4 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidataout<6> | 4019 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<6>.Q | spidataout<6> | 1 | 0 | MC_UIM +NODE | spidataout<6> | 4179 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<6>.Q | spidataout<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N2901 | 4038 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N2901 | 0 | 5 | II_IMUX +NODE | N3029 | 4201 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3029 | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_rnw_IBUF | 3974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +NODE | cpu_rnw_IBUF | 4130 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | spidataout<6>.D1 | 4325 | ? | 0 | 4096 | spidataout<6> | NULL | NULL | spidataout<6>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | spidataout<6>.D1 | 4500 | ? | 0 | 4096 | spidataout<6> | NULL | NULL | spidataout<6>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | spidataout<6>.D2 | 4326 | ? | 0 | 4096 | spidataout<6> | NULL | NULL | spidataout<6>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 4 | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | spidataout<6> | IV_FALSE | cpu_a_0_IBUF | IV_FALSE | N2901 -SPPTERM | 4 | IV_FALSE | cpu_a_1_IBUF | IV_FALSE | spidataout<6> | IV_FALSE | cpu_a_0_IBUF | IV_TRUE | N2901 +SIGNAL | NODE | spidataout<6>.D2 | 4501 | ? | 0 | 4096 | spidataout<6> | NULL | NULL | spidataout<6>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 4 | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | spidataout<6> | IV_FALSE | cpu_a_0_IBUF | IV_FALSE | N3029 +SPPTERM | 4 | IV_FALSE | cpu_a_1_IBUF | IV_FALSE | spidataout<6> | IV_FALSE | cpu_a_0_IBUF | IV_TRUE | N3029 OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF -SIGNAL | NODE | spidataout<6>.CLKF | 4327 | ? | 0 | 4096 | spidataout<6> | NULL | NULL | spidataout<6>.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +SIGNAL | NODE | spidataout<6>.CLKF | 4502 | ? | 0 | 4096 | spidataout<6> | NULL | NULL | spidataout<6>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | Ncs2_IBUF OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | spidataout<6>.CE | 4328 | ? | 0 | 4096 | spidataout<6> | NULL | NULL | spidataout<6>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | spidataout<6>.CE | 4503 | ? | 0 | 4096 | spidataout<6> | NULL | NULL | spidataout<6>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_TRUE | cpu_Nres_IBUF | IV_FALSE | cpu_rnw_IBUF SRFF_INSTANCE | spidataout<6>.REG | spidataout<6> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | spidataout<6>.D | 4324 | ? | 0 | 0 | spidataout<6> | NULL | NULL | spidataout<6>.XOR | 0 | 7 | ALU_F +NODE | spidataout<6>.D | 4499 | ? | 0 | 0 | spidataout<6> | NULL | NULL | spidataout<6>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -SIGNAL | NODE | spidataout<6>.CLKF | 4327 | ? | 0 | 4096 | spidataout<6> | NULL | NULL | spidataout<6>.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +SIGNAL | NODE | spidataout<6>.CLKF | 4502 | ? | 0 | 4096 | spidataout<6> | NULL | NULL | spidataout<6>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | Ncs2_IBUF INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | spidataout<6>.CE | 4328 | ? | 0 | 4096 | spidataout<6> | NULL | NULL | spidataout<6>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | spidataout<6>.CE | 4503 | ? | 0 | 4096 | spidataout<6> | NULL | NULL | spidataout<6>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_TRUE | cpu_Nres_IBUF | IV_FALSE | cpu_rnw_IBUF OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | spidataout<6>.Q | 4329 | ? | 0 | 0 | spidataout<6> | NULL | NULL | spidataout<6>.REG | 0 | 8 | SRFF_Q +NODE | spidataout<6>.Q | 4504 | ? | 0 | 0 | spidataout<6> | NULL | NULL | spidataout<6>.REG | 0 | 8 | SRFF_Q -INPUT_INSTANCE | 0 | 0 | NULL | N2903 | spi6502b_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_INSTANCE | 0 | 0 | NULL | N3031 | spi6502b_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | cpu_d<7> | 4053 | PIPO | 0 | 64 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_d<7> | 0 | 6 | OI_OUT +NODE | cpu_d<7> | 4219 | PIPO | 0 | 64 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_d<7> | 0 | 6 | OI_OUT OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | N2903 | 4039 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N2903 | 0 | 5 | II_IMUX +NODE | N3031 | 4202 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3031 | 0 | 5 | II_IMUX -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | spidataout<7> | spi6502b_COPY_0_COPY_0 | 2424312832 | 8 | 1 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | spidataout<7> | spi6502b_COPY_0_COPY_0 | 2155877376 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidataout<7> | 4020 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<7>.Q | spidataout<7> | 1 | 0 | MC_UIM +NODE | spidataout<7> | 4180 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<7>.Q | spidataout<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N2903 | 4039 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N2903 | 0 | 5 | II_IMUX +NODE | N3031 | 4202 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3031 | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_rnw_IBUF | 3974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +NODE | cpu_rnw_IBUF | 4130 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | spidataout<7> | 4020 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<7>.Q | spidataout<7> | 1 | 0 | MC_UIM +NODE | spidataout<7> | 4180 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<7>.Q | spidataout<7> | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | spidataout<7>.SI | spidataout<7> | 0 | 8 | 4 +SIGNAL_INSTANCE | spidataout<7>.SI | spidataout<7> | 0 | 7 | 4 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidataout<7> | 4020 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<7>.Q | spidataout<7> | 1 | 0 | MC_UIM +NODE | spidataout<7> | 4180 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<7>.Q | spidataout<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N2903 | 4039 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N2903 | 0 | 5 | II_IMUX +NODE | N3031 | 4202 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3031 | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_rnw_IBUF | 3974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +NODE | cpu_rnw_IBUF | 4130 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | spidataout<7>.D1 | 4331 | ? | 0 | 4096 | spidataout<7> | NULL | NULL | spidataout<7>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | spidataout<7>.D1 | 4506 | ? | 0 | 4096 | spidataout<7> | NULL | NULL | spidataout<7>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | spidataout<7>.D2 | 4332 | ? | 0 | 4096 | spidataout<7> | NULL | NULL | spidataout<7>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 4 | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | spidataout<7> | IV_FALSE | cpu_a_0_IBUF | IV_FALSE | N2903 -SPPTERM | 4 | IV_FALSE | cpu_a_1_IBUF | IV_FALSE | spidataout<7> | IV_FALSE | cpu_a_0_IBUF | IV_TRUE | N2903 +SIGNAL | NODE | spidataout<7>.D2 | 4507 | ? | 0 | 4096 | spidataout<7> | NULL | NULL | spidataout<7>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 4 | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | spidataout<7> | IV_FALSE | cpu_a_0_IBUF | IV_FALSE | N3031 +SPPTERM | 4 | IV_FALSE | cpu_a_1_IBUF | IV_FALSE | spidataout<7> | IV_FALSE | cpu_a_0_IBUF | IV_TRUE | N3031 OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF -SIGNAL | NODE | spidataout<7>.CLKF | 4333 | ? | 0 | 4096 | spidataout<7> | NULL | NULL | spidataout<7>.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +SIGNAL | NODE | spidataout<7>.CLKF | 4508 | ? | 0 | 4096 | spidataout<7> | NULL | NULL | spidataout<7>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | Ncs2_IBUF OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | spidataout<7>.CE | 4334 | ? | 0 | 4096 | spidataout<7> | NULL | NULL | spidataout<7>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | spidataout<7>.CE | 4509 | ? | 0 | 4096 | spidataout<7> | NULL | NULL | spidataout<7>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_TRUE | cpu_Nres_IBUF | IV_FALSE | cpu_rnw_IBUF SRFF_INSTANCE | spidataout<7>.REG | spidataout<7> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | spidataout<7>.D | 4330 | ? | 0 | 0 | spidataout<7> | NULL | NULL | spidataout<7>.XOR | 0 | 7 | ALU_F +NODE | spidataout<7>.D | 4505 | ? | 0 | 0 | spidataout<7> | NULL | NULL | spidataout<7>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -SIGNAL | NODE | spidataout<7>.CLKF | 4333 | ? | 0 | 4096 | spidataout<7> | NULL | NULL | spidataout<7>.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +SIGNAL | NODE | spidataout<7>.CLKF | 4508 | ? | 0 | 4096 | spidataout<7> | NULL | NULL | spidataout<7>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | Ncs2_IBUF INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | spidataout<7>.CE | 4334 | ? | 0 | 4096 | spidataout<7> | NULL | NULL | spidataout<7>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | spidataout<7>.CE | 4509 | ? | 0 | 4096 | spidataout<7> | NULL | NULL | spidataout<7>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_TRUE | cpu_Nres_IBUF | IV_FALSE | cpu_rnw_IBUF OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | spidataout<7>.Q | 4335 | ? | 0 | 0 | spidataout<7> | NULL | NULL | spidataout<7>.REG | 0 | 8 | SRFF_Q +NODE | spidataout<7>.Q | 4510 | ? | 0 | 0 | spidataout<7> | NULL | NULL | spidataout<7>.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PinTrst+OptxMapped | int_dout<0> | spi6502b_COPY_0_COPY_0 | 2155888640 | 10 | 2 +INPUT_INSTANCE | 0 | 0 | NULL | nio_sel_IBUF | spi6502b_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | nio_sel | 4243 | PI | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | nio_sel_IBUF | 4181 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | nio_sel_IBUF | 0 | 5 | II_IMUX + +MACROCELL_INSTANCE | OptxMapped | ng_OBUF | spi6502b_COPY_0_COPY_0 | 2155872256 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_rnw_IBUF | 3974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidatain<0> | 3988 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<0>.Q | spidatain<0> | 1 | 0 | MC_UIM +NODE | nio_stb_IBUF | 4151 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | nio_stb_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nphi2_IBUF | 4042 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nphi2_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | divisor<0> | 3984 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | divisor<0>.Q | divisor<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpha | 3979 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | cpha.Q | cpha | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | slavesel | 3976 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slavesel.Q | slavesel | 1 | 0 | MC_UIM +NODE | nio_sel_IBUF | 4181 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | nio_sel_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | int_dout<0> | 4021 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<0>.Q | int_dout<0> | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 2 | 0 | MC_OE -NODE | int_dout<0>$OE | 4022 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<0>.BUFOE.OUT | int_dout<0> | 2 | 0 | MC_OE +NODE | ng_OBUF | 4182 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | ng_OBUF.Q | ng_OBUF | 0 | 0 | MC_Q -SIGNAL_INSTANCE | int_dout<0>.SI | int_dout<0> | 0 | 10 | 3 +SIGNAL_INSTANCE | ng_OBUF.SI | ng_OBUF | 0 | 3 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_rnw_IBUF | 3974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidatain<0> | 3988 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<0>.Q | spidatain<0> | 1 | 0 | MC_UIM +NODE | nio_stb_IBUF | 4151 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | nio_stb_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nphi2_IBUF | 4042 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nphi2_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | divisor<0> | 3984 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | divisor<0>.Q | divisor<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpha | 3979 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | cpha.Q | cpha | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | slavesel | 3976 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slavesel.Q | slavesel | 1 | 0 | MC_UIM +NODE | nio_sel_IBUF | 4181 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | nio_sel_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | int_dout<0>.D1 | 4337 | ? | 0 | 4096 | int_dout<0> | NULL | NULL | int_dout<0>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ng_OBUF.D1 | 4512 | ? | 0 | 4096 | ng_OBUF | NULL | NULL | ng_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | int_dout<0>.D2 | 4338 | ? | 0 | 4096 | int_dout<0> | NULL | NULL | int_dout<0>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 7 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | slavesel | IV_TRUE | cpu_a_1_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_TRUE | cpu_Nphi2_IBUF -SPPTERM | 7 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | cpha | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_TRUE | cpu_Nphi2_IBUF -SPPTERM | 7 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | divisor<0> | IV_TRUE | cpu_a_1_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_FALSE | cpu_a_0_IBUF | IV_TRUE | cpu_Nphi2_IBUF -SPPTERM | 7 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | spidatain<0> | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_FALSE | cpu_a_0_IBUF | IV_TRUE | cpu_Nphi2_IBUF +SIGNAL | NODE | ng_OBUF.D2 | 4513 | ? | 0 | 4096 | ng_OBUF | NULL | NULL | ng_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | Ncs2_IBUF | IV_TRUE | nio_stb_IBUF | IV_TRUE | nio_sel_IBUF + +SRFF_INSTANCE | ng_OBUF.REG | ng_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ng_OBUF.D | 4511 | ? | 0 | 0 | ng_OBUF | NULL | NULL | ng_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ng_OBUF.Q | 4514 | ? | 0 | 0 | ng_OBUF | NULL | NULL | ng_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PinTrst+OptxMapped | int_dout<0> | spi6502b_COPY_0_COPY_0 | 2155888640 | 9 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_rnw_IBUF | 4130 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | spidatain<0> | 4144 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<0>.Q | spidatain<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_Nphi2_IBUF | 4205 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nphi2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | divisor<0> | 4140 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | divisor<0>.Q | divisor<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpha | 4135 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | cpha.Q | cpha | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | slavesel | 4132 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slavesel.Q | slavesel | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | int_dout<0> | 4183 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<0>.Q | int_dout<0> | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 2 | 0 | MC_OE +NODE | int_dout<0>$OE | 4184 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<0>.BUFOE.OUT | int_dout<0> | 2 | 0 | MC_OE + +SIGNAL_INSTANCE | int_dout<0>.SI | int_dout<0> | 0 | 9 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_rnw_IBUF | 4130 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | spidatain<0> | 4144 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<0>.Q | spidatain<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_Nphi2_IBUF | 4205 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nphi2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | divisor<0> | 4140 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | divisor<0>.Q | divisor<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpha | 4135 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | cpha.Q | cpha | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | slavesel | 4132 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slavesel.Q | slavesel | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | int_dout<0>.D1 | 4516 | ? | 0 | 4096 | int_dout<0> | NULL | NULL | int_dout<0>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | int_dout<0>.D2 | 4517 | ? | 0 | 4096 | int_dout<0> | NULL | NULL | int_dout<0>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 6 | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | slavesel | IV_TRUE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_TRUE | cpu_Nphi2_IBUF +SPPTERM | 6 | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | cpha | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_TRUE | cpu_Nphi2_IBUF +SPPTERM | 6 | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | divisor<0> | IV_TRUE | cpu_a_1_IBUF | IV_FALSE | cpu_a_0_IBUF | IV_TRUE | cpu_Nphi2_IBUF +SPPTERM | 6 | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | spidatain<0> | IV_FALSE | cpu_a_1_IBUF | IV_FALSE | cpu_a_0_IBUF | IV_TRUE | cpu_Nphi2_IBUF OUTPUT_NODE_TYPE | 4 | 9 | MC_SI_TRST -SIGNAL | NODE | int_dout<0>.TRST | 4340 | ? | 0 | 4096 | int_dout<0> | NULL | NULL | int_dout<0>.SI | 4 | 9 | MC_SI_TRST -SPPTERM | 4 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_Nphi2_IBUF +SIGNAL | NODE | int_dout<0>.TRST | 4519 | ? | 0 | 4096 | int_dout<0> | NULL | NULL | int_dout<0>.SI | 4 | 9 | MC_SI_TRST +SPPTERM | 3 | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | cpu_Nphi2_IBUF SRFF_INSTANCE | int_dout<0>.REG | int_dout<0> | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | int_dout<0>.D | 4336 | ? | 0 | 0 | int_dout<0> | NULL | NULL | int_dout<0>.XOR | 0 | 7 | ALU_F +NODE | int_dout<0>.D | 4515 | ? | 0 | 0 | int_dout<0> | NULL | NULL | int_dout<0>.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | int_dout<0>.Q | 4341 | ? | 0 | 0 | int_dout<0> | NULL | NULL | int_dout<0>.REG | 0 | 8 | SRFF_Q +NODE | int_dout<0>.Q | 4520 | ? | 0 | 0 | int_dout<0> | NULL | NULL | int_dout<0>.REG | 0 | 8 | SRFF_Q BUF_INSTANCE | int_dout<0>.BUFOE | int_dout<0> | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 10 | CTOR_UNKNOWN -SIGNAL | NODE | int_dout<0>.TRST | 4340 | ? | 0 | 4096 | int_dout<0> | NULL | NULL | int_dout<0>.SI | 4 | 9 | MC_SI_TRST -SPPTERM | 4 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_Nphi2_IBUF +SIGNAL | NODE | int_dout<0>.TRST | 4519 | ? | 0 | 4096 | int_dout<0> | NULL | NULL | int_dout<0>.SI | 4 | 9 | MC_SI_TRST +SPPTERM | 3 | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | cpu_Nphi2_IBUF OUTPUT_NODE_TYPE | 0 | 10 | BUF_OUT -NODE | int_dout<0>.BUFOE.OUT | 4339 | ? | 0 | 0 | int_dout<0> | NULL | NULL | int_dout<0>.BUFOE | 0 | 10 | BUF_OUT +NODE | int_dout<0>.BUFOE.OUT | 4518 | ? | 0 | 0 | int_dout<0> | NULL | NULL | int_dout<0>.BUFOE | 0 | 10 | BUF_OUT -MACROCELL_INSTANCE | PinTrst+OptxMapped | int_dout<1> | spi6502b_COPY_0_COPY_0 | 2155888640 | 9 | 2 +MACROCELL_INSTANCE | PinTrst+OptxMapped | int_dout<1> | spi6502b_COPY_0_COPY_0 | 2155888640 | 8 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_rnw_IBUF | 3974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidatain<1> | 3989 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<1>.Q | spidatain<1> | 1 | 0 | MC_UIM +NODE | cpu_rnw_IBUF | 4130 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +NODE | spidatain<1> | 4145 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<1>.Q | spidatain<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nphi2_IBUF | 4205 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nphi2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nphi2_IBUF | 4042 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nphi2_IBUF | 0 | 5 | II_IMUX +NODE | cpol | 4133 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | cpol.Q | cpol | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpol | 3977 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | cpol.Q | cpol | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | divisor<1> | 3985 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | divisor<1>.Q | divisor<1> | 1 | 0 | MC_UIM +NODE | divisor<1> | 4141 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | divisor<1>.Q | divisor<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | int_dout<1> | 4023 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<1>.Q | int_dout<1> | 0 | 0 | MC_Q +NODE | int_dout<1> | 4185 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<1>.Q | int_dout<1> | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 2 | 0 | MC_OE -NODE | int_dout<1>$OE | 4024 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<1>.BUFOE.OUT | int_dout<1> | 2 | 0 | MC_OE +NODE | int_dout<1>$OE | 4186 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<1>.BUFOE.OUT | int_dout<1> | 2 | 0 | MC_OE -SIGNAL_INSTANCE | int_dout<1>.SI | int_dout<1> | 0 | 9 | 3 +SIGNAL_INSTANCE | int_dout<1>.SI | int_dout<1> | 0 | 8 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_rnw_IBUF | 3974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidatain<1> | 3989 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<1>.Q | spidatain<1> | 1 | 0 | MC_UIM +NODE | cpu_rnw_IBUF | 4130 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +NODE | spidatain<1> | 4145 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<1>.Q | spidatain<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nphi2_IBUF | 4205 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nphi2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nphi2_IBUF | 4042 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nphi2_IBUF | 0 | 5 | II_IMUX +NODE | cpol | 4133 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | cpol.Q | cpol | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpol | 3977 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | cpol.Q | cpol | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | divisor<1> | 3985 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | divisor<1>.Q | divisor<1> | 1 | 0 | MC_UIM +NODE | divisor<1> | 4141 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | divisor<1>.Q | divisor<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | int_dout<1>.D1 | 4343 | ? | 0 | 4096 | int_dout<1> | NULL | NULL | int_dout<1>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | int_dout<1>.D1 | 4522 | ? | 0 | 4096 | int_dout<1> | NULL | NULL | int_dout<1>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | int_dout<1>.D2 | 4344 | ? | 0 | 4096 | int_dout<1> | NULL | NULL | int_dout<1>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 7 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | cpol | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_TRUE | cpu_Nphi2_IBUF -SPPTERM | 7 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | divisor<1> | IV_TRUE | cpu_a_1_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_FALSE | cpu_a_0_IBUF | IV_TRUE | cpu_Nphi2_IBUF -SPPTERM | 7 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | spidatain<1> | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_FALSE | cpu_a_0_IBUF | IV_TRUE | cpu_Nphi2_IBUF +SIGNAL | NODE | int_dout<1>.D2 | 4523 | ? | 0 | 4096 | int_dout<1> | NULL | NULL | int_dout<1>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 6 | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | cpol | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_TRUE | cpu_Nphi2_IBUF +SPPTERM | 6 | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | divisor<1> | IV_TRUE | cpu_a_1_IBUF | IV_FALSE | cpu_a_0_IBUF | IV_TRUE | cpu_Nphi2_IBUF +SPPTERM | 6 | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | spidatain<1> | IV_FALSE | cpu_a_1_IBUF | IV_FALSE | cpu_a_0_IBUF | IV_TRUE | cpu_Nphi2_IBUF OUTPUT_NODE_TYPE | 4 | 9 | MC_SI_TRST -SIGNAL | NODE | int_dout<1>.TRST | 4346 | ? | 0 | 4096 | int_dout<1> | NULL | NULL | int_dout<1>.SI | 4 | 9 | MC_SI_TRST -SPPTERM | 4 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_Nphi2_IBUF +SIGNAL | NODE | int_dout<1>.TRST | 4525 | ? | 0 | 4096 | int_dout<1> | NULL | NULL | int_dout<1>.SI | 4 | 9 | MC_SI_TRST +SPPTERM | 3 | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | cpu_Nphi2_IBUF SRFF_INSTANCE | int_dout<1>.REG | int_dout<1> | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | int_dout<1>.D | 4342 | ? | 0 | 0 | int_dout<1> | NULL | NULL | int_dout<1>.XOR | 0 | 7 | ALU_F +NODE | int_dout<1>.D | 4521 | ? | 0 | 0 | int_dout<1> | NULL | NULL | int_dout<1>.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | int_dout<1>.Q | 4347 | ? | 0 | 0 | int_dout<1> | NULL | NULL | int_dout<1>.REG | 0 | 8 | SRFF_Q +NODE | int_dout<1>.Q | 4526 | ? | 0 | 0 | int_dout<1> | NULL | NULL | int_dout<1>.REG | 0 | 8 | SRFF_Q BUF_INSTANCE | int_dout<1>.BUFOE | int_dout<1> | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 10 | CTOR_UNKNOWN -SIGNAL | NODE | int_dout<1>.TRST | 4346 | ? | 0 | 4096 | int_dout<1> | NULL | NULL | int_dout<1>.SI | 4 | 9 | MC_SI_TRST -SPPTERM | 4 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_Nphi2_IBUF +SIGNAL | NODE | int_dout<1>.TRST | 4525 | ? | 0 | 4096 | int_dout<1> | NULL | NULL | int_dout<1>.SI | 4 | 9 | MC_SI_TRST +SPPTERM | 3 | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | cpu_Nphi2_IBUF OUTPUT_NODE_TYPE | 0 | 10 | BUF_OUT -NODE | int_dout<1>.BUFOE.OUT | 4345 | ? | 0 | 0 | int_dout<1> | NULL | NULL | int_dout<1>.BUFOE | 0 | 10 | BUF_OUT +NODE | int_dout<1>.BUFOE.OUT | 4524 | ? | 0 | 0 | int_dout<1> | NULL | NULL | int_dout<1>.BUFOE | 0 | 10 | BUF_OUT -MACROCELL_INSTANCE | PinTrst+OptxMapped | int_dout<2> | spi6502b_COPY_0_COPY_0 | 2155888640 | 9 | 2 +MACROCELL_INSTANCE | PinTrst+OptxMapped | int_dout<2> | spi6502b_COPY_0_COPY_0 | 2155888640 | 8 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_rnw_IBUF | 3974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidatain<2> | 3990 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<2>.Q | spidatain<2> | 1 | 0 | MC_UIM +NODE | cpu_rnw_IBUF | 4130 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +NODE | spidatain<2> | 4146 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<2>.Q | spidatain<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nphi2_IBUF | 4205 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nphi2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nphi2_IBUF | 4042 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nphi2_IBUF | 0 | 5 | II_IMUX +NODE | ece | 4134 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | ece.Q | ece | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ece | 3978 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | ece.Q | ece | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | divisor<2> | 3986 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | divisor<2>.Q | divisor<2> | 1 | 0 | MC_UIM +NODE | divisor<2> | 4142 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | divisor<2>.Q | divisor<2> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | int_dout<2> | 4025 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<2>.Q | int_dout<2> | 0 | 0 | MC_Q +NODE | int_dout<2> | 4187 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<2>.Q | int_dout<2> | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 2 | 0 | MC_OE -NODE | int_dout<2>$OE | 4026 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<2>.BUFOE.OUT | int_dout<2> | 2 | 0 | MC_OE +NODE | int_dout<2>$OE | 4188 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<2>.BUFOE.OUT | int_dout<2> | 2 | 0 | MC_OE -SIGNAL_INSTANCE | int_dout<2>.SI | int_dout<2> | 0 | 9 | 3 +SIGNAL_INSTANCE | int_dout<2>.SI | int_dout<2> | 0 | 8 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_rnw_IBUF | 3974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidatain<2> | 3990 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<2>.Q | spidatain<2> | 1 | 0 | MC_UIM +NODE | cpu_rnw_IBUF | 4130 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +NODE | spidatain<2> | 4146 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<2>.Q | spidatain<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nphi2_IBUF | 4205 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nphi2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nphi2_IBUF | 4042 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nphi2_IBUF | 0 | 5 | II_IMUX +NODE | ece | 4134 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | ece.Q | ece | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ece | 3978 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | ece.Q | ece | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | divisor<2> | 3986 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | divisor<2>.Q | divisor<2> | 1 | 0 | MC_UIM +NODE | divisor<2> | 4142 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | divisor<2>.Q | divisor<2> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | int_dout<2>.D1 | 4349 | ? | 0 | 4096 | int_dout<2> | NULL | NULL | int_dout<2>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | int_dout<2>.D1 | 4528 | ? | 0 | 4096 | int_dout<2> | NULL | NULL | int_dout<2>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | int_dout<2>.D2 | 4350 | ? | 0 | 4096 | int_dout<2> | NULL | NULL | int_dout<2>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 7 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | ece | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_TRUE | cpu_Nphi2_IBUF -SPPTERM | 7 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | divisor<2> | IV_TRUE | cpu_a_1_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_FALSE | cpu_a_0_IBUF | IV_TRUE | cpu_Nphi2_IBUF -SPPTERM | 7 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | spidatain<2> | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_FALSE | cpu_a_0_IBUF | IV_TRUE | cpu_Nphi2_IBUF +SIGNAL | NODE | int_dout<2>.D2 | 4529 | ? | 0 | 4096 | int_dout<2> | NULL | NULL | int_dout<2>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 6 | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | ece | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_TRUE | cpu_Nphi2_IBUF +SPPTERM | 6 | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | divisor<2> | IV_TRUE | cpu_a_1_IBUF | IV_FALSE | cpu_a_0_IBUF | IV_TRUE | cpu_Nphi2_IBUF +SPPTERM | 6 | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | spidatain<2> | IV_FALSE | cpu_a_1_IBUF | IV_FALSE | cpu_a_0_IBUF | IV_TRUE | cpu_Nphi2_IBUF OUTPUT_NODE_TYPE | 4 | 9 | MC_SI_TRST -SIGNAL | NODE | int_dout<2>.TRST | 4352 | ? | 0 | 4096 | int_dout<2> | NULL | NULL | int_dout<2>.SI | 4 | 9 | MC_SI_TRST -SPPTERM | 4 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_Nphi2_IBUF +SIGNAL | NODE | int_dout<2>.TRST | 4531 | ? | 0 | 4096 | int_dout<2> | NULL | NULL | int_dout<2>.SI | 4 | 9 | MC_SI_TRST +SPPTERM | 3 | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | cpu_Nphi2_IBUF SRFF_INSTANCE | int_dout<2>.REG | int_dout<2> | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | int_dout<2>.D | 4348 | ? | 0 | 0 | int_dout<2> | NULL | NULL | int_dout<2>.XOR | 0 | 7 | ALU_F +NODE | int_dout<2>.D | 4527 | ? | 0 | 0 | int_dout<2> | NULL | NULL | int_dout<2>.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | int_dout<2>.Q | 4353 | ? | 0 | 0 | int_dout<2> | NULL | NULL | int_dout<2>.REG | 0 | 8 | SRFF_Q +NODE | int_dout<2>.Q | 4532 | ? | 0 | 0 | int_dout<2> | NULL | NULL | int_dout<2>.REG | 0 | 8 | SRFF_Q BUF_INSTANCE | int_dout<2>.BUFOE | int_dout<2> | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 10 | CTOR_UNKNOWN -SIGNAL | NODE | int_dout<2>.TRST | 4352 | ? | 0 | 4096 | int_dout<2> | NULL | NULL | int_dout<2>.SI | 4 | 9 | MC_SI_TRST -SPPTERM | 4 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_Nphi2_IBUF +SIGNAL | NODE | int_dout<2>.TRST | 4531 | ? | 0 | 4096 | int_dout<2> | NULL | NULL | int_dout<2>.SI | 4 | 9 | MC_SI_TRST +SPPTERM | 3 | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | cpu_Nphi2_IBUF OUTPUT_NODE_TYPE | 0 | 10 | BUF_OUT -NODE | int_dout<2>.BUFOE.OUT | 4351 | ? | 0 | 0 | int_dout<2> | NULL | NULL | int_dout<2>.BUFOE | 0 | 10 | BUF_OUT +NODE | int_dout<2>.BUFOE.OUT | 4530 | ? | 0 | 0 | int_dout<2> | NULL | NULL | int_dout<2>.BUFOE | 0 | 10 | BUF_OUT INPUT_INSTANCE | 0 | 0 | NULL | spi_int_IBUF | spi6502b_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | spi_int | 4070 | PI | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | spi_int | 4246 | PI | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | spi_int_IBUF | 4041 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | spi_int_IBUF | 0 | 5 | II_IMUX +NODE | spi_int_IBUF | 4204 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | spi_int_IBUF | 0 | 5 | II_IMUX -MACROCELL_INSTANCE | PinTrst+OptxMapped | int_dout<4> | spi6502b_COPY_0_COPY_0 | 2155888640 | 10 | 2 +MACROCELL_INSTANCE | PinTrst+OptxMapped | int_dout<4> | spi6502b_COPY_0_COPY_0 | 2155888640 | 9 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_rnw_IBUF | 3974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidatain<4> | 3992 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<4>.Q | spidatain<4> | 1 | 0 | MC_UIM +NODE | cpu_rnw_IBUF | 4130 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +NODE | spidatain<4> | 4148 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<4>.Q | spidatain<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nphi2_IBUF | 4205 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nphi2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nphi2_IBUF | 4042 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nphi2_IBUF | 0 | 5 | II_IMUX +NODE | spi_int_IBUF | 4204 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | spi_int_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spi_int_IBUF | 4041 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | spi_int_IBUF | 0 | 5 | II_IMUX +NODE | frx | 4136 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | frx.Q | frx | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | frx | 3980 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | frx.Q | frx | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | slaveinten | 3982 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slaveinten.Q | slaveinten | 1 | 0 | MC_UIM +NODE | slaveinten | 4138 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slaveinten.Q | slaveinten | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | int_dout<4> | 4027 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<4>.Q | int_dout<4> | 0 | 0 | MC_Q +NODE | int_dout<4> | 4189 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<4>.Q | int_dout<4> | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 2 | 0 | MC_OE -NODE | int_dout<4>$OE | 4028 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<4>.BUFOE.OUT | int_dout<4> | 2 | 0 | MC_OE +NODE | int_dout<4>$OE | 4190 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<4>.BUFOE.OUT | int_dout<4> | 2 | 0 | MC_OE -SIGNAL_INSTANCE | int_dout<4>.SI | int_dout<4> | 0 | 10 | 3 +SIGNAL_INSTANCE | int_dout<4>.SI | int_dout<4> | 0 | 9 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_rnw_IBUF | 3974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidatain<4> | 3992 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<4>.Q | spidatain<4> | 1 | 0 | MC_UIM +NODE | cpu_rnw_IBUF | 4130 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +NODE | spidatain<4> | 4148 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<4>.Q | spidatain<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nphi2_IBUF | 4205 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nphi2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nphi2_IBUF | 4042 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nphi2_IBUF | 0 | 5 | II_IMUX +NODE | spi_int_IBUF | 4204 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | spi_int_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spi_int_IBUF | 4041 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | spi_int_IBUF | 0 | 5 | II_IMUX +NODE | frx | 4136 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | frx.Q | frx | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | frx | 3980 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | frx.Q | frx | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | slaveinten | 3982 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slaveinten.Q | slaveinten | 1 | 0 | MC_UIM +NODE | slaveinten | 4138 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slaveinten.Q | slaveinten | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | int_dout<4>.D1 | 4355 | ? | 0 | 4096 | int_dout<4> | NULL | NULL | int_dout<4>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | int_dout<4>.D1 | 4534 | ? | 0 | 4096 | int_dout<4> | NULL | NULL | int_dout<4>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | int_dout<4>.D2 | 4356 | ? | 0 | 4096 | int_dout<4> | NULL | NULL | int_dout<4>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 7 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | frx | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_TRUE | cpu_Nphi2_IBUF -SPPTERM | 7 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | slaveinten | IV_TRUE | cpu_a_1_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_TRUE | cpu_Nphi2_IBUF -SPPTERM | 7 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | spidatain<4> | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_FALSE | cpu_a_0_IBUF | IV_TRUE | cpu_Nphi2_IBUF -SPPTERM | 7 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | cpu_a_1_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_FALSE | cpu_a_0_IBUF | IV_FALSE | spi_int_IBUF | IV_TRUE | cpu_Nphi2_IBUF +SIGNAL | NODE | int_dout<4>.D2 | 4535 | ? | 0 | 4096 | int_dout<4> | NULL | NULL | int_dout<4>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 6 | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | frx | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_TRUE | cpu_Nphi2_IBUF +SPPTERM | 6 | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | slaveinten | IV_TRUE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_TRUE | cpu_Nphi2_IBUF +SPPTERM | 6 | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | spidatain<4> | IV_FALSE | cpu_a_1_IBUF | IV_FALSE | cpu_a_0_IBUF | IV_TRUE | cpu_Nphi2_IBUF +SPPTERM | 6 | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | cpu_a_1_IBUF | IV_FALSE | cpu_a_0_IBUF | IV_FALSE | spi_int_IBUF | IV_TRUE | cpu_Nphi2_IBUF OUTPUT_NODE_TYPE | 4 | 9 | MC_SI_TRST -SIGNAL | NODE | int_dout<4>.TRST | 4358 | ? | 0 | 4096 | int_dout<4> | NULL | NULL | int_dout<4>.SI | 4 | 9 | MC_SI_TRST -SPPTERM | 4 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_Nphi2_IBUF +SIGNAL | NODE | int_dout<4>.TRST | 4537 | ? | 0 | 4096 | int_dout<4> | NULL | NULL | int_dout<4>.SI | 4 | 9 | MC_SI_TRST +SPPTERM | 3 | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | cpu_Nphi2_IBUF SRFF_INSTANCE | int_dout<4>.REG | int_dout<4> | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | int_dout<4>.D | 4354 | ? | 0 | 0 | int_dout<4> | NULL | NULL | int_dout<4>.XOR | 0 | 7 | ALU_F +NODE | int_dout<4>.D | 4533 | ? | 0 | 0 | int_dout<4> | NULL | NULL | int_dout<4>.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | int_dout<4>.Q | 4359 | ? | 0 | 0 | int_dout<4> | NULL | NULL | int_dout<4>.REG | 0 | 8 | SRFF_Q +NODE | int_dout<4>.Q | 4538 | ? | 0 | 0 | int_dout<4> | NULL | NULL | int_dout<4>.REG | 0 | 8 | SRFF_Q BUF_INSTANCE | int_dout<4>.BUFOE | int_dout<4> | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 10 | CTOR_UNKNOWN -SIGNAL | NODE | int_dout<4>.TRST | 4358 | ? | 0 | 4096 | int_dout<4> | NULL | NULL | int_dout<4>.SI | 4 | 9 | MC_SI_TRST -SPPTERM | 4 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_Nphi2_IBUF +SIGNAL | NODE | int_dout<4>.TRST | 4537 | ? | 0 | 4096 | int_dout<4> | NULL | NULL | int_dout<4>.SI | 4 | 9 | MC_SI_TRST +SPPTERM | 3 | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | cpu_Nphi2_IBUF OUTPUT_NODE_TYPE | 0 | 10 | BUF_OUT -NODE | int_dout<4>.BUFOE.OUT | 4357 | ? | 0 | 0 | int_dout<4> | NULL | NULL | int_dout<4>.BUFOE | 0 | 10 | BUF_OUT +NODE | int_dout<4>.BUFOE.OUT | 4536 | ? | 0 | 0 | int_dout<4> | NULL | NULL | int_dout<4>.BUFOE | 0 | 10 | BUF_OUT MACROCELL_INSTANCE | PrldLow+OptxMapped | shifting2 | spi6502b_COPY_0_COPY_0 | 2155873280 | 8 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftdone | 4010 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftdone.Q | shiftdone | 1 | 0 | MC_UIM +NODE | shiftdone | 4170 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftdone.Q | shiftdone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | start_shifting | 4011 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | start_shifting.Q | start_shifting | 1 | 0 | MC_UIM +NODE | start_shifting | 4171 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | start_shifting.Q | start_shifting | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$INV$22__$INT.UIM | 4060 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$22__$INT.Q | $OpTx$INV$22__$INT | 1 | 0 | MC_UIM +NODE | $OpTx$INV$24__$INT.UIM | 4231 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$24__$INT.Q | $OpTx$INV$24__$INT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<3> | 4006 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<3>.Q | shiftcnt<3> | 1 | 0 | MC_UIM +NODE | shiftcnt<3> | 4166 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<3>.Q | shiftcnt<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<2> | 4007 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<2>.Q | shiftcnt<2> | 1 | 0 | MC_UIM +NODE | shiftcnt<2> | 4167 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<2>.Q | shiftcnt<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<1> | 4009 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<1>.Q | shiftcnt<1> | 1 | 0 | MC_UIM +NODE | shiftcnt<1> | 4169 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<1>.Q | shiftcnt<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidataout<6> | 4019 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<6>.Q | spidataout<6> | 1 | 0 | MC_UIM +NODE | spidataout<1> | 4174 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<1>.Q | spidataout<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shifting2 | 4032 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM +NODE | shifting2 | 4192 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | shifting2 | 4032 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM +NODE | shifting2 | 4192 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | shifting2.EXP | 4390 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.EXP | shifting2 | 4 | 0 | MC_EXPORT +NODE | shifting2.EXP | 4589 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.EXP | shifting2 | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | shifting2.SI | shifting2 | 0 | 8 | 4 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftdone | 4010 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftdone.Q | shiftdone | 1 | 0 | MC_UIM +NODE | shiftdone | 4170 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftdone.Q | shiftdone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | start_shifting | 4011 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | start_shifting.Q | start_shifting | 1 | 0 | MC_UIM +NODE | start_shifting | 4171 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | start_shifting.Q | start_shifting | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$INV$22__$INT.UIM | 4060 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$22__$INT.Q | $OpTx$INV$22__$INT | 1 | 0 | MC_UIM +NODE | $OpTx$INV$24__$INT.UIM | 4231 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$24__$INT.Q | $OpTx$INV$24__$INT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<3> | 4006 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<3>.Q | shiftcnt<3> | 1 | 0 | MC_UIM +NODE | shiftcnt<3> | 4166 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<3>.Q | shiftcnt<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<2> | 4007 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<2>.Q | shiftcnt<2> | 1 | 0 | MC_UIM +NODE | shiftcnt<2> | 4167 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<2>.Q | shiftcnt<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<1> | 4009 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<1>.Q | shiftcnt<1> | 1 | 0 | MC_UIM +NODE | shiftcnt<1> | 4169 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<1>.Q | shiftcnt<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidataout<6> | 4019 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<6>.Q | spidataout<6> | 1 | 0 | MC_UIM +NODE | spidataout<1> | 4174 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<1>.Q | spidataout<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shifting2 | 4032 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM +NODE | shifting2 | 4192 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | shifting2.D1 | 4361 | ? | 0 | 4096 | shifting2 | NULL | NULL | shifting2.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | shifting2.D1 | 4540 | ? | 0 | 4096 | shifting2 | NULL | NULL | shifting2.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | shifting2.D2 | 4362 | ? | 0 | 4096 | shifting2 | NULL | NULL | shifting2.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | shifting2.D2 | 4541 | ? | 0 | 4096 | shifting2 | NULL | NULL | shifting2.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_FALSE | shiftdone | IV_TRUE | start_shifting OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF -SIGNAL | NODE | shifting2.CLKF | 4363 | ? | 0 | 4096 | shifting2 | NULL | NULL | shifting2.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 1 | IV_FALSE | $OpTx$INV$22__$INT.UIM +SIGNAL | NODE | shifting2.CLKF | 4542 | ? | 0 | 4096 | shifting2 | NULL | NULL | shifting2.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_FALSE | $OpTx$INV$24__$INT.UIM OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | shifting2.EXP | 4388 | ? | 0 | 0 | shifting2 | NULL | NULL | shifting2.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 6 | IV_FALSE | shiftcnt<3> | IV_FALSE | shiftcnt<2> | IV_TRUE | shiftcnt<1> | IV_FALSE | shiftdone | IV_FALSE | spidataout<6> | IV_TRUE | shifting2 +SIGNAL | NODE | shifting2.EXP | 4587 | ? | 0 | 0 | shifting2 | NULL | NULL | shifting2.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 6 | IV_TRUE | shiftcnt<3> | IV_TRUE | shiftcnt<2> | IV_FALSE | shiftcnt<1> | IV_FALSE | shiftdone | IV_FALSE | spidataout<1> | IV_TRUE | shifting2 SRFF_INSTANCE | shifting2.REG | shifting2 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | shifting2.D | 4360 | ? | 0 | 0 | shifting2 | NULL | NULL | shifting2.XOR | 0 | 7 | ALU_F +NODE | shifting2.D | 4539 | ? | 0 | 0 | shifting2 | NULL | NULL | shifting2.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -SIGNAL | NODE | shifting2.CLKF | 4363 | ? | 0 | 4096 | shifting2 | NULL | NULL | shifting2.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 1 | IV_FALSE | $OpTx$INV$22__$INT.UIM +SIGNAL | NODE | shifting2.CLKF | 4542 | ? | 0 | 4096 | shifting2 | NULL | NULL | shifting2.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_FALSE | $OpTx$INV$24__$INT.UIM OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | shifting2.Q | 4364 | ? | 0 | 0 | shifting2 | NULL | NULL | shifting2.REG | 0 | 8 | SRFF_Q +NODE | shifting2.Q | 4543 | ? | 0 | 0 | shifting2 | NULL | NULL | shifting2.REG | 0 | 8 | SRFF_Q -INPUT_INSTANCE | 0 | 0 | NULL | extclk_IBUF | spi6502b_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_INSTANCE | 0 | 0 | NULL | extclk_IBUF | spi6502b_COPY_0_COPY_0 | 16 | 1 | 2 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | extclk | 4072 | PI | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | extclk | 4245 | PI | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | extclk_IBUF | 4043 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | extclk_IBUF | 0 | 5 | II_IMUX +NODE | extclk_IBUF | 4193 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | extclk_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 4194 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | extclk_IBUF | 3 | 5 | II_FCLK + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | add_dec/XLXN_11 | spi6502b_COPY_0_COPY_0 | 2155873536 | 5 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nio_stb_IBUF | 4151 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | nio_stb_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | a9_IBUF | 4152 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | a9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | a8_IBUF | 4153 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | a8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | a10_IBUF | 4154 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | a10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 4194 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | extclk_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | add_dec/XLXN_11 | 4195 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | add_dec/XLXN_11.Q | add_dec/XLXN_11 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | add_dec/XLXN_11.SI | add_dec/XLXN_11 | 0 | 4 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nio_stb_IBUF | 4151 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | nio_stb_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | a9_IBUF | 4152 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | a9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | a8_IBUF | 4153 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | a8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | a10_IBUF | 4154 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | a10_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | add_dec/XLXN_11.D1 | 4545 | ? | 0 | 4096 | add_dec/XLXN_11 | NULL | NULL | add_dec/XLXN_11.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | add_dec/XLXN_11.D2 | 4546 | ? | 0 | 4096 | add_dec/XLXN_11 | NULL | NULL | add_dec/XLXN_11.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 4 | IV_FALSE | nio_stb_IBUF | IV_TRUE | a9_IBUF | IV_TRUE | a8_IBUF | IV_TRUE | a10_IBUF + +SRFF_INSTANCE | add_dec/XLXN_11.REG | add_dec/XLXN_11 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | add_dec/XLXN_11.D | 4544 | ? | 0 | 0 | add_dec/XLXN_11 | NULL | NULL | add_dec/XLXN_11.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 4194 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | extclk_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | add_dec/XLXN_11.Q | 4547 | ? | 0 | 0 | add_dec/XLXN_11 | NULL | NULL | add_dec/XLXN_11.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | b10_OBUF | spi6502b_COPY_0_COPY_0 | 2155872256 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | a10_IBUF | 4154 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | a10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nio_sel_IBUF | 4181 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | nio_sel_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | b10_OBUF | 4206 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | b10_OBUF.Q | b10_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | b10_OBUF.SI | b10_OBUF | 0 | 2 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | a10_IBUF | 4154 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | a10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nio_sel_IBUF | 4181 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | nio_sel_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | b10_OBUF.D1 | 4549 | ? | 0 | 4096 | b10_OBUF | NULL | NULL | b10_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | b10_OBUF.D2 | 4550 | ? | 0 | 4096 | b10_OBUF | NULL | NULL | b10_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | a10_IBUF | IV_TRUE | nio_sel_IBUF + +SRFF_INSTANCE | b10_OBUF.REG | b10_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | b10_OBUF.D | 4548 | ? | 0 | 0 | b10_OBUF | NULL | NULL | b10_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | b10_OBUF.Q | 4551 | ? | 0 | 0 | b10_OBUF | NULL | NULL | b10_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | b8_OBUF | spi6502b_COPY_0_COPY_0 | 2155872256 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | a8_IBUF | 4153 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | a8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nio_sel_IBUF | 4181 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | nio_sel_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | b8_OBUF | 4207 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | b8_OBUF.Q | b8_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | b8_OBUF.SI | b8_OBUF | 0 | 2 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | a8_IBUF | 4153 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | a8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nio_sel_IBUF | 4181 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | nio_sel_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | b8_OBUF.D1 | 4553 | ? | 0 | 4096 | b8_OBUF | NULL | NULL | b8_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | b8_OBUF.D2 | 4554 | ? | 0 | 4096 | b8_OBUF | NULL | NULL | b8_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | a8_IBUF | IV_TRUE | nio_sel_IBUF + +SRFF_INSTANCE | b8_OBUF.REG | b8_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | b8_OBUF.D | 4552 | ? | 0 | 0 | b8_OBUF | NULL | NULL | b8_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | b8_OBUF.Q | 4555 | ? | 0 | 0 | b8_OBUF | NULL | NULL | b8_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | b9_OBUF | spi6502b_COPY_0_COPY_0 | 2155872256 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | a9_IBUF | 4152 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | a9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nio_sel_IBUF | 4181 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | nio_sel_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | b9_OBUF | 4208 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | b9_OBUF.Q | b9_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | b9_OBUF.SI | b9_OBUF | 0 | 2 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | a9_IBUF | 4152 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | a9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nio_sel_IBUF | 4181 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | nio_sel_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | b9_OBUF.D1 | 4557 | ? | 0 | 4096 | b9_OBUF | NULL | NULL | b9_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | b9_OBUF.D2 | 4558 | ? | 0 | 4096 | b9_OBUF | NULL | NULL | b9_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | a9_IBUF | IV_TRUE | nio_sel_IBUF + +SRFF_INSTANCE | b9_OBUF.REG | b9_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | b9_OBUF.D | 4556 | ? | 0 | 0 | b9_OBUF | NULL | NULL | b9_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | b9_OBUF.Q | 4559 | ? | 0 | 0 | b9_OBUF | NULL | NULL | b9_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | OptxMapped | led_OBUF | spi6502b_COPY_0_COPY_0 | 2155872256 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | slavesel | 3976 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slavesel.Q | slavesel | 1 | 0 | MC_UIM +NODE | slavesel | 4132 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slavesel.Q | slavesel | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | start_shifting | 4011 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | start_shifting.Q | start_shifting | 1 | 0 | MC_UIM +NODE | start_shifting | 4171 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | start_shifting.Q | start_shifting | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shifting2 | 4032 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM +NODE | shifting2 | 4192 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | led_OBUF | 4044 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | led_OBUF.Q | led_OBUF | 0 | 0 | MC_Q +NODE | led_OBUF | 4209 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | led_OBUF.Q | led_OBUF | 0 | 0 | MC_Q SIGNAL_INSTANCE | led_OBUF.SI | led_OBUF | 0 | 3 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | slavesel | 3976 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slavesel.Q | slavesel | 1 | 0 | MC_UIM +NODE | slavesel | 4132 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slavesel.Q | slavesel | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | start_shifting | 4011 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | start_shifting.Q | start_shifting | 1 | 0 | MC_UIM +NODE | start_shifting | 4171 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | start_shifting.Q | start_shifting | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shifting2 | 4032 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM +NODE | shifting2 | 4192 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | led_OBUF.D1 | 4366 | ? | 0 | 4096 | led_OBUF | NULL | NULL | led_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | led_OBUF.D1 | 4561 | ? | 0 | 4096 | led_OBUF | NULL | NULL | led_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | led_OBUF.D2 | 4367 | ? | 0 | 4096 | led_OBUF | NULL | NULL | led_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | led_OBUF.D2 | 4562 | ? | 0 | 4096 | led_OBUF | NULL | NULL | led_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | slavesel | IV_FALSE | start_shifting | IV_FALSE | shifting2 SRFF_INSTANCE | led_OBUF.REG | led_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | led_OBUF.D | 4365 | ? | 0 | 0 | led_OBUF | NULL | NULL | led_OBUF.XOR | 0 | 7 | ALU_F +NODE | led_OBUF.D | 4560 | ? | 0 | 0 | led_OBUF | NULL | NULL | led_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | led_OBUF.Q | 4368 | ? | 0 | 0 | led_OBUF | NULL | NULL | led_OBUF.REG | 0 | 8 | SRFF_Q +NODE | led_OBUF.Q | 4563 | ? | 0 | 0 | led_OBUF | NULL | NULL | led_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+OptxMapped | noe_OBUF | spi6502b_COPY_0_COPY_0 | 2155872512 | 3 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nio_sel_IBUF | 4181 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | nio_sel_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | add_dec/XLXN_11 | 4195 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | add_dec/XLXN_11.Q | add_dec/XLXN_11 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nio_stb_IBUF | 4151 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | nio_stb_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | noe_OBUF | 4210 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | noe_OBUF.Q | noe_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | noe_OBUF.SI | noe_OBUF | 0 | 3 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nio_sel_IBUF | 4181 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | nio_sel_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | add_dec/XLXN_11 | 4195 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | add_dec/XLXN_11.Q | add_dec/XLXN_11 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nio_stb_IBUF | 4151 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | nio_stb_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | noe_OBUF.D1 | 4565 | ? | 0 | 4096 | noe_OBUF | NULL | NULL | noe_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | noe_OBUF.D2 | 4566 | ? | 0 | 4096 | noe_OBUF | NULL | NULL | noe_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_FALSE | nio_stb_IBUF | IV_TRUE | add_dec/XLXN_11 +SPPTERM | 2 | IV_FALSE | nio_sel_IBUF | IV_TRUE | add_dec/XLXN_11 + +SRFF_INSTANCE | noe_OBUF.REG | noe_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | noe_OBUF.D | 4564 | ? | 0 | 0 | noe_OBUF | NULL | NULL | noe_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | noe_OBUF.Q | 4567 | ? | 0 | 0 | noe_OBUF | NULL | NULL | noe_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | FbkInv+PinTrst+Merge+OptxMapped | cpu_Nirq_OBUFE | spi6502b_COPY_0_COPY_0 | 2155923456 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST.UIM | 4062 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST.Q | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST | 1 | 0 | MC_UIM +NODE | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST.UIM | 4233 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST.Q | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | cpu_Nirq_OBUFE$Q | 4045 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | cpu_Nirq_OBUFE.Q | cpu_Nirq_OBUFE | 0 | 0 | MC_Q +NODE | cpu_Nirq_OBUFE$Q | 4211 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | cpu_Nirq_OBUFE.Q | cpu_Nirq_OBUFE | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 2 | 0 | MC_OE -NODE | cpu_Nirq_OBUFE$OE | 4046 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | cpu_Nirq_OBUFE.BUFOE.OUT | cpu_Nirq_OBUFE | 2 | 0 | MC_OE +NODE | cpu_Nirq_OBUFE$OE | 4212 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | cpu_Nirq_OBUFE.BUFOE.OUT | cpu_Nirq_OBUFE | 2 | 0 | MC_OE SIGNAL_INSTANCE | cpu_Nirq_OBUFE.SI | cpu_Nirq_OBUFE | 0 | 1 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST.UIM | 4062 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST.Q | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST | 1 | 0 | MC_UIM +NODE | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST.UIM | 4233 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST.Q | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cpu_Nirq_OBUFE.D1 | 4370 | ? | 0 | 4096 | cpu_Nirq_OBUFE | NULL | NULL | cpu_Nirq_OBUFE.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cpu_Nirq_OBUFE.D1 | 4569 | ? | 0 | 4096 | cpu_Nirq_OBUFE | NULL | NULL | cpu_Nirq_OBUFE.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cpu_Nirq_OBUFE.D2 | 4371 | ? | 0 | 4096 | cpu_Nirq_OBUFE | NULL | NULL | cpu_Nirq_OBUFE.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cpu_Nirq_OBUFE.D2 | 4570 | ? | 0 | 4096 | cpu_Nirq_OBUFE | NULL | NULL | cpu_Nirq_OBUFE.SI | 2 | 9 | MC_SI_D2 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 4 | 9 | MC_SI_TRST -SIGNAL | NODE | cpu_Nirq_OBUFE.TRST | 4373 | ? | 0 | 4096 | cpu_Nirq_OBUFE | NULL | NULL | cpu_Nirq_OBUFE.SI | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | cpu_Nirq_OBUFE.TRST | 4572 | ? | 0 | 4096 | cpu_Nirq_OBUFE | NULL | NULL | cpu_Nirq_OBUFE.SI | 4 | 9 | MC_SI_TRST SPPTERM | 1 | IV_TRUE | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST.UIM SRFF_INSTANCE | cpu_Nirq_OBUFE.REG | cpu_Nirq_OBUFE | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cpu_Nirq_OBUFE.D | 4369 | ? | 0 | 0 | cpu_Nirq_OBUFE | NULL | NULL | cpu_Nirq_OBUFE.XOR | 0 | 7 | ALU_F +NODE | cpu_Nirq_OBUFE.D | 4568 | ? | 0 | 0 | cpu_Nirq_OBUFE | NULL | NULL | cpu_Nirq_OBUFE.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cpu_Nirq_OBUFE.Q | 4374 | ? | 0 | 0 | cpu_Nirq_OBUFE | NULL | NULL | cpu_Nirq_OBUFE.REG | 0 | 8 | SRFF_Q +NODE | cpu_Nirq_OBUFE.Q | 4573 | ? | 0 | 0 | cpu_Nirq_OBUFE | NULL | NULL | cpu_Nirq_OBUFE.REG | 0 | 8 | SRFF_Q BUF_INSTANCE | cpu_Nirq_OBUFE.BUFOE | cpu_Nirq_OBUFE | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 10 | CTOR_UNKNOWN -SIGNAL | NODE | cpu_Nirq_OBUFE.TRST | 4373 | ? | 0 | 4096 | cpu_Nirq_OBUFE | NULL | NULL | cpu_Nirq_OBUFE.SI | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | cpu_Nirq_OBUFE.TRST | 4572 | ? | 0 | 4096 | cpu_Nirq_OBUFE | NULL | NULL | cpu_Nirq_OBUFE.SI | 4 | 9 | MC_SI_TRST SPPTERM | 1 | IV_TRUE | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST.UIM OUTPUT_NODE_TYPE | 0 | 10 | BUF_OUT -NODE | cpu_Nirq_OBUFE.BUFOE.OUT | 4372 | ? | 0 | 0 | cpu_Nirq_OBUFE | NULL | NULL | cpu_Nirq_OBUFE.BUFOE | 0 | 10 | BUF_OUT +NODE | cpu_Nirq_OBUFE.BUFOE.OUT | 4571 | ? | 0 | 0 | cpu_Nirq_OBUFE | NULL | NULL | cpu_Nirq_OBUFE.BUFOE | 0 | 10 | BUF_OUT OUTPUT_INSTANCE | 0 | spi_mosi | spi6502b_COPY_0_COPY_0 | 7 | 2 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | int_mosi | 3972 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_mosi.Q | int_mosi | 0 | 0 | MC_Q +NODE | int_mosi | 4127 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_mosi.Q | int_mosi | 0 | 0 | MC_Q INPUT_NODE_TYPE | 2 | 6 | OI_OE -NODE | int_mosi$OE | 3973 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_mosi.BUFOE.OUT | int_mosi | 2 | 0 | MC_OE +NODE | int_mosi$OE | 4128 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_mosi.BUFOE.OUT | int_mosi | 2 | 0 | MC_OE OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | spi_mosi | 4047 | PO | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | spi_mosi | 0 | 6 | OI_OUT +NODE | spi_mosi | 4213 | PO | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | spi_mosi | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | spi_Nsel | spi6502b_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | slavesel$Q | 3975 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slavesel.Q | slavesel | 0 | 0 | MC_Q +NODE | slavesel$Q | 4131 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slavesel.Q | slavesel | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | spi_Nsel | 4048 | PO | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | spi_Nsel | 0 | 6 | OI_OUT +NODE | spi_Nsel | 4214 | PO | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | spi_Nsel | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | spi_sclk | spi6502b_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | int_sclk | 3996 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_sclk.Q | int_sclk | 0 | 0 | MC_Q +NODE | int_sclk | 4156 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_sclk.Q | int_sclk | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | spi_sclk | 4049 | PO | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | spi_sclk | 0 | 6 | OI_OUT +NODE | spi_sclk | 4215 | PO | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | spi_sclk | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | cpu_d<3> | spi6502b_COPY_0_COPY_0 | 7 | 2 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | int_dout<3> | 3998 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<3>.Q | int_dout<3> | 0 | 0 | MC_Q +NODE | int_dout<3> | 4158 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<3>.Q | int_dout<3> | 0 | 0 | MC_Q INPUT_NODE_TYPE | 2 | 6 | OI_OE -NODE | int_dout<3>$OE | 3999 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<3>.BUFOE.OUT | int_dout<3> | 2 | 0 | MC_OE +NODE | int_dout<3>$OE | 4159 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<3>.BUFOE.OUT | int_dout<3> | 2 | 0 | MC_OE OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | cpu_d<3> | 4050 | PIPO | 0 | 64 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_d<3> | 0 | 6 | OI_OUT +NODE | cpu_d<3> | 4216 | PIPO | 0 | 64 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_d<3> | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | cpu_d<5> | spi6502b_COPY_0_COPY_0 | 7 | 2 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | int_dout<5> | 4000 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<5>.Q | int_dout<5> | 0 | 0 | MC_Q +NODE | int_dout<5> | 4160 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<5>.Q | int_dout<5> | 0 | 0 | MC_Q INPUT_NODE_TYPE | 2 | 6 | OI_OE -NODE | int_dout<5>$OE | 4001 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<5>.BUFOE.OUT | int_dout<5> | 2 | 0 | MC_OE +NODE | int_dout<5>$OE | 4161 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<5>.BUFOE.OUT | int_dout<5> | 2 | 0 | MC_OE OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | cpu_d<5> | 4051 | PIPO | 0 | 64 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_d<5> | 0 | 6 | OI_OUT +NODE | cpu_d<5> | 4217 | PIPO | 0 | 64 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_d<5> | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | cpu_d<6> | spi6502b_COPY_0_COPY_0 | 7 | 2 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | int_dout<6> | 4002 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<6>.Q | int_dout<6> | 0 | 0 | MC_Q +NODE | int_dout<6> | 4162 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<6>.Q | int_dout<6> | 0 | 0 | MC_Q INPUT_NODE_TYPE | 2 | 6 | OI_OE -NODE | int_dout<6>$OE | 4003 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<6>.BUFOE.OUT | int_dout<6> | 2 | 0 | MC_OE +NODE | int_dout<6>$OE | 4163 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<6>.BUFOE.OUT | int_dout<6> | 2 | 0 | MC_OE OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | cpu_d<6> | 4052 | PIPO | 0 | 64 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_d<6> | 0 | 6 | OI_OUT +NODE | cpu_d<6> | 4218 | PIPO | 0 | 64 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_d<6> | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | cpu_d<7> | spi6502b_COPY_0_COPY_0 | 7 | 2 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | int_dout<7> | 4004 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<7>.Q | int_dout<7> | 0 | 0 | MC_Q +NODE | int_dout<7> | 4164 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<7>.Q | int_dout<7> | 0 | 0 | MC_Q INPUT_NODE_TYPE | 2 | 6 | OI_OE -NODE | int_dout<7>$OE | 4005 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<7>.BUFOE.OUT | int_dout<7> | 2 | 0 | MC_OE +NODE | int_dout<7>$OE | 4165 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<7>.BUFOE.OUT | int_dout<7> | 2 | 0 | MC_OE OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | cpu_d<7> | 4053 | PIPO | 0 | 64 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_d<7> | 0 | 6 | OI_OUT +NODE | cpu_d<7> | 4219 | PIPO | 0 | 64 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_d<7> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | ng | spi6502b_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | ng_OBUF | 4182 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | ng_OBUF.Q | ng_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | ng | 4220 | PO | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | ng | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | cpu_d<0> | spi6502b_COPY_0_COPY_0 | 7 | 2 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | int_dout<0> | 4021 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<0>.Q | int_dout<0> | 0 | 0 | MC_Q +NODE | int_dout<0> | 4183 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<0>.Q | int_dout<0> | 0 | 0 | MC_Q INPUT_NODE_TYPE | 2 | 6 | OI_OE -NODE | int_dout<0>$OE | 4022 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<0>.BUFOE.OUT | int_dout<0> | 2 | 0 | MC_OE +NODE | int_dout<0>$OE | 4184 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<0>.BUFOE.OUT | int_dout<0> | 2 | 0 | MC_OE OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | cpu_d<0> | 4054 | PIPO | 0 | 64 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_d<0> | 0 | 6 | OI_OUT +NODE | cpu_d<0> | 4221 | PIPO | 0 | 64 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_d<0> | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | cpu_d<1> | spi6502b_COPY_0_COPY_0 | 7 | 2 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | int_dout<1> | 4023 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<1>.Q | int_dout<1> | 0 | 0 | MC_Q +NODE | int_dout<1> | 4185 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<1>.Q | int_dout<1> | 0 | 0 | MC_Q INPUT_NODE_TYPE | 2 | 6 | OI_OE -NODE | int_dout<1>$OE | 4024 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<1>.BUFOE.OUT | int_dout<1> | 2 | 0 | MC_OE +NODE | int_dout<1>$OE | 4186 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<1>.BUFOE.OUT | int_dout<1> | 2 | 0 | MC_OE OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | cpu_d<1> | 4055 | PIPO | 0 | 64 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_d<1> | 0 | 6 | OI_OUT +NODE | cpu_d<1> | 4222 | PIPO | 0 | 64 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_d<1> | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | cpu_d<2> | spi6502b_COPY_0_COPY_0 | 7 | 2 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | int_dout<2> | 4025 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<2>.Q | int_dout<2> | 0 | 0 | MC_Q +NODE | int_dout<2> | 4187 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<2>.Q | int_dout<2> | 0 | 0 | MC_Q INPUT_NODE_TYPE | 2 | 6 | OI_OE -NODE | int_dout<2>$OE | 4026 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<2>.BUFOE.OUT | int_dout<2> | 2 | 0 | MC_OE +NODE | int_dout<2>$OE | 4188 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<2>.BUFOE.OUT | int_dout<2> | 2 | 0 | MC_OE OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | cpu_d<2> | 4056 | PIPO | 0 | 64 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_d<2> | 0 | 6 | OI_OUT +NODE | cpu_d<2> | 4223 | PIPO | 0 | 64 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_d<2> | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | cpu_d<4> | spi6502b_COPY_0_COPY_0 | 7 | 2 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | int_dout<4> | 4027 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<4>.Q | int_dout<4> | 0 | 0 | MC_Q +NODE | int_dout<4> | 4189 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<4>.Q | int_dout<4> | 0 | 0 | MC_Q INPUT_NODE_TYPE | 2 | 6 | OI_OE -NODE | int_dout<4>$OE | 4028 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<4>.BUFOE.OUT | int_dout<4> | 2 | 0 | MC_OE +NODE | int_dout<4>$OE | 4190 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<4>.BUFOE.OUT | int_dout<4> | 2 | 0 | MC_OE OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | cpu_d<4> | 4057 | PIPO | 0 | 64 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_d<4> | 0 | 6 | OI_OUT +NODE | cpu_d<4> | 4224 | PIPO | 0 | 64 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_d<4> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | b10 | spi6502b_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | b10_OBUF | 4206 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | b10_OBUF.Q | b10_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | b10 | 4225 | PO | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | b10 | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | b8 | spi6502b_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | b8_OBUF | 4207 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | b8_OBUF.Q | b8_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | b8 | 4226 | PO | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | b8 | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | b9 | spi6502b_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | b9_OBUF | 4208 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | b9_OBUF.Q | b9_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | b9 | 4227 | PO | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | b9 | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | led | spi6502b_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | led_OBUF | 4044 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | led_OBUF.Q | led_OBUF | 0 | 0 | MC_Q +NODE | led_OBUF | 4209 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | led_OBUF.Q | led_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | led | 4058 | PO | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | led | 0 | 6 | OI_OUT +NODE | led | 4228 | PO | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | led | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | noe | spi6502b_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | noe_OBUF | 4210 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | noe_OBUF.Q | noe_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | noe | 4229 | PO | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | noe | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | cpu_Nirq | spi6502b_COPY_0_COPY_0 | 7 | 2 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | cpu_Nirq_OBUFE$Q | 4045 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | cpu_Nirq_OBUFE.Q | cpu_Nirq_OBUFE | 0 | 0 | MC_Q +NODE | cpu_Nirq_OBUFE$Q | 4211 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | cpu_Nirq_OBUFE.Q | cpu_Nirq_OBUFE | 0 | 0 | MC_Q INPUT_NODE_TYPE | 2 | 6 | OI_OE -NODE | cpu_Nirq_OBUFE$OE | 4046 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | cpu_Nirq_OBUFE.BUFOE.OUT | cpu_Nirq_OBUFE | 2 | 0 | MC_OE +NODE | cpu_Nirq_OBUFE$OE | 4212 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | cpu_Nirq_OBUFE.BUFOE.OUT | cpu_Nirq_OBUFE | 2 | 0 | MC_OE OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | cpu_Nirq | 4059 | PO | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nirq | 0 | 6 | OI_OUT +NODE | cpu_Nirq | 4230 | PO | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nirq | 0 | 6 | OI_OUT -MACROCELL_INSTANCE | SoftPfbk | $OpTx$INV$22__$INT | spi6502b_COPY_0_COPY_0 | 2181038080 | 5 | 1 +MACROCELL_INSTANCE | SoftPfbk | $OpTx$INV$24__$INT | spi6502b_COPY_0_COPY_0 | 2181038080 | 5 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ece | 3978 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | ece.Q | ece | 1 | 0 | MC_UIM +NODE | ece | 4134 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | ece.Q | ece | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nphi2_IBUF | 4042 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nphi2_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nphi2_IBUF | 4205 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nphi2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | extclk_IBUF | 4043 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | extclk_IBUF | 0 | 5 | II_IMUX +NODE | extclk_IBUF | 4193 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | extclk_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | start_shifting | 4011 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | start_shifting.Q | start_shifting | 1 | 0 | MC_UIM +NODE | start_shifting | 4171 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | start_shifting.Q | start_shifting | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shifting2 | 4032 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM +NODE | shifting2 | 4192 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | $OpTx$INV$22__$INT.UIM | 4060 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$22__$INT.Q | $OpTx$INV$22__$INT | 1 | 0 | MC_UIM +NODE | $OpTx$INV$24__$INT.UIM | 4231 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$24__$INT.Q | $OpTx$INV$24__$INT | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | $OpTx$INV$22__$INT.SI | $OpTx$INV$22__$INT | 0 | 5 | 2 +SIGNAL_INSTANCE | $OpTx$INV$24__$INT.SI | $OpTx$INV$24__$INT | 0 | 5 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ece | 3978 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | ece.Q | ece | 1 | 0 | MC_UIM +NODE | ece | 4134 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | ece.Q | ece | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nphi2_IBUF | 4042 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nphi2_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nphi2_IBUF | 4205 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nphi2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | extclk_IBUF | 4043 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | extclk_IBUF | 0 | 5 | II_IMUX +NODE | extclk_IBUF | 4193 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | extclk_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | start_shifting | 4011 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | start_shifting.Q | start_shifting | 1 | 0 | MC_UIM +NODE | start_shifting | 4171 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | start_shifting.Q | start_shifting | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shifting2 | 4032 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM +NODE | shifting2 | 4192 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | $OpTx$INV$22__$INT.D1 | 4376 | ? | 0 | 4096 | $OpTx$INV$22__$INT | NULL | NULL | $OpTx$INV$22__$INT.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | $OpTx$INV$24__$INT.D1 | 4575 | ? | 0 | 4096 | $OpTx$INV$24__$INT | NULL | NULL | $OpTx$INV$24__$INT.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | $OpTx$INV$22__$INT.D2 | 4377 | ? | 0 | 4096 | $OpTx$INV$22__$INT | NULL | NULL | $OpTx$INV$22__$INT.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | $OpTx$INV$24__$INT.D2 | 4576 | ? | 0 | 4096 | $OpTx$INV$24__$INT | NULL | NULL | $OpTx$INV$24__$INT.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | ece | IV_FALSE | extclk_IBUF SPPTERM | 2 | IV_FALSE | ece | IV_FALSE | cpu_Nphi2_IBUF SPPTERM | 2 | IV_FALSE | start_shifting | IV_FALSE | shifting2 -SRFF_INSTANCE | $OpTx$INV$22__$INT.REG | $OpTx$INV$22__$INT | 0 | 1 | 1 +SRFF_INSTANCE | $OpTx$INV$24__$INT.REG | $OpTx$INV$24__$INT | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | $OpTx$INV$22__$INT.D | 4375 | ? | 0 | 0 | $OpTx$INV$22__$INT | NULL | NULL | $OpTx$INV$22__$INT.XOR | 0 | 7 | ALU_F +NODE | $OpTx$INV$24__$INT.D | 4574 | ? | 0 | 0 | $OpTx$INV$24__$INT | NULL | NULL | $OpTx$INV$24__$INT.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | $OpTx$INV$22__$INT.Q | 4378 | ? | 0 | 0 | $OpTx$INV$22__$INT | NULL | NULL | $OpTx$INV$22__$INT.REG | 0 | 8 | SRFF_Q +NODE | $OpTx$INV$24__$INT.Q | 4577 | ? | 0 | 0 | $OpTx$INV$24__$INT | NULL | NULL | $OpTx$INV$24__$INT.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | SoftPfbk | start_shifting/start_shifting_RSTF__$INT | spi6502b_COPY_0_COPY_0 | 2181038080 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftdone | 4010 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftdone.Q | shiftdone | 1 | 0 | MC_UIM +NODE | shiftdone | 4170 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftdone.Q | shiftdone | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | start_shifting/start_shifting_RSTF__$INT.UIM | 4061 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | start_shifting/start_shifting_RSTF__$INT.Q | start_shifting/start_shifting_RSTF__$INT | 1 | 0 | MC_UIM +NODE | start_shifting/start_shifting_RSTF__$INT.UIM | 4232 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | start_shifting/start_shifting_RSTF__$INT.Q | start_shifting/start_shifting_RSTF__$INT | 1 | 0 | MC_UIM SIGNAL_INSTANCE | start_shifting/start_shifting_RSTF__$INT.SI | start_shifting/start_shifting_RSTF__$INT | 0 | 2 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftdone | 4010 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftdone.Q | shiftdone | 1 | 0 | MC_UIM +NODE | shiftdone | 4170 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftdone.Q | shiftdone | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | start_shifting/start_shifting_RSTF__$INT.D1 | 4380 | ? | 0 | 4096 | start_shifting/start_shifting_RSTF__$INT | NULL | NULL | start_shifting/start_shifting_RSTF__$INT.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | start_shifting/start_shifting_RSTF__$INT.D1 | 4579 | ? | 0 | 4096 | start_shifting/start_shifting_RSTF__$INT | NULL | NULL | start_shifting/start_shifting_RSTF__$INT.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | start_shifting/start_shifting_RSTF__$INT.D2 | 4381 | ? | 0 | 4096 | start_shifting/start_shifting_RSTF__$INT | NULL | NULL | start_shifting/start_shifting_RSTF__$INT.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | start_shifting/start_shifting_RSTF__$INT.D2 | 4580 | ? | 0 | 4096 | start_shifting/start_shifting_RSTF__$INT | NULL | NULL | start_shifting/start_shifting_RSTF__$INT.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | cpu_Nres_IBUF | IV_FALSE | shiftdone SRFF_INSTANCE | start_shifting/start_shifting_RSTF__$INT.REG | start_shifting/start_shifting_RSTF__$INT | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | start_shifting/start_shifting_RSTF__$INT.D | 4379 | ? | 0 | 0 | start_shifting/start_shifting_RSTF__$INT | NULL | NULL | start_shifting/start_shifting_RSTF__$INT.XOR | 0 | 7 | ALU_F +NODE | start_shifting/start_shifting_RSTF__$INT.D | 4578 | ? | 0 | 0 | start_shifting/start_shifting_RSTF__$INT | NULL | NULL | start_shifting/start_shifting_RSTF__$INT.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | start_shifting/start_shifting_RSTF__$INT.Q | 4382 | ? | 0 | 0 | start_shifting/start_shifting_RSTF__$INT | NULL | NULL | start_shifting/start_shifting_RSTF__$INT.REG | 0 | 8 | SRFF_Q +NODE | start_shifting/start_shifting_RSTF__$INT.Q | 4581 | ? | 0 | 0 | start_shifting/start_shifting_RSTF__$INT | NULL | NULL | start_shifting/start_shifting_RSTF__$INT.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | SoftPfbk | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST | spi6502b_COPY_0_COPY_0 | 2181038080 | 4 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ier | 3981 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | ier.Q | ier | 1 | 0 | MC_UIM +NODE | ier | 4137 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | ier.Q | ier | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | tc | 4012 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | tc.Q | tc | 1 | 0 | MC_UIM +NODE | tc | 4172 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | tc.Q | tc | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | slaveinten | 3982 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slaveinten.Q | slaveinten | 1 | 0 | MC_UIM +NODE | slaveinten | 4138 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slaveinten.Q | slaveinten | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spi_int_IBUF | 4041 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | spi_int_IBUF | 0 | 5 | II_IMUX +NODE | spi_int_IBUF | 4204 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | spi_int_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST.UIM | 4062 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST.Q | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST | 1 | 0 | MC_UIM +NODE | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST.UIM | 4233 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST.Q | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST.SI | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST | 0 | 4 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ier | 3981 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | ier.Q | ier | 1 | 0 | MC_UIM +NODE | ier | 4137 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | ier.Q | ier | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | tc | 4012 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | tc.Q | tc | 1 | 0 | MC_UIM +NODE | tc | 4172 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | tc.Q | tc | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | slaveinten | 3982 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slaveinten.Q | slaveinten | 1 | 0 | MC_UIM +NODE | slaveinten | 4138 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slaveinten.Q | slaveinten | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spi_int_IBUF | 4041 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | spi_int_IBUF | 0 | 5 | II_IMUX +NODE | spi_int_IBUF | 4204 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | spi_int_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST.D1 | 4384 | ? | 0 | 4096 | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST | NULL | NULL | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST.D1 | 4583 | ? | 0 | 4096 | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST | NULL | NULL | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST.D2 | 4385 | ? | 0 | 4096 | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST | NULL | NULL | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST.D2 | 4584 | ? | 0 | 4096 | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST | NULL | NULL | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | ier | IV_TRUE | tc SPPTERM | 2 | IV_TRUE | slaveinten | IV_FALSE | spi_int_IBUF SRFF_INSTANCE | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST.REG | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST.D | 4383 | ? | 0 | 0 | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST | NULL | NULL | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST.XOR | 0 | 7 | ALU_F +NODE | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST.D | 4582 | ? | 0 | 0 | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST | NULL | NULL | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST.Q | 4386 | ? | 0 | 0 | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST | NULL | NULL | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST.REG | 0 | 8 | SRFF_Q +NODE | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST.Q | 4585 | ? | 0 | 0 | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST | NULL | NULL | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | NULL | EXP6_ | spi6502b_COPY_0_COPY_0 | 2147483648 | 10 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<3> | 4006 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<3>.Q | shiftcnt<3> | 1 | 0 | MC_UIM +NODE | shiftcnt<3> | 4166 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<3>.Q | shiftcnt<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<2> | 4007 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<2>.Q | shiftcnt<2> | 1 | 0 | MC_UIM +NODE | shiftcnt<2> | 4167 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<2>.Q | shiftcnt<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<1> | 4009 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<1>.Q | shiftcnt<1> | 1 | 0 | MC_UIM +NODE | shiftcnt<1> | 4169 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<1>.Q | shiftcnt<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftdone | 4010 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftdone.Q | shiftdone | 1 | 0 | MC_UIM +NODE | shiftdone | 4170 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftdone.Q | shiftdone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidataout<0> | 4013 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<0>.Q | spidataout<0> | 1 | 0 | MC_UIM +NODE | spidataout<2> | 4175 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<2>.Q | spidataout<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shifting2 | 4032 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM +NODE | shifting2 | 4192 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidataout<2> | 4015 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<2>.Q | spidataout<2> | 1 | 0 | MC_UIM +NODE | spidataout<3> | 4176 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<3>.Q | spidataout<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidataout<3> | 4016 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<3>.Q | spidataout<3> | 1 | 0 | MC_UIM +NODE | spidataout<5> | 4178 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<5>.Q | spidataout<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidataout<4> | 4017 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<4>.Q | spidataout<4> | 1 | 0 | MC_UIM +NODE | spidataout<6> | 4179 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<6>.Q | spidataout<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidataout<7> | 4020 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<7>.Q | spidataout<7> | 1 | 0 | MC_UIM +NODE | spidataout<7> | 4180 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<7>.Q | spidataout<7> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP6_.EXP | 4389 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | EXP6_.EXP | EXP6_ | 4 | 0 | MC_EXPORT +NODE | EXP6_.EXP | 4588 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | EXP6_.EXP | EXP6_ | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | EXP6_.SI | EXP6_ | 0 | 10 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<3> | 4006 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<3>.Q | shiftcnt<3> | 1 | 0 | MC_UIM +NODE | shiftcnt<3> | 4166 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<3>.Q | shiftcnt<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<2> | 4007 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<2>.Q | shiftcnt<2> | 1 | 0 | MC_UIM +NODE | shiftcnt<2> | 4167 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<2>.Q | shiftcnt<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<1> | 4009 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<1>.Q | shiftcnt<1> | 1 | 0 | MC_UIM +NODE | shiftcnt<1> | 4169 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<1>.Q | shiftcnt<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftdone | 4010 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftdone.Q | shiftdone | 1 | 0 | MC_UIM +NODE | shiftdone | 4170 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftdone.Q | shiftdone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidataout<0> | 4013 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<0>.Q | spidataout<0> | 1 | 0 | MC_UIM +NODE | spidataout<2> | 4175 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<2>.Q | spidataout<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shifting2 | 4032 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM +NODE | shifting2 | 4192 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidataout<2> | 4015 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<2>.Q | spidataout<2> | 1 | 0 | MC_UIM +NODE | spidataout<3> | 4176 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<3>.Q | spidataout<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidataout<3> | 4016 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<3>.Q | spidataout<3> | 1 | 0 | MC_UIM +NODE | spidataout<5> | 4178 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<5>.Q | spidataout<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidataout<4> | 4017 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<4>.Q | spidataout<4> | 1 | 0 | MC_UIM +NODE | spidataout<6> | 4179 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<6>.Q | spidataout<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidataout<7> | 4020 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<7>.Q | spidataout<7> | 1 | 0 | MC_UIM +NODE | spidataout<7> | 4180 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<7>.Q | spidataout<7> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP6_.EXP | 4387 | ? | 0 | 0 | EXP6_ | NULL | NULL | EXP6_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 6 | IV_TRUE | shiftcnt<3> | IV_TRUE | shiftcnt<2> | IV_TRUE | shiftcnt<1> | IV_FALSE | shiftdone | IV_FALSE | spidataout<0> | IV_TRUE | shifting2 +SIGNAL | NODE | EXP6_.EXP | 4586 | ? | 0 | 0 | EXP6_ | NULL | NULL | EXP6_.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 6 | IV_TRUE | shiftcnt<3> | IV_FALSE | shiftcnt<2> | IV_TRUE | shiftcnt<1> | IV_FALSE | shiftdone | IV_FALSE | spidataout<2> | IV_TRUE | shifting2 SPPTERM | 6 | IV_TRUE | shiftcnt<3> | IV_FALSE | shiftcnt<2> | IV_FALSE | shiftcnt<1> | IV_FALSE | shiftdone | IV_FALSE | spidataout<3> | IV_TRUE | shifting2 -SPPTERM | 6 | IV_FALSE | shiftcnt<3> | IV_TRUE | shiftcnt<2> | IV_TRUE | shiftcnt<1> | IV_FALSE | shiftdone | IV_FALSE | spidataout<4> | IV_TRUE | shifting2 +SPPTERM | 6 | IV_FALSE | shiftcnt<3> | IV_TRUE | shiftcnt<2> | IV_FALSE | shiftcnt<1> | IV_FALSE | shiftdone | IV_FALSE | spidataout<5> | IV_TRUE | shifting2 +SPPTERM | 6 | IV_FALSE | shiftcnt<3> | IV_FALSE | shiftcnt<2> | IV_TRUE | shiftcnt<1> | IV_FALSE | shiftdone | IV_FALSE | spidataout<6> | IV_TRUE | shifting2 SPPTERM | 6 | IV_FALSE | shiftcnt<3> | IV_FALSE | shiftcnt<2> | IV_FALSE | shiftcnt<1> | IV_FALSE | shiftdone | IV_FALSE | spidataout<7> | IV_TRUE | shifting2 -MACROCELL_INSTANCE | NULL | EXP7_ | spi6502b_COPY_0_COPY_0 | 2147483648 | 5 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpha | 3979 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | cpha.Q | cpha | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<0> | 4008 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftdone | 4010 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftdone.Q | shiftdone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shifting2 | 4032 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP7_.EXP | 4392 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | EXP7_.EXP | EXP7_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP7_.SI | EXP7_ | 0 | 5 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpha | 3979 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | cpha.Q | cpha | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<0> | 4008 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftdone | 4010 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftdone.Q | shiftdone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shifting2 | 4032 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP7_.EXP | 4391 | ? | 0 | 0 | EXP7_ | NULL | NULL | EXP7_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 5 | IV_TRUE | cpu_Nres_IBUF | IV_TRUE | cpha | IV_FALSE | shiftcnt<0> | IV_FALSE | shiftdone | IV_TRUE | shifting2 - FB_INSTANCE | FOOBAR1_ | spi6502b_COPY_0_COPY_0 | 0 | 0 | 0 +FBPIN | 1 | spidataout<3> | 1 | NULL | 0 | NULL | 0 FBPIN | 2 | spidataout<2> | 1 | NULL | 0 | NULL | 0 | 1 | 49152 FBPIN | 3 | spidataout<1> | 1 | NULL | 0 | NULL | 0 FBPIN | 4 | spidataout<0> | 1 | NULL | 0 | NULL | 0 -FBPIN | 5 | int_dout<0> | 1 | N2889 | 1 | cpu_d<0> | 1 | 2 | 49152 -FBPIN | 6 | int_dout<1> | 1 | N2891 | 1 | cpu_d<1> | 1 | 3 | 49152 +FBPIN | 5 | int_dout<0> | 1 | N3017 | 1 | cpu_d<0> | 1 | 2 | 49152 +FBPIN | 6 | int_dout<1> | 1 | N3019 | 1 | cpu_d<1> | 1 | 3 | 49152 FBPIN | 7 | tmo | 1 | NULL | 0 | NULL | 0 -FBPIN | 8 | int_dout<2> | 1 | N2893 | 1 | cpu_d<2> | 1 | 4 | 49152 +FBPIN | 8 | int_dout<2> | 1 | N3021 | 1 | cpu_d<2> | 1 | 4 | 49152 FBPIN | 9 | slaveinten | 1 | cpu_Nphi2_IBUF | 0 | NULL | 0 | 5 | 57344 FBPIN | 10 | frx | 1 | NULL | 0 | NULL | 0 FBPIN | 11 | ece | 1 | extclk_IBUF | 0 | NULL | 0 | 6 | 57344 FBPIN | 12 | divisor<2> | 1 | NULL | 0 | NULL | 0 FBPIN | 13 | divisor<1> | 1 | NULL | 0 | NULL | 0 FBPIN | 14 | divisor<0> | 1 | cpu_rnw_IBUF | 0 | NULL | 0 | 7 | 57344 -FBPIN | 15 | int_dout<3> | 1 | N2895 | 1 | cpu_d<3> | 1 | 8 | 49152 +FBPIN | 15 | int_dout<3> | 1 | N3023 | 1 | cpu_d<3> | 1 | 8 | 49152 FBPIN | 16 | cpol | 1 | NULL | 0 | NULL | 0 -FBPIN | 17 | int_dout<4> | 1 | N2897 | 1 | cpu_d<4> | 1 | 9 | 49152 +FBPIN | 17 | int_dout<4> | 1 | N3025 | 1 | cpu_d<4> | 1 | 9 | 49152 FBPIN | 18 | cpha | 1 | NULL | 0 | NULL | 0 FB_INSTANCE | FOOBAR2_ | spi6502b_COPY_0_COPY_0 | 0 | 0 | 0 FBPIN | 1 | EXP6_ | 1 | NULL | 0 | NULL | 0 FBPIN | 2 | int_mosi | 1 | NULL | 0 | spi_mosi | 1 | 35 | 49152 FBPIN | 3 | shifting2 | 1 | NULL | 0 | NULL | 0 -FBPIN | 4 | shiftdone | 1 | NULL | 0 | NULL | 0 -FBPIN | 5 | shiftcnt<0> | 1 | NULL | 0 | NULL | 0 | 36 | 49152 -FBPIN | 6 | $OpTx$INV$22__$INT | 1 | NULL | 0 | NULL | 0 | 37 | 49152 +FBPIN | 4 | tc | 1 | NULL | 0 | NULL | 0 +FBPIN | 5 | shiftcnt<0> | 1 | a8_IBUF | 0 | NULL | 0 | 36 | 49152 +FBPIN | 6 | $OpTx$INV$24__$INT | 1 | a9_IBUF | 0 | NULL | 0 | 37 | 49152 FBPIN | 7 | spidatain<7> | 1 | NULL | 0 | NULL | 0 -FBPIN | 8 | spidatain<6> | 1 | NULL | 0 | NULL | 0 | 38 | 49152 -FBPIN | 9 | spidatain<5> | 1 | NULL | 0 | NULL | 0 | 39 | 51200 -FBPIN | 10 | spidatain<4> | 1 | NULL | 0 | NULL | 0 -FBPIN | 11 | spidatain<3> | 1 | NULL | 0 | NULL | 0 | 40 | 53248 -FBPIN | 12 | spidatain<2> | 1 | NULL | 0 | NULL | 0 -FBPIN | 13 | spidatain<1> | 1 | NULL | 0 | NULL | 0 -FBPIN | 14 | spidatain<0> | 1 | spi_int_IBUF | 0 | NULL | 0 | 42 | 53248 -FBPIN | 15 | shiftcnt<3> | 1 | NULL | 0 | NULL | 0 | 43 | 49152 -FBPIN | 16 | shiftcnt<2> | 1 | NULL | 0 | NULL | 0 -FBPIN | 17 | shiftcnt<1> | 1 | spi_miso_IBUF | 0 | NULL | 0 | 44 | 49152 +FBPIN | 8 | spidatain<6> | 1 | a10_IBUF | 0 | NULL | 0 | 38 | 49152 +FBPIN | 9 | cpu_Nirq_OBUFE | 1 | NULL | 0 | cpu_Nirq | 1 | 39 | 51200 +FBPIN | 10 | spidatain<5> | 1 | NULL | 0 | NULL | 0 +FBPIN | 11 | spidatain<4> | 1 | nio_sel_IBUF | 0 | NULL | 0 | 40 | 53248 +FBPIN | 12 | spidatain<3> | 1 | NULL | 0 | NULL | 0 +FBPIN | 13 | spidatain<2> | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | NULL | 0 | spi_int_IBUF | 0 | NULL | 0 | 42 | 53248 +FBPIN | 15 | NULL | 0 | nio_stb_IBUF | 0 | NULL | 0 | 43 | 49152 +FBPIN | 17 | NULL | 0 | spi_miso_IBUF | 0 | NULL | 0 | 44 | 49152 FBPIN | 18 | start_shifting/start_shifting_RSTF__$INT | 1 | NULL | 0 | NULL | 0 FB_INSTANCE | FOOBAR3_ | spi6502b_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 2 | int_dout<5> | 1 | N2899 | 1 | cpu_d<5> | 1 | 11 | 49152 -FBPIN | 5 | int_dout<6> | 1 | N2901 | 1 | cpu_d<6> | 1 | 12 | 49152 -FBPIN | 8 | int_dout<7> | 1 | N2903 | 1 | cpu_d<7> | 1 | 13 | 49152 -FBPIN | 9 | cpu_Nirq_OBUFE | 1 | NULL | 0 | cpu_Nirq | 1 | 14 | 49152 +FBPIN | 2 | int_dout<5> | 1 | N3027 | 1 | cpu_d<5> | 1 | 11 | 49152 +FBPIN | 5 | int_dout<6> | 1 | N3029 | 1 | cpu_d<6> | 1 | 12 | 49152 +FBPIN | 8 | int_dout<7> | 1 | N3031 | 1 | cpu_d<7> | 1 | 13 | 49152 +FBPIN | 9 | noe_OBUF | 1 | NULL | 0 | noe | 1 | 14 | 49152 FBPIN | 11 | NULL | 0 | Ncs2_IBUF | 0 | NULL | 0 | 18 | 49152 FBPIN | 14 | NULL | 0 | cpu_Nres_IBUF | 0 | NULL | 0 | 19 | 49152 -FBPIN | 15 | NULL | 0 | cs1_IBUF | 0 | NULL | 0 | 20 | 49152 +FBPIN | 15 | ng_OBUF | 1 | NULL | 0 | ng | 1 | 20 | 49152 FBPIN | 16 | NULL | 0 | cpu_a_1_IBUF | 0 | NULL | 0 | 24 | 49152 -FBPIN | 17 | NULL | 0 | cpu_a_0_IBUF | 0 | NULL | 0 | 22 | 49152 +FBPIN | 17 | add_dec/XLXN_11 | 1 | cpu_a_0_IBUF | 0 | NULL | 0 | 22 | 49152 +FBPIN | 18 | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST | 1 | NULL | 0 | NULL | 0 FB_INSTANCE | FOOBAR4_ | spi6502b_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 1 | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST | 1 | NULL | 0 | NULL | 0 -FBPIN | 2 | tc | 1 | NULL | 0 | NULL | 0 | 25 | 49152 +FBPIN | 1 | shiftdone | 1 | NULL | 0 | NULL | 0 +FBPIN | 2 | b8_OBUF | 1 | NULL | 0 | b8 | 1 | 25 | 49152 FBPIN | 3 | start_shifting | 1 | NULL | 0 | NULL | 0 FBPIN | 4 | spidataout<7> | 1 | NULL | 0 | NULL | 0 -FBPIN | 5 | spidataout<6> | 1 | NULL | 0 | NULL | 0 | 26 | 49152 -FBPIN | 6 | spidataout<5> | 1 | NULL | 0 | NULL | 0 -FBPIN | 7 | spidataout<4> | 1 | NULL | 0 | NULL | 0 -FBPIN | 8 | spidataout<3> | 1 | NULL | 0 | NULL | 0 | 27 | 49152 -FBPIN | 9 | ier | 1 | NULL | 0 | NULL | 0 +FBPIN | 5 | b9_OBUF | 1 | NULL | 0 | b9 | 1 | 26 | 49152 +FBPIN | 6 | spidataout<6> | 1 | NULL | 0 | NULL | 0 +FBPIN | 7 | spidataout<5> | 1 | NULL | 0 | NULL | 0 +FBPIN | 8 | b10_OBUF | 1 | NULL | 0 | b10 | 1 | 27 | 49152 +FBPIN | 9 | spidataout<4> | 1 | NULL | 0 | NULL | 0 +FBPIN | 10 | spidatain<1> | 1 | NULL | 0 | NULL | 0 FBPIN | 11 | slavesel | 1 | NULL | 0 | spi_Nsel | 1 | 28 | 49152 +FBPIN | 12 | spidatain<0> | 1 | NULL | 0 | NULL | 0 +FBPIN | 13 | shiftcnt<3> | 1 | NULL | 0 | NULL | 0 FBPIN | 14 | led_OBUF | 1 | NULL | 0 | led | 1 | 29 | 49152 -FBPIN | 16 | EXP7_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 15 | shiftcnt<2> | 1 | NULL | 0 | NULL | 0 | 33 | 49152 +FBPIN | 16 | shiftcnt<1> | 1 | NULL | 0 | NULL | 0 FBPIN | 17 | int_sclk | 1 | NULL | 0 | spi_sclk | 1 | 34 | 49152 +FBPIN | 18 | ier | 1 | NULL | 0 | NULL | 0 FB_INSTANCE | INPUTPINS_FOOBAR5_ | spi6502b_COPY_0_COPY_0 | 0 | 0 | 0 BUSINFO | CPU_A<1:0> | 2 | 0 | 0 | cpu_a<0> | 1 | cpu_a<1> | 0 BUSINFO | CPU_D<7:0> | 8 | 0 | 2 | cpu_d<0> | 7 | cpu_d<1> | 6 | cpu_d<2> | 5 | cpu_d<3> | 4 | cpu_d<4> | 3 | cpu_d<5> | 2 | cpu_d<6> | 1 | cpu_d<7> | 0 -FB_ORDER_OF_INPUTS | FOOBAR1_ | 0 | cpu_rnw | 7 | 1 | spidataout<2> | NULL | 3 | spidataout<0> | NULL | 5 | Ncs2 | 18 | 6 | tmo | NULL -FB_ORDER_OF_INPUTS | FOOBAR1_ | 8 | spi_int | 42 | 9 | spidatain<4> | NULL | 10 | slavesel | NULL | 11 | spidatain<2> | NULL | 13 | cpu_a<0> | 22 -FB_ORDER_OF_INPUTS | FOOBAR1_ | 15 | cpol | NULL | 17 | cpu_Nres | 19 | 19 | cpu_d<2> | 4 | 20 | cpu_d<0> | 2 | 21 | spidatain<3> | NULL -FB_ORDER_OF_INPUTS | FOOBAR1_ | 24 | cs1 | 20 | 26 | divisor<1> | NULL | 28 | slaveinten | NULL | 29 | spidatain<0> | NULL | 30 | divisor<0> | NULL -FB_ORDER_OF_INPUTS | FOOBAR1_ | 33 | cpu_d<1> | 3 | 36 | spidatain<1> | NULL | 37 | spidataout<1> | NULL | 38 | divisor<2> | NULL | 39 | cpu_a<1> | 24 -FB_ORDER_OF_INPUTS | FOOBAR1_ | 43 | cpha | NULL | 44 | cpu_d<4> | 9 | 45 | frx | NULL | 50 | ece | NULL | 51 | cpu_Nphi2 | 5 -FB_ORDER_OF_INPUTS | FOOBAR1_ | 53 | cpu_d<3> | 8 +FB_ORDER_OF_INPUTS | FOOBAR1_ | 0 | spidataout<3> | NULL | 1 | spidataout<2> | NULL | 2 | spidataout<1> | NULL | 3 | spidataout<0> | NULL | 4 | cpu_d<3> | 8 +FB_ORDER_OF_INPUTS | FOOBAR1_ | 6 | tmo | NULL | 8 | slaveinten | NULL | 9 | spidatain<1> | NULL | 10 | ece | NULL | 11 | divisor<2> | NULL +FB_ORDER_OF_INPUTS | FOOBAR1_ | 12 | divisor<1> | NULL | 13 | divisor<0> | NULL | 17 | cpu_Nres | 19 | 21 | spidatain<4> | NULL | 22 | spi_int | 42 +FB_ORDER_OF_INPUTS | FOOBAR1_ | 29 | cpu_d<0> | 2 | 31 | cpu_rnw | 7 | 32 | cpu_d<4> | 9 | 33 | cpu_d<1> | 3 | 36 | cpu_a<0> | 22 +FB_ORDER_OF_INPUTS | FOOBAR1_ | 39 | cpu_a<1> | 24 | 40 | cpu_d<2> | 4 | 41 | spidatain<2> | NULL | 42 | slavesel | NULL | 43 | cpha | NULL +FB_ORDER_OF_INPUTS | FOOBAR1_ | 44 | spidatain<0> | NULL | 45 | frx | NULL | 47 | Ncs2 | 18 | 50 | spidatain<3> | NULL | 52 | cpol | NULL +FB_ORDER_OF_INPUTS | FOOBAR1_ | 53 | cpu_Nphi2 | 5 -FB_IMUX_INDEX | FOOBAR1_ | 126 | 1 | -1 | 3 | -1 | 131 | 6 | -1 | 98 | 27 | 64 | 29 | -1 | 121 | -1 | 15 | -1 | 125 | -1 | 114 | 108 | 28 | -1 | -1 | 123 | -1 | 12 | -1 | 8 | 31 | 13 | -1 | -1 | 110 | -1 | -1 | 30 | 2 | 11 | 111 | -1 | -1 | -1 | 17 | 132 | 9 | -1 | -1 | -1 | -1 | 10 | 120 | -1 | 130 +FB_IMUX_INDEX | FOOBAR1_ | 0 | 1 | 2 | 3 | 130 | -1 | 6 | -1 | 8 | 63 | 10 | 11 | 12 | 13 | -1 | -1 | -1 | 125 | -1 | -1 | -1 | 28 | 98 | -1 | -1 | -1 | -1 | -1 | -1 | 108 | -1 | 126 | 132 | 110 | -1 | -1 | 121 | -1 | -1 | 111 | 114 | 30 | 64 | 17 | 65 | 9 | -1 | 131 | -1 | -1 | 29 | -1 | 15 | 120 -FB_ORDER_OF_INPUTS | FOOBAR2_ | 1 | spidataout<2> | NULL | 2 | start_shifting | NULL | 3 | spidataout<7> | NULL | 4 | spidataout<6> | NULL | 5 | spidataout<5> | NULL -FB_ORDER_OF_INPUTS | FOOBAR2_ | 6 | tmo | NULL | 7 | spidataout<3> | NULL | 9 | spidatain<4> | NULL | 10 | slavesel | NULL | 11 | spidatain<2> | NULL -FB_ORDER_OF_INPUTS | FOOBAR2_ | 13 | spidatain<0> | NULL | 14 | shiftcnt<3> | NULL | 16 | shiftcnt<1> | NULL | 17 | cpu_Nres | 19 | 18 | shiftdone | NULL -FB_ORDER_OF_INPUTS | FOOBAR2_ | 19 | spi_miso | 44 | 20 | shiftcnt<0> | NULL | 21 | spidatain<3> | NULL | 24 | spidatain<6> | NULL | 25 | shifting2 | NULL -FB_ORDER_OF_INPUTS | FOOBAR2_ | 26 | $OpTx$INV$22__$INT.UIM | NULL | 29 | spidataout<1> | NULL | 32 | spidatain<5> | NULL | 36 | spidatain<1> | NULL | 39 | spidataout<4> | NULL -FB_ORDER_OF_INPUTS | FOOBAR2_ | 44 | shiftcnt<2> | NULL | 46 | spidataout<0> | NULL | 48 | extclk | 6 | 50 | ece | NULL | 51 | cpu_Nphi2 | 5 +FB_ORDER_OF_INPUTS | FOOBAR2_ | 0 | shiftdone | NULL | 2 | start_shifting | NULL | 3 | spidataout<7> | NULL | 5 | spidataout<6> | NULL | 7 | spidatain<6> | NULL +FB_ORDER_OF_INPUTS | FOOBAR2_ | 9 | spidatain<5> | NULL | 10 | spidatain<4> | NULL | 11 | spidatain<3> | NULL | 12 | spidatain<2> | NULL | 14 | extclk | 6 +FB_ORDER_OF_INPUTS | FOOBAR2_ | 15 | shiftcnt<1> | NULL | 17 | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST.UIM | NULL | 18 | cpu_a<1> | 24 | 27 | shiftcnt<2> | NULL | 28 | cpu_Nres | 19 +FB_ORDER_OF_INPUTS | FOOBAR2_ | 31 | $OpTx$INV$24__$INT.UIM | NULL | 33 | spidataout<3> | NULL | 36 | spidataout<4> | NULL | 37 | spidataout<1> | NULL | 38 | spidatain<1> | NULL +FB_ORDER_OF_INPUTS | FOOBAR2_ | 39 | tmo | NULL | 40 | shiftcnt<0> | NULL | 43 | cpu_a<0> | 22 | 44 | spidataout<2> | NULL | 46 | spidataout<0> | NULL +FB_ORDER_OF_INPUTS | FOOBAR2_ | 47 | Ncs2 | 18 | 48 | shifting2 | NULL | 49 | spidataout<5> | NULL | 50 | ece | NULL | 51 | shiftcnt<3> | NULL +FB_ORDER_OF_INPUTS | FOOBAR2_ | 53 | cpu_Nphi2 | 5 -FB_IMUX_INDEX | FOOBAR2_ | -1 | 1 | 56 | 57 | 58 | 59 | 6 | 61 | -1 | 27 | 64 | 29 | -1 | 31 | 32 | -1 | 34 | 125 | 21 | 104 | 22 | 28 | -1 | -1 | 25 | 20 | 23 | -1 | -1 | 2 | -1 | -1 | 26 | -1 | -1 | -1 | 30 | -1 | -1 | 60 | -1 | -1 | -1 | -1 | 33 | -1 | 3 | -1 | 122 | -1 | 10 | 120 | -1 | -1 +FB_IMUX_INDEX | FOOBAR2_ | 54 | -1 | 56 | 57 | -1 | 59 | -1 | 25 | -1 | 27 | 28 | 29 | 30 | -1 | 122 | 69 | -1 | 53 | 111 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 68 | 125 | -1 | -1 | 23 | -1 | 0 | -1 | -1 | 62 | 2 | 63 | 6 | 22 | -1 | -1 | 121 | 1 | -1 | 3 | 131 | 20 | 60 | 10 | 66 | -1 | 120 -FB_ORDER_OF_INPUTS | FOOBAR3_ | 0 | cpu_rnw | 7 | 1 | tc | NULL | 2 | start_shifting | NULL | 5 | Ncs2 | 18 | 6 | spidatain<7> | NULL -FB_ORDER_OF_INPUTS | FOOBAR3_ | 7 | spidatain<6> | NULL | 13 | cpu_a<0> | 22 | 24 | cs1 | 20 | 25 | shifting2 | NULL | 32 | spidatain<5> | NULL -FB_ORDER_OF_INPUTS | FOOBAR3_ | 33 | ier | NULL | 37 | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST.UIM | NULL | 39 | cpu_a<1> | 24 | 51 | cpu_Nphi2 | 5 +FB_ORDER_OF_INPUTS | FOOBAR3_ | 0 | cpu_rnw | 7 | 2 | shifting2 | NULL | 3 | tc | NULL | 5 | Ncs2 | 18 | 6 | spidatain<7> | NULL +FB_ORDER_OF_INPUTS | FOOBAR3_ | 8 | a8 | 36 | 10 | a9 | 37 | 12 | a10 | 38 | 16 | add_dec/XLXN_11 | NULL | 17 | ier | NULL +FB_ORDER_OF_INPUTS | FOOBAR3_ | 18 | cpu_a<1> | 24 | 22 | spi_int | 42 | 28 | spidatain<6> | NULL | 36 | cpu_a<0> | 22 | 41 | slaveinten | NULL +FB_ORDER_OF_INPUTS | FOOBAR3_ | 43 | start_shifting | NULL | 45 | nio_stb | 43 | 50 | nio_sel | 40 | 52 | spidatain<5> | NULL | 53 | cpu_Nphi2 | 5 -FB_IMUX_INDEX | FOOBAR3_ | 126 | 55 | 56 | -1 | -1 | 131 | 24 | 25 | -1 | -1 | -1 | -1 | -1 | 121 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 123 | 20 | -1 | -1 | -1 | -1 | -1 | -1 | 26 | 62 | -1 | -1 | -1 | 54 | -1 | 111 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 120 | -1 | -1 +FB_IMUX_INDEX | FOOBAR3_ | 126 | -1 | 20 | 21 | -1 | 131 | 24 | -1 | 80 | -1 | 82 | -1 | 84 | -1 | -1 | -1 | 52 | 71 | 111 | -1 | -1 | -1 | 98 | -1 | -1 | -1 | -1 | -1 | 25 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 121 | -1 | -1 | -1 | -1 | 8 | -1 | 56 | -1 | 102 | -1 | -1 | -1 | -1 | 92 | -1 | 27 | 120 -FB_ORDER_OF_INPUTS | FOOBAR4_ | 0 | cpu_rnw | 7 | 1 | tc | NULL | 2 | start_shifting | NULL | 3 | spidataout<7> | NULL | 4 | spidataout<6> | NULL -FB_ORDER_OF_INPUTS | FOOBAR4_ | 5 | Ncs2 | 18 | 6 | spidataout<4> | NULL | 7 | spidataout<3> | NULL | 8 | spi_int | 42 | 9 | frx | NULL -FB_ORDER_OF_INPUTS | FOOBAR4_ | 10 | slavesel | NULL | 13 | cpu_a<0> | 22 | 15 | cpol | NULL | 16 | cpu_d<7> | 13 | 17 | cpu_Nres | 19 -FB_ORDER_OF_INPUTS | FOOBAR4_ | 18 | shiftdone | NULL | 20 | cpu_d<0> | 2 | 21 | cpu_d<6> | 12 | 24 | cs1 | 20 | 25 | shifting2 | NULL -FB_ORDER_OF_INPUTS | FOOBAR4_ | 26 | $OpTx$INV$22__$INT.UIM | NULL | 28 | slaveinten | NULL | 30 | spidataout<5> | NULL | 33 | ier | NULL | 39 | cpu_a<1> | 24 -FB_ORDER_OF_INPUTS | FOOBAR4_ | 40 | shiftcnt<0> | NULL | 42 | cpu_d<5> | 11 | 43 | cpha | NULL | 44 | cpu_d<4> | 9 | 49 | start_shifting/start_shifting_RSTF__$INT.UIM | NULL -FB_ORDER_OF_INPUTS | FOOBAR4_ | 53 | cpu_d<3> | 8 +FB_ORDER_OF_INPUTS | FOOBAR4_ | 0 | shiftdone | NULL | 2 | shifting2 | NULL | 3 | spidataout<7> | NULL | 4 | shiftcnt<0> | NULL | 5 | Ncs2 | 18 +FB_ORDER_OF_INPUTS | FOOBAR4_ | 6 | spidataout<5> | NULL | 8 | spidataout<4> | NULL | 9 | frx | NULL | 10 | slavesel | NULL | 11 | spidatain<0> | NULL +FB_ORDER_OF_INPUTS | FOOBAR4_ | 12 | shiftcnt<3> | NULL | 13 | cpu_a<0> | 22 | 14 | shiftcnt<2> | NULL | 15 | cpol | NULL | 16 | cpu_d<7> | 13 +FB_ORDER_OF_INPUTS | FOOBAR4_ | 17 | cpha | NULL | 19 | spi_miso | 44 | 21 | cpu_d<6> | 12 | 23 | a8 | 36 | 26 | $OpTx$INV$24__$INT.UIM | NULL +FB_ORDER_OF_INPUTS | FOOBAR4_ | 28 | a9 | 37 | 29 | cpu_d<0> | 2 | 30 | spidataout<6> | NULL | 31 | cpu_rnw | 7 | 32 | a10 | 38 +FB_ORDER_OF_INPUTS | FOOBAR4_ | 35 | shiftcnt<1> | NULL | 39 | cpu_a<1> | 24 | 42 | cpu_d<5> | 11 | 43 | start_shifting | NULL | 44 | cpu_d<4> | 9 +FB_ORDER_OF_INPUTS | FOOBAR4_ | 46 | cpu_Nres | 19 | 47 | ier | NULL | 50 | nio_sel | 40 | 53 | start_shifting/start_shifting_RSTF__$INT.UIM | NULL -FB_IMUX_INDEX | FOOBAR4_ | 126 | 55 | 56 | 57 | 58 | 131 | 60 | 61 | 98 | 9 | 64 | -1 | -1 | 121 | -1 | 15 | 142 | 125 | 21 | -1 | 108 | 138 | -1 | -1 | 123 | 20 | 23 | -1 | 8 | -1 | 59 | -1 | -1 | 62 | -1 | -1 | -1 | -1 | -1 | 111 | 22 | -1 | 134 | 17 | 132 | -1 | -1 | -1 | -1 | 35 | -1 | -1 | -1 | 130 +FB_IMUX_INDEX | FOOBAR4_ | 54 | -1 | 20 | 57 | 22 | 131 | 60 | -1 | 62 | 9 | 64 | 65 | 66 | 121 | 68 | 15 | 142 | 17 | -1 | 104 | -1 | 138 | -1 | 80 | -1 | -1 | 23 | -1 | 82 | 108 | 59 | 126 | 84 | -1 | -1 | 69 | -1 | -1 | -1 | 111 | -1 | -1 | 134 | 56 | 132 | -1 | 125 | 71 | -1 | -1 | 92 | -1 | -1 | 35 + +GLOBAL_FCLK | extclk | 1 | 1 diff --git a/spi6502b.xml b/spi6502b.xml index b769fc7..8efeb2e 100644 --- a/spi6502b.xml +++ b/spi6502b.xml @@ -1,3 +1,3 @@ -spi6502b.rptC:/Xilinx/xc9500xl/data/xc9572xl.chpspi6502b.mfd
+spi6502b.rptC:/Xilinx/xc9500xl/data/xc9572xl.chpspi6502b.mfd
diff --git a/spi6502b_pad.csv b/spi6502b_pad.csv index 84e7d04..a1a112e 100644 --- a/spi6502b_pad.csv +++ b/spi6502b_pad.csv @@ -1,7 +1,7 @@ Release 6.1i - Fit G.38 Copyright(c) 1995-2003 Xilinx Inc. All rights reserved - 5- 6-2017 5:47PM + 5-11-2017 2:09AM NOTE: This file is designed to be imported into a spreadsheet program such as Microsoft Excel for viewing, printing and sorting. The comma ',' @@ -23,7 +23,7 @@ P2,cpu_d<0>,I/O,I/O,BIDIR,,,,,,,,, P3,cpu_d<1>,I/O,I/O,BIDIR,,,,,,,,, P4,cpu_d<2>,I/O,I/O,BIDIR,,,,,,,,, P5,cpu_Nphi2,I,I/O/GCK1,INPUT,,,,,,,,, -P6,extclk,I,I/O/GCK2,INPUT,,,,,,,,, +P6,extclk,GCK/I,I/O/GCK2,,,,,,,,,, P7,cpu_rnw,I,I/O/GCK3,INPUT,,,,,,,,, P8,cpu_d<3>,I/O,I/O,BIDIR,,,,,,,,, P9,cpu_d<4>,I/O,I/O,BIDIR,,,,,,,,, @@ -31,20 +31,20 @@ P10,GND,,GND,,,,,,,,,, P11,cpu_d<5>,I/O,I/O,BIDIR,,,,,,,,, P12,cpu_d<6>,I/O,I/O,BIDIR,,,,,,,,, P13,cpu_d<7>,I/O,I/O,BIDIR,,,,,,,,, -P14,cpu_Nirq,O,I/O,OUTPUT,,,,,,,,, +P14,noe,O,I/O,OUTPUT,,,,,,,,, P15,TDI,,TDI,,,,,,,,,, P16,TMS,,TMS,,,,,,,,,, P17,TCK,,TCK,,,,,,,,,, P18,Ncs2,I,I/O,INPUT,,,,,,,,, P19,cpu_Nres,I,I/O,INPUT,,,,,,,,, -P20,cs1,I,I/O,INPUT,,,,,,,,, +P20,ng,O,I/O,OUTPUT,,,,,,,,, P21,VCC,,VCCINT,,,,,,,,,, P22,cpu_a<0>,I,I/O,INPUT,,,,,,,,, P23,GND,,GND,,,,,,,,,, P24,cpu_a<1>,I,I/O,INPUT,,,,,,,,, -P25,TIE,,I/O,,,,,,,,,, -P26,TIE,,I/O,,,,,,,,,, -P27,TIE,,I/O,,,,,,,,,, +P25,b8,O,I/O,OUTPUT,,,,,,,,, +P26,b9,O,I/O,OUTPUT,,,,,,,,, +P27,b10,O,I/O,OUTPUT,,,,,,,,, P28,spi_Nsel,O,I/O,OUTPUT,,,,,,,,, P29,led,O,I/O,OUTPUT,,,,,,,,, P30,TDO,,TDO,,,,,,,,,, @@ -53,14 +53,14 @@ P32,VCC,,VCCIO,,,,,,,,,, P33,TIE,,I/O,,,,,,,,,, P34,spi_sclk,O,I/O,OUTPUT,,,,,,,,, P35,spi_mosi,O,I/O,OUTPUT,,,,,,,,, -P36,TIE,,I/O,,,,,,,,,, -P37,TIE,,I/O,,,,,,,,,, -P38,TIE,,I/O,,,,,,,,,, -P39,TIE,,I/O/GSR,,,,,,,,,, -P40,TIE,,I/O/GTS2,,,,,,,,,, +P36,a8,I,I/O,INPUT,,,,,,,,, +P37,a9,I,I/O,INPUT,,,,,,,,, +P38,a10,I,I/O,INPUT,,,,,,,,, +P39,cpu_Nirq,O,I/O/GSR,OUTPUT,,,,,,,,, +P40,nio_sel,I,I/O/GTS2,INPUT,,,,,,,,, P41,VCC,,VCCINT,,,,,,,,,, P42,spi_int,I,I/O/GTS1,INPUT,,,,,,,,, -P43,TIE,,I/O,,,,,,,,,, +P43,nio_stb,I,I/O,INPUT,,,,,,,,, P44,spi_miso,I,I/O,INPUT,,,,,,,,, To preserve the pinout above for future design iterations in