From f685f98029c3a1b27e33d80d7454158cb1e144cc Mon Sep 17 00:00:00 2001 From: freitz85 Date: Wed, 5 Jul 2017 19:22:02 +0200 Subject: [PATCH] address decoder added --- .gitignore | 120 +- .untf | 0 SPI6502B.lfp | 15 +- SPI6502B.ucf | 14 +- SPI6502B1.1.vhd | 45 +- _ngo/netlist.lst | 2 +- _pace.ucf | 71 +- address_decoder._u_ | 0 address_decoder.lfp | 15 + address_decoder.sch | 240 ++ address_decoder.ucf | 19 + address_decoder.vhf | 288 ++ address_decoder_html/fit/applet.js | 128 + address_decoder_html/fit/appletref.htm | 15 + address_decoder_html/fit/asciidoc.htm | 71 + address_decoder_html/fit/backtop.jpg | Bin 0 -> 1651 bytes address_decoder_html/fit/beginstraight.gif | Bin 0 -> 352 bytes address_decoder_html/fit/blank.gif | Bin 0 -> 43 bytes address_decoder_html/fit/blank.htm | 1 + address_decoder_html/fit/briefview.jpg | Bin 0 -> 1254 bytes address_decoder_html/fit/check.htm | 10 + address_decoder_html/fit/checkNS4.htm | 10 + address_decoder_html/fit/contact.gif | Bin 0 -> 741 bytes .../fit/coolrunnerII_logo.jpg | Bin 0 -> 9562 bytes address_decoder_html/fit/coolrunner_logo.jpg | Bin 0 -> 11278 bytes address_decoder_html/fit/education.gif | Bin 0 -> 816 bytes address_decoder_html/fit/endmkt.gif | Bin 0 -> 1560 bytes address_decoder_html/fit/eqns.js | 902 +++++ address_decoder_html/fit/equations.gif | Bin 0 -> 20290 bytes address_decoder_html/fit/equations.htm | 13 + address_decoder_html/fit/equationsdoc.htm | 53 + address_decoder_html/fit/errors.js | 41 + address_decoder_html/fit/errors1.gif | Bin 0 -> 5648 bytes address_decoder_html/fit/errors2.gif | Bin 0 -> 3603 bytes address_decoder_html/fit/errorsdoc.htm | 91 + address_decoder_html/fit/fb.gif | Bin 0 -> 10994 bytes address_decoder_html/fit/fb1.gif | Bin 0 -> 7918 bytes address_decoder_html/fit/fbs.js | 9 + address_decoder_html/fit/fbs_FBdoc.htm | 307 ++ address_decoder_html/fit/fbsdoc.htm | 103 + address_decoder_html/fit/fbview.jpg | Bin 0 -> 2652 bytes address_decoder_html/fit/functionblock.gif | Bin 0 -> 22502 bytes address_decoder_html/fit/genmsg.htm | 17 + address_decoder_html/fit/header.gif | Bin 0 -> 7878 bytes address_decoder_html/fit/home.gif | Bin 0 -> 940 bytes address_decoder_html/fit/index.htm | 15 + address_decoder_html/fit/leftnav.js | 176 + address_decoder_html/fit/legend.gif | Bin 0 -> 1213 bytes address_decoder_html/fit/legend.jpg | Bin 0 -> 1256 bytes address_decoder_html/fit/logic_legXC95.htm | 2 + address_decoder_html/fit/logic_legXbr.htm | 15 + address_decoder_html/fit/logic_legXpla3.htm | 3 + address_decoder_html/fit/macrocell.gif | Bin 0 -> 7699 bytes address_decoder_html/fit/mapinputdoc.htm | 158 + address_decoder_html/fit/maplogic.js | 23 + address_decoder_html/fit/maplogicdoc.htm | 185 + address_decoder_html/fit/newappletref.htm | 15 + address_decoder_html/fit/next.jpg | Bin 0 -> 1079 bytes address_decoder_html/fit/ns4plugin.js | 55 + address_decoder_html/fit/optionsdoc.htm | 760 ++++ address_decoder_html/fit/paths.js | 37 + address_decoder_html/fit/pin.gif | Bin 0 -> 3093 bytes address_decoder_html/fit/pin_legXC95.htm | 13 + address_decoder_html/fit/pin_legXbr.htm | 20 + address_decoder_html/fit/pin_legXpla3.htm | 13 + address_decoder_html/fit/pindiagram.gif | Bin 0 -> 21649 bytes address_decoder_html/fit/pins.js | 62 + address_decoder_html/fit/pinsdoc.htm | 265 ++ address_decoder_html/fit/pinview.jpg | Bin 0 -> 1868 bytes address_decoder_html/fit/plugin.js | 96 + address_decoder_html/fit/prev.jpg | Bin 0 -> 1490 bytes address_decoder_html/fit/print.jpg | Bin 0 -> 1683 bytes address_decoder_html/fit/products.gif | Bin 0 -> 828 bytes address_decoder_html/fit/purchase.gif | Bin 0 -> 642 bytes address_decoder_html/fit/report.htm | 27 + address_decoder_html/fit/result.htm | 14 + address_decoder_html/fit/search.gif | Bin 0 -> 975 bytes address_decoder_html/fit/spacer.gif | Bin 0 -> 233 bytes address_decoder_html/fit/style.css | 19 + address_decoder_html/fit/summary.js | 26 + address_decoder_html/fit/summarydoc.htm | 102 + address_decoder_html/fit/support.gif | Bin 0 -> 760 bytes address_decoder_html/fit/tooltips.js | 143 + address_decoder_html/fit/topnav.js | 28 + address_decoder_html/fit/unmapinputdoc.htm | 65 + address_decoder_html/fit/unmaplogicdoc.htm | 68 + address_decoder_html/fit/verboseview.jpg | Bin 0 -> 1262 bytes address_decoder_html/fit/view.gif | Bin 0 -> 2913 bytes address_decoder_html/fit/xc9500_logo.gif | Bin 0 -> 8103 bytes address_decoder_html/fit/xc9500_logo.jpg | Bin 0 -> 8318 bytes address_decoder_html/fit/xc9500xl_logo.gif | Bin 0 -> 9315 bytes address_decoder_html/fit/xc9500xl_logo.jpg | Bin 0 -> 9908 bytes address_decoder_html/fit/xc9500xv_logo.jpg | Bin 0 -> 9336 bytes address_decoder_html/fit/xcenter.gif | Bin 0 -> 868 bytes address_decoder_html/fit/xlogo.gif | Bin 0 -> 3407 bytes address_decoder_html/fit/xml5.jpg | Bin 0 -> 117992 bytes address_decoder_html/fit/xml6.jpg | Bin 0 -> 88780 bytes address_decoder_html/fit/xml7.jpg | Bin 0 -> 73104 bytes address_decoder_html/fit/xml8.jpg | Bin 0 -> 41810 bytes address_decoder_html/images/CVS/Entries | 12 + address_decoder_html/images/CVS/Repository | 1 + address_decoder_html/images/CVS/Root | 1 + address_decoder_html/images/CVS/Tag | 1 + address_decoder_html/images/blackBar.jpg | Bin 0 -> 750 bytes address_decoder_html/images/cpldBanner.jpg | Bin 0 -> 5897 bytes address_decoder_html/images/cr2s_logo.jpg | Bin 0 -> 2884 bytes address_decoder_html/images/fitterRpt.jpg | Bin 0 -> 1341 bytes address_decoder_html/images/logo.jpg | Bin 0 -> 2922 bytes address_decoder_html/images/spacer.jpg | Bin 0 -> 444 bytes address_decoder_html/images/timingRpt.jpg | Bin 0 -> 1480 bytes address_decoder_html/images/xbr_logo.jpg | Bin 0 -> 2865 bytes address_decoder_html/images/xc9500_logo.jpg | Bin 0 -> 1979 bytes address_decoder_html/images/xc9500xl_logo.jpg | Bin 0 -> 2922 bytes address_decoder_html/images/xc9500xv_logo.jpg | Bin 0 -> 2499 bytes address_decoder_html/images/xpla3_logo.jpg | Bin 0 -> 4130 bytes address_decoder_html/tim/CVS/Entries | 9 + address_decoder_html/tim/CVS/Repository | 1 + address_decoder_html/tim/CVS/Root | 1 + address_decoder_html/tim/CVS/Tag | 1 + address_decoder_html/tim/cpldta_glossary.htm | 250 ++ address_decoder_html/tim/cpldta_style.css | 144 + address_decoder_html/tim/genreport.htm | 17 + address_decoder_html/tim/leftnav.htm | 37 + address_decoder_html/tim/report.htm | 14 + address_decoder_html/tim/timing_report.htm | 10 + address_decoder_html/tim/toc.css | 36 + address_decoder_html/tim/topnav.htm | 31 + spi65.dhp | 2 +- spi65.npl | 1 + spi6502b.bld | 2 +- spi6502b.gyd | 50 +- spi6502b.jed | 1318 +++---- spi6502b.mfd | 586 +-- spi6502b.ngc | 2 +- spi6502b.ngd | 2 +- spi6502b.ngr | 2 +- spi6502b.pnx | 2 +- spi6502b.prj | 1 + spi6502b.rpt | 628 +-- spi6502b.syr | 78 +- spi6502b.vm6 | 3439 +++++++++-------- spi6502b.xml | 2 +- spi6502b_pad.csv | 26 +- 143 files changed, 8693 insertions(+), 3019 deletions(-) create mode 100644 .untf create mode 100644 address_decoder._u_ create mode 100644 address_decoder.lfp create mode 100644 address_decoder.sch create mode 100644 address_decoder.ucf create mode 100644 address_decoder.vhf create mode 100644 address_decoder_html/fit/applet.js create mode 100644 address_decoder_html/fit/appletref.htm create mode 100644 address_decoder_html/fit/asciidoc.htm create mode 100644 address_decoder_html/fit/backtop.jpg create mode 100644 address_decoder_html/fit/beginstraight.gif create mode 100644 address_decoder_html/fit/blank.gif create mode 100644 address_decoder_html/fit/blank.htm create mode 100644 address_decoder_html/fit/briefview.jpg create mode 100644 address_decoder_html/fit/check.htm create mode 100644 address_decoder_html/fit/checkNS4.htm create mode 100644 address_decoder_html/fit/contact.gif create mode 100644 address_decoder_html/fit/coolrunnerII_logo.jpg create mode 100644 address_decoder_html/fit/coolrunner_logo.jpg create mode 100644 address_decoder_html/fit/education.gif create mode 100644 address_decoder_html/fit/endmkt.gif create mode 100644 address_decoder_html/fit/eqns.js create mode 100644 address_decoder_html/fit/equations.gif create mode 100644 address_decoder_html/fit/equations.htm create mode 100644 address_decoder_html/fit/equationsdoc.htm create mode 100644 address_decoder_html/fit/errors.js create mode 100644 address_decoder_html/fit/errors1.gif create mode 100644 address_decoder_html/fit/errors2.gif create mode 100644 address_decoder_html/fit/errorsdoc.htm create mode 100644 address_decoder_html/fit/fb.gif create mode 100644 address_decoder_html/fit/fb1.gif create mode 100644 address_decoder_html/fit/fbs.js create mode 100644 address_decoder_html/fit/fbs_FBdoc.htm create mode 100644 address_decoder_html/fit/fbsdoc.htm create mode 100644 address_decoder_html/fit/fbview.jpg create mode 100644 address_decoder_html/fit/functionblock.gif create mode 100644 address_decoder_html/fit/genmsg.htm create mode 100644 address_decoder_html/fit/header.gif create mode 100644 address_decoder_html/fit/home.gif create mode 100644 address_decoder_html/fit/index.htm create mode 100644 address_decoder_html/fit/leftnav.js create mode 100644 address_decoder_html/fit/legend.gif create mode 100644 address_decoder_html/fit/legend.jpg create mode 100644 address_decoder_html/fit/logic_legXC95.htm create mode 100644 address_decoder_html/fit/logic_legXbr.htm create mode 100644 address_decoder_html/fit/logic_legXpla3.htm create mode 100644 address_decoder_html/fit/macrocell.gif create mode 100644 address_decoder_html/fit/mapinputdoc.htm create mode 100644 address_decoder_html/fit/maplogic.js create mode 100644 address_decoder_html/fit/maplogicdoc.htm create mode 100644 address_decoder_html/fit/newappletref.htm create mode 100644 address_decoder_html/fit/next.jpg create mode 100644 address_decoder_html/fit/ns4plugin.js create mode 100644 address_decoder_html/fit/optionsdoc.htm create mode 100644 address_decoder_html/fit/paths.js create mode 100644 address_decoder_html/fit/pin.gif create mode 100644 address_decoder_html/fit/pin_legXC95.htm create mode 100644 address_decoder_html/fit/pin_legXbr.htm create mode 100644 address_decoder_html/fit/pin_legXpla3.htm create mode 100644 address_decoder_html/fit/pindiagram.gif create mode 100644 address_decoder_html/fit/pins.js create mode 100644 address_decoder_html/fit/pinsdoc.htm create mode 100644 address_decoder_html/fit/pinview.jpg create mode 100644 address_decoder_html/fit/plugin.js create mode 100644 address_decoder_html/fit/prev.jpg create mode 100644 address_decoder_html/fit/print.jpg create mode 100644 address_decoder_html/fit/products.gif create mode 100644 address_decoder_html/fit/purchase.gif create mode 100644 address_decoder_html/fit/report.htm create mode 100644 address_decoder_html/fit/result.htm create mode 100644 address_decoder_html/fit/search.gif create mode 100644 address_decoder_html/fit/spacer.gif create mode 100644 address_decoder_html/fit/style.css create mode 100644 address_decoder_html/fit/summary.js create mode 100644 address_decoder_html/fit/summarydoc.htm create mode 100644 address_decoder_html/fit/support.gif create mode 100644 address_decoder_html/fit/tooltips.js create mode 100644 address_decoder_html/fit/topnav.js create mode 100644 address_decoder_html/fit/unmapinputdoc.htm create mode 100644 address_decoder_html/fit/unmaplogicdoc.htm create mode 100644 address_decoder_html/fit/verboseview.jpg create mode 100644 address_decoder_html/fit/view.gif create mode 100644 address_decoder_html/fit/xc9500_logo.gif create mode 100644 address_decoder_html/fit/xc9500_logo.jpg create mode 100644 address_decoder_html/fit/xc9500xl_logo.gif create mode 100644 address_decoder_html/fit/xc9500xl_logo.jpg create mode 100644 address_decoder_html/fit/xc9500xv_logo.jpg create mode 100644 address_decoder_html/fit/xcenter.gif create mode 100644 address_decoder_html/fit/xlogo.gif create mode 100644 address_decoder_html/fit/xml5.jpg create mode 100644 address_decoder_html/fit/xml6.jpg create mode 100644 address_decoder_html/fit/xml7.jpg create mode 100644 address_decoder_html/fit/xml8.jpg create mode 100644 address_decoder_html/images/CVS/Entries create mode 100644 address_decoder_html/images/CVS/Repository create mode 100644 address_decoder_html/images/CVS/Root create mode 100644 address_decoder_html/images/CVS/Tag create mode 100644 address_decoder_html/images/blackBar.jpg create mode 100644 address_decoder_html/images/cpldBanner.jpg create mode 100644 address_decoder_html/images/cr2s_logo.jpg create mode 100644 address_decoder_html/images/fitterRpt.jpg create mode 100644 address_decoder_html/images/logo.jpg create mode 100644 address_decoder_html/images/spacer.jpg create mode 100644 address_decoder_html/images/timingRpt.jpg create mode 100644 address_decoder_html/images/xbr_logo.jpg create mode 100644 address_decoder_html/images/xc9500_logo.jpg create mode 100644 address_decoder_html/images/xc9500xl_logo.jpg create mode 100644 address_decoder_html/images/xc9500xv_logo.jpg create mode 100644 address_decoder_html/images/xpla3_logo.jpg create mode 100644 address_decoder_html/tim/CVS/Entries create mode 100644 address_decoder_html/tim/CVS/Repository create mode 100644 address_decoder_html/tim/CVS/Root create mode 100644 address_decoder_html/tim/CVS/Tag create mode 100644 address_decoder_html/tim/cpldta_glossary.htm create mode 100644 address_decoder_html/tim/cpldta_style.css create mode 100644 address_decoder_html/tim/genreport.htm create mode 100644 address_decoder_html/tim/leftnav.htm create mode 100644 address_decoder_html/tim/report.htm create mode 100644 address_decoder_html/tim/timing_report.htm create mode 100644 address_decoder_html/tim/toc.css create mode 100644 address_decoder_html/tim/topnav.htm diff --git a/.gitignore b/.gitignore index aa04e65..58e3919 100644 --- a/.gitignore +++ b/.gitignore @@ -1,4 +1,97 @@ -#Gitignore for files generated by Xilinx ISE +#ignore OS noise + +Thumbs.db +.DS_Store + +# Ignore list for Eagle, a PCB layout tool + +# Backup files +*.s#? +*.b#? +*.l#? + +# Eagle project file +# It contains a serial number and references to the file structure +# on your computer. +# comment the following line if you want to have your project file included. +eagle.epf + +# Autorouter files +*.pro +*.job + +# CAM files +*.$$$ +*.cmp +*.ly2 +*.l15 +*.sol +*.plc +*.stc +*.sts +*.crc +*.crs + +*.dri +*.drl +*.gpi +*.pls + +*.drd +*.drd.* + +*.info + +*.eps + +# file locks introduced since 7.x +*.lck + +# Xilinx ISE +# intermediate build files +*.bgn +*.bit +*.bld +*.cmd_log +*.drc +*.ll +*.lso +*.msd +*.msk +*.ncd +*.ngc +*.ngd +*.ngr +*.pad +*.par +*.pcf +*.prj +*.ptwx +*.rbb +*.rbd +*.stx +*.syr +*.twr +*.twx +*.unroutes +*.ut +*.xpi +*.xst +*_bitgen.xwbt +*_envsettings.html +*_map.map +*_map.mrp +*_map.ngm +*_map.xrpt +*_ngdbuild.xrpt +*_pad.csv +*_pad.txt +*_par.xrpt +*_summary.html +*_summary.xml +*_usage.xml +*_xst.xrpt + *.log *.svf @@ -38,7 +131,26 @@ impact_impact.xwbt spi6502b_html*/ __projnav*/ -#ignore OS noise +# iMPACT generated files +_impactbatch.log +impact.xsl +impact_impact.xwbt +ise_impact.cmd +webtalk_impact.xml -Thumbs.db -.DS_Store +# Core Generator generated files +xaw2verilog.log + +# project-wide generated files +*.gise +par_usage_statistics.html +usage_statistics_webtalk.html +webtalk.log +webtalk_pn.xml + +# generated folders +iseconfig/ +xlnx_auto_0_xdb/ +xst/ +_ngo/ +_xmsgs/ diff --git a/.untf b/.untf new file mode 100644 index 0000000..e69de29 diff --git a/SPI6502B.lfp b/SPI6502B.lfp index 8cce84c..96eff7a 100644 --- a/SPI6502B.lfp +++ b/SPI6502B.lfp @@ -1,4 +1,4 @@ -# begin LFP file C:\sources\spi65\SPI6502B.lfp +# begin LFP file C:\sources\AppleIISd\SPI6502B.lfp designfile spi6502b.ngd IO_GROUP "spi_Nsel" ; IO_GROUP "spi_miso" ; @@ -6,22 +6,9 @@ IO_GROUP "spi_int" ; IO_GROUP "cpu_d" ; IO_GROUP "cpu_a" ; NET "spi_sclk" COLOR=6 ; -NET "spi_Nsel<3>" COLOR=6 IO_GROUP="spi_Nsel" ; -NET "spi_Nsel<2>" COLOR=6 IO_GROUP="spi_Nsel" ; -NET "spi_Nsel<1>" COLOR=6 IO_GROUP="spi_Nsel" ; -NET "spi_Nsel<0>" COLOR=6 IO_GROUP="spi_Nsel" ; NET "spi_mosi" COLOR=6 ; -NET "spi_miso<3>" COLOR=6 IO_GROUP="spi_miso" ; -NET "spi_miso<2>" COLOR=6 IO_GROUP="spi_miso" ; -NET "spi_miso<1>" COLOR=6 IO_GROUP="spi_miso" ; -NET "spi_miso<0>" COLOR=6 IO_GROUP="spi_miso" ; -NET "spi_int<3>" COLOR=6 IO_GROUP="spi_int" ; -NET "spi_int<2>" COLOR=6 IO_GROUP="spi_int" ; -NET "spi_int<1>" COLOR=6 IO_GROUP="spi_int" ; -NET "spi_int<0>" COLOR=6 IO_GROUP="spi_int" ; NET "Ncs2" COLOR=6 ; NET "extclk" COLOR=6 ; -NET "diag" COLOR=6 ; NET "cpu_rnw" COLOR=6 ; NET "cpu_Nres" COLOR=6 ; NET "cpu_Nphi2" COLOR=6 ; diff --git a/SPI6502B.ucf b/SPI6502B.ucf index d030ba8..8a6dd8e 100644 --- a/SPI6502B.ucf +++ b/SPI6502B.ucf @@ -3,6 +3,12 @@ #PACE: Start of Constraints generated by PACE #PACE: Start of PACE I/O Pin Assignments +NET "a10" LOC = "P38" ; +NET "b10" LOC = "P27" ; +NET "a8" LOC = "P36" ; +NET "b8" LOC = "P25" ; +NET "a9" LOC = "P37" ; +NET "b9" LOC = "P26" ; NET "cpu_a<0>" LOC = "P22" ; NET "cpu_a<1>" LOC = "P24" ; NET "cpu_d<0>" LOC = "P2" ; @@ -13,14 +19,16 @@ NET "cpu_d<4>" LOC = "P9" ; NET "cpu_d<5>" LOC = "P11" ; NET "cpu_d<6>" LOC = "P12" ; NET "cpu_d<7>" LOC = "P13" ; -NET "cpu_Nirq" LOC = "P14" ; NET "cpu_Nphi2" LOC = "P5" ; NET "cpu_Nres" LOC = "P19" ; NET "cpu_rnw" LOC = "P7" ; -NET "cs1" LOC = "P20" ; -NET "led" LOC = "P29" ; NET "extclk" LOC = "P6" ; +NET "nio_sel" LOC = "P40" ; +NET "nio_stb" LOC = "P43" ; +NET "led" LOC = "P29" ; NET "Ncs2" LOC = "P18" ; +NET "ng" LOC = "P20" ; +NET "noe" LOC = "P14" ; NET "spi_int" LOC = "P42" ; NET "spi_miso" LOC = "P44" ; NET "spi_mosi" LOC = "P35" ; diff --git a/SPI6502B1.1.vhd b/SPI6502B1.1.vhd index e94ed6d..23a4496 100644 --- a/SPI6502B1.1.vhd +++ b/SPI6502B1.1.vhd @@ -33,6 +33,8 @@ library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; +use address_decoder.ALL; + -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; @@ -52,7 +54,6 @@ entity SPI6502B is cpu_Nres : in STD_LOGIC; cpu_a : in STD_LOGIC_VECTOR (1 downto 0); cpu_Nphi2 : in STD_LOGIC; - cs1 : in STD_LOGIC; Ncs2 : in STD_LOGIC; extclk : in STD_LOGIC; spi_miso: in std_logic; @@ -60,7 +61,18 @@ entity SPI6502B is spi_sclk : out STD_LOGIC; spi_Nsel : out STD_LOGIC; spi_int : in STD_LOGIC; - led : out std_logic + led : out std_logic; + + a8 : in std_logic; + a9 : in std_logic; + a10 : in std_logic; + nio_sel : in std_logic; + nio_stb : in std_logic; + b8 : out std_logic; + b9 : out std_logic; + b10 : out std_logic; + noe : out std_logic; + ng : out std_logic ); constant DIV_WIDTH : integer := 3; @@ -117,11 +129,36 @@ architecture Behavioral of SPI6502B is signal divcnt: std_logic_vector(DIV_WIDTH-1 downto 0); -- divisor counter signal shiftclk : std_logic; + + component address_decoder + port ( A8 : in std_logic; + A9 : in std_logic; + A10 : in std_logic; + CLK : in std_logic; + NIO_SEL : in std_logic; + NIO_STB : in std_logic; + A8_B : out std_logic; + A9_B : out std_logic; + A10_B : out std_logic; + NOE : out std_logic); + end component; begin + add_dec : address_decoder + port map (A8=>a8, + A9=>a9, + A10=>a10, + CLK=>extclk, + NIO_SEL=>nio_sel, + NIO_STB=>nio_stb, + A8_B=>b8, + A9_B=>b9, + A10_B=>b10, + NOE=>noe); + led <= not (bsy or not slavesel); --'0'; --shifting2; --shiftdone; --shiftcnt(2); - + ng <= Ncs2 and nio_sel and nio_stb; -------------------------- bsy <= start_shifting or shifting2; @@ -256,7 +293,7 @@ begin -- interface section -- inputs reset <= not (cpu_Nres); - selected <= cs1 and not(Ncs2); -- and cpu_phi2; + selected <= not(Ncs2); -- and cpu_phi2; is_read <= selected and cpu_Nphi2 and cpu_rnw; int_din <= cpu_d; slaveint <= not(spi_int); -- active low interrupt inputs diff --git a/_ngo/netlist.lst b/_ngo/netlist.lst index 20f8fc8..42a41ae 100644 --- a/_ngo/netlist.lst +++ b/_ngo/netlist.lst @@ -1,2 +1,2 @@ -C:\sources\AppleIISd\spi6502b.ngc 1494085672 +C:\sources\AppleIISd\spi6502b.ngc 1494461294 OK diff --git a/_pace.ucf b/_pace.ucf index add0940..9d5d775 100644 --- a/_pace.ucf +++ b/_pace.ucf @@ -1,41 +1,42 @@ - -NET "cpu_Nphi2" loc="P5"; -NET "extclk" loc="P6"; -NET "cpu_rnw" loc="P7"; - #net "diag" loc="P29"; -NET "cpu_d<0>" loc="P2"; -NET "cpu_d<1>" loc="P3"; -NET "cpu_d<2>" loc="P4"; -NET "cpu_d<3>" loc="P8"; -NET "cpu_d<4>" loc="P9"; -NET "cpu_d<5>" loc="P11"; -NET "cpu_d<6>" loc="P12"; -NET "cpu_d<7>" loc="P13"; +#PACE: Start of Constraints generated by PACE -NET "cpu_Nirq" loc="P14"; -NET "Ncs2" loc="P18"; -NET "cs1" loc="P20"; -NET "cpu_Nres" loc="P19"; - -NET "cpu_a<0>" loc="P22"; -NET "cpu_a<1>" loc="P24"; +#PACE: Start of PACE I/O Pin Assignments +NET "A10" LOC = "P38" ; +NET "A10_B" LOC = "P25" ; +NET "A8" LOC = "P36" ; +NET "A8_B" LOC = "P27" ; +NET "A9" LOC = "P37" ; +NET "A9_B" LOC = "P26" ; +NET "cpu_a<0>" LOC = "P22" ; +NET "cpu_a<1>" LOC = "P24" ; +NET "cpu_d<0>" LOC = "P2" ; +NET "cpu_d<1>" LOC = "P3" ; +NET "cpu_d<2>" LOC = "P4" ; +NET "cpu_d<3>" LOC = "P8" ; +NET "cpu_d<4>" LOC = "P9" ; +NET "cpu_d<5>" LOC = "P11" ; +NET "cpu_d<6>" LOC = "P12" ; +NET "cpu_d<7>" LOC = "P13" ; +NET "cpu_Nirq" LOC = "P14" ; +NET "cpu_Nphi2" LOC = "P5" ; +NET "cpu_Nres" LOC = "P19" ; +NET "cpu_rnw" LOC = "P7" ; +NET "extclk" LOC = "P6" ; +NET "IO_SEL" LOC = "P40" ; +NET "IO_STB" LOC = "P43" ; +NET "led" LOC = "P29" ; +NET "Ncs2" LOC = "P18" ; +NET "OE" LOC = "P1" ; +NET "spi_int" LOC = "P42" ; +NET "spi_miso" LOC = "P44" ; +NET "spi_mosi" LOC = "P35" ; +NET "spi_Nsel" LOC = "P28" ; +NET "spi_sclk" LOC = "P34" ; -NET "spi_int<0>" loc="P42"; -NET "spi_int<1>" loc="P40"; -NET "spi_int<2>" loc="P39"; -NET "spi_int<3>" loc="P1"; +#PACE: Start of PACE Area Constraints -NET "spi_Nsel<0>" loc="P28"; -NET "spi_Nsel<1>" loc="P27"; -NET "spi_Nsel<2>" loc="P26"; -NET "spi_Nsel<3>" loc="P25"; +#PACE: Start of PACE Prohibit Constraints -NET "spi_sclk" loc="P34"; -NET "spi_mosi" loc="P35"; - -NET "spi_miso<0>" loc="P44"; -NET "spi_miso<1>" loc="P43"; -NET "spi_miso<2>" loc="P38"; -NET "spi_miso<3>" loc="P37"; +#PACE: End of Constraints generated by PACE diff --git a/address_decoder._u_ b/address_decoder._u_ new file mode 100644 index 0000000..e69de29 diff --git a/address_decoder.lfp b/address_decoder.lfp new file mode 100644 index 0000000..273ab0b --- /dev/null +++ b/address_decoder.lfp @@ -0,0 +1,15 @@ +# begin LFP file C:\sources\AppleIISd\address_decoder.lfp +designfile address_decoder.ngd +INST "address_decoder" COLOR=15 ; +NET "OE" COLOR=6 ; +NET "IO_STB" COLOR=6 ; +NET "IO_SEL" COLOR=6 ; +NET "extclk" COLOR=6 ; +NET "A10_B" COLOR=6 ; +NET "A10" COLOR=6 ; +NET "A9_B" COLOR=6 ; +NET "A9" COLOR=6 ; +NET "A8_B" COLOR=6 ; +NET "A8" COLOR=6 ; +INST "XLXI_16" COLOR=7 ; +INST "XLXI_16/U0" COLOR=7 ; diff --git a/address_decoder.sch b/address_decoder.sch new file mode 100644 index 0000000..2d2da0a --- /dev/null +++ b/address_decoder.sch @@ -0,0 +1,240 @@ +VERSION 6 +BEGIN SCHEMATIC + BEGIN ATTR DeviceFamilyName "xc9500xl" + DELETE all:0 + EDITNAME all:0 + EDITTRAIT all:0 + END ATTR + BEGIN NETLIST + SIGNAL NIO_STB + SIGNAL XLXN_4 + SIGNAL A10 + SIGNAL A9 + SIGNAL A8 + SIGNAL XLXN_10 + SIGNAL XLXN_11 + SIGNAL NOE + SIGNAL CLK + SIGNAL XLXN_14 + SIGNAL A10_B + SIGNAL A9_B + SIGNAL A8_B + SIGNAL NIO_SEL + SIGNAL XLXN_19 + PORT Input NIO_STB + PORT Input A10 + PORT Input A9 + PORT Input A8 + PORT Output NOE + PORT Input CLK + PORT Output A10_B + PORT Output A9_B + PORT Output A8_B + PORT Input NIO_SEL + BEGIN BLOCKDEF fdrs + TIMESTAMP 2001 3 9 11 23 0 + LINE N 0 -128 64 -128 + LINE N 0 -256 64 -256 + LINE N 384 -256 320 -256 + LINE N 0 -32 64 -32 + LINE N 0 -352 64 -352 + RECTANGLE N 64 -320 320 -64 + LINE N 192 -64 192 -32 + LINE N 192 -32 64 -32 + LINE N 64 -112 80 -128 + LINE N 80 -128 64 -144 + LINE N 192 -320 192 -352 + LINE N 192 -352 64 -352 + END BLOCKDEF + BEGIN BLOCKDEF inv + TIMESTAMP 2001 3 9 11 23 50 + LINE N 0 -32 64 -32 + LINE N 224 -32 160 -32 + LINE N 64 -64 128 -32 + LINE N 128 -32 64 0 + LINE N 64 0 64 -64 + CIRCLE N 128 -48 160 -16 + END BLOCKDEF + BEGIN BLOCKDEF nand2 + TIMESTAMP 2001 3 9 11 23 50 + LINE N 0 -64 64 -64 + LINE N 0 -128 64 -128 + LINE N 256 -96 216 -96 + CIRCLE N 192 -108 216 -84 + LINE N 64 -48 64 -144 + LINE N 64 -144 144 -144 + LINE N 144 -48 64 -48 + ARC N 96 -144 192 -48 144 -48 144 -144 + END BLOCKDEF + BEGIN BLOCKDEF vcc + TIMESTAMP 2001 3 9 11 23 11 + LINE N 96 -64 32 -64 + LINE N 64 0 64 -32 + LINE N 64 -32 64 -64 + END BLOCKDEF + BEGIN BLOCKDEF and2 + TIMESTAMP 2001 5 11 10 41 37 + LINE N 0 -64 64 -64 + LINE N 0 -128 64 -128 + LINE N 256 -96 192 -96 + ARC N 96 -144 192 -48 144 -48 144 -144 + LINE N 144 -48 64 -48 + LINE N 64 -144 144 -144 + LINE N 64 -48 64 -144 + END BLOCKDEF + BEGIN BLOCKDEF and4b1 + TIMESTAMP 2001 5 11 10 43 32 + LINE N 0 -64 40 -64 + CIRCLE N 40 -76 64 -52 + LINE N 0 -128 64 -128 + LINE N 0 -192 64 -192 + LINE N 0 -256 64 -256 + LINE N 256 -160 192 -160 + LINE N 64 -64 64 -256 + LINE N 144 -112 64 -112 + ARC N 96 -208 192 -112 144 -112 144 -208 + LINE N 64 -208 144 -208 + END BLOCKDEF + BEGIN BLOCK XLXI_13 nand2 + PIN I0 NIO_SEL + PIN I1 NIO_STB + PIN O XLXN_4 + END BLOCK + BEGIN BLOCK XLXI_14 nand2 + PIN I0 XLXN_11 + PIN I1 XLXN_4 + PIN O NOE + END BLOCK + BEGIN BLOCK XLXI_16 fdrs + PIN C CLK + PIN D XLXN_14 + PIN R XLXN_10 + PIN S XLXN_19 + PIN Q XLXN_11 + END BLOCK + BEGIN BLOCK XLXI_17 vcc + PIN P XLXN_14 + END BLOCK + BEGIN BLOCK XLXI_18 and2 + PIN I0 A10 + PIN I1 NIO_SEL + PIN O A10_B + END BLOCK + BEGIN BLOCK XLXI_19 and2 + PIN I0 A9 + PIN I1 NIO_SEL + PIN O A9_B + END BLOCK + BEGIN BLOCK XLXI_20 and2 + PIN I0 A8 + PIN I1 NIO_SEL + PIN O A8_B + END BLOCK + BEGIN BLOCK XLXI_22 inv + PIN I NIO_SEL + PIN O XLXN_19 + END BLOCK + BEGIN BLOCK XLXI_23 and4b1 + PIN I0 NIO_STB + PIN I1 A10 + PIN I2 A9 + PIN I3 A8 + PIN O XLXN_10 + END BLOCK + END NETLIST + BEGIN SHEET 1 3520 2720 + IOMARKER 320 496 NIO_STB R180 28 + IOMARKER 320 560 NIO_SEL R180 28 + BEGIN BRANCH NIO_STB + WIRE 320 496 368 496 + WIRE 368 496 368 640 + WIRE 368 640 608 640 + WIRE 368 496 1120 496 + END BRANCH + BEGIN BRANCH XLXN_4 + WIRE 1376 528 1744 528 + END BRANCH + BEGIN BRANCH A10 + WIRE 320 704 592 704 + WIRE 592 704 608 704 + WIRE 592 704 592 1168 + WIRE 592 1168 1088 1168 + END BRANCH + BEGIN BRANCH A9 + WIRE 320 768 528 768 + WIRE 528 768 608 768 + WIRE 528 768 528 1312 + WIRE 528 1312 1088 1312 + END BRANCH + BEGIN BRANCH A8 + WIRE 320 832 480 832 + WIRE 480 832 608 832 + WIRE 480 832 480 1456 + WIRE 480 1456 1088 1456 + END BRANCH + IOMARKER 320 704 A10 R180 28 + IOMARKER 320 768 A9 R180 28 + IOMARKER 320 832 A8 R180 28 + BEGIN BRANCH NOE + WIRE 2000 560 2032 560 + END BRANCH + BEGIN BRANCH CLK + WIRE 320 928 1392 928 + END BRANCH + IOMARKER 320 928 CLK R180 28 + INSTANCE XLXI_18 1088 1232 R0 + INSTANCE XLXI_19 1088 1376 R0 + INSTANCE XLXI_20 1088 1520 R0 + BEGIN BRANCH A10_B + WIRE 1344 1136 1744 1136 + END BRANCH + BEGIN BRANCH A9_B + WIRE 1344 1280 1744 1280 + END BRANCH + BEGIN BRANCH A8_B + WIRE 1344 1424 1744 1424 + END BRANCH + INSTANCE XLXI_17 976 800 R0 + BEGIN BRANCH XLXN_14 + WIRE 1040 800 1392 800 + END BRANCH + INSTANCE XLXI_13 1120 624 R0 + BEGIN BRANCH XLXN_11 + WIRE 1728 592 1744 592 + WIRE 1728 592 1728 656 + WIRE 1728 656 1840 656 + WIRE 1840 656 1840 800 + WIRE 1776 800 1840 800 + END BRANCH + IOMARKER 1744 1136 A10_B R0 28 + IOMARKER 1744 1280 A9_B R0 28 + IOMARKER 1744 1424 A8_B R0 28 + IOMARKER 2032 560 NOE R0 28 + INSTANCE XLXI_14 1744 656 R0 + INSTANCE XLXI_16 1392 1056 R0 + BEGIN BRANCH XLXN_10 + WIRE 864 736 880 736 + WIRE 880 736 880 1024 + WIRE 880 1024 1392 1024 + END BRANCH + BEGIN BRANCH NIO_SEL + WIRE 320 560 944 560 + WIRE 944 560 1088 560 + WIRE 1088 560 1120 560 + WIRE 1088 560 1088 704 + WIRE 1088 704 1120 704 + WIRE 944 560 944 1104 + WIRE 944 1104 1088 1104 + WIRE 944 1104 944 1248 + WIRE 944 1248 944 1392 + WIRE 944 1392 1088 1392 + WIRE 944 1248 1088 1248 + END BRANCH + BEGIN BRANCH XLXN_19 + WIRE 1344 704 1360 704 + WIRE 1360 704 1392 704 + END BRANCH + INSTANCE XLXI_22 1120 736 R0 + INSTANCE XLXI_23 608 576 M180 + END SHEET +END SCHEMATIC diff --git a/address_decoder.ucf b/address_decoder.ucf new file mode 100644 index 0000000..a6d30f8 --- /dev/null +++ b/address_decoder.ucf @@ -0,0 +1,19 @@ +#PACE: Start of Constraints generated by PACE + +#PACE: Start of PACE I/O Pin Assignments +NET "A10" LOC = "P37" ; +NET "A10_B" LOC = "P38" ; +NET "A8" LOC = "P27" ; +NET "A8_B" LOC = "P29" ; +NET "A9" LOC = "P33" ; +NET "A9_B" LOC = "P36" ; +NET "extclk" LOC = "p6" ; +NET "IO_SEL" LOC = "P39" ; +NET "IO_STB" LOC = "P40" ; +NET "OE" LOC = "P1" ; + +#PACE: Start of PACE Area Constraints + +#PACE: Start of PACE Prohibit Constraints + +#PACE: End of Constraints generated by PACE diff --git a/address_decoder.vhf b/address_decoder.vhf new file mode 100644 index 0000000..a83b4cf --- /dev/null +++ b/address_decoder.vhf @@ -0,0 +1,288 @@ +-------------------------------------------------------------------------------- +-- Copyright (c) 1995-2003 Xilinx, Inc. +-- All Right Reserved. +-------------------------------------------------------------------------------- +-- ____ ____ +-- / /\/ / +-- /___/ \ / Vendor: Xilinx +-- \ \ \/ Version : 6.3.03i +-- \ \ Application : +-- / / Filename : address_decoder.vhf +-- /___/ /\ Timestamp : 05/11/2017 02:05:37 +-- \ \ / \ +-- \___\/\___\ +-- +--Command: +--Design Name: FD_MXILINX_address_decoder +-- + +library ieee; +use ieee.std_logic_1164.ALL; +use ieee.numeric_std.ALL; +-- synopsys translate_off +library UNISIM; +use UNISIM.Vcomponents.ALL; +-- synopsys translate_on + +entity FD_MXILINX_address_decoder is + port ( C : in std_logic; + D : in std_logic; + Q : out std_logic); +end FD_MXILINX_address_decoder; + +architecture BEHAVIORAL of FD_MXILINX_address_decoder is + attribute BOX_TYPE : string ; + signal XLXN_4 : std_logic; + component GND + port ( G : out std_logic); + end component; + attribute BOX_TYPE of GND : component is "BLACK_BOX"; + + component FDCP + port ( C : in std_logic; + CLR : in std_logic; + D : in std_logic; + PRE : in std_logic; + Q : out std_logic); + end component; + attribute BOX_TYPE of FDCP : component is "BLACK_BOX"; + +begin + I_36_43 : GND + port map (G=>XLXN_4); + + U0 : FDCP + port map (C=>C, + CLR=>XLXN_4, + D=>D, + PRE=>XLXN_4, + Q=>Q); + +end BEHAVIORAL; + + +-------------------------------------------------------------------------------- +-- Copyright (c) 1995-2003 Xilinx, Inc. +-- All Right Reserved. +-------------------------------------------------------------------------------- +-- ____ ____ +-- / /\/ / +-- /___/ \ / Vendor: Xilinx +-- \ \ \/ Version : 6.3.03i +-- \ \ Application : +-- / / Filename : address_decoder.vhf +-- /___/ /\ Timestamp : 05/11/2017 02:05:37 +-- \ \ / \ +-- \___\/\___\ +-- +--Command: +--Design Name: FDRS_MXILINX_address_decoder +-- + +library ieee; +use ieee.std_logic_1164.ALL; +use ieee.numeric_std.ALL; +-- synopsys translate_off +library UNISIM; +use UNISIM.Vcomponents.ALL; +-- synopsys translate_on + +entity FDRS_MXILINX_address_decoder is + port ( C : in std_logic; + D : in std_logic; + R : in std_logic; + S : in std_logic; + Q : out std_logic); +end FDRS_MXILINX_address_decoder; + +architecture BEHAVIORAL of FDRS_MXILINX_address_decoder is + attribute BOX_TYPE : string ; + attribute HU_SET : string ; + signal XLXN_6 : std_logic; + signal XLXN_7 : std_logic; + signal XLXN_8 : std_logic; + component AND2B1 + port ( I0 : in std_logic; + I1 : in std_logic; + O : out std_logic); + end component; + attribute BOX_TYPE of AND2B1 : component is "BLACK_BOX"; + + component OR2 + port ( I0 : in std_logic; + I1 : in std_logic; + O : out std_logic); + end component; + attribute BOX_TYPE of OR2 : component is "BLACK_BOX"; + + component FD_MXILINX_address_decoder + port ( C : in std_logic; + D : in std_logic; + Q : out std_logic); + end component; + + attribute HU_SET of U0 : label is "U0_0"; +begin + I_36_112 : AND2B1 + port map (I0=>R, + I1=>S, + O=>XLXN_6); + + I_36_113 : AND2B1 + port map (I0=>R, + I1=>D, + O=>XLXN_8); + + I_36_120 : OR2 + port map (I0=>XLXN_6, + I1=>XLXN_8, + O=>XLXN_7); + + U0 : FD_MXILINX_address_decoder + port map (C=>C, + D=>XLXN_7, + Q=>Q); + +end BEHAVIORAL; + + +-------------------------------------------------------------------------------- +-- Copyright (c) 1995-2003 Xilinx, Inc. +-- All Right Reserved. +-------------------------------------------------------------------------------- +-- ____ ____ +-- / /\/ / +-- /___/ \ / Vendor: Xilinx +-- \ \ \/ Version : 6.3.03i +-- \ \ Application : +-- / / Filename : address_decoder.vhf +-- /___/ /\ Timestamp : 05/11/2017 02:05:37 +-- \ \ / \ +-- \___\/\___\ +-- +--Command: +--Design Name: address_decoder +-- + +library ieee; +use ieee.std_logic_1164.ALL; +use ieee.numeric_std.ALL; +-- synopsys translate_off +library UNISIM; +use UNISIM.Vcomponents.ALL; +-- synopsys translate_on + +entity address_decoder is + port ( A8 : in std_logic; + A9 : in std_logic; + A10 : in std_logic; + CLK : in std_logic; + NIO_SEL : in std_logic; + NIO_STB : in std_logic; + A8_B : out std_logic; + A9_B : out std_logic; + A10_B : out std_logic; + NOE : out std_logic); +end address_decoder; + +architecture BEHAVIORAL of address_decoder is + attribute BOX_TYPE : string ; + attribute HU_SET : string ; + signal XLXN_4 : std_logic; + signal XLXN_10 : std_logic; + signal XLXN_11 : std_logic; + signal XLXN_14 : std_logic; + signal XLXN_19 : std_logic; + component NAND2 + port ( I0 : in std_logic; + I1 : in std_logic; + O : out std_logic); + end component; + attribute BOX_TYPE of NAND2 : component is "BLACK_BOX"; + + component FDRS_MXILINX_address_decoder + port ( C : in std_logic; + D : in std_logic; + R : in std_logic; + S : in std_logic; + Q : out std_logic); + end component; + + component VCC + port ( P : out std_logic); + end component; + attribute BOX_TYPE of VCC : component is "BLACK_BOX"; + + component AND2 + port ( I0 : in std_logic; + I1 : in std_logic; + O : out std_logic); + end component; + attribute BOX_TYPE of AND2 : component is "BLACK_BOX"; + + component INV + port ( I : in std_logic; + O : out std_logic); + end component; + attribute BOX_TYPE of INV : component is "BLACK_BOX"; + + component AND4B1 + port ( I0 : in std_logic; + I1 : in std_logic; + I2 : in std_logic; + I3 : in std_logic; + O : out std_logic); + end component; + attribute BOX_TYPE of AND4B1 : component is "BLACK_BOX"; + + attribute HU_SET of XLXI_16 : label is "XLXI_16_1"; +begin + XLXI_13 : NAND2 + port map (I0=>NIO_SEL, + I1=>NIO_STB, + O=>XLXN_4); + + XLXI_14 : NAND2 + port map (I0=>XLXN_11, + I1=>XLXN_4, + O=>NOE); + + XLXI_16 : FDRS_MXILINX_address_decoder + port map (C=>CLK, + D=>XLXN_14, + R=>XLXN_10, + S=>XLXN_19, + Q=>XLXN_11); + + XLXI_17 : VCC + port map (P=>XLXN_14); + + XLXI_18 : AND2 + port map (I0=>A10, + I1=>NIO_SEL, + O=>A10_B); + + XLXI_19 : AND2 + port map (I0=>A9, + I1=>NIO_SEL, + O=>A9_B); + + XLXI_20 : AND2 + port map (I0=>A8, + I1=>NIO_SEL, + O=>A8_B); + + XLXI_22 : INV + port map (I=>NIO_SEL, + O=>XLXN_19); + + XLXI_23 : AND4B1 + port map (I0=>NIO_STB, + I1=>A10, + I2=>A9, + I3=>A8, + O=>XLXN_10); + +end BEHAVIORAL; + + diff --git a/address_decoder_html/fit/applet.js b/address_decoder_html/fit/applet.js new file mode 100644 index 0000000..d255dd2 --- /dev/null +++ b/address_decoder_html/fit/applet.js @@ -0,0 +1,128 @@ + var tmpStr = ""; + var waitWin; + + function openWait() { + waitWin = window.open("wait.htm", "wait", + "toolbar=no,location=no,"+ + "directories=no,status=no,menubar=no,scrollbars=no,"+ + "resizable=no,width=300,height=50" ); + } + + function closeWait() { if (waitWin) waitWin.close(); } + + function setMsg(msg){ + + parent.leftnav.setAppletMsg( msg ); + // now send it reload forces + // call to applet paint + location.reload(); + } + + function getMsg(){ + + return( parent.leftnav.getAppletMsg() ); + } + + function resetMsg(){ parent.leftnav.setAppletMsg(""); } + + function printAppletPkg() { + if( isNS() ){ + setMsg("cmd printPkg "); + } + else{ + document.ChipViewerApplet.PrintPkg(); + } + } + + function showAppletGraphicMC(mc) { + if( isNS() ){ + setMsg("cmd showMac " + mc); + } + else{ + document.ChipViewerApplet.ShowMac(mc); + } + } + + function ShowMC() { showAppletGraphicMC(tmpStr); } + + function showAppletGraphicFB(fb) { + if( isNS() ){ + setMsg("cmd showFB " + fb); + } + else{ + document.ChipViewerApplet.ShowFB(fb); + } + } + + function showAppletGraphicPin(pin) { + if( isNS() ){ + setMsg("cmd showPin " + pin); + } + else{ + document.ChipViewerApplet.ShowPin(pin); + } + } + + function ShowFB() { showAppletGraphicFB(tmpStr); } + + function isNS() { + return ((navigator.appName.indexOf("Netscape") >= 0) && (parseFloat(navigator.appVersion) < 5) ) ? true : false; + } + + function isIE(){ + var agt=navigator.userAgent.toLowerCase(); + return( ( (agt.indexOf("msie") != -1) && (agt.indexOf("opera") == -1) ) ? true: false ); + } + + function waitUntilOK() { + if (!waitWin) openWait(); + if (isNS()) { + if (document.ChipViewerApplet.isActive()) closeWait(); + else settimeout("waitUntilOK()",100); + } + else { + if (document.ChipViewerApplet.readyState == 4) closeWait(); + else settimeout("waitUntilOK()",100); + } + } + + + // check that the applet if file has been generated + // this can only be done if the applets been loaded. + function fileExists(fileName){ + + if( document.ChipViewerApplet.readyState != 4 ) { + window.alert("Navigation disabled until the applet is loaded." ); + } + if( isIE() ){ + if( parent.leftnav.getAppletPermission() == 1 ){ + if( document.ChipViewerApplet.TestFileExists(fileName) == 1 ){ + window.alert("file exist tests true" ); + return( true ); + } + } + else{ + window.alert("file exist returns true no permission" ); + return( true ); + } + } + else{ + return( true ); + } + window.alert("file exist returns false" ); + return( false ); + } + + + + function setPermission(){ + + if( isIE() ){ + if( document.ChipViewerApplet.granted() ){ + parent.leftnav.setAppletPermission(); + } + } + else{ + return( true ); + } + } diff --git a/address_decoder_html/fit/appletref.htm b/address_decoder_html/fit/appletref.htm new file mode 100644 index 0000000..968eb0a --- /dev/null +++ b/address_decoder_html/fit/appletref.htm @@ -0,0 +1,15 @@ + + + + + + + + + + + + + + + diff --git a/address_decoder_html/fit/asciidoc.htm b/address_decoder_html/fit/asciidoc.htm new file mode 100644 index 0000000..3455598 --- /dev/null +++ b/address_decoder_html/fit/asciidoc.htm @@ -0,0 +1,71 @@ + + + + + + + + + + +Text Report + + + + + + + + + + + + + + + + + + + + + + + + +

Text Report

+ +

Selecting Text + Report from the left-hand frame will give you a printable text version + of the fitter report.  It + contains sections similar to those of the XML report (a summary section, + errors and warnings, mapped logic, function blocks, function block details, + a text-graphical display of the pinout, and a summary of compiler options), + but it is not easily navigable.  It + is best to use the text report only when you need to print out a hard + copy of the fitter results.

+ + + + diff --git a/address_decoder_html/fit/backtop.jpg b/address_decoder_html/fit/backtop.jpg new file mode 100644 index 0000000000000000000000000000000000000000..c53782595cd8d780d63aaf797d83054476260daa GIT binary patch literal 1651 zcmbu3c{tPw7{`AzLng;E7{|Ctn~ZB?BQ`A|3^`&biOLZwBe^oE9kCdU8F!A{C1Z`d zNODK6#>^OOu5qUtH7V9uZBI}2SAXpHd7tNf-|zcz^+6;oxek|Du`^_LOZXwvYzkA1ZE<%P!;LU3jxo{^Vc zPj$aZcXb&vgpP6cUM=mjDjN6r-ZEb7moA|l9$0D5IV*ElNKYdk|Ip0asprsSVpIL=I*m2lT%g}Y&dfro z%XCfFOK&Yi3QORc7;(w!rr+;Fogc-2D{5Bb=JPB$8E&2lFTnmxdggOqlCEe-x zIRE4+x?Sw0=F6CrR&VJ2aYe+#Z5Y+Ciu&{Cvz_+QjuxMLnp-@6@AOGS?q+vdoF8~ zSPv4r4WubjREPGU@`gcctb=^F?Zjga=RO0=`A0y4YkBXD0Dx#Mrd zVY5^9Z(diLm)_{@!02>}Ww!ZBlO+Ixy_XTR>p}hyeYmqe1nX?H!q6Ki9P6M-swVDF zrL%q2{Tg{DYRm{s)hnS>LHB&h&ML^L5bM^Y3y%e>u52jFLWKXIw;(TKmwL&xrR$59 zv3KI>P&fUL?YH_Rv9W!323|hN*s{YNJyVoL)a~5rvdFA>x!PY&^lus`;8%M@W*i`D zF|0?()wV<#EqJmGXShFZy@_R5TI58u2^f-j$xzA9oRHVEIH#mY?JN?ER#rb*Ubfda zIAb0Y$CphB#3$K~eb3!nkbxF2;053;pfe?o#aFcvCHY+!OF@05qhF^bfK9+xBRwF?< z|EB)2TpLTCy!$LtaLSra$SHx1;_4n(@V++YBm(cRj<=FS=~tgeY(1~A8gx%dL)9)H z2o_L`?Ra{(Sd!PM-zQnEib*B3s#v$Wxl=>Tk9=q?w>S_>$Pt}KWD-UFiw33N&et-E zx0cgrBe$d05kYQi2GnZ|6!k-GeP3fSr{a)88)iysT{I_h8<5|nJ^ts7lNrx??p2V% z3I7C|T6tfBtfBQ;m02P0XGh%`?|QXInR3P6fq8g8OhW`Wk;x8E&DJX0SU-KmkW%Nh z)WXA}`HhAfJ0vI(6ue&O$<)7O}4b?g_!O&cmV zz`lsv;V3KI@Xe;4U0y!o`79rgb&QVO1%%T@Ie?Y)CFi{@Tl@zpna v>{03aS7);gF?^Z^dy<;rQ4=qsa`Oxpl3Zr<)I10EBl6wDo5S81Z!`Y|n#^o0M!5h|NsB+@bJpY%KiQQ{{H^_{QUjxm;LUT`}_Ov@9+Bh z`pL=3;^N}!>gxUNnAFtNv$M0=+1dQ=mi+CP#J!vL_V&WUxX8ht$i%C`!MDf9zwPbn z(9qD=*Vo9$!rju8&c&1M?d{0LxY5zgxwon4=;-+P`1kkszrM1|%fh?5xA5@owzjs; z$Dr}?@xQ;c;o;rh-r4l@^x@T#@8Xufxs=+_nBd^x^XHY-)y~7blK=n!0000000000 z00000A^8LV00000EC2ui00{sc000JkK!af-!POv-hGQtvu_6h@(g1=)TnNI!Fe5z@ zS-_UEXebB(@ke#54ASBYCs9DBX(-0Yp0+JQ2ykgF9Ws1r7z`$dD$0W diff --git a/address_decoder_html/fit/briefview.jpg b/address_decoder_html/fit/briefview.jpg new file mode 100644 index 0000000000000000000000000000000000000000..30069539ceb57d01838d5a0bd53e105ca9e41fb3 GIT binary patch literal 1254 zcmex=s9iIEYA7@1%I!D0d#f@A`UGMI9L z^#214f*cH@47SXSN(@YbjLd?J|Bo<8LLJ9|09>3LZ0tZOQvn7BHYNrZ7G_pXMzAy^ z6Eh1d8#@OBr=XCCRQNP5;cKE|;(=unl8Wkv=9Z3|w*s|^0R4^zK%#<}qPG}$m>Gdi zVHRYtXSj0Caaa6WY3|ehacghJ3trK=wyb22wkVhm}Mp+l9tnsp$Vm{9T9OK#r_?UiV z*dHxp`l}rApW&Fkh~RJ;HpMZ-RUrT$U6rv#s@4qm5|B>zJ#rCeEsQSR>TDaQ7XWS_p= zYJGH4$+E-ie%=4=a^293?b&R%-JAb-ADQv~U7YL9+_=@rwt1WXGnnXaXrKPUGIg?N z--}1PKkP5wJ@-dJJYs+x5xrc+}!)OFyZJ#Z@b& zr_tN; z&K_E=cH_R!>Y_^*x?7XB=XGBH5u)_w!^AL)Eo-iDa>dTv6Z0fn{Nvg5mDi;nb7r;N zGJG{vb@7wOH@uGNEm~onbM=#!z-0E_dnT0Mwm49{ylR{9ob!^&NoJzgLNC9zIhJ%a zEJSlx$h%`%Oa579hIZ|8*t#>!=&f+vs%qX4o3-;k#;tbR_VNnu)dWVn4V&`(T^@+Q_bDo=j&i=GT zDWBSZhPi3`AMUL_716f1!|S* zA6>SX#NT25`)zx@d&K^i5f47P5^yDy#czQXobcsn2CbHRK4-kSG5RDW5| zZ_)RqD$?(J^Zlh21rOv3WnX;RH1|FGey`Ug?i&CA literal 0 HcmV?d00001 diff --git a/address_decoder_html/fit/check.htm b/address_decoder_html/fit/check.htm new file mode 100644 index 0000000..4dc9562 --- /dev/null +++ b/address_decoder_html/fit/check.htm @@ -0,0 +1,10 @@ + + + + + + + + + + diff --git a/address_decoder_html/fit/checkNS4.htm b/address_decoder_html/fit/checkNS4.htm new file mode 100644 index 0000000..349d05d --- /dev/null +++ b/address_decoder_html/fit/checkNS4.htm @@ -0,0 +1,10 @@ + + + + + + + + + + diff --git a/address_decoder_html/fit/contact.gif b/address_decoder_html/fit/contact.gif new file mode 100644 index 0000000000000000000000000000000000000000..418b2820765f334b571a94f4033883c8c159d292 GIT binary patch literal 741 zcmVd8X6k=;byqFt+BDCO-)TgLP97g zC?FspG&D3*Q&U)2ScQLJ)WBp=P*8GiUzv|#te;}>*=1;EU){)LB_$;>F)@61V4VPRK)e{s3F ztr-~^A^8LV00000EC2ui06zd7000L6z=3c`EEh&itSv1x39+)Xw6(UkxVSVeF)j%%E-)$x#Kp$P$jQpf z%PKG|&MG$%4FcBJ*xB0K+}+&`5iAi1)H4nN=;`X~?CtLF?+!C8;ML~<`1$(#{Qds_ z{{lD=QBS}?d$T!Gri7NZ6-gB0dWeBT9I{vE#*z`apK{s81k?hXL?Ss8FB) z1PcIGw(Ot*zyp`~3Q%atvjD#T69q=(lj+hz&zAd4q68qJKnSK4LP&^eLBfR#Hd{Ub zpc5Yi2>LE`C@`_<)2UAZd}Zi>!_o-_U;@yf0j^vVWN(JR+Ep!Bd`;n=h#40jU9W$W zwq3e&U*m`cI7aROmjdE^GAaNVf%WmewfqEnSc=!;gbAfp#=ZGq!dT0G^L3~IVXTDI zF(+IO?O-%DgDEXkxbOhz1PdK5m{=*4HGtQel9rX1lU_$=|IN*V7;b0(w4B{hD4huGj Xpg=rCDB*wt>0sf7{Rw0OLl6KvKHyNz literal 0 HcmV?d00001 diff --git a/address_decoder_html/fit/coolrunnerII_logo.jpg b/address_decoder_html/fit/coolrunnerII_logo.jpg new file mode 100644 index 0000000000000000000000000000000000000000..1b57ddc26165593562ab7d5d7c873cd7133ddac7 GIT binary patch literal 9562 zcma)i1yoc~)b1U+rMpY%E&-*xyF);7s38R;L+H4exu^Et_uJp!mA~HrQY|%2H2{PG0M6(O_Lu^ydC&%1)eZT-38-#*p&X zfq8M20Es{;Nm^<2cYx6E!NSDBLHGJkKp140Y$D`XiiQ-}j(+T->6?`2(ars0IHj{n zM*bWzR2eE}-n9I*X{}lQQIA#5W~i+QTaw2H zr|@cfgaY8?iviUJv+5>-L;T7%N0IV%$*F*?QwAkcB4Vg+dcylVIw0FN+G3vw+` z28|Kn@_@WGo+TI!^9-?4?{ZKYIZ^M#@mB#^vBadJHF=auK$ZfP3LvgP9uH_J^sxzJ z#e!680BwUP@&zLD1%RuDma8_}$^hG4VS-qPkVqAfY!)GL4mZ{)$Zu-GE>mgcDz$hc z&P%!kGsS1@gW~5C5vn9Otx(tG$Va;+Fb~y{UPra7#L+|9h&{~`$Yn}B(&EGg$T$GA zSW*)Zwh}uKkHLx;^gT!xsIobHuHwITiP%zKqW_5U`v@ufQ+B3 zjEpMUjf@lvJuybg0vZ@b4n{emi8;brIY3q%z7dcWg^`9~l4PkF#ic>$ul#QYYH8s- zAeD+?o^iF;9o!i2()!TtN)D#vWF|T;hJYa+2CJ$efRCS)r9tp-Qu@eAu}Pz2lmG&5 zY|=DL6{0dyU{)j}9%H~5SRKT3vdDYjMD;oSgwiBdzLy|@aY#cs4~hq&VtepxmVBhy zBY|1&&u?`Uu@zK6|1pODs=_5R{RP$c zYR?*!cx^<%dwGv!^17|BWneUH>pAlSLEWf0Guq*}4^?@Dd&>9DzyJ?W*ry$=Yq4b@%@Cg8TH}eN9S%f{zj4{jt1+&toiAute41TZQbY5^?(qcVz1n-&|u%mUz?BItd;R+?m-;lML)@wu8)#DyDU zEv;WJ10bfLvms?qCdKwupfsk_Akzf6MA89e6+H61ex7JmR^Yuu0XOiTfD6EXM5lq# zZ6I7wi|45XK&)z%SE$(4V0CG6u)0{8S1}cAQ)aOu-4vwPd;7_uuwK>;BJGmi9hGeVC3U)-gG~Q%=gT zOd)3}`^3oBI^lIURLp9sw)8jC zQS$fl*X~Na*;`Gnofj5jN9(1C^RSP&Ow*i_+-gfB61l)}FzBAY&A|OnhBRsK3skLj z5oh@H-XwH9Lx!=@saZyTgj$cMr(NPy=wpjRY1kWjmCZ}sJ3BiTlH;(Ya=nMTz1z|Q z+C%5AwU(BvD^^2JE))*!(J|7F4s%Owz5vishpd+y8yj2P3sFa5x^y(PTArSeXk9MR zzFQIEh;gT5(1RL`Tg+GQeeW#4G_P;*%dIRg-i3(4S%Mni)FT7ds24@E9evG-8x-pO z5-P#^jgoPh2Y%;l8~DmTRX*nJ9FV%c9eC*!O5nI9=!CBD&}w*9@=_Th^gV$k=tX0Q z;1;7Mz)~Hvy7&w!@ihlUj7#59r5%wRlwEum|FH{?-Gxc z-tv{3lPhbmP2190fWPSY?qnFgXMu_yYm9UZ4O8WjTE^(FRx-p5;jKtX&r73=Wxrik z=U_oZ*7#C!veg$t7-%GoZ!U{tQR^L%@e6&GyJFeQCqdH@B}EN!A%4LA0wG zBSM?nYEEGS90H&$8WX*#MbofZRaptx{#nd;1q>wzeEet?P9QBhO3MMi4j_-tisHd! z#eYwW@r?F!-Ww5dwv)29f37eNCPL!FT8rnTRTnR8ti(9NtK^hthITHmJ@PqL%$m9K zMY6js`NOnUIaA!N%G6+Pv9xCYiq$iG17`30Gtnz4NGvi>wtFyVXmd_!Ph46ppa(@F zF>go3_wm4;ibqqe%GSm$eF^n$!&nM?utQE_nC^U(j?rAuGbyS~);b`>uWYZ!TR249 z&<}hrX^WDYXhv1dOOyZ>|EkSBn~$( zHE*!@HU`1B@3I#wT1G6mQkPb2OV5CxrU4Pytd%^R`SR$_b78XeIJ;|lNaJVT{6h3x z3(gQ``kzmNo@#EYN?q%bE}MK-L)ku1u;H%w??wVk0h4diuVb@vx2%(4@-AKh`@{Va zj{bZGll|EEx)7!K*1~EzW=`v=*~Z7U(^WGl;-zZK7-6c$_vm;U`PE}B0>OMRcPVr( zmM@``f^ZG`v1DcpE;5CP*wM>wk(niA6?TYm}pmdrr0Kx^U}2^-map43JKGQYKEtDaLU3tsd}}_XdvS)nmKMNK{Ytuj`U!f(h_% zqg!9byHT0QJ2A&!llK)nk;fn5r1!1Jus45!K?uutsi#La2(R1R{0}Wp96m)Jpho@z zIq<)LLyzXmmP=u~`KK=(MCB3F-=yw0m>xCIVeLd8fR}aXERKw4W%=x%8WoC}vws`m zQaAqCzGf!d*k#)@vpw@YY=ZyQ1b%Ju{i+|Q_s{0O*W=$dJ>0KUt1UTnZ*?pk2QJK; zd-Icx)K*q`?Y(f|G8;-#mw&d}P^c{g!QlZ*>yLZtGq|MhGbhp+cm8JQZK#d6=7Njg zp7M&7dhWzG#La95;>fns;QJgxSCI$6<`zv%!&+no7Fn?tHHHc*`fyD(2m{0CXyG1L zMk0D7M#BQD-3v*EbvoFcwM3R>I@pgBh%l6Jh?TGm*#J`|piBwJ=ot^|qpZI23x%;E zz;JOd3hU@f2lTjm9?)wPkHTQtBNf*590Krl2p-XyDo~jaCG(ULyX)YHSFs{Z`oef? z(xG)|w`me911qawORhtocT+ZzNo*@6#^LNbmF5#djSTtsvtH>Oc?5Ip&y{)M3H)Jb z9}2Z%SHmtNRkR{i6qe}9<+@SZ7;_$(0)zRJ{(PISzJPuhFqymH){DPn9uOVJzoIKV z&MVD|hnADef8c!FG8&;0a%fi#0oM$15Heb3c3;U5Ppx&^Ee$zWy`}qIHSM+cnGsKP z`p!#E!=bugpaXJ%sJ+OobujuG+E*9jjkn1cq zu{D6vAyX>qM*ZD8MSh7zCZU$3{PpujWkqs2LZZu#bTQUnFLGt?tC@YI60T^Ju7Qf! zL~qhBlUwUQQ4V`XVimj|F_fCJb!kab&EkUDu)(N*6)QpfXf@}Z#M7bAVi}E?mTh1- z%X-J%{+r&2>|y!jfKw;Tr1jt*T;AoEhre=i*Cf=|vOOM|CBJW;PGx8n?W&Xg^740Y zm$!UnwRYK(>R_uUbJ-!lM)AYept_RxUZE*Dq=*?cu_qGIvnq{f+^5rt>p~_BHXKtU zURdp$wSDM&nYiEVE!rccLybKKqhzLZzIo_Z68+NXnjieSHsz$>_nHE=>E#ntcP^Oz zN6TFN({vp#e3Z(j#?7y*T*N1S-FPIUE21zqsGUjk-HpVYo{o>_SVJkr1FG(mp>bN? zi=X5b2b0h~|B&Q2@K#)*beVTu6(Kl#y2Mc)kbsu%pRK7wx=_)sN3-s!QCf98%M|Qh zOS>~?gyD*XY>~vTTiFqR?-0uYh1FCG(hHov#*_5l3ELTW5)Whq^<&lC6Er6-9bTClu3A;H#n2TCtm! z9U^jF_f$lvWO0uz*`r@;#LB5uV;vu{9}@Gv`&pnQm|gp^wdYjtv2tW54yPk(E5~}o z>aks`G6&>UA+$~0m&!s*(j`{HZv>OI4|pJ^>H%MF?G*J-_Yg%lHTSy;9rms0{5AoI4P z+7CPbwS zi}}whlrtyvr*7Z2Z;T<96J}lETD}YC;ScmjzJA#`DIIm%0}oXWU~cBeK_OcEe(tly z0b5%KS90~8zWX;-K6J=#6DiUad->MAmS<9UPVGrYhY1?99}b~%-&3g$z-^xdeV*V@ z)c5U%Eh9bOSq_})=DPB^4hMic&5|HHo{pvM*`@C@oCHeceuWBL!;eh`Ij7~4?T%pU z3==^o$y3z0tT=C#)92lvybUeyl6SZ&pPNV}%0?=we5Wh2CC4D%o(_soUIcq_lZU;Z}x3C6RBR)JY9zIc* zzm^*!Da9Op-gHx>Cr8gS*buV%d47@cSI({-Zu+)l!#?a}`rF95V`FJg;X|x+t1++` zcNnf(qqDc0pAY6B_A+03uyNh!)WeFK$&K0e^)Lb=sy{Aq*0gj+Uu}%qB@aSykDT6| z3q6qv(5ugHHC3M}YCwindj-ofi>};`qC|W~@gE+H9|x7VixIAz&&{zYYC*pB5D$%x zBg>~t5|Su%zE35?8gF{T#MYSBojA!xSyK9)yjLs^P&L+^smY&u+YxY-(0SQTkDqm7 zto>W@#QJ)f;#H>`8n3R(PhR7*`CzLX@}8VG4MRy83;lnl0MhsuG44fy#sPG^2v7T(_c^peEUM>BaH6fd zVD3@lB^k0vie||GU!a_$xH`8f{eqY+0=p5JyGyxSC9qRCxSzAj9Y7=72x3Ocwr@ZJ zs@ z3)7t4Zdvxt3QeUDGPt??t!GRQx2J#dbtGi-`efyxaWW&~P*woS1UzsL&zjq3Ql3BB zqsfJ#TD$kFe^c4&RtBmSbC17JwYnsndgpfFmOpHFqwHm|)6gtxt4 zdCd3h)^@73Vz#nt+>(yQ+~CWn+l1r!&{ zh6w3s|CYky{PyrG%JUd!)BaNC36$YDdHLyLvsxk9 zGoDWVJ6zI@IuIAxgvY|q$Dcu4a#pMht47j$NF;N1r1QlwY?VMh1R+^gD{CG2x;^i}`6cppGRiEYLROsUjt7BSmm)Q368Ku)K_hGF!b9-%5h|+~yQ1_&(kx za-6qPv8je!l`~SfjFFz+CCsu|0@RNVCoA|BIg)`bIdTI>;|DyEDg8)>$*DeAI|;EU zLOQW4tz0?r0O@sVR~*kfYJ@1Oo6LVUTk*;afh)1$a6!nBv&KYcn5~-D`slrMDKK0| zx)eJolckFnCS9*Y|G5^=0Y4rR%Bw`bsG)3Ci=X;zjPkK{?Ayb6+;pzCicgQ!I*kmnA;MQu$@-)zHhR2j#+j zel?}DkcjHuUj#-3o}G#vs;8$1B6X9)IG!U0RqU2TxpR8WUU1M`JQ`{91?dC_o9TG3 zh0@S~gTy6Uzo@^7RrI%bjy*?1L09i~dAX_elTvA2>x-wyYa#S^?8ujBzWn@^1E zMmn1Yo|hdvlpEJ)H@JFoNw1JS1&61ndJ;XJdrxHH3D0rVv=qbi8>52K$MhF%%1lQe zyLnj)VJ7!GH|1HYttzG`s*U&UBWQZXXoHU#cZ#?C3$lvbxrvE?`r!a z_oXB3GC0vIC*yruZL12c48<<&TFvMFq9&5IvASJO zx}q%88GWv{YFL&scb4V1-|stvSc99>6uX-Cex(b0^$H(;!?m#d2E3{p%m>p^ot*8M zerlF>eb3s#v2kO!!g+sXd5shq)@?+rsW&xGw@BZh!k(|@zt|HBmZB3sSkS90(fSfB za2q&Rzvn2>zIGGbZ z3ygb1M`T7b?Rknq@-L)MRuyCf`o6!gjWk908-YzDI_TV9KT0kp^l`l2tv@+mg0{t| z=d&;{-e6n;P&=DYtoJ` zKqZ8($I4ykY5LUH`@FDii)jV$ zw=9qC#GK^CIFL(YuaS#!(0afbmBp-yhCJHfV;ZEjPB>Bi_`>Y}FnTRz9u2?%pyCJd zTJ${v7~f-eu)Ul9m9bg);x4Bm!MQ#E14ofI-sZ)n?K({Ll&xMs`+|KR_HNnJ_h(`8 z>WWNddPhTt46X@*))zaL#l}p`&^z&SyONhjcg`i*akAdD>u!}9V-G8tMm5M6Fg&yOR7!mJ&@i-HY;=leoIt#9^#+H{M{uUuT?kyP zG>#*`Hk#C&{S-_?<5XC(p!pXt;rt7bpVhhBJ*;&Ws1zPmIJXz_N9t8ux#oL?Ifi!M zBnkbt1nYl)dnjAhFyg(8Ei}3Z`<+42Jfhqwnz$4 zty2ViA?i#@S+3WAP|#-Yv=Mo{vHPR5NQ-waYjmr1Vg9g^p8iH;v%% z;5l2Gn9t!^%@-2sh&c)+x_bTknZy&6b+UZtvEkeKDOdB6B5AT05}nO_fzQ?>*WPik zga-Ra47T7*`G`1ed2;wwaL0<7m6(@M-kNRedv|^xI^1im-=2Pl@!d1<8S~GH=l%>0 zjC`Eq>WL{dPb`|+XpAQ8Tn6EvH<%+`v#yJJ5MZX8`6C%a+2Vzdd1sm(hr`7<&ynNa zdDqh~N2Jb>D9ma0`(Ks0dWmXJqGxKkE^=O3oi{$wX5J+3Zu}Co##{w^v`xPli6i?W z%f;$7($i*YLv#kRD<+jYY_Z>-xa3Y)=1C`(4_!?V3>8^6JQp{-jrk+6mK|@MWaPe_ zVtSjyfmCg)_%iQJ@jn)(n<@8bWa{NZ-N{r@B>Z-G-tF-`gS*2mvAH}$g7)OlbQtV~ z@~aT~xq6grc2U;N$CIqZ89hQl%E50izC>CsWyhqksb~~&H!|>yCX)`cB80v?Z`@kM zGbB~7Ks1Sa*sWX}I7#=E&Vnh`AAXprLR3@FgHD1D><)(^OG|t+PhMhc%GmnVYrP6;*qdD03f2?6 z-qOih!N+StyvrR$>1L#l{$5;VVqxLEi$9gsHg|<*nWgmb<`T91{6<~1RXL(r9&TMW zY>cb6H>!$%{W0_hFC<@-;1+LlzF0ng00v_vMV`rnARgOW)NVm-oBq*@dej z;tB)mlcqAu-&a5#QaMBvFv}GsU^MBA}helOKhX| zn#7G3j7D!UqUe{o*-rf1H1;}-NS8Vc-U!zzF)q3()91Zuw$XITgDEjDma#&pn<+$T z;Rzh8FqIUKMRJjP6}D(J(ql!c6>IgsB}{Myo`NL>rUv20o8YhFGia3HmAU-Baq3fY zbEWllt%CT&k#q*6ZsONmLa(PPe}UHzI}ks!%F>puZ(Le;2au_=2B%{eJeq}GFKKAr zIwpQvZyJuw1P9!2TEY$dy~c$VLWc)_v+=Ul^Q|H=7}*?rca&94zHg7d*q!uf(fH3S zCECF?qjDDTJgL~k*xqHAS*B6XawT~jCJ=d=U9iP1mT|?xlmraOF#csVIk7oKDWl3N zlPdBkO^C=d(QW|!Gem&K&;iOgkY*IN2Cx(7=*<5m46`&cm2;c-@hkOrw%5heTU!z2 zYe?ie{kkGptUS^FDv9yIroG~WwO|ud6Bn*bPfAEn=VO_m*I8jf@*U1IK28jT42@*KT)ikXlaN3V_RQxR==@ml zTeh&?-SsIn;=^<-f<$puCfc4VDD2OshvXU?7b8sVV_qd(cj?1A3fi2Cl$=v`qJE?I z?Qq9$;U;~;xR=V#)%R2?7-Cd7!POtiVAb}%Nvy@J_bYAW{F^SuNfe?hI9 zA>>atVd>#*R>1(>upRmXU1z_r4$zjl+Fu|{U~$>sq`u0Imcn~ArcAg6>CISqSF|%7 zB56DVp^m|AcnCv56*tYGK}F+10@GyLcj!+1`4=(JLwO&_^bk6vA8)%6pwHleKthH1e>6|Wmd;BIm-xRY@t*I% z-Q?PKDJ(<_U(GPbL#rm3zgB#F3S8~nU>(ZXqUgJNSd5#u0}f#l@*yoA=^P(qnfN+DKja#~*O%6X4Lh}##zNToqjT#pDiv7{&ohB_ zZ1);c_Y0eA?}Ln7_KLb>+m!V;EOEDG7~8brsn|O-X6iJuY0O+fr(sEF_QzTUO%wb? zo9=3lbvz@W*j2Qf+k)ZrUs=7f#6@L|qvjYsRn>d;tnS<}g;SnS43J2WH)RE!3xAMw z>1kIYDnjmefM!HeK2>6yM0^tZ~pP>aSNCPE6*3=K=!*#9L5bT9CtA z2V=pm+Dhy_u&_;tGf9&B5qzo>A*97LEGZjKJ}cEoJD&Q${6QSI-hB2*LEoW>tl7ka zy@s8dd7nsrR$!Ql{Y3w=70FNV~A%qx2F}3!=laP47b0vuK4V?cQnXk`_C1W zi(cfMHH|1b{Hj8bVk}Mh-d9wZlo!GCZv{;^UXP!ctubMWXyxCRjN9v_`Zr~@cWhCw@?V*pzbv1_%i9d8kuPEt$D zU7JK<0#d6bqOj-eYj~moL}<`C897)i?nJRLg=eCPDKN7H=1<|-Y$8*FmnLlQyT%OS zguBL!NcTK0O=2hGk9W|iCAREKt1^pgQ?~@hRj45c(zK3r&(tHqsnE2J6XF3=qD3<_ V{&^w;EGoSJCs751^}*jS{|&2suLS@A literal 0 HcmV?d00001 diff --git a/address_decoder_html/fit/coolrunner_logo.jpg b/address_decoder_html/fit/coolrunner_logo.jpg new file mode 100644 index 0000000000000000000000000000000000000000..01e20a5eb6a4a06820e17cfe8ad1044bf5a740a2 GIT binary patch literal 11278 zcma)iWmFwaw`Su`fP~;~L4yR>KoZ>D9fG?%L4vzm2=01t4esH)bP{RM!72LLnJ2D}^rxS!liZM*w)#ay(|ME01DDS1lyyaqadT8W1^v;pkZQTU|?ckVB=!`OSsrLxVX4D z*!cJa1o-$A{}9dpCOA}7RBSA456%+;82!%xY$fmr|BT?kCcwkNBO)LnBBJ0R z!1@8$04@?T6&{E3Ym|@FZ;YKd#qsl1XlR2>-l8Vd^*VDk($%Y)x+E1e^nLi`8p8eA zjhBaz5B47a-UbN;5%$!72o4?>fr$9MQx;Oc~>*6Xj$kQfV_|C!S zpXgqe089kfGjS1c0a4((vSz~C$Gowas*))_BYq0$YpNNy9iG-)b$a|Dw*wxboes5@ ztQs{04u_f&HIQ0N1zEfhok?p{I_R@lFC&0N&lwpH6ax4(h=stLbU-bzVI#iBSpsfU zOgEA6r&?X4lN3^`)WDncfmW(0#y~nMgb>V1dL*1cdK@HU02>GK7l4Pujw%O71t?ns zc(as)qnDSjn58i7)O6-j|G8!tt zp8iH$3>$~@yBI>qpd2LzmK=f&4poeak#Mx8xMZ!d3eL?VJ|2H$d^m>=&JL3}`M^)Q zI1>mSf5j)NBc1+K;i#|iLmya`!auO$gneMer2%xPkn!^o@u}XX|FZxbM6oxw^*jR7 zq{M^4dK-=a1)i$RPdhpdW9ufnWwFC}_Q+T#J1fQLN(V)ySKp)`MJ?5fmc7ZyedTNo zt~H9b*O!+pSz?C&Z*d}gAAOuFY0{N3A^uf{mg4+m=VrMCqA`u+N$WhJl?@ACo}U^oxd%#x_5JCTJ??WG0%Z5L)(^Kvs-=}O#>E`5j-7v=!Lcx*w; zLtGQoM!&Q5ho7*Z{*qmj3)e8wNlN@Z3tLS1IgbCDqZ8NCARf3#o3#0+?i-AI_%rbO zJpB5IG-8}z!c$(i5ZQO+O}FOnpa}LyNmHN43L|Xryefw1l|FR82Ho7|9RKYzZ+fEG zzaPGsTX9QbD5HE#7}{%Sr%1nb)i$WFTGwfa^8m$Ub8G0YMnKIhiIifgFHjihjHgZnWK5}na8w*R zj$l>zNHI9EUOqWEu{SaRo)Tv~lCKhbW*MrDIN+jFDzSi1{RLDW9|kH9;s5rtkZM9B zgroB{&JA+}iwpW3wds<^_k?lScVfojfTwj+rOe`@QJN5=LxqX{JNTa#A0_wiuY#aW zzd_YToDPNd>CaUQY|f#HSTyxLt9~r&>{({`vu&?N*bSsOZ6*gT) zUvY0RD-Jid-*wu39>V92$&T5ZSqKs*QB|>lE-)5q(XHQX({pNLhf4b8xS39;r=ppRD z_d$OnWuXwHC&xTG!>!$(NwIL6$-9Y7G>Cq$8QGhAIAAnY;TcXdeep!9{S7`C@{!(74Y@R4xCw*sg!7hYL$W`YBhp_`HYQ@ZFB?xKo4RE$u-;B zI=B>~dFTOeEoqls9Al4eYniwhwUWM;8eZ~g&rhx^X+HO@9)5xx9A%rYf8A}>8$Xde zfmDC)y@K3zDZblUQ;zIlcol5>{a93l6^miZ=?>>KSZR4b3$pzkdQi*H_SM5zHuEf3 z5P9dS%G8=?D{Q=bT^pN8${WtWz+7-)qaJJwLt?Oh$OY%FTNq&@lbs& zBo>h5(l(I0O5TP3q2^y#7h-7nS-Q;SkUSH3QB^vX2Hp1KZD$)1x1(y8`s=T;$zJ-=5Un zW~&eXv4=6-krmO9a-hg7y=LkvodN?!zwd>IZ2dm)moY)Kg+O9FyjnC~AW#O#Bm^n} z{9-U2^>!I_bA)FMh6#RENvtPhZ93Va& zUff6-4WOko!b^vD4n+K6PKhoy4F44wNDuo!Pc<%&>cd`zYJ*-#RV62pwT4fHX{D}= zjQ&+3eOpS-1s#GnR9mG=>w`W=+d$9R97PvrrTZyif>}*!t_tTH`fphKM{S)Qs3Isb zux@f_ahok^+WuIJJrDGa>V9>sk74CjzHdp|78=UR=2X@cE433--+$A^8(nuy+4$`o zk%ia>*{wLUeE7@Ylbt@%4FNe#jecyRBn5r+3lPdTFfxsmOHrN_?_$?9*(v!XM8dDj zHaap%T|FKquD=~Oc5X1fi@_oPtk*szyx`*S&>+}t+tT4(T5V5?#iee4t1h$`?hNVkW?oFNsiESxT~! z=4rrxs@_C-0o=SpTodE{$zxs@yV(VN_|I3!Kg-(i1u-@|U;i9-o zTjRQ%&4Sl^oT{oiRlCkqMs5F{HVUw|aZa%SRbMxl;+66Xz;Q`vK&>!0cU<-YB$zy? z7Ksp%7g+CXtPKAp$EZtxbcs8)JAbmIy!tVJejsqNq|7NnS0PeOW1I&{0JUJBh<;CO z<88NM=4FFgjr6kM<1rlN>&%!Rp#6yJkdnYP+&y~XqaitO!)Bq%#Ndm=77^Eg_=h5*&^ph6oO`m0aSF|lcD9^gV9_EcKf z^i|88sgZb4-PYFC?}EPyxF)Nj0G#s_QXHT|x_$liC)q%`(J7 zmS4_wul_U~4A$T=jI%E_erm|sb>k-RoEz*qo-jw5PdX^{P#Er$cDX!b9ddlolnVRwj@KoDTzHQvR~QDB9MjW+m(F+d|RGHk;ZY6G>jEtp8G?8yk_daRdk#5 z0yN#_{k;~QM<26$X7q6+eH`Wwc+SXwb`hP;j(hSr?ib}h?pl8V?qWAz00hhD(6O+o z43Eq)njI{L7eLZk^jW9-N&K4b*&J%rQ5+!j+t#n;&mR8^Ku(^lL4>#!bip%Uj9KZ< zaiK82JGG-~VZEhCxK(=Kaovhfu6Z)w-2UYCY_QGxZ47NB<^_=FOXT&dbfj`_;nT_V zowk~jnhEfV)Ny=LUs#P9a!qLBiB6kC=$!P3k9nrp#V=8G!0*vi6nj3MziN7FEkQ2k zz`UkQWzF^)i%Il}t`?yc4lVTZrwHC${UWnj=Dsg6BeTx^Z&WkNB=$Nv5Ft76bqdW} z=Olm(=FKl&N|~&z>=c@0lNLqmws*`%E04+{wKHOB|B^!zM8kv^N5&RMRHgzn#DSmU z$SNj`l<$&z3T}Rw9R$9+_4)xP{KqPgp?!iK@z$#lzA zl{~7Aj1fl>2Qsu6VKL=XjJ-M)wl&Ogn}jJsdsUpl;4-vWBPKA;&W9=$x;P`9PYK5; z7-kWqJsJtGIf+s_q)nGVpJJ?GF%K&a%!T`AT}U(a1YK3Eo}GuxdYQGOBv4uPUTJZz zKhXwu8l+jt*eeEYUS}m~%+mbrl1x3bCm9j$N!|HXbmt}@quN$M=S!i=no%%R7Ir&G zJh)4AGCJ=vyHm}Lu1uPx5NfroukMU znY68peT%*GYMsC=k9@V&&CY`f_nM8Be_CB&hBv5TnYKK)reViKT_8vQqpEsMNDr|@ zrIte(%T_~k=hMQGW56~!#x`$`>RfA$j4#M^W#x+xkGnkwcx&FB;&0FD-c1-h(Z?`` zj})$rI=cdl`9|>4g!7hQ&vOJ(&UuGUH|MwvlTAI^7SO#Rn+3s5d4w!Ou&?S`cdKt4 zCRF~=Sy**3cj#D8M^Ww@X~mU3WPn*?=}B3Xcj34MquIKfCH~k?SI}Rg2GVY{qR(jB zz+Dj+(eMG4kbEwFmy2vgazp3TgMe~#|9pI?#C2I!<=WFc&MT{6N8>K<9e4~syeVcZ zK;9iLagn*T1e{<3Y^hU$a;bSxlSpr3$@E2o$Z?aAg%W)*3SApBRi%Q;5n@ zfwWt@d0P(88EpSqw6#+MS+v&CDs^?Jq8cD7O9@ioQoNV*f^U2QRGroB-XEBKjh z2bo`PqkMqW@MtW#lJ#&;c)H~6$Gyj_tUlHcFDh)0xmjK~F|B6pBFs&BTulxDnYohM zqd(5x{Q4?~T+8&SA?#K$@ucNBOQ4kO(b+U{?O*}!%!%B7lGs<&^h0Z&h}g9KmK})iyCUUlout;@&Xd1|0rq-M*?E!omchN`m%T=D6`mf%znxfV zm956;Y{zYr3<=q4rG~v6r^e>D5q@Co+W*NJ@$4dvONahR8PPDrmSpI-ZC5YZVn0~> zcKB}aVj`w=O2d}}=giCM(Qr+_=|+CALm^67KsBu7+nTq3*OPG0J9py0StdncD1BVm zIy)(o8#4t$`vU{hJ6@V?j;DW%PqmN#l#}56?zow1Tl@~Cify!PpTSF5{Nv*iCo+9* z5VkBwy>q!{EYN|i`KQ~i@?bJA(Xq|B$)PtLrBVW@A!0o1Ki z=0xwbW&Ky(KzY2?0d;Hot2^MXCP==NbfcLmXgT*vIFI=YM!3gzucqA~ZnAaA!4l_R zeBtM$)pxBfp3n29m1X;_V5Jh;r@s~Z#<2i<{I1*I#Bb4+`{ihbz19yPY*05>QGn%+pt1Cr*3Qu|+O}b78rqyW`DFXF_q>175<07GB6*bD3AX z7K^>K>Sk43^gbvBY4yAx_?q(%;15%V+shzk7D-^Aozw4g+rH>n_;+KNjYbx-{+>m) zc1NBlmmGbMQByH1ugiCAA0-&^z6_DefTz3I)dnoa@eS|Y|G;6R;x=J7t<#ysHc0I z_Q>A)UQc5qpI~W%y8K|$a#=or`etl}Ios>XUU8@?4*$6l1zXW!h1`d?an7>HIxr{AHJxE9yezdvU{`;BVD$0xgoPWDjItM9N^G94v zuC9TLjS>wP8kN0)&MPap*kj?IE6R1RnqugEs6}#?YyS*H$BndKX#EZ!j-d0wpMVzv)p|5M>jbN^j#F1G64U`*%l6do>xfPb%&jrF(#n?ADM? zwomxjrDy2&vA69h|NdiSbuqZDKw-vQgnH5!{?d|IfSBGX&F}Z-8+Q#5r`p0ogYrs} zA9sLqtMEre(Y||v&gN%P_w7=sJ(?`jXc!)pcF(?%H0tx{zO2V*{&=yqF?K2Nh>6oA z!osN#DFsqr_r3?uWYU+^Q${m09S|0up^5Lk6KKkjOo5owvE#uVy7d#5AK8oL5%s*& zi#{>e&k7%ys7BqtmFmiQ0p51i+T+7~>XGZOt6JOMEux=Ytf3iMGdPtd(G-$%n5=Hu z9qw=kZiD{Jqs=p84A28U6M2^ z#-jCDTRJEDgp9q8mPYJ~G>Izo()Xc9e2x;|B;-mY-Vw3x<%Z#NR4zqkzpoSVp|SD_ zJn#FWfNPKKtgNTS`^nkK2h?vKK5xfbkWa*x0jlpoiC}v*^!cjhZesST8A}gDny1#T zP#tsZziVh{SDLO>WcK<^AdWZQJp8;-FlsN7XI5wSk^C>27&4re!gAr?GSg7qX_t1} zwqUB17a+u3=9{q+ZKTu-fb)x#nAqHpWs0oFReis9HuO8KQxBPBFC8*I`%T*QlEC23 zPEUmv--pq)4bD@zuyFk8rbTF{FpheBk<(}O49PFES!l0`GmskCv8n zz=^%4XIxdf7SlV`t^Dgf>>mr@2z6^ zht4hjKACLi*@~N*EL`yw?*;*zlz}Dzk%Vqm%J#FCTRdN(`)WY~Q#4+_gPy&1dlj{) znTVu(D-t;KyDR1{x8ExolZ4A~BYGYn-<@_Xv}(fmn%`i~3#HW|~d?Rpscaz*7kWk;7rnEuN@s zTFtXH(xUSVa5`|qmAWdU+`t@AR&vHmYAP#fWtidMAfn9x9#A1OMSw1BaMi-R=@09Z zB4nCE&7AisvB=Z=jv8cbCU@r_)Ga&?Zx6j=ugDAg$7Z9CEYgDY8?a-D|CL=_IL+7|cLl zCaX%F3$O6PI>c2w@jN7JN945R^9uJccUxKE)0VeL%n$MYq(8X5ZC}$&ocqu#TrqzZ zlf9g3SXbL=ks%v=#oRY~@;C$~nNR*a7znBl==$;kXg`(Q zb{SL%&ZkViv7|qBBU#ulY@I(7tOd@r3qm>&Ht^v*$)#|$$Um~vqa=#Sy`l~LPNZLT zp@Il2E%lJY(IYCc%ZQ;#nKJ_D0DMs(oh%^|fRGF{({g3(a%x$Uca0WCz&sHx$yiy` z{hPmRCz(HQaUiKhm(;r{+4G<_Klwbnulz*`t=0AJ*u#V=^&O&+sU!s705%bfnZrLd zbJDk}ZP~&NoOSZZZz0*n&nri9*ctUUw4AqZ(TG>|!fxZ~fWMxu>dp6YH*cQ;L`dt0 z;$;&XHI>Evj z^r(sT+%HxNO3KB^^H9Q2FHgU>i8nI~DnW4`5xA*b45z;~_FP= zNa>q$@*-K{DuS7SkR?UBiC9gk>?MbF7n->n$b4 zScBz_zqFmXyXmuiCOlXmejcp?e9!eOUbfPdwvcTWUwJcozL2#r5BJAEewvmtP^fwZmHiAVBhv|k5jZ;4ZB3FC!&gDVgzZV&# zcM8q9`FhO+8pPOFO6-!@P4=bq6`5s8T`T|E9ebMwKU=@s-;(+mv%h=mr6|3;95%h` zpI5s5>BLLrxuBDNw}jZ8DBHnm)O1tuUa-5y0gRIK`C)>kaj($yjPx#h>@X|7(vTr?n^Lsn7ol}b5;dpD_=ftxjPLl-E5R@XLr>$T+OB>;F`J4+(nqtfec+p$0@lfvvI?u2=eBxZW(iraop@{tH}nNiX6uf8!m607_G8YWOzkh4Ot=ti z=k&jI*q#cW|8Xj;f9Op^ro2{P!uCuJm5^^!&yA`sp~I3FaH!+1QJ{)A>YhzmS>+vn z#N6bgF!>vEJ@0&&B*V8=FUZQogr)Z-^wk?oo)jqwI58}nB%B+59a-RWw(M52b~9HFAVJ9O!*F$Bc{xx0*z<7F@1qaw zb)#Y()vZigYTP}~icu;WGn>kIQejc_;qroOchM0UBd9i2N8T*77@C3SQ5!rZU$T?b z;Y!wp$o9UtvfiJ+5B6seJNcXgk3tk~|@R6dfJNIt@yP7vkD zD<5RSzgr&Kq#QGAZAY&6UV8=inwCT&48q69zMvZ3TQ-#Vr5n{O?d=2|w{iwW{M`k+ z+}kI~;+xLat9C)3@PKgV+Y@^?+OmWRL5Q>}jd71(=}soOgREbNAAwETfc5(|sau&- z{hS!#SR)GTPPNtU166b-P5Z-Q3Tl@h(C6Rl)gxm}Wquj8K{4ahl2CLBd~V__I~C+0 zfx5$KE{gcu>o!~KyMAso3r>&KrZ|wXh}Gax*ukEQ?@Qzgeear`To&KyRwh993g@OG z^^k9sZSHud1pj!i=E}bMc7#L@((tbBZJ?|yD)NBR z^hr79Di_UN9gdyezmFfpaC{|)kn(foE~^CLv!8Vpc8%__B}>(DP8ZjQ+2!^mbNWHb z`A!IwGyoxQiB#bAUk#=1VtX~Nm?i1au(#oh@`rpk8?58WYpYr2uC{q;cSVE zV_|I!6aOE1qv(l%248R*=j>|k1hbBx-JM<6SRQ2qv({>M8yon~#zp|ArU&tlf{zL} zrQq-mHd8!GY$|_SA9D~q@qm=vuw`(gEGPUDZC#8$2UU#qAKHIv3$>}GpUdGX{{_7S z7KVw(zfr48PJ)jN3RW>flQK%7gp-0}5`&NLVPuDu21(<`SwngBgMN2!u4S7`Dt*0- z@}HThGw~m>ov-=2GmBsB>I5^zguO7wT;?7%fTrzdc>yC!mR3Q|@$~dqKcnQ3>1J}q z)s?BSscBq43i&Q+JHHbHZOXP}DfB*0|E@%5!}_>Nz>@^)OF5Q8b#XnrKAF_=8+(6@ zb{%$3F*s-YX1q>kRj-j*Fz(O%{B1oM5s9V6_I53wtR+W@E<}nTjOOBN{$pJy>G=!- zR>sUKg62*6#&GN9Wt0)X)0Rt%Q~XppHu|=%j{$Cx%vbZvZMDo(iQTUy#mE?Pw;ef_ zcWsb}?jEFyOM}>na`0q87u`yhPAn$*=RB8HK4hQ( zB2iYYXB-OV&)IsNVdh$WhHxoVZFh5_n^nD4rmf00px6Rl0pWiVG}Sz0Zo}Mc!Ew5& z_ThDvmQ7Gm|56=C7H(MlL=C@5=o^Q$MPMOGAlUONLccw?Kyd!aN>zi%JSdy>-H>#Q zVbn)eIoIl+IWTSJy=`5um|U5$rPk{u`d@M9PC+{Z#LMq#zcLQbv;-5 zUJdbCcQM0`cE7K>bny7RFHt%;q!_G2f4xbhyuPu1)<3f3+;XW!Mqd&Mo;kG0S1-9c z>2mNTX==)SWDIadOj3C3Y@;b0WaHsI10~5(vpyRWy-BF+3oWhvOP>zHrvx zhiP}y@~gZH!N&4vVHs6}Jc9laJ54)&l9)L1u%~j>VZG@uUw4Bld*G(|tBnR<$u+*` zwV5rkcpX`AjZfxd^CWL+C0#OX{{kqIU!Z8#at=ic`9%qbJ^R>XZf0n*GM00_*Vez{ z{;lLYqlE)MH(~r-SZJ1)@x&Fq<`+daxBjPP_cQUC7WFz7Q<#D#zqtn`JhmLX&9pL> zX%Yfes5Z@lIIPOWlt827ScVES^Bh=KY9Yd*Ut!2TjqeiaFj&$N^CwwOLXC5}SYw!d z|4^kA)>ws01NRT0jS3OHk$MK65>wW@({GnYmYo~id^XCthyuM?Uj-R^*w}AYrV8CX z%2%ysvn#%;MZed#v-))2x55>GSrtLzjVhrbDeAzlzi-ZK~5oEkOwBkTMSaNf**6&Z>nA z{}0-b7KzdvhMSN>R~b|ZW7kUp(B;hWVVVF5# a^goD;K+4kpf<(eF2LB41ejE#sW7m*hWl$Dm3 zn396NFl$Z>+e0Tc$JB*-x( z0FNF^4&>kxmj2f`kf31wts%HtAylQ8|o+TS35xfqc()j%q@0RuF^_4@|r`s|CI>A_L%CS*&9L z24i3VP=c`JzE~yTKHFA9=cR}rglMfbEm{o{YAY@6vI1lc7$D?z8Tu%7fuq}2$cucn za^@pqW=#)5z{uGWP(35`Q(%h`5@(#PBv6S5CA*kNmyY3 literal 0 HcmV?d00001 diff --git a/address_decoder_html/fit/endmkt.gif b/address_decoder_html/fit/endmkt.gif new file mode 100644 index 0000000000000000000000000000000000000000..15371dcf341a42f7839b404d0c7e9d94e5a6a2d5 GIT binary patch literal 1560 zcmeH`=~EI20L7gSw|jL&Op{rerq-xdYpk_wC)dh!(^jMmQEpH)?`>%ZsVhD|vaTxw%PrJRE@tLn2|7l{eY!G8XF&mwS)Hsi>`G zR#($_JXU>uRb}Pv8#k^}C|T#v2$4Ht+Ksc*4ir7>Dtt4MSp)+Pfy3l$l&nsfWgo=KK{;V9O~_TJ~d^rSj;?L zLuv|9@q|A!c2_FqELrX@e=4(mVk}$elcq9b{~f*d`cPl#>mEjziqtwl)uHvVSD*jRsi-|3D_U=M$=rQ zzU6W34*=)(=u-#xaBg%5pRJ>NVW*kjbO>s@@B33WfFPx-IW<8v2vXa^ zc~Kiy6Y$=f%k76g;KdJVh4qF;Ok4JQ2W~=S80iHUsS`B}ic?0lL><^tE6bcQ59!2> zZxvTo&%dXNX~wo|PP#jUdVjp_Ag%tYmM24w} z10(7gI9=5xj>s}7xiD7sT$YhAB)YVb-cUR{5yH5#8{H+B&Wwri=j!C^4_YT)369-L zBtO3BhiffbQM4StZrOk8r@M~H-~GH`73xY49etLbH7!)$_gamTZ}pp4G@x9gm&Mz5 zVl#{0?7;bARg{S6RYgu%eau>SIviTyd^!lqO5FSeTR~Y2-ltk6RPIwzPM=X$I|);b z$H`j);Dx}55U`4}MpU;wR|&4eq-{1GWC1M5YsJnVkbf5f#KqvXrS$w?O*^8`fY-Y0 z!Ua`18I_*f=_fwepUelwLk9sUd*(>$@vE*VSH`_am9r~OLr6U3yAPd+dj~fc9@nUvyQ_7yWDiA!xk(hrNsPUrHeIP~`VH}yj zJm?&r*-&f#wD}ZGj>~3(Jz;%h;6Vx>EzLwGJk$Uk3Zz`TYa;^Spon literal 0 HcmV?d00001 diff --git a/address_decoder_html/fit/eqns.js b/address_decoder_html/fit/eqns.js new file mode 100644 index 0000000..3d35fc4 --- /dev/null +++ b/address_decoder_html/fit/eqns.js @@ -0,0 +1,902 @@ +var eqnType = 0; +var spcStr = " "; +var nlStr = "
"; +var tabStr = spcStr + spcStr + spcStr + spcStr + spcStr; +var nlTabStr = nlStr + tabStr; +var rClrS = ""; +var rClrE = ""; +var cClrS = ""; +var cClrE = ""; + +var abelOper = new Array(); +abelOper["GND"] = new Array("Gnd"); +abelOper["VCC"] = new Array("Vcc"); +abelOper["NOT"] = new Array(rClrS + "!" + rClrE); +abelOper["AND"] = new Array(rClrS + "*" + rClrE); +abelOper["OR"] = new Array(rClrS + "#" + rClrE); +abelOper["XOR"] = new Array(rClrS + "$" + rClrE); +abelOper["EQUAL_COLON"] = new Array(":= "); +abelOper["EQUAL"] = new Array("= "); +abelOper["ASSIGN"] = new Array(""); +abelOper["OPEN_NEGATE"] = new Array("("); +abelOper["CLOSE_NEGATE"] = new Array(")"); +abelOper["OPEN_PTERM"] = new Array(""); +abelOper["CLOSE_PTERM"] = new Array(""); +abelOper["OPEN_BRACE"] = new Array("<"); +abelOper["CLOSE_BRACE"] = new Array(">"); +abelOper["INVALID_OPEN_BRACE"] = new Array("<"); +abelOper["INVALID_CLOSE_BRACE"] = new Array(">"); + +abelOper["ENDLN"] = new Array(";"); +abelOper["COMMENT"] = new Array("//"); +abelOper["IMPORT"] = new Array(";Imported pterms "); +abelOper["GCK_COM"] = new Array("GCK"); +abelOper["GTS_COM"] = new Array("GTS"); +abelOper["GSR_COM"] = new Array("GSR"); +abelOper["START_EQN"] = new Array(""); +abelOper["END_EQN"] = new Array(""); + +abelOper["_I"] = new Array(".I"); +abelOper["_T"] = new Array(".T"); +abelOper["_D"] = new Array(".D"); +abelOper["_C"] = new Array(".CLK"); +abelOper["_LH"] = new Array(".LH"); +abelOper["_CLR"] = new Array(".AR"); +abelOper["_PRE"] = new Array(".AP"); +abelOper["_CE"] = new Array(".CE"); +abelOper["_OE"] = new Array(".OE"); + +abelOper["OE_START"] = new Array(" <= "); +abelOper["OE_WHEN"] = new Array(" when "); +abelOper["OE_EQUAL"] = new Array(" = "); +abelOper["OE_ELSE"] = new Array(" else "); +abelOper["B0"] = new Array("'0'"); +abelOper["B1"] = new Array("'1'"); +abelOper["BZ"] = new Array("'Z'"); + +abelOper["FD"] = new Array(".D"); +abelOper["FT"] = new Array(".T"); +abelOper["FDD"] = new Array(".D"); +abelOper["FTD"] = new Array(".T"); +abelOper["LD"] = new Array(".LH"); +abelOper["Q"] = new Array(".Q"); + +var vhdlOper = new Array(); +vhdlOper["GND"] = new Array("'0'"); +vhdlOper["VCC"] = new Array("'1'"); +vhdlOper["NOT"] = new Array(rClrS + "NOT " + rClrE); +vhdlOper["AND"] = new Array(rClrS + "AND" + rClrE); +vhdlOper["OR"] = new Array(rClrS + "OR" + rClrE); +vhdlOper["XOR"] = new Array(rClrS + "XOR" + rClrE); +vhdlOper["EQUAL_COLON"] = new Array("<= "); +vhdlOper["EQUAL"] = new Array("<= "); +vhdlOper["ASSIGN"] = new Array(""); +vhdlOper["OPEN_NEGATE"] = new Array("("); +vhdlOper["CLOSE_NEGATE"] = new Array(")"); +vhdlOper["OPEN_PTERM"] = new Array("("); +vhdlOper["CLOSE_PTERM"] = new Array(")"); +vhdlOper["OPEN_BRACE"] = new Array("("); +vhdlOper["CLOSE_BRACE"] = new Array(")"); +vhdlOper["INVALID_OPEN_BRACE"] = new Array("<"); +vhdlOper["INVALID_CLOSE_BRACE"] = new Array(">"); + +vhdlOper["ENDLN"] = new Array(";"); +vhdlOper["COMMENT"] = new Array("--"); +vhdlOper["IMPORT"] = new Array(""); +vhdlOper["GCK_COM"] = new Array("GCK"); +vhdlOper["GTS_COM"] = new Array("GTS"); +vhdlOper["GSR_COM"] = new Array("GSR"); +vhdlOper["START_EQN"] = new Array(rClrS + "port map" + rClrE + " ("); +vhdlOper["END_EQN"] = new Array(")"); + +vhdlOper["_I"] = new Array("_I"); +vhdlOper["_T"] = new Array("_T"); +vhdlOper["_D"] = new Array("_D"); +vhdlOper["_C"] = new Array("_C"); +vhdlOper["_LH"] = new Array("_C"); +vhdlOper["_CLR"] = new Array("_CLR"); +vhdlOper["_PRE"] = new Array("_PRE"); +vhdlOper["_CE"] = new Array("_CE"); +vhdlOper["_OE"] = new Array("_OE"); + +vhdlOper["OE_START"] = new Array(" <= "); +vhdlOper["OE_WHEN"] = new Array(" when "); +vhdlOper["OE_EQUAL"] = new Array(" = "); +vhdlOper["OE_ELSE"] = new Array(" else "); +vhdlOper["B0"] = new Array("'0'"); +vhdlOper["B1"] = new Array("'1'"); +vhdlOper["BZ"] = new Array("'Z'"); + +vhdlOper["FD"] = new Array("FDCPE"); +vhdlOper["FT"] = new Array("FTCPE"); +vhdlOper["FDD"] = new Array("FDDCPE"); +vhdlOper["FTD"] = new Array("FTDCPE"); +vhdlOper["LD"] = new Array("LDCP"); +vhdlOper["Q"] = new Array(""); + +var verOper = new Array(); +verOper["GND"] = new Array("1'b0"); +verOper["VCC"] = new Array("1'b1"); +verOper["NOT"] = new Array(rClrS + "!" + rClrE); +verOper["AND"] = new Array(rClrS + "&&" + rClrE); +verOper["OR"] = new Array(rClrS + "||" + rClrE); +verOper["XOR"] = new Array(rClrS + "XOR" + rClrE); +verOper["EQUAL_COLON"] = new Array("= "); +verOper["EQUAL"] = new Array("= "); +verOper["ASSIGN"] = new Array("assign "); +verOper["OPEN_NEGATE"] = new Array("("); +verOper["CLOSE_NEGATE"] = new Array(")"); +verOper["OPEN_PTERM"] = new Array("("); +verOper["CLOSE_PTERM"] = new Array(")"); +verOper["OPEN_BRACE"] = new Array("["); +verOper["CLOSE_BRACE"] = new Array("]"); +verOper["INVALID_OPEN_BRACE"] = new Array("<"); +verOper["INVALID_CLOSE_BRACE"] = new Array(">"); + +verOper["ENDLN"] = new Array(";"); +verOper["COMMENT"] = new Array("//"); +verOper["IMPORT"] = new Array(""); +verOper["GCK_COM"] = new Array("GCK"); +verOper["GTS_COM"] = new Array("GTS"); +verOper["GSR_COM"] = new Array("GSR"); +verOper["START_EQN"] = new Array(" ("); +verOper["END_EQN"] = new Array(")"); + +verOper["_I"] = new Array("_I"); +verOper["_T"] = new Array("_T"); +verOper["_D"] = new Array("_D"); +verOper["_C"] = new Array("_C"); +verOper["_LH"] = new Array("_C"); +verOper["_CLR"] = new Array("_CLR"); +verOper["_PRE"] = new Array("_PRE"); +verOper["_CE"] = new Array("_CE"); +verOper["_OE"] = new Array("_OE"); + +verOper["OE_START"] = new Array(" = "); +verOper["OE_WHEN"] = new Array(" ? "); +verOper["OE_EQUAL"] = new Array(""); +verOper["OE_ELSE"] = new Array(" : "); +verOper["B0"] = new Array("1'b0"); +verOper["B1"] = new Array("1'b1"); +verOper["BZ"] = new Array("1'bz"); + +verOper["FD"] = new Array("FDCPE"); +verOper["FT"] = new Array("FTCPE"); +verOper["FDD"] = new Array("FDDCPE"); +verOper["FTD"] = new Array("FTDCPE"); +verOper["LD"] = new Array("LDCP"); +verOper["Q"] = new Array(""); + +var operator = abelOper; + +var pterms = new Array(); +var d1 = new Array(); +var d2 = new Array(); +var clk = new Array(); +var set = new Array(); +var rst = new Array(); +var trst = new Array(); +var d1imp = new Array(); +var d2imp = new Array(); +var clkimp = new Array(); +var setimp = new Array(); +var rstimp = new Array(); +var trstimp = new Array(); +var gblclk = new Array(); +var gblset = new Array(); +var gblrst = new Array(); +var gbltrst = new Array(); +var ce = new Array(); +var ceimp = new Array(); +var prld = new Array(); +var specSig = new Array(); +var clkNegs = new Array(); +var setNegs = new Array(); +var rstNegs = new Array(); +var trstNegs = new Array(); +var ceNegs = new Array(); +var fbnand = new Array(); +var inreg = new Array(); + +var dOneLit = true; + +function setOper(type) { + if (type == "1") { operator = vhdlOper; eqnType = 1; } + else if (type == "2") { operator = verOper; eqnType = 2; } + else { operator = abelOper; eqnType = 0; } +} + +function isXC95() { + if (device.indexOf("95") != -1) return true; + return false; +} + +function is9500() { + if ((device.indexOf("95") != -1) && + (device.indexOf("XL") == -1) && + (device.indexOf("XV") == -1)) return true; + return false; +} + +function retSigType(s) { + var sigType = sigTypes[s]; + var str = operator["Q"]; + if (sigType == "D") str = operator["FD"]; + else if (sigType == "T") str = operator["FT"]; + else if (sigType.indexOf("LATCH") != -1) str = operator["LD"]; + else if (sigType.indexOf("DDEFF") != -1) str = operator["FDD"]; + else if (sigType.indexOf("DEFF") != -1) str = operator["FD"]; + else if (sigType.indexOf("DDFF") != -1) str = operator["FDD"]; + else if (sigType.indexOf("TDFF") != -1) str = operator["FTD"]; + else if (sigType.indexOf("DFF") != -1) str = operator["FD"]; + else if (sigType.indexOf("TFF") != -1) str = operator["FT"]; + return str; +} + +function retSigIndex(signal) { + for (s=0; s 1) str += operator["OPEN_PTERM"]; + for (p=0; p0) str += " " + operator["AND"] + " "; + var neg = 0; + if (sig.indexOf("/") != -1) { + sig = sig.substring(1, sig.length); + str += operator["NOT"]; + neg = 1; + } + + str += retSigName(sig); + } + if (pterms[pt].length > 1) str += operator["CLOSE_PTERM"]; + + return str; +} + +function retFBMC(str) { + return str.substring(0,str.length-2); +} + +function retD1D2(signal) { + var str = ""; + + dOneLit = true; + if (d1[signal]) { + var currImp = ""; + for (i=0; i0) str += nlTabStr + operator["OR"] + spcStr; + str += retPterm(d1[signal][i]); + } + + if (d2[signal]) str += nlTabStr + operator["XOR"]+ spcStr; + } + + if (d2[signal]) { + var currImp = ""; + for (i=0; i0) str += nlTabStr + operator["OR"] + spcStr; + str += retPterm(d2[signal][i]); + } + } + + if (str == "GND") str = operator["GND"]; + else if (str == "VCC") str = operator["VCC"]; + else if (!isOneLiteral(str)) { + dOneLit = false; + + var type = retSigType(retSigIndex(signal)); + if ((type == operator["FD"]) || + (type == operator["FDD"])) type = operator["_D"]; + else if ((type == operator["FT"]) || + (type == operator["FTD"])) type = operator["_T"]; + else if (type == operator["LD"] && eqnType) type = "_D"; + + var tmpStr = updateName(retSigName(signal), type); + tmpStr += spcStr + operator["EQUAL_COLON"]; + var idx = retSigIndex(signal); + if (eqnType && sigNegs[idx] == "ON") tmpStr += operator["NOT"] + operator["OPEN_NEGATE"]; + str = tmpStr + str; + if (eqnType && sigNegs[idx] == "ON") str += operator["CLOSE_NEGATE"]; + str += operator["ENDLN"]; + + } + + return str; +} + +function retClk(signal) { + var str = ""; + + if (clk[signal]) { + if (clk[signal].length == 1) { + var pterm = retPterm(clk[signal][0]); + if (clkNegs[signal]) { + str += operator["NOT"]; + if (!isOneLiteral(pterm)) str += operator["OPEN_NEGATE"]; + } + str += pterm; + if (clkNegs[signal] && !isOneLiteral(pterm)) str += operator["CLOSE_NEGATE"]; + } + else { + if (clkNegs[signal]) str += operator["NOT"] + operator["OPEN_NEGATE"]; + var currImp = ""; + for (i=0; i0) str += nlTabStr + operator["OR"] + spcStr; + str += retPterm(clk[signal][i]); + } + if (clkNegs[signal]) str += operator["CLOSE_NEGATE"]; + str += operator["ENDLN"]; + } + } + else if (gblclk[signal]) { + if (gblclk[signal].length == 1) { + var pterm = retPterm(gblclk[signal][0]); + if (clkNegs[signal]) { + str += operator["NOT"]; + if (!isOneLiteral(pterm)) str += operator["OPEN_NEGATE"]; + } + str += pterm; + if (clkNegs[signal] && !isOneLiteral(pterm)) str += operator["CLOSE_NEGATE"]; + } + else { + if (clkNegs[signal]) str += operator["NOT"] + operator["OPEN_NEGATE"]; + for (i=0; i0) str += nlTabStr + operator["OR"] + spcStr; + str += retPterm(gblclk[signal][i]); + } + if (clkNegs[signal]) str += operator["CLOSE_NEGATE"]; + str += operator["ENDLN"] + tabStr + cClrS + + operator["COMMENT"] + spcStr + operator["GCK_COM"] + cClrE; + } + } + else if (eqnType) str += operator["B0"]; + + return str; +} + +function retRst(signal) { + var str = ""; + + if (rst[signal]) { + if (rst[signal].length == 1) { + var currImp; + if (!eqnType && rstimp[signal] && (rstimp[signal][0] == "1")) { + if (currImp != retFBMC(rst[signal][0])) { + currImp = retFBMC(rst[signal][0]); + str += nlStr + operator["IMPORT"] + currImp; + } + } + if (rstNegs[signal]) str += operator["NOT"]; + str += retPterm(rst[signal][0]); + } + else { + var currImp = ""; + if (rstNegs[signal]) str += operator["NOT"] + operator["OPEN_NEGATE"]; + for (i=0; i0) str += nlTabStr + operator["OR"] + spcStr; + str += retPterm(rst[signal][i]); + } + if (rstNegs[signal]) str += operator["CLOSE_NEGATE"]; + str += operator["ENDLN"]; + } + } + else if (gblrst[signal]) { + if (gblrst[signal].length == 1) { + if (rstNegs[signal]) str += operator["NOT"]; + str += retPterm(gblrst[signal][0]); + } + else { + if (rstNegs[signal]) str += operator["NOT"] + operator["OPEN_NEGATE"]; + for (i=0; i0) str += nlTabStr + operator["OR"] + spcStr; + str += retPterm(gblrst[signal][i]); + } + if (rstNegs[signal]) str += operator["CLOSE_NEGATE"]; + str += operator["ENDLN"] + tabStr + cClrS + + operator["COMMENT"] + spcStr + operator["GSR_COM"] + cClrE; + } + } + else if (eqnType) str += operator["B0"]; + + return str; +} + +function retSet(signal) { + var str = ""; + + if (set[signal]) { + if (set[signal].length == 1) { + var currImp = ""; + if (!eqnType && setimp[signal] && (setimp[signal][0] == "1")) { + if (currImp != retFBMC(set[signal][0])) { + currImp = retFBMC(set[signal][0]); + str += nlStr + operator["IMPORT"] + currImp; + } + } + if (setNegs[signal]) str += operator["NOT"]; + str += retPterm(set[signal][0]); + } + else { + var currImp = ""; + if (setNegs[signal]) str += operator["NOT"] + operator["OPEN_NEGATE"]; + for (i=0; i0) str += nlTabStr + operator["OR"] + spcStr; + str += retPterm(set[signal][i]); + } + if (setNegs[signal]) str += operator["CLOSE_NEGATE"]; + str += operator["ENDLN"]; + } + } + else if (gblset[signal]) { + if (gblset[signal].length == 1) { + if (setNegs[signal]) str += operator["NOT"]; + str += retPterm(gblset[signal][0]); + } + else { + if (setNegs[signal]) str += operator["NOT"] + operator["OPEN_NEGATE"]; + for (i=0; i0) str += nlTabStr + operator["OR"] + spcStr; + str += retPterm(gblset[signal][i]); + } + if (setNegs[signal]) str += operator["CLOSE_NEGATE"]; + str += operator["ENDLN"] + tabStr + cClrS + + operator["COMMENT"] + spcStr + operator["GSR_COM"] + cClrE; + } + } + else if (eqnType) str += operator["B0"]; + + return str; +} + +function retCE(signal) { + var str = ""; + + if (ce[signal]) { + if (ce[signal].length == 1) { + var currImp = ""; + if (!eqnType && ceimp[signal] && (ceimp[signal][0] == "1")) { + if (currImp != retFBMC(ce[signal][0])) { + currImp = retFBMC(ce[signal][0]); + str += nlStr + operator["IMPORT"] + currImp; + } + } + if (ceNegs[signal]) str += operator["NOT"]; + str += retPterm(ce[signal][0]); + } + else { + var currImp = ""; + if (ceNegs[signal]) str += operator["NOT"] + operator["OPEN_NEGATE"]; + for (i=0; i0) str += nlTabStr + operator["OR"] + spcStr; + str += retPterm(ce[signal][i]); + } + if (ceNegs[signal]) str += operator["CLOSE_NEGATE"]; + str += operator["ENDLN"]; + } + } + else if (eqnType) str += operator["B1"]; + + return str; +} + +function retTrst(signal) { + var str = ""; + if (trst[signal]) { + if (trstNegs[signal]) + str += operator["NOT"] + operator["OPEN_NEGATE"]; + for (i=0; i0) str += nlTabStr + operator["OR"] + spcStr; + str += retPterm(trst[signal][i]); + } + if (trstNegs[signal]) str += operator["CLOSE_NEGATE"]; + } + else if (gbltrst[signal]) { + if (trstNegs[signal]) + str += operator["NOT"] + operator["OPEN_NEGATE"]; + for (i=0; i0) str += nlTabStr + operator["OR"] + spcStr; + str += retPterm(gbltrst[signal][i]); + } + if (trstNegs[signal]) str += operator["CLOSE_NEGATE"]; + } + + str += operator["ENDLN"]; + return str; +} + +function retEqn(signal) { + var str = inregStr = ""; + var iStr = qStr = ""; + var dStr = dEqn = ""; + var cStr = cEqn = ""; + var clrStr = clrEqn = ""; + var preStr = preEqn = ""; + var ceStr = ceEqn = ""; + var oeStr = oeEqn = ""; + var sigName = retSigName(signal); + + var type = retSigType(retSigIndex(signal)); + + if (gbltrst[signal] || trst[signal]) iStr = operator["_I"]; + if (eqnType) qStr = updateName(sigName, iStr); + + if (inreg[signal]) { + if (!eqnType) + inregStr = operator["COMMENT"] + " Direct Input Register" + nlStr; + dStr = retSigName(inreg[signal][0]); + } + else dStr = retD1D2(signal); + if (eqnType && !dOneLit) { + dEqn = dStr; + dStr = dStr.substring(0,dStr.indexOf(operator["EQUAL_COLON"])); + } + else if (!eqnType) { + if (!dOneLit) dStr = dStr.substring(dStr.indexOf(operator["EQUAL_COLON"])+2); + if (sigNegs[retSigIndex(signal)] == "ON") dEqn += operator["NOT"]; + dEqn += sigName; + if ((type == operator["FT"]) || + (type == operator["FTD"])) dEqn += operator["_T"]; + else if ((type == operator["FD"]) || + (type == operator["FTD"])|| + (type == operator["LD"])) dEqn += operator["_D"]; + dEqn += " "; + if ((type != operator["Q"]) && (type != operator["LD"])) + dEqn += operator["EQUAL_COLON"]; + else dEqn += operator["EQUAL"]; + dEqn += dStr; + if (dOneLit) dEqn += operator["ENDLN"]; + } + + cStr = retClk(signal); + if (eqnType && !isOneLiteral(cStr)){ + cEqn = cStr; + if (cEqn.indexOf(operator["ENDLN"]) == -1) + cEqn += operator["ENDLN"]; + cStr = updateName(sigName, operator["_C"]); + } + else if (!eqnType && cStr) { + cEqn += cStr; + cStr = tabStr + sigName; + if (type == operator["LD"]) cStr += operator["_LH"]; + else cStr += operator["_C"]; + if (cEqn.indexOf(operator["ENDLN"]) == -1) + cEqn += operator["ENDLN"]; + if (gblclk[signal]) cEqn += tabStr + operator["COMMENT"] + " " + operator["GCK_COM"]; + } + + clrStr = retRst(signal); + if (eqnType && !isOneLiteral(clrStr)){ + clrEqn = clrStr; + if (cEqn.indexOf(operator["ENDLN"]) == -1) + clrEqn += operator["ENDLN"]; + clrStr = updateName(sigName, operator["_CLR"]); + } + else if (!eqnType && clrStr) { + clrEqn += clrStr; + clrStr = tabStr + sigName + operator["_CLR"]; + if (clrEqn.indexOf(operator["ENDLN"]) == -1) + clrEqn += operator["ENDLN"]; + if (gblrst[signal]) clrEqn += tabStr + operator["COMMENT"] + " " + operator["GSR_COM"]; + } + + preStr = retSet(signal); + if (eqnType && !isOneLiteral(preStr)){ + preEqn = preStr; + if (cEqn.indexOf(operator["ENDLN"]) == -1) + preEqn += operator["ENDLN"]; + preStr = updateName(sigName, operator["_PRE"]); + } + else if (!eqnType && preStr) { + preEqn += preStr; + preStr = tabStr + sigName + operator["_PRE"]; + if (preEqn.indexOf(operator["ENDLN"]) == -1) + preEqn += operator["ENDLN"]; + if (gblset[signal]) preEqn += tabStr + operator["COMMENT"] + " " + operator["GSR_COM"]; + } + + if (!is9500()) { + ceStr = retCE(signal); + if (eqnType && !isOneLiteral(ceStr)){ + ceEqn = ceStr; + if (cEqn.indexOf(operator["ENDLN"]) == -1) + ceEqn += operator["ENDLN"]; + ceStr = updateName(sigName, operator["_CE"]); + } + else if (!eqnType && ceStr) { + ceEqn += ceStr; + ceStr = tabStr + sigName + operator["_CE"]; + if (ceEqn.indexOf(operator["ENDLN"]) == -1) + ceEqn += operator["ENDLN"]; + } + } + + if (eqnType && trst[signal]) oeEqn = retTrst(signal) + else if (!eqnType && (trst[signal] || gbltrst[signal])) oeEqn = retTrst(signal); + + + var newline = false; + if (type == "") { + str += operator["ASSIGN"] + qStr + " " + operator["EQUAL"]; + if (dOneLit) str += dStr; + else str += dEqn.substring(dEqn.indexOf(operator["EQUAL"])+2); + if (oeEqn != "") { + var oeStr = updateName(sigName, operator["_OE"]); + if (eqnType == 1) { + str += nlStr + sigName + operator["OE_START"] + qStr + operator["OE_WHEN"] + oeStr + + operator["OE_EQUAL"] + operator["B1"] + operator["OE_ELSE"] + + operator["OE_EQUAL"] + operator["BZ"] + operator["ENDLN"]; + } + else if (eqnType == 2) { + str += nlStr + operator["ASSIGN"] + sigName + operator["OE_START"] + + oeStr + operator["OE_WHEN"] + qStr + + operator["OE_ELSE"] + operator["BZ"] + operator["ENDLN"]; + } + str += nlStr + operator["ASSIGN"] + oeStr + " " + operator["EQUAL"] + " " + oeEqn; + } + } + else { + if (eqnType == 1) { + str += type + "_" + removePar(retSigName(signal)) + + ": " + type + " " + operator["START_EQN"] + + qStr + ", " + dStr + ", " + cStr + ", " + + clrStr + ", " + preStr; + if (!is9500() && (type != operator["LD"])) str += ", " + ceStr; + str += operator["END_EQN"] + operator["ENDLN"]; + newline = true; + } + else if (eqnType == 2) { + str += type + " " + + type + "_" + removePar(retSigName(signal)) + + operator["START_EQN"] + + qStr + ", " + dStr + ", " + cStr + ", " + + clrStr + ", " + preStr; + if (!is9500() && (type != operator["LD"])) str += ", " + ceStr; + str += operator["END_EQN"] + operator["ENDLN"]; + newline = true; + } + + if (dEqn != "") { + if (newline) str += nlStr; + if (inregStr) str += inregStr; + str += operator["ASSIGN"] + dEqn; + } + + if (cEqn != "") { + if (newline || !eqnType) str += nlStr; + str += operator["ASSIGN"] + cStr + " " + operator["EQUAL"] + " " + cEqn; + } + + if (clrEqn != "") { + if (newline || !eqnType) str += nlStr; + str += operator["ASSIGN"] + clrStr + " " + operator["EQUAL"] + " " + clrEqn; + } + + + if (preEqn != "") { + if (newline || !eqnType) str += nlStr; + str += operator["ASSIGN"] + preStr + " " + operator["EQUAL"] + " " + preEqn; + } + + if (ceEqn != "") { + if (newline || !eqnType) str += nlStr; + str += operator["ASSIGN"] + ceStr + " " + operator["EQUAL"] + " " + ceEqn; + } + + if (oeEqn != "") { + if (eqnType == 1) { + var oeStr = updateName(sigName, operator["_OE"]); + str += nlStr + sigName + operator["OE_START"] + qStr + operator["OE_WHEN"] + oeStr + + operator["OE_EQUAL"] + operator["B1"] + operator["OE_ELSE"] + + operator["OE_EQUAL"] + operator["BZ"] + operator["ENDLN"]; + str += nlStr + oeStr + " " + operator["EQUAL"] + " " + oeEqn; + } + else if (eqnType == 2) { + var oeStr = updateName(sigName, operator["_OE"]); + str += nlStr + operator["ASSIGN"] + sigName + operator["OE_START"] + oeStr + operator["OE_WHEN"] + qStr + + operator["OE_ELSE"] + operator["BZ"] + operator["ENDLN"]; + str += nlStr + operator["ASSIGN"] + oeStr + " " + operator["EQUAL"] + " " + oeEqn; + } + else { + var oeStr = sigName + operator["_OE"]; + if (gbltrst[signal]) + oeEqn += tabStr + operator["COMMENT"] + " " + operator["GTS_COM"]; + str += nlStr + tabStr + oeStr + " " + operator["EQUAL"] + " " + oeEqn; + } + } + } + + return str; +} + +function retFamily() { + var family = "xc9500"; + if (device.indexOf("XC2C") != -1) { + if (device.indexOf("S") != -1) family = "cr2s"; + else family = "xbr"; + } + else if (device.indexOf("XCR3") != -1) family = "xpla3"; + else { + if (device.indexOf("XL") != -1) family = "xc9500xl"; + if (device.indexOf("XV") != -1) family = "xc9500xv"; + } + + return family; +} + +function retDesign() { return design; } + +function getPterm(pt, type) { + if (type) return type + " = " + retPterm(pt); + return "PT" + pt.substring(pt.indexOf('_')+1,pt.length) + " = " + retPterm(pt); +} + +function getPRLDName(prld) { + if (eqnType != 0) return prld; + else if (prld == "VCC") return "S"; + return "R"; +} + +function retFbnand(signal) { + var str = operator["COMMENT"] + spcStr + "Foldback NAND"; + str += nlStr + retSigName(signal) + spcStr + operator["EQUAL"] + spcStr; + for (i=0; i0) str += nlTabStr + operator["OR"] + spcStr; + str += retPterm(fbnand[signal][i]); + } + + return str; +} + +function getEqn(signal) { return retEqn(signal); } + +function retUimPterm(pt) { + var str = ""; + if (!uimPterms[pt]) return pt; + for (p=0; p0) str += spcStr + operator["AND"] + spcStr; + var sig = uimPterms[pt][p]; + if (sig.indexOf("/") != -1) sig = sig.substring(1, sig.length); + + str += retSigName(sig); + } + return str; +} + +function retUimEqn(signal) { + var str = operator["COMMENT"] + spcStr + "FC Node" + nlStr; + var neg = 0; + if (uimSigNegs[s] == "ON") str += operator["NOT"]; + str += retSigName(signal) + spcStr + operator["EQUAL"]; + str += retUimPterm(signal) + ";"; + + return str; +} + +function retLegend(url) { + var str = ""; + if (!eqnType && !isXC95()) { + str = "Legend: " + "<" + "signame" + ">" + ".COMB = combinational node mapped to "; + str += "the same physical macrocell as the FastInput \"signal\" (not logically related)"; + } + else if (eqnType) { + str = "Register Legend:"; + if (is9500()) { + str += nlTabStr + "FDCPE (Q,D,C,CLR,PRE);"; + str += nlTabStr + "FTCPE (Q,D,C,CLR,PRE);"; + str += nlTabStr + "LDCP (Q,D,G,CLR,PRE);"; + } + else if (retFamily() == "xbr") { + str += nlTabStr + "FDCPE (Q,D,C,CLR,PRE,CE);"; + str += nlTabStr + "FDDCPE (Q,D,C,CLR,PRE,CE);"; + str += nlTabStr + "FTCPE (Q,D,C,CLR,PRE,CE);"; + str += nlTabStr + "FTDCPE (Q,D,C,CLR,PRE,CE);"; + str += nlTabStr + "LDCP (Q,D,G,CLR,PRE);"; + } + else { + str += nlTabStr + "FDCPE (Q,D,C,CLR,PRE,CE);"; + str += nlTabStr + "FTCPE (Q,D,C,CLR,PRE,CE);"; + str += nlTabStr + "LDCP (Q,D,G,CLR,PRE);"; + } + } + return str; +} + diff --git a/address_decoder_html/fit/equations.gif b/address_decoder_html/fit/equations.gif new file mode 100644 index 0000000000000000000000000000000000000000..d81602dcda8c50e3a304aa4aa7320bba1e499b88 GIT binary patch literal 20290 zcmX7OWl$T=*L8{&cef(J-Mz&jxCM775Q-OXakn7FT>}&kQlPlILvSlzC@lpld4B)* z-48oEvomMzJ?Gq+y*pP8q$(+4*9jIxa5hx%M07Qa;NH`FQ`Y$>l3JgTSfhg4f!UTXp05}MM0s#RaAQ%LM zgMcUy2mk_sK_EB?gaSbUASf6Fg@d3d5DWlRQb zL!l@r3;>0Jp)fcUhJqphPy`r?fI|@|C=vigf}uz_6p8weMJNgkMZuvc)c@!NfMEbQ z41j_G0WcsK286?aC>RI;1A$>6I1Ge>K>;u*7zTyIpePs&0E2;HFgOf`f*}Af1Q>>Z z!w@JK5&%PjVMsU(iTY0&7zzwS!C@%W|0Du{5db&>fI0`1PFitfe|1$ z0)#?90SG7<0fi%=CI1-8a&p}8O7>R--QK?a`;QzVmKQp0#U=$Gk zp9B6A9t8rUK=A+6`cEVj6pVtx|6}$)LQya<3I_j=h5wRAA;2gE{J)6*3lxO}qmc0b z(EkHPp};5<{J#_P6UP6m0p9=Z|Emdk?Eh|Y=+&D`2cxjbxGg7|%ZB5KSv4Fv>$FFc zC`IhIYg<^y(-@T^>A6~glbP&><(74=PAb^|ezaV!%wsJ8^V=S+x=d%aBI>YKj09iT zxgu3H4fFg~ZJ>m-2%?)S;ld~%oMfG1M&hcKCc+ncod~X6{X{#Sy2HK3v085I9qg}Z z=xI?Ih?MldZx`76Lcwb0*z==mHzih#|0E<=U~HJ13NPRYCTKmvAZPwB{?W;xGG<5p zyC$um(?lGeQorfrogpaMj>ozCogXl(Ucklq+U(+Vr~mzX_H(1R1z)Ou?=oI*c)8b& znY_FSq!<&1xYJNkj|$ha_C6il*B-L|vhwZ2uW!hKH?M7$LSNh+2oyEF z3a@uV|@{yK0e6)MXK)LNyt-)K5B$>+9AufBh|?e2i+e2``ItOQYyszbp3ZpFQ1IFK?u(q`OVXA$fmGaJvTxWvgR= zeP;uehwApJRc4`C=T)j;NSirkp>J@MhFkV?RKv{kpG+ab2&}T`g|dmALhOeQXCUq2 z=0V&`${L3FQ7NYaXBM=ajAGh=c#iTQ7L)A+EZV%X3UGhit8jGsDdx9YFM`dZHDa(V ziu^pkIt1WBa5g?}rf8JAwRWIrS)4?r%@-q|`P56YoB2%h(;0=;s>?FBwn!Wn89H|( z=3n%%Cx#II$~U6n?{Tb(Bw!kF%_+t?egC)<%azN|PUD=X@%(iq;?%j@F_Z=?x30$} zc5=OPnT68PF24WqJhF%nVIABem&*+oR{eeGD%Y><9?A5H^Du##J@hsyjXRWXAc7m0 z#ew5BW^)ULTHHk|kPz3^kM>`#K*uFNO`TTADERIKI6sB*m;6!katV7B~-<5gNp52d{vUcHuyNcN0 zccpa#+S5DMEcv0^ljW-@YVk3?D3^djC8|6I*w;BKr+kyhgikry)$Y{`Hk5UHi*v1s zH_-2Ey=}*K#UO{(%%VTc>Y|%qpg*Pslu3WOl=-%muR?zI zS@z)E*jf-R|6qH!_p_*89J+ zGgyAUk(uT5VwGihiE?v-{dr2UY}71)ACvJfrt2!5YUIC|trQJZ;1kn^m{eiN*YlC+ zhroRf=LFg4Vzn=f|Dmm6(U^WAM2x70+^^b&PsqzMkH2To%%Fcmor|UK;y}jBxc{e} z<$Q87t*zep`&Ji6!%uI&gzqMFG)CMD^g<$v1LjJM4WYZA0pA>fzns zcgn)8){}`aGCzuQ0}3^>yZTgq+DT%%N(Qxu>p0w`&3FydUKJ-b!(fAjL@joq0V`tX zS!GrbuX+y-p@<3-M!_DF6aDXuk#J>ttFeAy^8tHSRX~ukx-i_8Z@uObyA1I>h~-#^gX>COr3}jnnIS^Y-5~ z1PZkJo+#LlRG;i)?7tIWHQF96?Vd<<<`FaBi4x8oW>+?D^=Tg{QF-`W)3OuwMaGdr z>;l!tMc`6k$mKvT*VR{Skh22JRmW{IefQa4km#Pn@l%g;_~)>)tu%Z5V&L5bOzE z=0QRJ$Xt96>S#TFCBbTWs{i(#EK}-9^0K7FBv5KLOfmfdXR_P&4cGe>HQHs>+bqYfeD^bk& zBH#lPNlAkIP3o^c*643Ui&(2Io_LM!R&?OJ=RMEduUCJ}HZesX|7Gnoy$n)4M$~7= zR}VjsR%=A{6*|(jd-_97|Nf}e?Yl6U53Z;CcYzQLJ4bnI1tK(ha-ECs{*4ScfghWF z>7U+i6?<-fTS%RkA^(nw%&r0exY3e8>Gsyy4kmlg8#P-Vo2>c4>tRoG(R`>AI~+5* z$(Hi6GPXnyHHix*E4{fCYw>IHTWoMmg|QsG?$GkQ@j|;JBLZ_U3MV4wbzsbpBdv(IK@UZ=K%6$KA1xm`FI{X15zvFG))QBfdhhO? z#Uru#8L_`)Twrcoa93RDMqKz~9KN014cJUNJ~kHHs&+VR5i=$^+wL36I9@$B6j$Bz z%NnPny33(6xx$L-2K)OyR#!s`;W6|?sYlA$sj zku0g;Js9s6)=u_iI3YmPW-nTP<-q=xOUS#5_&gH2R`vw2v(T%h#3@qNSS%q?SJ~%f ztINY=r9tOh)gau=pmYhlmMA@LpS1FL>H%e!J);n&xFozN=I~X^S>t$;2X07B;ytpkqxQgGD!lCR0t{|xdolKu4|;cEpt;j@&{}jSw#DnbsK56XpJVw! zM{*L`DwbI~_wBDU(Z%GH1kMcJ+)1Qo9KH{AOdudn9nW^^$+a0@4dUli0AvXiNGKe} zN;Dd4OLAzfqM1ni^6@tc@Gt>)C|KQ7XA7FLd^C>0wKx6P?eWFejKyD#E63%wlKvaQ z5XWA$Jc{2i%cSDFa^82UW3sG5vR80>u6Gn-=f{#-7}AD6xD4!7y}&l^#~&HrIj{!^ z1S)6EBeb4JGkJZiJWOl~%6+?(0+hK}okufXX4ByK1$0`BT0*rTul@(^2MuD z-4GuB(V4A5hW9>(#Y!dVnLP2V)VZXp7vHsFGZnXBa^m+O^n8A8M^XB=NG~q=M(3z| zNeL5i$!}|FFtuE$8YiPac!J|ybMS$4{|rh4IB{{Aydpj|(wKztnJIu*!5<>?6|yx&V=eK}HO7og{|Hw*uUUs0 zatt|V`D>W0OEK}WiT1h`7-w<8K5$89zV6@x$VKs3A4@utYf4q|ONm*1CslCF(h(AZ3&{);4gk8~UbvdaG}vkQT~Zitf%y2v4cf8Ze|AW9aa83TcOZ7qN?f$@ z`^tV@6;;_%mx0K|Yoqr}G>N}2uy5t**c5sFY$ib=b;HV~34Be~UU2s;sS06RGnCMa zGIXGnKYhr&i&lhHXDpBA}SZ;%ipJEiXL7kDW8>@V(a5eLyl?6(~ zzROYZsU!5438Z(B;d&{*GY_QkU-Q!TX{`;!bfj}?Z>YYa12Hp9KBB%qGxjc*c;~BX zb6-v!z`@m$n;D#ozTqHqs`Lvi!@J@;TFGlwq0h@=OdcyARIUMOYuWsz#6?suzfq?fcK^ioCw<%^P*BihYiE!S^Gr{1xlyjQkm=`M*5xcJ!$7z zuPOP)+WnXuX}Fy&StUL(IM_y0RI7`{wkw&XqBYzan-8!>h|#kmvAV!)ci z{>q<+bVD_$yQhGTT$ZaLB^mXg-bl%Ygi=W|iJ3!9_4CvUW24^eFfohaGsRu3yXIjGD18QNOL#rGoZMBuJgW z>1c?iSccip=1i=Ef7OLXaXgXFfjJxXf5+`RIveyM6RkjlR~Hr>lcv{L2-9UFp7o$Y z*Irjni_6KO^^Yc^WyJUEwAZ70R7Zf1HpHAZV8r<5>H%$A;Pn}uGNidZu!rB1q$BCL9H@{h3) z&If?;7k75$33t7=mAt^v_^w#({;L$uTIrfVF{(!`K^#wF)bEZ2mBsXnnxir2>Ifk` zynL*+BAe;nGHjE#AB!twM61pmkt6Yzlu(Y~ygu4x+sp9J8n!E;JzbTK_^t9nxt2xe zV+LJAvFeT@<)QYL6^hrhMX~BW_ND_f1F#NNwmX5!Q2Qvm01k}wwyx+p<(m2qd0Y=y z9y?#Z;s|!kK`@lteK_anTEn%8PiNva{uF@ZwvKMb2M2yuIpM%~o$AgA`6A z$v>$mO}w|TKW_c}$ov%WHf%8&`?`$xjDxdFf~&sV2qiOlG!>F!B#YecX6m!i;>1A_ z%f%NtWnfCQR`Se`4%0h)4QyTI3Aqvi>SsJ zri3X^1a9QKv^L}E`iIAr{W6`|qUdTzoOhYw9dI2J zglp908CTHUH`k$F8SiTPNaoeNC_f)Fbp-VM;sU68AN3ZRv#nfs%+v3tA3}W2$HR(g z)>17$6dvkcU+)J#hGKR&emP7>eWo3<6eJCumqD=?yZUz*GIMukQ-7q~W5kX$d5oaj z*w>FsX_bsJAKkm;)Am)2h!NdEf?ZGsM+lX=9GBDR)YAadx!;Ag+0uNI!lM3#P<4}e z?pfhH_e0xIXZYQCXg_^8;ohHzi0^;DzW0n_jVD{cKW=m=clsxGZxSogXS6cAn#_Je zLc#poo+N+wYVP0F0^{}4>+AQ}rzw>4l<2#sVe@{QWj;YL!T1%DF8X&OryghB)3cCa zcL;m(^C1*+_){d5x^PRpsaV`8u2B!hbSezrPGv*Gr%f50PFkJ5SqEYbtbd`DuE zMDt7cPr#QJ;V`)zI~teULG5Ifj49Lelt^t^hhNd7Zl<20th=->@p49y;3cU3TU#=3 z;E-1^npdZU*W`$VkWF$q1Lp{~Y|F3@`ZyI`+)s7S<0)#dFM(cv#qWc(Xa68q4Q?iS z*O{cf1%D$_WYZ{SYsm<|a5{YXWgZdh;N2_}kNkq|)$=#hLZ2alh-LEZ?O)Z0@md&^ zohDA!J)=0Yf!%65pRP*ZIGDCEa_X<}X7TAH)OA!cZE zxncp817T5+X#HUZx4urOd9Du%nawBXQpqLavN0w>^+hGITX-MNlVFcEBUxwAtiu(2 zRVO82npNcz0=-;f+T7}2md3ESF`IN*9h7ca$ zjce<)*t%pQRPI@{QdbTnd)y@VFctDv`R=TK>;$9BppnE0)`5&6~v0K9aw&y5d`=`hU39GG@b| z0)w?Z+C1ZW<{;p!agPNQE1=GiYyyuU@r+ZTGlZ|Dw){|wsbWauR;GA^yRK~uW_Veh z#v97VKV<8UEAf)fU3;)BoLfXcd+hi|peU!(b^3APJC8P)WJhBlP4XC~6ruj3K}N73 zIbqZ^d*!C4t&|tPOs(eVau$n2DOF?~f5uZ!_9ffI%8S?dM65(Am1*YuJHr86#al^h z{hpoLcm2QV4bpm_noo$oP#hU9%KnB7w+Lv7#3Y-JhDy(@)#Ow*>KmvOHrWzJ_^^%) zO_7xXiFk0+-o*1{Q6EyegnhJpv9d(5licD|dlaZpZET;x+ncQ5Fv<-bQ^~V3l2nKp zXv?mwJYPx*B~8Eoqd#x%dWe!2M$D^NxLypS^vU>*GEP_H*#&P^oTZB^LX=e?_vJT zzCF)`{pbNV%Ms>jPAXuTnZ(!orzuZiD(hO5xZX2#9>%!nbM2M9`DrQZKAKp+uGI70 zbdAB|yBbH_QRmnoL|9O)d>7XKIQGdY+cS9jYPaIZ>xiGzO--Wx>P5-%g-lC0KH1tc zWo1r$v;w~w3g28&r9dO&++78PSKvp^bz0aYX@dsS>3N{MzU6b(yw5kbf8fS-=5oS$ zDtS9*kpK5DCnrRK%!Ai2*OxEa*hy34*8~PxT`d;(w3KdWzTc|?qiO|l^R)xUSf#d~ zX)GwR#Aw@>BsOf9!IDz5wG)mx{^x3bL^wr3s@282EiWxt(ak;_uJU`(yiH+Yt@zgN zRNSMV1_sy@?_*FEy?2`zS5nd`&s9-+uH}43?M@xqWBMuir<0~QiFHyetCWuuo(io9 z_9^YSq$$pTr+aIK>IYxB7sez`LJF@`A1ZTJM^qqxShPQm!7|2bQ}rgdSYkGE$|gpZ z+}v4bi754}#^FK<>T$!?>y~FsAeu$%zVBKWC@dWMFF2RdnA`gQ)N3`0oyJ}56!(pY z!Rg#E0reyWZ1K9>xsm93DQCRd$sb~~-9iMOws6Z+Nzt*H*~M5!gTlaF>OK{5Po|uL zc=nb~;wWvOREGrW&y4J!hBGqNr?-zNyQAn_4K&;YG|xhfVI`EKmvU&2U*9NHGiL>8 zS$Jk`46mV$8^+YdzJ%`5yf9zL_DRZVN&X6m5}n1mI4!cZFG!PD5-S|6W>@y9xzniV zFN+b8f;-^NC+5xwQUFWaml7PbB1@%WVj$y@WLP9eIt#oS()|!JU#!4I2aT6m*A`@& zg6#{JwHnftBS>9%4b>M*u0jm`Nr{pTRBLZyn)as_8rOVvQHGZ3phJ)jT-CXeTtU#F z{|C*A-lj=o;3xB7P3qpQ%8gI26C))@ORND#Y-byqARG^{bRL%3=ozFklZ+);bVQOG z$0XAhWA|ub_*JweDJO}c5siMZ;7`3y6{svVhZ*|(xhXXE&9sH1`zixaRV)5`%W$}NC?>89RZdL9j>!jMqn>kuxn)&7xo7FEcu^|C` zR;F(tZ6VCioSw!dtBF?nwb}GghbZJ8hB(bcLw^MI{zE=S;gUY`i|L~ z=+*=#{X>{TOBhH58v!o&^eY%c+2@+7w&e>UgShn0J8W=urZ3~aH*b$>Wb#%e23<=u zT|D2HV*1xNYz6XDG}a40L>%`J##%pMYfWnCc)QS&u2O!+{GbvO2 zlLjhhRnc)5Dsx?_XGp!opW*y z8!2>uD3bQtKE`h-7@0Xol@-XJjYMADJYi93GvSh%RYcQDixsSI_B=Gfm9#KSo)FeM zBx1f1Ug#2R)8GE&n!R46g?m~duYqlBUYFpvsw|DvyWi__afu-mIsEgE>8k;2_(_w$ zjCXnq!iWFVnWY9TmRg>o{5U_6SR0=e&JC($nVrsOqms-{`8QiKZicxvq=VOCPFqtT_xsv2_L!5CES;j4` zW;FarjZG;hZN5o;mUQbO@dwDU0!Q;aRWD1{LSZ(-Slh?pYo{YjAJN^sr_0`|Uts#N z!(eRPL9A3nS8;S)P_C8WU(o#b<2REqXX%izY&18h=whMBHK%fUe~1cIEel9OT=A=- z)tPoM7en;mQ)#)yiSAgbYl(DBP-*>kmry|s2d}m-8yC$U&)d}3%tvq45hLEIXwAp3 z-puZ4GXB6-2}wyuC9w{RAodg_8j^oe^f`MG>hh~C9jw~dmHY1PiQ|3zQSpf`^ zmGRys*NB1ILMk_0>1;VB8~NHyo6Q7^oA>CP>amla=UYbN1+v4yczN?}#@oOqH#L_- z0?FSy!jM~iIrg4Xocd-F1tEN{;9mU*nO#odCktY+J5F{kj;PCSQv+6or<_sWo=d#K z@!td^Jtc}4+_YS>q>DUmcXBzkpO0J-%Nf$UGF^WMCD(lN+az(;GD_w(VC(2)=b3Ui zb*w@!UTMIIazp6H@^YeKO;wwux*9}JuZ5LEGf=|7GlJc%UZ5po`Y~r`fV<#M=xgS$f^O|^3B<*`aU4$8x?;&lXKDmdWZY#x%k)gKFeWt;5M&ZaJ3666)u9J(=+hA%x9#3Oj)vpv(dM zbA`W`bn(QQ{M|CYniWmC6!$GypC%Q%7ZqzJ;kHJ3E|64Xv$Bj2>?4c)-TUoDOw6Fn z46tKSa9xK?JrO8a>ftvI`CXsyi?Uukg#F=oy?hThOOGo&^QCul|08a;8O6Zuu!V){ zc}Slv3Lm~}D<|($rGBsK0z-CzjumsO?2 zyGp;3;1dh^AQK1kaQ=&|bow$J=att~1!eAutsXq_-`z;r>%Qzj#@l+R%zFFtlLavx z24(N^M&T+Y+pG(b4ewZ$%8rwLkB0o*83=@1b?wxNdIuQE;o{6Pu39qD-Bs@O+*@vw z^o}F`R~j5F0_d{%=(PWRrc_;Qq%P~zA|K0&&SW}`R02cEzUFFHwJ>B%r>3-}GT{n&WsKvPWouLOIPhbeqItHPyO9514k5e%QOLHum3c2 z2+J;7%Ddu;ShK*~3o3(zrOZ5JK?&N`-Tjq@Ra@s%Lr7fp8CY@j7ssoDQu>Ki`IJ>o znZAiCm(S#5h2$>0We%5Rz8d(37>(cjGH(kLXK3}R@Jq9gQ_3{v zk8Y)#&n15Z&68+U&snOqHz&p(Gdc(}%i`*k0qG9?_=x`w!GLqg_A~9id>VBNU#3ag zTYLKH6`(8g7Rz%lNA*yFIIfyJqm>2Yq`E&ESTE}5V#s-$qO#ngiZ&I+`=n)SQT7JU zS6Wy4Ds>U6qBDzwZlk)aYG{1TMlU!JM>CpPS`yujCP-G=gm&HxK9IvKtJv_VENM;p zI655@f_1UCki#fiO~y){@Xu0_gZ}5uIWoEB00=MRNHMxMGZ(B?BtwmUds&pEP`F4g zD3ec~dFeGn?#sWGIo%^V+4>YigRiwla*d=_{f%@MS=D!RbTL;jl}iRf%QUqM)@GJ* z#8iygjBb+E8|-^WkKVXAsSKlo!PnBi@CZn8fvCa>`vZz$;@saex(cIU-7vkE%DgGu zIRj4xXZUknKw}>bwQsyE-^*LtER6pv63zky+pLaG(V8GwHZ0}SS~L4NN|>i9YQj^S zt^@<&<ln}XjxRK;xOUpy2kT}$^p$#R z|ILp90I7v+@r8Ywd9`fgx0`~E8#-W9t7V*`qWHq1>hUT4-d@Sc(77~=%;7cytig>g zSW1c$ehD8=H59!#CAtt3@Z!!4M2svPC27UPEmlEhV<5XQ0kL|><|2#FR%ERd@jVR4 zZh!PnZDebQMHQ1px)DQP2eP%X-~IdeNXRJYxji>%Wt0^;bZyr97diAZaSFUOHETJ) zW3ghMGsTBJr;RtqXR*S7UBb504@S-|#8-?&?fy*swO z?_zuRDtmW*m=+sY7G5^Lpf^Wf^Ez1+56O|+b;w5->2{6P})4NVm-}nGOWcmSM3#^Q8`5qfPd(5MQm50S4r1p zz5Jo;Z8?Y@JmNdEFdBb=iLj$4+@tffrLW$9-xXuAVP}Cd-TS$^|6UNLvYA3WR+^n` zkHBNbgdLLIl%8sLZy8dmnr)Zv+Y3A#y&>GQ;Cutj-+SLR6wbF?MXRKBIU=b&_1WB# zOL6x7Z)GvX^i-v}=nwnar^h-E$GWG-E?l#N%E|?Oqd-#miu6GU%NzN-EVK-T>TSJu zKQ>c2kpP9AX|bJ_MxM@L91DD$BLC5if@A8Sylv`Py$Vsk#`?m|(u&Ov)vOZ~IZm~I zeD}_F(}^W?_w>upldGg^<~xfVNj%_^dB?r2>rR$hP;2#%{4o|0&;M zsXzY56& zMFrXX(={B+&AJ$w!HE1qVDT2qEld173+p`X=4^w&0!y?jTC3>KGN;Uw$qP{_uQu3? z#~~}T3Uwr5@J(0c(GlO6J%8%{)U~}lmSZ@U$)Z-`n#HlU?BX}W<0)?CHaf%7vCNy+ zJi@uN>bXO{Pj*$RkeYuE9uMSl2Fn~gI_i^dIOWOt;EtOX5)q|kxLfRxw>UMoxSh9n0s3}DgGg|R_p zoV77i`sja%7Ee1O^Dp$2$>gl3iSdLetIsPKa>|&rBg7?WH7ACm$hiAD-j#nm#=c0x zpbx%~q0=Ib4M2U#TrPdvBZ?y5ytvAE)oG|*)az0(YD8E@Cej^o!nw3RAvHka_WxdU#oBe1YV>rvsX76J;8q0f=`m;fq;HS-Lg3K?nNh=rTlGj26K>3 z^J3?T{&-YE8HGKye|^xrHItQJKy30w`WNjV%IC8W<+tX2{#NPz7V8~2p>vN*k`^u6 zmZr$^p`F*=wN6bc7Mlc?UT={bCts6@p5|8_y1=1Q`QW48UN6Zl8|YuP#5s;lCPGAIr&xV?;4wTM!*(hozGKW0zbao4sr<{+V~9D zKl)UQN@`X%?n9V%%1Y5MemAkvX?Gx1V3D$T9{Q}B>993Vu9n;Ap+(cARg=WQ5RuBD z^v^Nb2QRYsnLNcLZ0b#&UwO;SZOi88NyoM3C%Bl{PuUZTBJ!?HhpCraFFkzRccvzn z2p=kqM)EsMI(jnY^5VXH zBrzT?IdxsiXc{3;xQDAjxsn9T2%c;Ts~XIuGl6F*-&aoNi$klE6P8cZ5_udVEls^x zW>PSq2z=Znd;L5a0op!E5;sr+xjwg*I;?CiTf(>X&(rexbQx0xzw&RlfhY8 zp^&Oe@^i7)}wFEeMBCQMmLVp<3ti)wTxt3Vv5}$+uQvox*KLP<=2hm zE{4y2qdB(M2hQ0MhodSvrZNm{JE5j6FZVsrc5~>>nTytnec5qNXrRm8E=4w+3ST$9 z(4p9+irlXQm!hehYA8G~@=YA93D1qojqcr6?9HM`#4X$w<+6x9*uI<4+vMiCq7H)U zBjR_!M&P(Y&l0O@D_y%7ioIy204iiews(7_2bg&!Cl##2ksB4#r%vT|8)jUT=o5o= z3?V%{U-zF?AkTB)nvE%jn3zq_g(U>}t>~0*`jdlC*iK!Ib*fYu!@2HU5B#ZO&vN6! ztrJgdxepyzHNxb`-J-Y&1TPy-HbGgjCVf(_kb}l5qXOOTCuYB>b1aI0*N}H^NfOWS zQ>ZFmLVkM!xce*2eGzx5fn{>+*hQV4i@|G9{1KcxL-<_R`8f!eCP43>7_V{04QH*Q z!SxC?+$5OIUbB6T8>bP2LQAj_4O{k71COV{tCNt3NuCZ*&7fXohma0+;3~{*Qqy`P z98zgVp985Xw(Nf7$hbBigEqE1Z$n4PSY-!BMc;n@Zy1sPY7BujkL%ZX0pVWA{~0m% z=|jEe48&=KL5fT(vG~enI$)RAYYvZSfp}i>m2As~kXm8$jIfEL_sZfHGAFi$tAHi> z>64U61|s7}?$jz`hTd1>;p3%84c{ZA8Ah?nvKbil^=t}BLdmetZn0Sq_3ybIS(JO9 z)S=%ZekFEZRS+(HQMYVvR=a*EZTsH5fL_Xm81v*gdOGjfOOf_&2x3wNI_len#ngdo6lkm@WqI|g?yu9 z3^SH^6_k=7S<^~sJ>&>{9i;oAPig8S?ONMk5Vo-{>+XHHl3?>eva1d2R{cI(MfwPv zNs#&C_LT@@Fmrs&k@V)xlndJx=NxNgo81f}`ye)DgS$(`#waH{G0tg&J=%mlE&8%kasA`jCQYt6!2|70bgG*-{GB7d#Q8Z3i>JH*Fw- zMeI?oaL1JBt%p zzb{uZ!euPvWqPLlXV*PP+FUnaJoLw6Gt(rvm9Syj+Ml)ti zk3+S}E&ovF!T^ojoZlAp#S&mOalO&Z&q^oi(%Ag^VQhxRntG7|MqTWO2L43k8`OO{ zx+~Yq#sB_ryzXOXcX<6x&0hrPUoK9?t;&Ve@)h`}WjW(v7+JagW_q>H*Q_%VZbP&~ zU7`y6@=roaes%KGj#~Y z%V26(GthtwqWQ^G#S|v_Mb5(@r9T9H{i* zzz_0g30yPf5C6j#!=Zk^I3jI^g&_U0rZ8CpGtB_@+uV5-l++egn&OtQwRFN;dNDThRSCnm2RF6C z=w0hNHZE&3yi)#fp=90l`EdBP(vOT~N0LMZQVB69z8$EId_!Kd5!?hwb{^a-)fIp+ zlq$oW37E`0o-91!!dA#Mrvh%=6jF!OrI;%idZa&3oa>=z4IGKcT2-$vBF)WdU4`u% z7PNh5^EP**SvnY`KmifG!RLz&N5e#9dNz5(0={?CDk@+B&$=h??3FF`f}n<+82#yB z*h-zsr8B=q0HJSt@@m~+0?Rknc>9me?8&8|MRX?jL9D9N89$YI#}`Y_7g?UW*kRv8tz`AMSC-6Da*m=aYJS-WE_;)xXI3;|Ofjjl*pobl(G^v@ zlum{x#90>ZIg&~<)6INH=)Zby$lhe05w)Qr8t77|L2QR>NLG{$e0APvmZFl}aa)khuzN=!8Uo_ohX4!noG(NNZB5B+^vy^Oh z(yg6ALz9Y;3U#G6E=^Y1WJs0GXB6HFY8h9#+qv3ai9MiA^d`--#8Z4>lP^!{j1$A7 zs{Y9>^WK2 zxEjdXE{J2vgcIM0{eX$J*{+(&fFrfIR!j~n-8b#{QTnhQOGJ=iDy7ph} zaMGInfTVc9-*hbCX(17`D+wqo9nDG=h{yquE)Q%|nD!zATMR`KOYBCrxZMiEa0=P% zFkg3M-0Upj->m!LENSI#_k}sGl$ADM91q81c;nb)MJRKO+VFUiskIVP7%%oWMhesZY+>o_gJ$Oz)lGl6sgm?fh&~l1 z@B&TNU~id|{&6M{(NdSDC!}gom3XO(h|h{8Ozj*K{);}r6}CU;WpOKJPd1yvj=;gO znlLdo>%lZntt>ER&oky%MK$f05>=0LhGFjhna^{?ysZu_GC9I= zu{A1vj3_}FseNwxnhI<7cCP{`zTR@>P^zXBv^z`At%0?4DvdIT*2>!_2;G#kvl^%s zCo0s+ncfwrmE@*z6XXOwoRnUT2a&J`k>H4dx7VTiubE+U4ORVRq{H6Y3zgeU*Cr-X z_!~d)$r){3^K1rrsb&CYA4oS>|8iI}q@9M&|tn#q~ty8xN#O5ft9S4M%C}%l6q!tRtT!-lG z(dQ~RM@Yxy6U+c51y|D4m#)jEHFiN-Wzts^%f#YIvr`7e-Xszd~ zARA{4$P`i<08Qs!I`NoVKf%F@H{Ui2J0e&D4&$m zOE1-0WpcJm_p*{Kc|uFNc&)e{t}`+?_l zlH84+%wYr@WnK45m5Ib)%3Spm-CwpCo3IoQjF(ueCCf&;^f75oT|AdSS0%HTJ)4g+no3@!`$*@ zcW;DY5+{p1Cl$A2DMV}uS8Q(eABpYOk>pt7cGdZloBEE`%KL$vE&UcI?BW}`F7X0pp{E~OcPyD4rS%g@dV;Wes+uY%>uqn|R}dQ7kK zb>75~qR_#Zy2PIO7iNK+1ijc9j4Vidy_DWC+$h%~yGYaBt{d;&LO1f*wy@kUvAgk) znn*bQE$1sDr>67lQRP#Iu@{YT3z=oTL`k*`dEROR(#BsiN?dJC8fq2deWVKZt2k zBgZYQn(uK^7fm6OJcnSbQXNqmDG_9QTb?cekHE7j76$n1vd11>OljRIzm^V*!C!}k z^-^xL{1d(29h(wQ*I7Mb8QGVVD`>r@DaFrRoEbfn`Zs!U#YXctx&Lm7qQ^;N%V}IY z8&%DuN)jTNN9-{wt|BZPSEXV?;eXwyZ*b%{JNtulr_~NR(lW$Q@wa>_bBQ|?Js)<33Al7=~KFAtlwP%T%ot}g(ej5S62HYccV40A;;IJ%Flc+R2`4@;kLQi!Qn-13jQ#VcblsH<7bVUG;$h+OR!=|8g-Q%pOZ}yBO2QQ85PEj8R zS|7bWij)Z}Os#ZXkk@~}Zj;jSs&5^4;**T|V|LNaq9wZZk6_mDr$ zZa~nqbb(+Rs|b3@t-^6R)+m3%92SG=U5g-9_dZTV3(ef@;F+?&I3@P^NT&~uWFnVg zLGfO|{Zjs=^pG;+>jm7|leJH4AU$Txk4&ZJ2WVI(9c(j;ftp*YTk)xfI&~ab$MFG- zV3FSpI=+xE6ke|99sG+-4!mqLft0HO#s=1;W??0+w=8nypTsNFUtQP|)8M#k{al*M zkrML?9k8WpySuwy8v2k|q^LI&dmMVh#TJ9HwSt_qj*FI0g@G}=$QRXC*HDCG3(B#C zrUA~$81o`;##K6e9k+I(v!mTXcsVOHaBbWI3aBs}k?jysbtQ`e;}80WwH~3Ilhh8e z;SJG`r{UV%=jKeeScm+dEs&v!E#G6J8&9!zz6Z=c5YZOfkE8`C+=w0QS3U>~(mD%i zR`h24klxvP=|rC6>6?~3bm<~aA(bJX16C>^3 z_SRB7tFOPki}F~8VlJggA14vvFPywpFql5!Pd!)Qh?V%Yqb~k}>jx?qjan0GnzuOz zViwUA+KZv#0v1`K@&8`{;|?70;2aMil(D0D2T3wF5la(_d%$7yO$BFe&vKeaqWsB& zn5ccWU%hsx5f+!uMiEGs8%MH~YftZ&LE8o?9SDh&m(%JG;!k)6nPiTYRRyEHn6#NR z3$MAcjJjwgqsN?}&#wF(mK6tmtVZa@C(w#{9eE2-O**SN`On7Q#2U)eEY7+h3bE_7 z36~x?Vrks{tNUU(9StWYsxO@{hP$>dy(n9+B3SNvCF_*QBc2K((pao|$AzFMai$w9 znlCqRCGCWtvZuJWKUMuDMi*kLa^8d}wK5knQS#9WiH1~_ z%hq{MpR?F23VC}Mj_^Rko)|KSm2ckfJtqAMG}EjnaAFhlc-Xre+N{=JcDo6p3t<|A_=0SCb0kVcKT}=*-XS~Pd0H@SnrL0yd$MXHrp8?-iCvbxUK`?~ zVW670!qsi#Sjhk8I`2u^{tgdjjW(a@gyAl>9xP*frnfIL)2`IRmr8PEWV`m5#`XMY z@Nl%US)_${@l-ar!j;M^eJYL&Pr`HZ)yj#hT9EnssJ3fhpISt<1BDL6!s_0}ha?-E^%Z&h; zlOuBzseaY5K8~fb^u<2gZ>&Zx2bpGK{y^;R!{5qBD&V?)^WXiK$abBC|MO1!&ZQJU zM3N+s;6O=j4jy#VW?@2vZ6ZN*I5CnNi*6)k)MzlGLWUJVx)I2bkqRT`j2W>_Pm2sOKGYdfQqYM;A#8w7>m6L0Bi_Jkts7K%m*&&q zlI=b$s~K(P#hf{s2F;wb&&0pw-!7W@v*OGD;_dk#Ij1Uu+Gsr*9>JE0H?3d7YvTI#F4!fFmKf*?%IwT&XvD5eAt z!vC+Po8Y5SzS9HLy>nGJfI*}qKb0jgPo^;B}uBblD zZMWZQlTJF_id0LiF6j#FBH@a2GE2WYLQ1R4axyc<6g+3HlwHw9{nQxpN?^d{n@`fH{g-O900^ya!QxmMNG@XGOw z1GOo+_G za~(}gya4?(v_$^ebIPL-ceEDCM;C69V{t>(5i^dg11?*ZbLY9Q34HsX9$9OS1(J z(T}~83^*WXRE_ILV@uh+W&dNDFvt2k)3T%y4w)?K@@g%{p4a?2I$M8IlwgN#8tv$w zEgCUcwuy{WuCNLxyQSDJw6W>{51ox*0G1_kpxkn!Lhi_5ZPGw|ccL zspl~LnA*~~?h?I|N(} zN^-}m)qOg0I}$aoaRCz%-sUwq(5(n4C;^_qKC&%oErnx>f)w%mCpK^;Wl^j%TjvZ{ zsoAZqbsD;lQ4E$E+AYjxHY?KWw6(7K+ypgh8r_COWE%X01xIs=OXU7zuhZ2ff(sOu ze8h9798NDj6Qm&T-e<4uk?mniLE-SeM?@g*YKRApq0Oj9A*f-Yld_kh|Hw%& zB`Fhp9tWgKVQW>SnHy?=H@YaDk6N(m;Sm!?EHk~YDqi%Gj7WtQ&i{SRkwUT_v#M2- zez|3NM)4w6z&J$l1#&KB^kNA&{yvo3+%j675%i!RMbNi1z|URI;n2&b|pl5Oyl5dpwA!TFnS^6Nug z0_SDG^vQi$tWBQGTV*PUnsI6-mQNy}wXoKuy1ghz03sDC&7wPB9%P(7`KFE@I6QE< z;h^Iw=CfdQGfkeTCX|z0B)%!h@kEkdCdy>Es<=L5Vbe9O*;*sjDT#w>q@dr#$Vvf_ z5tzob4HkrdRRG4&};!b#Ad;#93o&8bp_`qT9I6r4j{>OdNrQJg|G zraK*{PIHRWvF3ECgS`njSteAYQgxn}drUA91gIcJNM>dvs#%{}*0C~Ht$3BIO$n>l zqYjlPCK)F|JquKtMzyb`Rq8>1%38w;)~GctE3pK-RHAw_rwH4OgmAbo>z-2a^_)s!hF}(@I@& zoMRpDn8!W#F*t4U59KPxU9<~gfYC+I(9lF=QraDsmh>J(F0WxtTU-cL`VTE{naf@F z@|VFJW-bRg%oq(a%?`5`%H>I2x`dds)?!{AVXMVPe3|V0$gfysNOM*+sF(pAXhCl| z$hI)_p%2Z_Tl|AQB+-qGKiCkxu`YperY!OjED$XeDa4RT}`Og%LbL~E%E4F6Abm*V`?*)gzNU7iW(lOs)Sc&z>h zskzMRQad}g&BAQXu1|tnN|+I4#kQkz#55?aP_G)* z)sD8kM{R8_yZX=oFtn`A{149oMO_D+1z=OppuEr-X%6co|@XE#<8h$ zoa&zgn%{_i^)>?Dy_9G&*!T1`t{kOsCRYhfRcYfaSw$DE+9PWdDOtPU&ptVfVY3e++;{ljm(T$C2VVWE>l- zkxM3g7VNSPv&Nk+MvD6TysrzN%EQj>_Sa;`MY6{RMTc%uEk41-Fz_a=Rr{R7~^t?Hg?^&AhTc z6VpQ)A(f}FdPa>D`E0SzlWEs@k6<4k-=<Fz2hk73)Jn(}WDwws0XT_&`^loD?KY zUGePnx@kjvYxssQV^(8QYKfE7DNFW;@UTT;o^M-f3ir4ou;x#u=8N~Pub=o&e*Eh= z=E;|`<~EvT{9uU#9S&F;L#!5VKmQ;K^{nng){3ZlgtQn6O6ae*+QP2F1lUp}gDB^H z3MHtziM~2TatP1_CyPs1F1r>mfEEySMkNAeYT;H(CvFg;p^Jw4u|VRaP6X^62P6&eWa-Wa3$aI9nr$Vm z($qXBS8Vc&u1O$QrzVH*KbFs5)U4~uL>#XY^W@5R`hx)b2VU}sDQ)K>@2N`K#Ss;$ zSe`E}t+Kb!MpEK}_z+G8GmfS4zS1Te$h_vrU>^T)-Xzi<0go?D&A4Jny|~4|`X?cg zh|@wwMv6yDW{Ho~q`b0%=OXgiz6sT!4IIHqC?9hcEh_+_VqNyndxEJKkBwp=2~t)} zF?(k}RAQ~F#jtv+iaht6QN2fN`Nagi3Dg!vmRB`Cmm8H zSu-5fvIy0Q=^iLHIVLw>=`e-s!Rli^+9G@$(>sMOIl&V(F>W=LQv?C2C4Qt0`vvN7 z=F@J8LrjYQyy~~oCn3NLEs63c!_Gk^b3!FE@GSH~F?7c$bVE6`Lp}6EK{P}~bVNzC mL{0QWO%%~obVXUTMP2koVKhc%bVg~kMr{W4MsGAA0029jq37WM literal 0 HcmV?d00001 diff --git a/address_decoder_html/fit/equations.htm b/address_decoder_html/fit/equations.htm new file mode 100644 index 0000000..39141d2 --- /dev/null +++ b/address_decoder_html/fit/equations.htm @@ -0,0 +1,13 @@ + + + + + + + diff --git a/address_decoder_html/fit/equationsdoc.htm b/address_decoder_html/fit/equationsdoc.htm new file mode 100644 index 0000000..e335b77 --- /dev/null +++ b/address_decoder_html/fit/equationsdoc.htm @@ -0,0 +1,53 @@ + + + + + + + + + + +Equations + + + + + + + + + + + + + + + + + + + + + + +

Equations

+ +

The Equations + page provides a list of equations organized by signal name.  You + can use the pulldown menu in the left-hand frame of the page to select + ABEL, VHDL, or Verilog as your language of display.

+ +

+ + + + diff --git a/address_decoder_html/fit/errors.js b/address_decoder_html/fit/errors.js new file mode 100644 index 0000000..9df6118 --- /dev/null +++ b/address_decoder_html/fit/errors.js @@ -0,0 +1,41 @@ +var infoList = new Array(); +var warnList = new Array(); +var errorList = new Array(); + +function updateError(type) { + with (document.options) { + switch (type) { + case 0: + if (info.checked) parent.leftnav.document.options.info.value = 1; + else parent.leftnav.document.options.info.value = 0; + break; + + case 1: + if (warn.checked) parent.leftnav.document.options.warn.value = 1; + else parent.leftnav.document.options.warn.value = 0; + break; + + case 2: + if (error.checked) parent.leftnav.document.options.error.value = 1; + else parent.leftnav.document.options.error.value = 0; + break; + } + } + + parent.leftnav.showError(); +} + +function init() { + if (!document.options) return; + with (document.options) { + if (parent.leftnav.document.options.info.value == 1) info.checked = 1; + else info.checked = 0; + if (parent.leftnav.document.options.warn.value == 1) warn.checked = 1; + else warn.checked = 0; + if (parent.leftnav.document.options.error.value == 1) error.checked = 1; + else error.checked = 0; + + } +} + +function showError(url) { parent.leftnav.showErrorLink(url); } diff --git a/address_decoder_html/fit/errors1.gif b/address_decoder_html/fit/errors1.gif new file mode 100644 index 0000000000000000000000000000000000000000..7908568ac4eacfcb305f9c1a1e5e5dc182aaf28a GIT binary patch literal 5648 zcmXAoc{CUA`^G0JR7yzpQbSqGE+Hw)*b*kYlI%v_c9LYv9z%8#LStVVODcWrgecp4 zNZE@-cBT31_j~?$&U4Ol&ULQ)dR@0Vq$Ve8wXtUl*Z}_jzyN>&011Eq0Kx!>0U!xL z006-NgaHrK_vNabO1&G3;{?41P~BL zKnwv%1OgBUMj#AuqA-eLC`zI@ zfZ{NUV<=9d1b`ASN?<5K?vg=C7$q^3B>y1-U>v}3fW$!n2Voq5fDir0D-^+f)NNwpa6lw1d0(TN#Fp1!vu~II7tuyLBIro z5d^v0AcBMm5+g|RUzGru1hCy!?UsoIVG_i48?XzWgkTcFc4_TGA`zHGu-#;L10_+I zM6ulzc84c%n8dMN$Gb*J0wxJ;SAAEMBw>=oc3*s3wEwpQBLD7xJE2U!`^&(sQJ(!S zn4U$*yuJKc(<7$Sni(1uIW1A_l8&S86}fGXPhN@ShAQ(q63!Z6<{g#KyOPgacV<9U z`Q7Pq?tZk51}A|ag$IWZ9jKnYl%pQAeKqZTphw_Pxa> z*TmF@hbea&QzV`6VcYZXI+Y(q>S}M>zw3!k53@w-J--!{X>ncE;h1->|Oq8Si8nAY0^mE@||>9 z9twC^j^oC+q1-rmFZ;av+HP%@eivK4auW@4JF|EVF%B@?WV`mJ=gpFW>3BS1yXH@Sp*PYUI53~RB#An)pJ=&E9>ko1Myvd&!&c=Et)F{ArCM$}! z(=O9kpL5zXR={yC&+_(2ZbFo0{WmmD!uOj?_M$IVfTdBvBF>}MX$i$g2OXVkCHn1i z1LIUY^YV+{IA-UkVw@%w`KCf5mG3koM9OmTWX!);e_q5ZK&?<9h8UjsQS&8Cc(HaW z$!W1}F2888egU6fe6`dn{Ig+o(CO#v%^BfEQRMUYpKk$@PGqT(R#LX{9sQZ&rT-4e zE-W=Moikr*W>$4xZeh18UIr*Uhm^T+=hKB&+!9g}m&b6*`4*9j9p?1do@A*%k4_`2&`FpmCK8e@C_|;&fttzomHdZhbGVS=^p4Zxj2y zP)&xWg{nNvPk+#nC2-?@{TYbg&tj*vc6RTW?w?EVhKej3BB;~mzgOFiYV52H+m-FC zkKVjfzbfGHIX;Y0F^hRiH0OZQi?MU1b7gX-g3eD?dmjs?){UK;ee~y8>Vu?M^v0k6 z_Fm#M(G6%!8zeD)0o#{9A2LN})1KKZV`y0jQt3LmU$*ewVVsZICA^tV{p^3t=u(J) zHs1lG!vEMRmqNu@Iq6l;HZfVchRIf2F*BsXeB|48o2Db9*;4TT)$g!X2B z`HBcV{~Xp_jTS-Eib&&z9OiR#VKN@eQI^hE*jqGOCDN9o?X`0`hf-QO$zz(zj17-{ zwSzC}FY5-heALGk46|mrA8Y&ifBN%f^TNrU`1?1424@%UlwA4S6S$Llcy-mMWaZ1O z^fTc*JI*;V9Nmsa^IRvUc~8CB6Do0fP}p2(MWrac{rlAfsXMFO)?m#c2AL0g4?ZYv zJ8khs9lc+Dh=%MQ<4!F}{gs%n{Mw-=K=sxe2o|JJ^R~Wvu~SC3k;x!Bzqhou=!R$(eCB(orKl ze(P1)j3uDlyvadasq!ucUizj*ZAMm+O_K{-0w$cMD_StXC8-g_}6r3Puu#2zKem}|kd$UdXaAn+Hy3x-Q6#w7Wb2=ZI%@|%`{^xS;3JBUvvL4aP z$=$wQHRIk0CP%~$G?bsT8=Yo7dhntBq6NR|=zHnCPVG!7EPBfKh9!{_glWhocIdA2WrE((qE34*@0$;0+iEY7@O&v!GObZl-*eY?CG(n_yquJWXg8FeQ&x|^kLWmD=EpwpmQFTV zSgb&-1J;%J*&{KdD$^UPG2^zJR666XE#5|1G>^T-^~tGn{pHx$;?W-zg1qSK)iD~f zmNso7l^38tY`_H+FL z2(V0RL@H)dBU;Cc-yQOfn7la9Lq+9y9uzLtbABc9y2#$o%EtzNH6Og`-PG+dBiF;V z@V9fII48D1|8GQ1sce@}pP7Ay*3Nou@9RbH-rt{p|J`VOI@d=9BvT?PHk+@#SxzYT zpOU<^)oJu*CHJQP?6niN1&n`Tt;SYqvA4e+rIa??9=8^?+Ei%Fo2Y*(JDP7Ly}37{ z2poREnqG19&hck&FE74jTKhO&9r2uv_q36MxG4}vODNMA4zNJVMu46qsnEv(WqUE& z2hz8jlX?$>`u6+V1oAgZ;&HSibpBUa408E7w`T*54OlV^jSP)zp!u3OphqKV5bXRsSX1MKvE;+O%W!BP$BB=(jaH6W ztKK^56Daxd#_7#_6jfNAPwd)2P|XKEMq?Vjmu8)-M{W+Bvq+)U@(EO|U}UrprRfV4 zqn8SQ0-HU5@KYva$@~1M>;pwfzR#5=PX-j^#ARmeq)gWo6OAL1d?IeJL@aF_xf)FS zKAASlnC7WmWS#~Mq(_r;HZpfEqF^}k#c*U^yMLKnREd2g#vWO^8Nt9GNLzL`XisfK zb0d57e{#{y#?h@l(d{YGowd>LhogHoqd&06^vlJ3GL9MaiDCMsNQu`haKBJtr1Ud1 z=0<^MrIGe`)mY}`n28jY@-X=;L$-*Qh4E#1oegtAX5D_3$8*;`5~~#ChbZ&y%r9j; zXzMKZb*PVAwG>gm*PLs#FzvOUBmUI!I8iHKtw)a+V4bUH;$=7Zx<0wh55ynn@Zf~v ze@%xe7kHg4I3b)I&o3d*8}YC@(L<~w{{Hb;0l|xti3wbujtW}|${dL*UoRwHHAz(S zP1HzD)T~R?9!b>QN|dq;AkU7Q0jnDM2RJjQyy+EsZ8w)Vj=l{MsrJB~|_lR3+G8k5^)4CGI zUdI>eko7~-=5Lr_Rh!aLI^jb#sp-e9X@!JB^6qZPxbB%&jw`TzHk7lGpY<{KnaN<% zIaA7{fOX`M;Igkyw0CZNP`pr@Q`CmNnxpHUJ6;7w$}iwkEUD;AnK ze`-O%c7e}~aZrbRVTfsAm|tOdT47{;VVLHvpGlNJ?D!*DuZXZ`ikt~Q!K}*THmUV7 zY1FJe125d3`Tg{APQo+Bd@=vk7nVVu0jF|*bvS7rC^(#ot#vqj@nXZzun-b9ejM5K zrf%bFt_)P8Rg>o}X}V9`pAH8-HK@-%v8Cg8rl`~;$4026m@RoO@u>t|wo0T{kC688 zfg&#P7Y?XT_C_w>jnp;Uyo^&CpOfy0AMi1h5gDy5)!ufkNpf9G&9PRalu%hc!l z{gQ;3^GH+AoIxJ5q_h(zxwQ8vz%f_a-##g`j=n)>6RuW#igZc|D_dC?q}j+fS$ER* z)7lPWd&S9er!Cjk)G|LeKTG8#z4QjakT%VdQzQ5Lz=rsd~isrmmt){rTnJ z-d$6cU$>vWe_3r!)maZuAHn@xBa50b7mv%O-&pqdpdFx5ag~ZuBCyNl_qqe`9Ma>*(WhtuiLMvDf?o^R}kmBZLlYg*6R4DD#y^0l% zyY?@59Ksr^B6Ii8R?x6kS`57sTYgodCSs;v5mR~5Z#pRz$`kKu93~30(`sH7)x4iM=V>PVg={eO$(hcgLkd|r zoMomQMc%(_HN1-JG|yC+%AL+&E@REsb9^>wDsXGteKWE-pru-Op*p3yq{Hvoll?7Y zn$IN0xElUKDK5g#1Ez}Ewp(;Ap0~ewFY>-(csU;3c5_pO<+1c|Hby@YYmvH?^UAbhP{PpYAW*JrfE& zQ|3K0{ylRUJr3Y44%Y7eKRx6UckeHS-c|G7b^qSYjNa{r-ajHNl$Bn9CmK-v;N}kR zSCpkIiEvuJzA9llKJj6ertgPJI8_!%giYDU!Z{gD^IrDlwuGmynZ)1f&ap9J&1Bn4 zuTN+7faYDl!b(5Gvj<{|Od?~FGK?R`CZcE*0ddtZn*nKOe`c#`rnt>0`{nC$8XsYZ zL%)y-UwU}tos`;-d%x(cBe#y+nP#%*=jD^Lzp)l@l|9^|Jxn<_7*yu-NeOXZW8s=> z@o#U8w+b~654koMKqCqywgec(R9U|q2?9sG_$54UNz0dDcznat=MjT-sx*DYBdNO?dxey z_aXCu^YoeGR~IKG)-b^bi#@^{9Sch*g5*28kvOE-GY9^m5t0Op<$ Ap#T5? literal 0 HcmV?d00001 diff --git a/address_decoder_html/fit/errors2.gif b/address_decoder_html/fit/errors2.gif new file mode 100644 index 0000000000000000000000000000000000000000..6a3df4c703932292d38c9ce449b79d62675abce4 GIT binary patch literal 3603 zcmXApc{Enr|Ht=rk!E8_k~oIaK=Giblp)!M2q$DF%5ZXO6*0 zq)b-`8BQ7`nUV~d(*8dEe*2HT_F8+b_j-TculL>up}vN?^XI>Ezzq2Rg#$nVfB*&n zh69WO7y=LghyzFghyVcqfdhg91Og-gBo0UlkOJK6C_2Dh@b&M;{;6+G$I&4FgU?b1cSIK5(p;{MIiJiPJoku zA^{>XKw>zFQ6z>)2uO&NkRl->2|yA!Nl+w#ND`1FPLdQ!B9aCqjgvG*(uiaL$>1bI zkqqLJArVd@ibUuSB7oC?q5+~YKw~(KQ8b2V2xy4YkfI@?2|yD#O;9v}XcEvQPLmW( zBANy?jngzm(}-pO&EPac(G23!rV&mfibm)Uc7QX0VgO<=z+gCoQ4EF{2pEVnkYXTW z2*40HLr@HX7!oif&X5#CB8CPGjWaaG(1>9G!{7`7d(PEf)tk)7ZM_HL{Qvhxq%`QM#C`$p?NUjD!h2Pr0wP=N>I&0dL1p%4+i89) zn^FSKwwPsY405nltA3aJ*a$UO?#&$;$15zg&}yGREz8!vo4bATpIm%D z;(_xs!N0{$d<+qg&-r+11#8gB@ozoFPhp}*PJ9X%ch7MSU+r7>=Cbr<#lA>v6|e{c zkDQ}e;VSLvLCc>e#j@x}LTM2i>7tv234?SK&wT-jH=@NoWUpM4uT%}@{us`UES4B; za?NPqgd7w~X!#uDeXh*nn9eEwto^RL+m9sw4RxvyVgCBDH(1O5Ne}1Iv;KO=XyD4% zy8%DzzorHabSp$yt(iZ3M^h&-!IA*j;p#1BY4gw~WUcxg%3gbILR7v(M^a)@%Kc%gqI!!og=*G#jTY6(KO8Oo zk2T_Llg+0Tdu#T`!4JX38}zmXJxunLvf^C6Dy7{Tw9rf!T*5!(G}A^yg=4RjzCW3I z>$St@nQ*;ug>;C*!&ue1#@47`uM9puJ*g7GR8N0tAYM>^b>;cZ<@vp@0uf6;dv)M; zDlZG`=Zh|cCpU~g?tK61RM1e?6RB$D+O3oSsdjo#HfiXFrN{Mnw@o%{Em=9$qO;ay zs#RaXWGdcZz}qPdn<`CbS+d*0?U)1(mve{JIrIsIUBe%}0WE@y0hq_9@Yw=H5O(JhATA1fUzV~W||D`#FW zj6X-){~fPUC}2<2iF{{&dwg)m;#9kp&*F5~@q)#l@BF?m&V00;diTuj2fMO*y_jU> z6MOSDqk$JY%SWn)-|kKw;A68Me);)$*m@C78V=+>t~7xMf-|>>l^#8&JN>ulg+@Pf7f z;brAxpGux*7TLDwZ|WBjSiQVYclO?jof5we3T?>Nb*b0iqV1B-k(Q73UWZ*RJH3)` z>0nVD-@p~3s;^OJjY_oIkLWeJ84{g!uUO?juKg38A^Fvyc6X1^j={Jq_49mscD?x{FN(`<~}7Efa$MsI{yaprW~PRHGP@he-S!bZ0gm1Q0>33y{;sBquQ zF}3oRaWGf-kl0hkAe6;b|mSve$`^F z-oE=5V0Hx{XP4a0U1uh*S)X=mb5+dF1`#6uUWVNS)LwdtQ#IOj*>d{bzI-aa3Hx*~ zT$VD}E4=AtUY%loi3B*QT@{`H?!)BNf5+Ff=d(N>nDo`N)|9VH_0A~p5gTT7B+S~I zh&z@tqt@)x?DN;_{Z4v0*mus+zU90J<{EO{)f;VgB%c{7+VDU`n2Zf;l~3c%G|BU{ zh&n2K+xKyn+c&SnMz&ofO_%Q7CTwQZ)BE}-O-&QRHOqSXBxXwMO!7}BHohC!JyX_l zE&uF&qu$}8Gv!@P`MzTD1*Oj$FAnv5)NSYVR!Ev{U-`(yc2&3FL;`2~J>IvJ;W@*i ze?g%3+1hQK7jo;cj*@nfPD7JbSL*?0Rwhed8}%Z08!RUl%8Eyn8DtPup)DiHT2n z2Vx5Sq+Q3%lgsQxlrHhx-?WRo#h%nPxqIw&W=M%r_ieJqc--!s)V<4Xn9|f?6Xl6Lzjbdp@nyYhdAY(O(#zk^n~i~8P_Ad>mp;D zpRPSW^C>&WN2|eHA@CS>$i>EIa}OI^%)eV5v`1>)2aVSHpv;IDYD1T6zdrfvqJPlB z!!q5(h-bE2`)ic8d4#f!Te`C~u5FBlW0C5|uZ-e#cE6O9Z@mlj_3f5P7WbSTRq3+N zEt5GTQfGF0Mdz?~cWu0u;SMSLPx7Wat0L~63W%D2o8M0tl=#Ek#5cC_tn|9u+kd34 zUmUbN_@x`>jf*dIeVSWvs+oD%r_I>E>>!;=b{ulr;AmS@p1-p7{JGRBukoJQ@WMyG z*Ke1$@UQ1t@H3KGH#hreVIryd$$)zE-0KU!C-v{GemZ#2bpFk)-&37|@x$w1&UaKe z6!8Z=9kn%G=zbP-XejgNn6%Mo@50@g=idXzBX<1yFe7zu{mI;kgyvrZY&6%>xnTlX z74JHi!k202V7}*NWV~8c!&cqL-bO8&oW#jyX?lE6$2+Yx!q}s<@S>0F%M+fJn%LEy zF8d7b9<;kDFa_D4j+l`_juVGZis20jGE;Jb%f-~mi5C#yHBv}M{g-Bl^>h=-=!#*1Gr9j;r$dbBc>Po$qC!~4bD z>!rwYIZc^Tt2kFpcWaAp_eF*IEQ6hO9dizM`5o)w$W2VTDP@UDW*y!`YibE$zmZ^s zL6wE8LFE3IGD;ejKN5vI5>35?#LpNUn>;RRH>su}XCeAX@VdXFij3)2my5sm@4k6X zM&B*aP3Xv4@eAXbA$w1$am=p7WdyCfVR|b`XfiXhR9$_ai|NLWD6@&EN`Yt%wdfbK zhoAXJ*BC@s4MtU-2s^6*_VmfRmaODRs}^WPo0_wF2GwjP)$q@1M9H=NB{BuG8d{UP z1~k}B5So6lF(_x|yHbs((u`UX0H*b0n86drml+h$H8&du}shCVQ@CXY6 G_WuB + + + + + + + + + +Errors + + + + + + + + + + + + + + + + + + + + + + + + +

Errors/Warnings

+ +

The Errors/Warnings + section of the report lists all of the error, warning, and information + messages generated by the fitter. By default, this section will display + the number of each kind of message you have and the full text of the messages, + but checkboxes at the top of the screen allow you to filter message details + as you choose.

+ +

Checking all + the boxes will give you a display like this:

+ +

+ +

Deselecting + the Warning box in this particular example would result in this less detailed + display:

+ +

+ + + + diff --git a/address_decoder_html/fit/fb.gif b/address_decoder_html/fit/fb.gif new file mode 100644 index 0000000000000000000000000000000000000000..9783d6a5728255d1235153167c956c752d5b7649 GIT binary patch literal 10994 zcmeIVbx$0O^F0bJ6p9shDOTLw-QC?;T#7pdio3hJExx$ByDqRe6fN#_@ALh{O%Fva(F&%t5ZjW{@TiQF z{GamtG&vsSQJRIZKRhjyi%7Foi;1}rizIBKq1D)yb;&aJAz^G!nLfP}9_9(@X*7wS zhB0$Q8^2Pa1KesFC(Vlet9=t~X5%ORNW`PH($o#^Y2yPBtz>H224OQG!DCvjj=oLw zWfx7KsNb#}A^dN9ZgZ#}EMk>N%g#zGjr^M?rLFcq8O zbH_VBsUL;@Q=m$T0_%_kQz1W_8>7?HUJM>y;$4Pfhv^trBRt*X40HPNmo)#Q*Z{aM z6zSiL!rx50Nsx&60L4~bUl?Y>R~bQ-9(%;VSnSP8m-!_t#`|WYh)eVq>}ETrWd#)= z_X_IFl+mhec-Q=@C<3r$``L5mrrCf2s>HLU`la`ASHsnss|Raylf&Iz;r?mgP~ysE zJD|;%Wk-o6`tdl_i6yl4_@V?i8 zSQEhJ!jitU0Ia?$A6$3bmzhs}otKKisGN{TZf;H+TS=974eTD{l|-=7br{tK&L5=p zT14)N(B03F$KH&g*zc1L4^f2T&?fjHicgHcWwA2RWillY_P_aoV>YT&d53TbZ=yMp zlJ3z@aQgFDDDSa0_mjtZS76oLOe_&G8r!**I$Shdi^;o|rRX|(rd3OtNj*o`c;ids zy@4?l*jX|e>l5*Aa4y(-Kh~KP0h20epR~ZlwiL{dw%nIXg%-4b#JR)aqmH6u@V-_h zmOk8&-&*AD;6|k9d7R4HzZT#K`3!`L(epsxritYy1|K~Z!pU6AKJ%E%m!7i9)raoK zwl|f1=T;%Nmt32qkYJWQUKBeJ*BOMQ*0^q*@hio z*~#~Ic1TJ_YdE&1QoDP;Ky!XVZnAtzDfr6(qbA%-4AcrX!9kXIX-95RApRZO=db;I z3rq1&?~(WJo|$UqEtF>{OIzZyvhn<=F0by!(mIo=zG$3<0FDznHudSa@rKxcin5KK z^0mrM_Z5%RbmMT73W<#=KZKQRS%N2*qirx!1I)|!RY%6Cleh|UorO(+ zTvgOs^D^BLs=DS%1y}|{ny&T9S>$7USV%MVTl&Dm(nhC(HvzCuk~ ztGcIV-bk&TwZbMC3Vv*Dp8+~~O>IJ6AKT2*YMsN7w_rkW04OPhu4O)h`ysfXA7QET zK*AE#bWljX2Q$-}_ePJohe0BjBUK+VWQH)b3yec!*MT5A^pA?m9Gl5=DM#&Ic`ZX- zY0K{0IUbB$V$xP4%TE@!#dBBs98X8fP|L`*+J9Urd+w}OwVdkEhbNU6e{5Gn0*>=h|2UQftnadJ_{a4>!dHrk0uI*ymSYnw* z{2@CZQ6Cl5lviHC5UN!>(0{kP>>V;l7w<<`ddGim$u$tzp=}Xqvqk=efZS?EaYx38)md*BoR~m`Qg5G;~8&(t;Y9{w80r>`=n=0 zoe3ti;4l6Z%~dRx-@%#0EO2{2cd#{sVyJnu#jVcGdy_h}PN``W71iyaeB90<;8~D> zx%)+lC`3`xdZRAxxEjwW2;Ob8T!@gwr)iC{w%KHq@LwrrmMesi;$go2-{63HeK<19 zjE>~GgoQN885-H)Lwv@dYFqbiEuag2jfe%2l+{V+>%lgBmh0Fh@;(|3Q=SR4_gonv z=hxlWIVWL@p{}>>@PxJx*-RT)%TzrWh}O*P2e zRGHiU^4!0l1L!sKIX;4q`1I3Z`}`m~&*KQ@r6tt#rx!nZX58LhzrUafzPvQ>t(N;j zcbh*b4O%f@i{SA3u$F6teqE<5g2~y z9B50d6GyHv9!|(>{w*i&!&shQIjvVH#9~HmL~s3A?^Kdnwb#R4=+~57%l+CwYB{TF z+$ZcfCo(q={3vZ+XT@&qZQ}N0LGY0(pPya6JOrWt(N#gfu*BxOTIP5x;xTkpEsyvO zPj1gw`Fn#)DnjQch)1ymCsgfF8GtA2gJ&W66lyE(oaqt3^@ydlPXD~-QP-l_!4vp- zFVr-Wbvv9!?28#ov%iQp7aG1@Y6hltWO$#nal~-w;R%Ju2<4kQjSoP(w9nRb8QHJ^XDQW{FT3|u`$(rVj#-zeq(h`^J zN{6PEHrg^RRO3&02w~jH_PYf$kiHL}=5w=U-zS2!0O*R~j)N$uF4#xNnlYinO zR@K#b6GAPbA8o=$Dlsch65cBfAD$E9uo7Lp^%3+Exg-(?dH8&20Vo{_Xg*2!SxJN) zNyHmTq%TR|36d%JlBx8PfA}QRW+jtVB{q*F(?@Am{7Gh^!(x9iiteb(YVsUKM zEFRU5ZQ@G3b*5o$2xQsyi>U)Dv8o!=OOn#5?kIY^nfyU|fAB;8(sKA5r=)TJPW>d~ z8KzTkn;O4v`t-#-*H!CKEbX|J(BUQQnwRNkl=Sq8+3(EAR7=y{QJoKI?WAY3p=Vn0 z4A1oAG_^_&5iDZ&p;W0;4nlRxJ{y}lx-?`yhwf(gyoj_ExvVg9uVB2i)(x!q4sBfy zwhdbCy71injEIpa1Ce8fU(~Vt$=dOiDb@IKfzrftRS`3;W@qDAkPP(Ic&Cdf+vWWrbe4@M_|gS3x&je&L$i(2uO4m$rBux#!ln9b7rrC0De`--lVDn9*1wl7OQZ z!pBFmvf@ZO?wkgzm>h!aK(6f2iK1}6B*N@$13bldxyW`7%|L`SL z^ws73qvg1#<-$p&`dKE1$+>Vx1ofV2_`dlM8$=rf1?2Lvbx`Cs6=_$%I8SHpVa7tSNhsVz|B)&32gxveL09a7l$$lM1a{wlf)xi;j3TKzQGXs zDe((ol8U;$O>`AV9;;(rL$yq*qtmA^J0Xp&vez#Eh{ii`)Y^hKeX%phWEoq4ng!em z+yVn3XF#^JVkg@QD;{=cezLe#rU6}j4t}SDwv-;r?0v8yw~Y~O8*}-Dxx|^{w^c{~ zMb`>XMkI8cTXt(p8`s_+HMZ4NT2{K5RdiaNS+A`+YgKYS0J-utI@<~+YQ)-RDWPv_ z4Ks~mY+-E6>VkC^VLY(bHahjY__Yuprktf}c&ER}CgGVBow(gkHmHGV zPw0ZIS^?$Y{N)JszY~CEz4%mV6CJh|X9<45iG3+v(g;GUZ%MQJY8?1EMYO8{PR+?% z&CNx|g6GYfbDgI&HeXb_cpsN$Hl2ID2M*z;e329$`-VNMYX8DZloAOB_h`OR9#>b_+}>V__mR3w!R zn@0Q))!U2m7>&x0n$DN0{>f@rJpp+IYk$~oZw4>LiccOn&lx^v1Ip2o`Z_EZ2En;H zgO*e-KNL6*nq4*Tix$rZ-x%VY5xh>QNMHo}WEn$EGKMfvxV6dsh~Jw)27fd zaxDxE;wJce;&VH`AP?022yV#WVIB9T;!1tY>4$0UFG0Z>v77vj-J3?#XVx`U$>BU9 zJBAmXP%JnVnp10-F)8%F`zyxH8q>f3R;TcH%-`N^u5IR>tUDI^;I^pUQ1(V$LLtJ#&0%2d2s?bqlx zBIZ>=eIrA`H2$mBntCQ}PCtXaI^X_$em_~xxvSO1z-lWbyT{%oyKIJ<*hQXb93OsW zM6pH-m;il>l^2Q$A_`VwTGR6`tyy)GoQY4G!941oYt1c=6yn~{2+i2?V2Jk1(yR1x z?0q!Ud^W6>%3ILuQFihKt37#lZvFCXE-iGKN1Rb*>zrSR%@1%aK@YGs`?|4>VeVcz zU;0x2>bq%8-6f#pKk-Kf2z~Djw&dTN34dV&Hz>`~moG|!>oX1)4XbrvPRc~>XvFoc zX>ID)E(#vUw{FW|@e=pvdJT^$qsD>C~yVd=!NsT1`)f-4$eTDu4GT z6ON??H=lZ)2V+^IQV*bK&Dv;xx@{G|ZiVn_O4PFGc)Kb*+J%xiuNa(vD!FvMJtvjJsZ)V(pPrDfY?REC+t6K0pbI#^5#4VPX5*G9Ppp}=$;!&opk@H z)S7BNtzzPiOA?Mh?Ls<%pFL{;mm|%R)n{!j23~6Zn_8Dig>+wTpk0QMbmZDx?)*F5 zb6P1Cj3Grm>l40Q$iFJ?y%H4YxDqZpVV=1q{fl+FjwSS0cJ}X^@!_Yxf9KGy*M+YU zzg_i5TxHR3Skzwclim~_S0mJ4{bsl!?7Jb}xgmw#d?&l55V@r?x&86$mbT!QzVDWC z=aw0I%Sv{~4i&kJ2BdNSy5lXlIgwXlUk{LrEMB{JyY~$5gz(DW6y~>Zfdv|8aBu)uh zHM5VOM4kXBe-B9SY*#q5tj=XazGL>pY=UKvO2Z-YaT#*1{lU|>32$T5gb6A8EU-qmNJ_@QU z*^mE~bZ)@}?+$zp{)~)EMSJ~S>^CaH4|i{L@}USECgb7En|;YR5~0B1@r7d9L~6MZ z$wc*|$>eV;b)ly)vdf*=4S_>KLr8pL~4QL#pe_3v#K%jjCWZ8@n2ni!e9xR|u= zpl2(>SatCze2-3$o`7GL=!Wgra}_eUI09I#7duuwU&{2bdE63*fOyXN+YFx7ER@ZL z@_2hwr_u=eWX8FIu165ic_762RWg&vC7 z4X<)O*Ry%8G2V@YEO62Em8^+{_rXCNtuxiw??Nc$Ux+dz#sI^72^s+}>kuZB?Uymi{ zLPw_IzvsPB&6AHo^<&9 zlwdnH*(RV$a@<;{gL8Q|yI^F424`>P={y#0)z`iX7>=c0ToNDI!1Xux&90l$NslR~ z?Tx#x^b40)pSvx$=k@v`shV}BcWe9JFao1L&)7NW-W6NHk=PM?t(V&^mQO~=W2E#F zY1J+Ubz9Fsu3k=diB3nzYa3|(As_Dk17|T5RF=mOeFX32b(AsYZ(33XOy69JAPcxG zbjHi~xom5by*RA8YErCE0#$jpZZgmMU6mLGy!XUcfOpcraw>mJBXDhgXyKHT+*}xi zu0Q;c?h6e5^Z-Nm&&AMD`E~_pk1OWJVLMy`kmZx_6P%gQ<}5kU|8C))Dc>g zL-K)XNyx|5Z6e+HoPh_#IX*B&ej&AirEZL8?lbH+H8FO`?s`Ck{|}`h5=R zxDK?(tZ92*aD-lo(GH@ulO&$Th*>QsKT~qnHN^VT{G~QNE}S`wFZb)%kLHmoCT|Tz z9Bc*J5v=ftcp6ONN7?3%2c~i_vab-Ly=Te&g)7?pa|;U4(-`yd2zy9vNwt+sXVocE zLiDgD89^40j2(Qk<(3IK9l=CDA6rU=9gvh%pO$= z()%pdXP?TbrgQ|MsJ9ey-2gXZp9$DjR7;|q0vNIe1-nP6Ym^h*kIGO2%sZTvRQzCr zEr?8iG=XZ46V?)YTV&Sf6TgA}}W0-UA5gs;9HJ1Czyx#RCGIiAwqA)_b6+L-@YAV=6e;5U5 zMZ0tsOCs!pI<3ogn)YZQhkA*JNlHN^%NL`@SgV}lAys=yUc4i4CDm%= zygFYVyQ=ldOB<-zIIf;96L^-A5OdV}-A-rpc%>0qWp~=Y4A$sRrmjOIztG*~*R|lY zO8$vzVbS-ZyGV}&n*=y^(bX@?)GzgKMYvqAw z^2b*-Ts{`sF>* z?HP#Br7$}G2wK719gsW=x#^d6r*bT1)|Z7R=ihdtqbTvuRd!3q0Gw^~rF}hil*f$1+(R(`XD`uW53lOASX;o3eDytP ztNYny?VXi_M*V&Zg_a<>ij4k*2ke8j9})B{@$RrCn7zI)M7<=&>vellzrI0_t5lTi z0)rS~ykid4K4pWKPclR*!K#!wn~CBmbg>oa!W9{k6l2^!sn-w``ZFH1q2EZzJM&BX zSZY0Xhq8xYHlnx5p+0*ndwgRy%>7OW-VVeOLolC#iz@deK)UM2ryMdGL6db!N%!6uXKHcuwdX#t>g#`W--RXWr_Q(kRWX&OveX z@V!0MWs<{@FXqpn{3bZ+sP;RS;+_u8=4PuA_b0PlJ3dFBmBO0Fjs?He{YzcfYk|kM zqwTGD_qGj3hbL^ZEmMuT%-PsS4?F08XC?bv`^=s6v+jA15j{gYhxa4Mb&kkRi>7lK zk44R=%V+Gj0skJEbH0qK-{0z1PsZ>KcC$4{WA_4sZRQCMHa=3Lgx_1o5e#ycF&2N| zEylKtuDn{eyZ<`;cuwT+^;w+Bb!(G~Iw|Q)?ffOo5cB(L-YeX(i%w}(o?-iZz=*rT z$m{$-8gSN8&bG3Idh$bF<-ipUIAHtFqjLvDkwdzX)5l3r_5OYqoMzikkZYrjy(cZd0ol_u~i#^gQ1Q1EI!J&)3NRBlqI*ENs@ zc^fOA&)*CAb>0GSpTH7eDis2Kt*y+vbzpIxuS(sB^ZIw!R1t9LVd1=mum~D?nCy-C z_t%4gVLSKl%|$|ie?1mbd+AQ?4L$U|zErU1W7eU{v+r_!EazmSc24HJ*GXzP z&}mUx5mIH;oNV&e55eko6JT~cj~-;`y-*OtdK-Y8QIik1ZQWrfvkn4nqQ1O$-COX% z8;Y!R2;*=L(JAuUHw{A3DFg;~#o>w5;;#p*VkGW4;#>R%Luy3nBqbJKE4VmmWlCZR zLM0}K+6(Unqlg5ho9Ue-I)ybmfAIs8|NDDthTe$!c>Oyhsq&+{kR7Kagkr^IONE{o z8Z;<*hC+Ic&p~|x!-SR{jy_Z*v8;0f66*J@BGt$(gzX<1(TDmYHdwu8p`00;BC0j9 zqPcX83&k+QQn;KMv{8)tF$0P%(gWrrJ3}1OwPHNEBETu4;muxa$ySJ7S*lG{g+Sdl zrO3K(4Sy{|A!RgAbS}*_@ZdngwVPVbFzQ;oUqP{-*F&}%{dZ(KRyiG zH#mi!CIFh8>P!-ptx=UD9uij5l+B2h%ybY&Od*xB7c#bBCft(Kd1Vi|lkZcjd3Rw^b}Gc5eMt8#k8#vRKJWvH4`_{&?L$&mQaX}|wLLjkrz;*u1V z*ofy;ttjUN(|sEW!*Jh4{8VIBd+21xJFi=8p|RzZ+MooD<)FQLgP?C!nx$Mkr(*Xa zSzXM86f$>rY3Kf;bP$SSwR?T2ps;1hd|G7I5;)GNQ>HDATM{*Ow1*`?wl+-hWh{=D}0ao_hzQ#;YqAwdbw@dMf! z_S%M1a0U)iqc350fgSC)92RHWY(z}VGPwawyHachy1P&%>#gbaB#F)3f#~7k%3ZPw ze|ZkLCbE4BR*EKiHg?iabck&8)CS2V`UNzb_3X>jMbpz4?}L5qBBoo)hUXJ7S_w~Q zoh5HddRSBG@6yNoLrQ{!)E6q4B>XTa3JdZxoHbLuYBC1X-S(7{-pfi2?+ox3(qyFz zgk|DQwWY))V_RElxHH3bhbd;~eZk^tl)ySGzA^B#49TUWJ+hL@>wD2ADv@x1Pck#$VY-@Uy!mQT+2Uae`ldzv>_avt(AVi>p=yHeCsBg#GXsO zCfLkMLUAL1O{GmLqJ41xPUO16wy*9NHP^fGUCoSu>P>Uao%^*$s-l0kwnc7Xi&^VK z<5c{c8TD84l(|2tTJT47NdqaKzL zG@vL}+$8*7N64{=As1 zRBjF6bp_N7=;=i+4eMw~b@1uF+I)yWGxy#Dd#2Y0&dQ?p7Ok5DhPy@(keh3#!7H{V7 zcAPgmgEf$`4f(pjGQ^-XdNNJc-7mv=m@#8x`A}&`QG6PiEjslmZW4!Eo=}5FKKm_i z@_nUrhl(%JLE#A%wQhLGml&fb0RLKYcfO%cNDFLLGq>BR()bYvDP4-I{Z^;7WwoE6 zf1sI6V&D&JHY#M$&$U~AZVLNe*akE*S!ntOT*5pyNCFlrt~6+ku-*&e4V7sP$KhL1 zO~XmEjpeCyshNJx-pU)nem<%dyHOSZu_9p`tq9FW(zEj?t&)OQq2CFMe|YY8v4brmFD(#8EolVw{;jAtEoF#HuTJ5#GooVd zD;{bn=Z$-8@=8x$N$Kc5Hkpk8?7(Gwtw%CSW*6#vgpbVN5q24$7*!`ELo_Qh?W(>q z7Hm$F11e6`a^ud}J>={?+lSRt>^*J|jcu+(I#O-Bx?^fwl^|GaN(Oda(05$&V=mIB zI{w2^)t2z^NZfgW4FT9v7Gh$?Xj&t}Zg&Zer%*nrNZftp>g()MvHhqa2h+FN)sF+Twn}I8rB0V8z zMb?KIMb2cC%e@ht1W?Wg=7leIu+I`?6Ms|#ij|j&gjs_~ZEj(YcN~I%$98q;HU_h% zW!t9o+Q%-y{TA%gta3Ypi?h}*jc*@dCDcu*9yPA;wDYRwpYHk>4Eb2n)7gnLGsp9~ z);zCrYd<@is{Zm%GhN#zLW-BU0tcKqDhXL+#GA& zdh*=OqTO3LKagffS^+e)&)F9pUmzr}o>wQU#MiUVAG0L?!e!sWow${qVev>F>;4`{ z|9qcyffgE{+bax!wQBp^D9^D>rgmiOX zU+@3oJM(#VcAjTv_J`e_+1Z(uSCkVL`L^*G`1tbCe+c}a2mX%&|3!iS;n}licmK10 zJUl%7KmDKhm-~PCzX|+*H-V0h4$QxzF^@3+qksHQ{R78;o&2{8k~o-0lsIn`YH~V5 za9*+*_t)fhN02ZmWh&I>A!1&Cuv_Y{&F_nUD;@ntv94eskwv@Ac%ZIuD3!;2FjKL< zXe2}U$KKLFeeqbfz>7yT&Dtyz`3i}8{ACTc%0+7VO1gN^cfsYK>+O~Yq4qPSU%I2W zsgBXp^)~M5y0DbTdQG2J?JP6&z8b+@s=NF$YB)drcF*S%pqi^P3IXEMeId}TUJr_+ zV+eQnT)T}(#9f(D`5uHC%YJMvC+2}(?XXwQkYH(kyE7MppAPc7a&;WecllAo0jae> zc;K&I5K;=S_XZUnB_C)F{yKvdc!RPrN>c>mgIbv~^|u(-9{? zczQ0Ux}Li#-TAP-6usz7{KDVnbmU`|CW^Krdh39aBn z&Y3LfGHY5;zw>?@B|RYwjuMx$H8D`pv$d2H7ad3k33*&mqyqSowv%NPalsMqq$tUg zjTT-PxtcapzL&ADyMB=_0a0X9Hf$`V1rqE+UM3KKdR>y`v%y0By-hcH#hj`ra?Pvz z)$Fz}D_>zpQKstxD$jKVTQ5^|^m;t4+5I}#xeF5gEuV}E)3^9FVNi)S_$`wmSsnzc z^d`b)eV0AonW_|B%QS(v8N7xCqYSJvl(q9ih$b^YC!$#yTv;}n_oGMt6qSVxKM`Ha z4wLh$0)px7r;6L^qIbc;iu&l*11j`k`)!x9X=z^9JX6P=>cCOw{mEWJjxcY<(I+AX z4i^u+7I~hwS+#OQ=o|I@+B`QqW5Yi3Xfg5utbhtWkB7;KLyw5y{o>r^=XZYxh4CH! z#!#R#jysSG1P-GzbJVV_rt|5Qqb=8XtnHi>obHfU5fpBNR}c>J!Pn{s0_vRAc^k3d$qO*_$_y&tv4U(yA}sktjj%9bfHu#KP6%_U8`FIqSn7OeHMEZpb| zL06mX^E4mE^gUIRXWeQ2Ny>gI8w=0Qdh5C`8*76u`$NqAEz*Tn&%9BEV-cx=CeRg~ z$<+xp@_CZ(2LZ>+6)T}%)#PI>Gv4-JPIj4#+pillUjS?iPb2_G;iP(?-997jl4IZj0?NMFRo+$|h@k zse$9?b##>SPKQ$pNEWQt0W5p91mMEG2YDwHcUPI?#2{qBv+}{fDd3@HK1>wxDb0@u zh<-X5^GEJI!u`93AX)Y>sb>TA&G&Ebdop)0XAxw#r9AYw3VEc-SIu-G`jvRAm_sTY@|ncpjBzh`LIYb?9znW9Uf?Fgu-;K(G>XOM zB&($|uBmZ0Wx5MnM;-NI3pfs5E66C}Cx6`E7KoT{HIqolxIX91NiKPqlXd?@E=F3}W*v?MG|OU=!Zt zXQp^J)Nt2S$@2+(|7K-k{xK%)B^8}8gZC^NN(#eY=?hX<+0$6Ig>-Ptii&ahr{P|} zp~I!YqF4vk^AvNnWE^w>`4W?$pRHaqOMMEQ;|nWRSC^VB$Sr_lg4?rL=aJcdic8kJ zs{(!-0`dxqFrDviOGU^mA}MwnN25y^*s{zDT4yy&ETRSuMTsR|#RYS4K-S%}P|;9_NCW zfZ+;r)@5bqh_i38py^t0awFxrvnqD0Y2I~AHpxc08!y$zv_kTVbI!klQlh`s9}kt? zJC=QaY^-@B7wTM}mn|&~{Pwh0Dde|OthBftd$_-A@D$~u!)d4#fx)XTQoWefnCfGJTtV^hqN**ZX;Y#dYC+;Ko3iqi1?m3E!XI#86(2r^1^oGoO7r-5lb+ zJwaNg!^6K*(>M#Mn7@0fje(heVsiU?D`-VD3&D@!U_|(i2>}Hg%u~3%N^h;`f294Q zVmi@$I#T>m+M%E4-Z2leX!VI5+59uE;h6z@A`bQEZ#Y)eS%fCGsT2E?_)G%%nr7oK zhGqi3J}_vvK=vjFoBiC+r+-+zG{cLTHc&Mm%h%!j#<}Hmyz9Q zcy%MXa@S{*WA)^MQ~uH6k@*YXWnO4e8~*6uaKPOI6Xxg7+GY~_w2I54l0HR$OgY^8*WQDn+<#Z zS_-6|9m6{knpmtn3o&%rd8+R;Nj|z;_|$U3&FDj|=eCtj$Sd?@Pu|a)lqoiLkuq$D z8Vx@J%{aS@g{=dwJX=Ck9BIUyQSt>`l|%Abuj8P<^I9lv+JRx}R!q4i!(e^fK_wc7 zE*7{8N%lYM7Q8_S7Szz@w!`SEUB~sRNgSrmyDW};!iz_*14X!ZQj4pGzl+yrVc~gX zsLuY4Na0_8wXDgMZ8dFLDY&tF24`r|JT+4m) zmUTQtq%Bp^vtpuE%kI{u25(%&V0JG@ojk&6chSUxEB8_Dd82e^N6v$10cGdeAtU{3 zvveL2ig<d5j&x+zI@Z=*?aPeB}jPDYRHj2^io7 zK4#Pq^zth~ec~_l=b#V)KKCgIQg(#~K6w|^Jni*R97@CVRbkd==)^}=fn_8~4M7o@ z%6RA_z1rbC4PV3iC0hBja}NWdz!IcP&<@p)dyRG zw?dPczh_}k{xbdiLRb8k*4`MD_h@%y!WAjJk(IL1$Ln+OyweWZ$}mB;=hn_imRps|4HU+7dcIotXhHCn=x71EZc~g|@oO3QyXpv_W6e=lE62-?H z=Fa5fPcJ>k0y4Y`*)NI7>5ut(r6u*mcdsOnO*oz(TXh{rHr<-lks@ZcQNgn$-qil}JFgfSrnGQMi+mejGs-mQ>$KE9wekXfv~a@WE3*+j zNXh`HI4SN&!jCuzi0~qs76+1RkQ%87Nu>0&m`}HmOOmuriV{g}P<-hS6{0lYj0Mj~ z#ZBdmvMd&n;F66DW6kWgH3^MMh9kq8SObfM6W_2ng@ri{;`)7sWb7BGaPGv7Z{r7JathF$LdsR)ZDF)aJf45^_++yc^tFvG*cD<6kjR4&c7b z%u?o1Dkya1dCVu1*{odg*yu=wX(`^Xr(>5NzQ1yGPpkfwr{fBfTrKrG6!r)Aqf+(siSKHM1J<`Wig`j4zMznxdS;Y{rz#Q+_ z7tad0=+Z9P7^`U2FTh{KpW}(=v+~Q*>dSOiM7?Z;bx|(Kd>0mfcB|gsNL#znBwA;q zfIMVr`rN6K#h$(RIB{68g7&QZKExq(J3QG)2PX#yuq8g$r9c4b+IYb z2o~-Gi_CyUx4>c-VR5&xpVV+L0G?z7Pw|1LWx&&0;F*i?U-(r#mvDny2Px&$!g8ZL zBj}|^v*kc@PjYkMkWCdJSsXig0gulC)>N~YeW0n8IFe=#h7G9(4u~pi*h^Jpl(bfcLg)md_iZqpBuG)-S;0ugJA<89L*KQ^)Fk?~s z55U%G&vJF$h}6aQv4NJk+iLsW+!+J4Xfkn?!7TsRR+7CAtunu>OLO&Oif+q`YStmA zLLDg~xjS2>3C*dw{dEL+oq~q6zYL`sPK&xq@-LLj4F{`lsDF`PcZ9$0{F!Iw)0e<7 z{C1Nf_8mlgoW2R?JjeCau=w#DFz^)1}w#(wC{mv(WjiaX!0-)5b z+Ba-Hun_Q6;);q)Ks2|{qM?pH?U{f!`KvfJ#n?#E`r(#L?yx-4@)BzNzLCXV?C2;K z+1{$C{7Q=o52LPos@@~l-;|LZ$@u-OnU#MrLjk(F^h=4l!PT+ou{HskxMg=n~{}YYEMr6dge)&zstIyAFlnE~TYn{JUZ?Gf%QR zN$82}&ULTAo9^8hCu5Hatp4tz^uVZ{7$JJgzzsoBEPl0=0c5&gXNw}!esz{+8LpuF zHXq`Jk$U%21{sdgp(X94pplr?4xm_bPzmDU_C@dXIoprCS?I`Y?OB-oeSX^?D?f2H(4o2CaeRz8C+Ax89aOa) z$c}nPGBOd(QATwz;C$&l#V`oS7~jN{IjKa&P_+&sR3ed6e#Z=51ss*oy9pXV)=SV( zi$iqVUDt1i_nj);9c+^yqX%;;oQlbHj4HUmVv~FI$`i2ws&b!YhY8%+X}GJf~t;W>Qq zaUq|vg9#mQK2BveB6b3}IsZAm-&QrhQ6H&4nMCL!&XM_82~*U`sPIYj0)Oz zV&<+!D#=EBO&b@>9p^8wH^_%t(T3BOgOgVG^YtJ&v;PpS∨oYEGs46@Mjo8zS^& z2Es?et+qJ9`5h|$yScI^X=!oSq_gb#Xm`qp3m!d^Va4dr0)}I#ZLd>eC8`lN0DrU9 zTQEIHJ@`>|m`0>~c{#tAF!?={uH(MJf#`E8+nn$9aIepd?3*IIi%skKfm6Q`Smi9Y zxc;@{sOiY+_MMR{X2cxD+;a_DqNA9X1T>BNjAbpZ8L>{OwE7y(g!&M|A1aX4qsS-X zJRExCcbV|SdlUT846W1}C*$5A(IDRk^~EI#<7U_r2l_-69dsw(^vm^a>t+&-+Z+5% zx#jKpUj`bjNM6tu;$cy_iXK~QP2iqWQhcZ&6P?w(QK-5~qgwX0{ry|x?N|64nqQV$ z@0UAb>Ibs&#vM@9F(}`{1KqU!Plwxe_Z?_XZTI$7-KEv2ht+7O6^837msYui2e6y+ zuH_r#3$CAwfIasVU|QTd;Sp#@ymKw%*d}Q{GGi}|upQTaFX8}h^ko%7JFyzKR_b%Y zo_%6-kIKd@th?`{ra3qJXj_<^GgxUemi*V!&?oE%ryr_LlUH`%t=P)hpHUD;1-Ff9 z+@8H3JITAZh=z54pM?qhV-9dzJB;@vrI01OLo zh`_AQ?E>y!5#2+_Zkxt#6fv;sfYUHP4P}o-Bw~HeJV7HAd=NuS}W?OK+jBDU&a7 z=51%GA+raRN^k#jou$hcHznw{WGUGQxvGylr|!SA+K$N3Kl%bwzt6+k)BpQ5$5)w% zCR3PwHU|IAM}LCZzZQeZmGsYJb!#`eQpW67RMKi?`wJO;qnsyrV{>#hoD64UD3yu^gPAcnHvrOEc4AhvE#-k zHDW7o_6cC;NmRKL>PkD{nNsOb{^G&S!72f|$5B+N_dk3_i&!{IT#`u;GLL zUw=QSzKQdu?z?a5cgF&+nzjcd3D5cKWb7w&Q#fC({vg(s=X}{9$4z-}H|mGY&nq2Hy=qz5 zJ3Eu2U-4=!A3!$j?8)p$VjoqxEV>QoBUIgK^^=_ObE6^p| zx{Y>47ytO+W%otyk4U#Z`@%)pi2M+wjUS@D?W$RJ^lED$KIL}P`f>HV*DgTr!M@t7 z5AZ3akalH%zZm;BhsclOyTjS~Uf;VTtVw|HB?4@`UoJ2w;g>#!nMPeY6KCij>Jz`Y z-q96dbHuZSplPJeQkX#QV-85P`uuAwn(kLMn)cGvXJr;rn4@)Pf81w3m%)t^&pCJk z$IXnuz0!iLQZZWCbt@QhIp{}S`#^a%Dqy2qSDgT{@zoGzk$-<@Sf;&+jVOJOEApKe zVZT9+vgY2T0DH-RQ@{lJ+x~+rAi-oJ#=A6YFF1mhbnlNREwsr8s9aZI~=ZxT2@+#>}cv^3zqk^7cAgE4D|2k`fGR+PQZ>qw9{6&QrJpX z3`KX8&oA?(Qg+cl={WN3gcXNkkfe#`40`oipZRU%FsJbrU9>!wR?@`Kp}5{HOKg$I zVFOy?&|Zh453h1+uq(NuY>dq!htJgb7wo~s(?jow>tzFQNwP6Z)`vZx)x~;AlM9~G zN-f%sTRfT0eQ9p-#;8Ff{Dw%(vtUPj=vpJrY$Ey5`=!4PxN=5eZXpl*HcEaw)bWB^ zU?P4LyF5%Y_LBO90|0vu-N*}7h zybZEcU!U0%`u=)0`d2^yLYR0H8FYw&Czu!URNxumDxgAgOFN z1hH>@i)8G#BQMHXF14YXG2r|{4lO;%vl#1P+ctWR?v={Gyc-Vm!|Bt87}$qq2)ASO6xiT + + + + + + + + + +Function Block Specifics + + + + + + + + + + + + + + + + + + + + + + + + +

Function Block Specifics

+ +

To access specific + details for a particular function block, click on that function block + in either the Mapped Logic, Mapped + Inputs, or Function + Blocks sections of the fitter report.  The + function block details page displays a table of details about the particular + function block you selected, a view button you can click to show a graphical + display of the function block, and a pulldown menu you can use to select + other function blocks to see.

+ +

The Table

+ +

The View

+ +

+ +

The Table

+ +The table + at the top of the function block details page provides the following information + about the function block: + +
    + +
  • The + signal name
  • +
+ +

Note: Clicking on + a signal name will open a new window with the equations for that signal. +

+ +
    + +
  • The + total product terms used
  • + +
  •  A + list of product terms
  • +
+ +

Note: Clicking on + a  product + term will open a new window with the equations for that term.

+ +
    + +
  • The + macrocell number in which the function block is located
  • +
+ +

Note: Clicking on the underscored macrocell + number will provide a graphical display of the macrocell that looks like + this:

+ +

.

+ +
    + +
  • The + power mode
  • + +
  • The + pin number
  • +
+ +
    + +
  • Note: + Clicking on the underscored pin number will provide the pin layout diagram + for the highlighted pin.  Rolling + your mouse over the colored pin will pop up a tooltip with the signal + name assigned to the pin, the I/O standard,  the + I/O style, the slew rate, and/or any constraints assigned to the pin:

  • +
+ +

+ +
    + +
  • The + pin type
  • + +
  • The + pin use
  • +
+ +

Note: Moving your + mouse cursor over an "I" in the Pin Use column will display + that input signal as a tooltip.

+ +Below the + resource table you will find a list of signals used by logic in the function + block you are viewing.  The + list displays output signals as links.  Clicking + on an output signal link will open a new window showing the equations + for that signal. + +
  + + +

Note:  There + is also a button below the table.  Click + this button to open a new window describing all of the acronyms used in + the function block table.  You + can select either brief descriptions or more detailed descriptions by + clicking the "Verbose" button at the top of the window. +

+ +

The View

+ +

When you click + on the button above the table, a new window will open with + a graphical display of the function block you are examining.  The + pins are all color-coded: input pins are green, output pins are blue, + and clocks are magenta:

+ +

+ +

Right-click + anywhere within the window to pull up a menu that allows you to zoom in + or out for easier viewing.  

+ +

This menu also + allows you choose to see all of the input connections, all of the output + connections, or both at once.  Like + the pins, the signals are color-coded: inputs are red, outputs are yellow, + and macrocell connections are aqua:

+ +

+ +

To examine + the signals of single pins, simply click the pin whose signals you wish + to see.  To + examine multiple pins without having to see everything at once, hold down + the control key while you click the pins you want to view.

+ +

To view the + signals for individual macrocells:

+ +
    + +
  • Click + the inside edge of the macrocell to display its macrocell connections + and inputs.

  • + +
  • Click + the outer edge to display its output signals

  • + +
  • Click + in the center to display everything

  • + +
  • Double + click in the center to open a new window with a detailed macrocell diagram

  • +
+ +

 

+ + + + diff --git a/address_decoder_html/fit/fbsdoc.htm b/address_decoder_html/fit/fbsdoc.htm new file mode 100644 index 0000000..04a25ff --- /dev/null +++ b/address_decoder_html/fit/fbsdoc.htm @@ -0,0 +1,103 @@ + + + + + + + + + + +Function Blocks + + + + + + + + + + + + + + + + + + + + + + + + +

Function Blocks

+ +The Function + Blocks page provides a summary of all function blocks' resources. Clicking + on one of the function blocks in the summary table will display the specific details for that function block.   + +
  + + +
The summary table + contains the following:   + +
    + +
  • The + function block
  • + +
  • The + number of macrocell used
  • + +
  • The + number of function block inputs used
  • + +
  • The + number of product terms used
  • + +
  • The + pins used
  • + +
  • The + local control terms used
  • + +
  • The + number of foldback NANDs used (CoolRunner only)
  • +
+ + + + diff --git a/address_decoder_html/fit/fbview.jpg b/address_decoder_html/fit/fbview.jpg new file mode 100644 index 0000000000000000000000000000000000000000..7f43c6885ed5c145281b4c8ea5611471f025fa06 GIT binary patch literal 2652 zcmah~c|6qX8vo6Vv1QNFAj>erFj=z|5@JM_?AzGJl58UiS6LFWjXhg-$B|@-tS7rk zwv=V2;WCCALrRoTxz4%w+;i_==lgk|&-1>Y=lgu0@8|vJIU*e`13Z_FOpE{!2mql$ zXaG2(00zgezXuo$0v#U^_)q+G1OG1aKMMax`;-2+`KS-zWd+cHA_N2nz`P&`FX(6p z5CH%X^w{lRV1qKVu(Cr=92b>&01y)x1cri{m?3{Kpb#cz7BDM_O@Np0B)=dabV?(< z6(%W-RK8@FH+$Ry``st-Z}NXMS)dSBw&N0<7x=>@7O_q$#w?#Y4jG!9IG$ZQPXatVP_xsyK zF}LGzHu2c_rN`EiZK6UKhvpD$Pa3U#WALzW-F)I?(!GC3X61i~GwzHqrm%=^2VblT zUud8o_`x4_dL&x)UJ-xst(Lxd1Oz9|R*|WX(}vf1R4^dOvtMdI!e2E5qHMjnVa{;RP9=@ zRuUY&eD*7+Egf?JHHwf;3-=JC)BFy2*py zgfd&UWQ>SKAM87RrbK?DrPlR$ZAWcUYN%pZ8m| znD#c)PkCF+*60H!*mfzY44aWmL_bThx6wDwE=D#txit&%s@G4s_BL$oO1>;3MzFMF z*@gp=_caK7DTE}ENpY`tbLKN<>ntAt&Y;TX?{xLA2t8hP-%F{g_Bp|xBOVFz->aC< zPnVvD){Ir#Xg*hm(8Ds_di?2bTjBnJA@owXLr)9O1MAetB`AIDBdk z`?7plEc*wl^15PksbEmig{*ChY4Xn{?ph&RzcBE~^q5L#t2luZ+KlG(ixtfhX$QZW zPJS?PGov7lqi7-s#p$8yi?ye62#7i9nfUbwV`OiAN2Xj}yPGTW+u0bYm9na*Kc0)* zjro?}Je_CRlVZp91EzZuDg%!?{}EBx=%x^_ukiA7pufG_KkAyQy#)xPjBmRG=NAWB zLbe-UYLlp|J8MK1wa$6RJ+2$5iZ5R$8S+Y$NRvZ1%myrs{pYNcVc0*Pq?$e>I6tBh zkh}~Fr$=Ogdh;OJK4O4T^jI!eRLjMx&qI1*3BI0E7DVf&XW>Qlz&qnQwOUJ8YL`2H zPw`rp0(EXU>}^G|DVS^Q6e7*PpM2Z1c4b2a0yktw8>vMqEA&s>wJ3ekU=SlUPFLg_ z+c*iQ&72NMq1YO&_PcHT?B2+4bLO79Hv99n4qqpIQ7LX5?Pmf*S~lY15^YQL7Kr)# zjdx2WC%)y#rRZx<;!CfDyUurzN5A`Avf6qDLs$vrPkfNwZ;&D@`^r1GFsp%z%xiYG z73){$lP(PmHu!fmdoo!Eyz(29hU}%nXZqG$Hf>0%VRDojVCGGmk|swB=T|LJCm`rsqy^WVk_*=gb54iimKPt7(DeY!ob z+r=Wnzodt;AEekrpkY53Fy9%y9qOJ2=$sN&`Ie+I#*Gs#eJ%GE-a?+GQI!q?$mK6qxa@aX;2Za|5n&DICuJ#T{v`opPwRp zJw~|qyvsc=sn@Oq{mLc3G~HK;8?%Il{9n!7HKla2R9dSuG2AC=2c8s-UNNR3ZN;3a zYn5sS*S#gq_*HbXx6h|;I7r=(uNpE()o01eNs5*SI2bqKs529n zgFwFn3KmcqqnV}kNcs(28RU;%#GfXC|#nEG84#RZY ztC8P%t;9QN$Eyi3Q90ac&W0g+(HeryE4)b3Fs#}jfz~6#uVCXDtvW0&@i_?QwM_Qr z8zrhOH~fOqw>G8VzKED$%=O3BHg7Naytpnbc|!9^{pKJF``jUE{5@-L;8i{NwqIhv z>7GmzvlT8^Fh~ZPEHP1}1=oStuiBXRVHi$gk#8C=yI;%5qG&W^@u@aUGfQj~$qm%` z!w^cLs^Ul}jtToY^hQyo8p3hpluGo5eSrFzj`y;pA>TOaK{PHdO@@%$`+d@jvU3)RAfQ}E{J*5P`~A|5EFFr((k$I6%>vR(i*zp~r63~R!h)cHNXOE(bT=q(8f1~~E)hX^ zef|7?^T(VyXU@6vJonyb&diz7fT>GK+HzyNVEqRD{{{zvP#_Qhf`C8}I0%9QK>!d8 z1cJdqFcb&|fDj-M0uDl;KnMVY1c8un5E2DK0w6R9gocCAC=eO|VL%`Z9E3rEFu*?~ z5C8`OC=dYr4-y22fKU(+0D*uY5I6*af`po2!e(~&?pERfM7rn3><<%K`_9-=pX!PzVr!fPfGXI0Aw~KmY^`gn+>jFcbm?AP^t~0**kS z5C{N)1R;=c1QLZn0thq+frcZ{C5#K4gl6cPjcQw9mZkpKz_0RNK+1V@8VXb^ygfY1;)8iGPY05lAQhQZM=6dDGg z5g;@Ijz*x+2mp-)p^WYNR&5KZb%`D@U`gU@(DR)NOgBu5kDR zi%R@sSbfoG8n+S3%G{6=1jFV~tH7arF#FT={3`A<=5_#5QiH?*-D{RPXN;@04?d++|#~y~=LL&|XSrl=FVRXpn>* z>yY9emtC=H4M;*CyHY}`O39ZWYNP_fIo_nL$^y+j^*BnIEEWo#Ks{*L@#VWq*Wo9j zQygV$;iM9KQxeEE)l{ZsX$X-{kL{-v353oy#Vhh?ZZ-!Fmo!{GecL87A}-(J=R_5) z>8ea`Z8Ou{^d0e^Q3~`9z?pi0zn-WzSiVF*8GzQO*5E->%LBC>N<@g?l>5G@5bwsTKB)HQ096?( z)>BbC1n?eK))NbbRWwJz?ptN{o6t$QUE0wwmyLxH@SiJ*K3*MM>8a~!q1alvVI_g;dh ze`|XQCVid81(ud6SzmE<+zLd@iN8*=B@1Y@{EntZPtA%5m4sGp#0;XY3 zfHlEn^de|ugo_+;6NPX=NZNN|{g7IeN8WEPShr(IV-OD2uOWjaZ8y7lF`kQq{HX%B z`(>qF;K7n+6N*E|rs~_HMs{N|@#fv+yOXxx6L+Uw1dsln_0j1)hqm!u6A5Z zno`|gO`Gc7|Ee#)BK)d^6>3gTv6$OJ>*~ zJe!9P%`-^&^$|i_8yiJz)S^sNFd4-kVoT!8-Oo657A^FRmCUD1*=1lOd`#Qs5{NWQ zAlXQ!ZB^L-r;QA7$fO37MSfAzbZ$G6#sxtVhp0Xh4nigB5^S~#=s&xVz>FWo;Xck| zoH0uhsXk9~btq)oBpjBRs7Z2iu*adfQ8G(RNl=eu!%n3mV^L0}jg7<;qaM|Cxj74( ziXFshG8;nDpCSjwA)J!suw`M2aMBzW-1dcM7PHF8xBL!bHsBiz8dB&CJ z`{Fr&tbpxd+OWQh%qCJ!XpHo@o%JNG&tw6<_i#ExilW~tkrVb(D%e0@!?U}rcc`275-hx1#>y)ory0ko4L1>eIZAhX#LkwI<{ealR|dV`%&$P0HNe=ulZqa-$GU;Aa& zObJ_4xz2YgO+|AuRK|IkTBRB>7HZDS;a6ZS$Pv3j#$?N1xZ)9ig#}H}n9+1!h4VIv8FU#G}RhV54%n+JkmeD7;(=k}zuK>)_|a0Lv_!>7m98Cni75<;|El(+ixqu z%;!kDetlJ5uJ&_J)rD}*mV#+msk&pvk$#P>3>`lazh8Geuzh%c9(l^z87F5Eo5D!g zs0L{)`}XrVFmGCvS3;2aSq$Jcn%itTf zP5*C49}P!d-DO9=tS4WDPX!2*C`&KVT8~fZ=rsEX0;h(A)qHYuM|vNwlY14=&VHSe z`um|m`7BP}zsurBmTi9rk#5<+oBuwed`KH^AGhIvA=}?&U3~RUZpcohA31q@*8QjI zD@AGZt|+l^@yTDHh;X6e8;O&6C2h`yrNQCw+1ts9G{3wc(N9V|#B+8^O(VK$HySN% zA3w~0zbJU}+|AHQsFE&`qZq>-gBS22gWSqXE{&@TxLp{h!1Z}Q)9i?vZ%9v7wPR>{ ztn-WfYAmWc_gBj9OA;sl&S`OpVxLSU<{HB{q>rx)9bvs~C9kL1BL$jj?o=#AT2POu zw*?Z+oHXj&zb&XYqWj&ooedcRsftbt>KF~60wNiTjsAX$LHZ{3A_MShX4YxlELf(5%#a0of+x>dx#VrhE7;h z{SF>KH}*dEC7RVXJ+k}tf^hJ$I>i!+gF8-L=P}RpnRI!mwtMo6L*ehKYY8Ja6^~#? z8U=KgL~Ga0t8XibvH22MR+U12clL{72e-9W-(VgYoTmNvcRl;1;JBCOE$reB{G$)t zRg-eJ{SFAZ{eb(Mv=>mms-bw`8UP5f!U$Ny@Rh=5f4|kO3uQkKJ>LyJBM5o47s{v{ z%3|v}@cRv&Cb)s%ZA;8M{F_HlM<{-9g)ndnS4)D~7r_s7;oRH=6*F(D3d4v}!v#ON zJ`oOOoq5-~OTkb0mJA#&e*+#8XPXy#zd`qY*g0~sG?HvOl=>6P!a}4DGO}xd5WW|z za}${Yj_Sh>myLb*pDBf{Sujn$P!}CRHD#FOUO2=hT(}G@wg*-k30^OaN*9j&wHs#E z64R3z6;MWR)xsjB8P($)^(0?}gD^&uJM{W@Od>c|apb+iUKD|5G!0gq{$31mcpL>* za6cD+1o3;FH+!)~X7MFK@nxUlQ7!S6i}BSr@wM~`^->9qW(nw^gqBYUZ7m5Mz+ytz zO+pWSVxLsvfLY>D8NEmWRezm=DI2qXKJ_yr>^;_}3kDSO^)wy5kk_fW^R?_gtVySJ zPah0$c_tx7!cTw{Y_+wghd#*$SYqASG={0vOV6-X3?XK=j5P+>s*{WyAX@XfWJE0^ zM{RPqL5jLv$_SVmQv3K6OH3B)!=pdRKd?Y{y-;y56T@p^oc*Ub7tHFE)Vg+0&CZ#6 zr&8AzQ-?LdkL|=DSZwbNc=4x@^qct3tgJ-BOag!S)Hc0cv61|BX{83q8dGsbK5W5L ztdKQ)SRSWx9g-GIt1C_ajg8{&j0~uKgkMMYZJGmJ`&55DU2&8u$(!RCxZrT=rJAh! z`0|`AGL_j@gkrLn3eK9Y|2i|NfJPI^ZioeXUQ6Z!0y)M-bJnw&urkVQNJ(IU?xqq0 zgxSS>*wpMHDz+r6Aa<*KYFRtRuapujh9ABfWB?SbMsZ>W$R|pySxsQ-)G&_U=O46x zFb>tH;^wEeoiRQSW7eF+z0;>!#?G|%c~Zuj2};3-om25lGKkowqEaD{GctHw=Ct&e zS)zm(Wyuh>v^fTFIg%@-fENQxSor*9{WExHL*lhz%r-;9Liv|N7}z(A$LJ=vDK`3Q z6x=TS^|!ff(4~Zif*K^?;u;U*s zAd{pLAUG$3Q9#LR39t&Wo_a%N!J@8|M4I}zkT6_%(L~DE5inb+Z!VeCGCVc)*_ZWD z!#Mtxeg4S{vgec7!q18(>qX|zMBL8F(B5PVc|sg1*w@_o-SonQX5qQ96bzRf5>v%# zuM2xA1zl?kIbI3PhY1?w=c8@NTzyGiplFHgsoEwPf(l4ry=*GIqOS-`LgK}=LPCwSv_%CEh}sND!)?gIZOy7c^Ck)MCJIgw zB5f*%8HtkfDpOeq9NCF{KvmPks4O>>Z5nDZOQLcKwM;BS8x$&0_Wq|#bv{mfjAr%k zKfco(fk(Gq@mDJNyDBHVHRl#J34S$61DdyO7Jrv&9&T$uB(>b>$`!wqaqpBBuH0gr zku@_-O=bztLav(LIeB+T=y3$r`TNnmHqd~(k-YY3M|)z>L^RF5 zvdw;$%>jJPM+5eAmoIk4z-_9UtTB$+kd`A{xgcX*80YiufaXL@%PVcNW(uNYOv@y- z8b-xFExXlhM?DMEntRwnzO9bUX+tIECui#8CTsdv%2r;7a<1D7T3C+iP8SwY57)%^M71+DDAk35~mNnYty> zcI|xKcNN_~Xo>!ex3`mY4{3K`Ew|%G2WUHM=?@251?pG%>F?GDbq>nAn!e$6w0*r{ zSXeAe!lf6DYo`x!tu#TGG+GNZYMIgnuA~`2@svKz^=05$sB;*lQd=%3m}f^RBOQ$i zFtxwostTk7U$>Pt_|1iApKn0AP)+@XGaZ5w@OiQ48|j16PDV!lMgSmYWVG$XVyX`O zaw^_+vgXib%`pqvQ2y`QUUQ?#)}9a7C+PE84z4bnI6${4VBQuAq`Rn}6~f0pTTS0sls=wY$d)TJ}7_S^Sl zpzU+ImvOWl6{Lk~KvrqXdD2l8Z3z$it3z?u0k$;8!ncjS@)%avhs?GS1~@MKaz3fl z;+|DcI`d4X?ouQ$(nxQ)YByvTHx!FDUnxo!)0@NK%J`T!>L^+I!8qHiy z)+UZg?sQ!pc3o#9kQAy^yK;F&FPoc`#*Du|DGK<8)^1ms=KZOvd-akm#>FSWV_0SK ziH@qvys!AN*Ib$lKCM}ELp7m9)01VdF{&7rx*7G#7iKgjJOt>UGgcnMlSI^recF~) zN7eM4@3@B!Qw_d+%`<4r5u!$){SNJyWOGLxK_1T5|Iv2VMJSsr1dxgiPydzQN%Ufx z?MYeiaJ$!a2ywS`9@sC2S6pj`JDQMY_~zouQ%Wjh0<^rf;pyr_OL$t)V(V}C>Z$|s zZxO92NtN~^!%-kkCUs!E;FdOI{Q91SNHjc47Wcb4@Ozk ze?}&>ME2~>FE>Y6FTUTqe@Ca({&78W!#Q@BEF#Y3?e%`BhfDct&d*hT@J=WA9m(-PVSXFUARLP@Tc!6Qp`BoP+C{Nh5Rq0EP5!WnBNYR@Fit(+ zI8E9Lpn_FZdc3oewx}vm_ zckn_(2*_7l6~2fugAbB|?MK3cwJ4k)Vv|0Bp(o&Z`Bg&hO?vPOlkIls#1^YkVSLwK z;>up~!(IyWK2l*n-FiPGbpKQCe%47z*2;eR#C|UGL4m?SZZ(Nx=vN9mu;t~tY;|;? z0=-uky_ytPouJmDhH=d%EnZ8$dZLjU<+se_z!_g%3 z@wCG6to8AH=<#CiF|gEiyu5O}@^HMye6pc%vSodO+N8W}rAjNO8E;`zU}e=UGxZPoTe?Ry#&SRk;MZK941B9yI3B%oO@`q}jfPY)HbS7BrU8MShz zr+yX@D;3>28J$QLW8ZnZCp`t_#qup%6g$zKzL@;QBUz)oY+)9$^T)~?j~7#==VMu| zuvld;9s~OP%r7ZmXHPUoY5v4L!BK>$+p%SoLq3a8$g-2bKBV`seZcmi8%$+T!}(CM z&a6I_B9_nERL8hv8?}2*wWoxA79-9t$kG6VAPtx)>?yx;jp~FT(9_qJtP(<*6*=c0!>_^&U>vb?!^>R4(#a+P3&rZcJ3i5{MiIh*rcye(^yZ88pRd6Xr za48!H z3QOyiS~sqHE_*s4swe-u@#MKwenNw;0>SnKyNaUhEW0wDW8+lKPNcEE2G>tA!)KdC zVn)vie!bF#N#gleNDDd&85`+A8;oM~9sT(=H4x$^=9X8NGv;12XK<^)ZJPGGH+T}J zIuRUbz|8)G|1Uj{P-j%VwU}SZgBR5biD8~duYre z7(maa;4inau2%$?8`21VfV}W@CFOSyvuyI6@h52^>id17<<1_+V@8MYBTC(_Bx~l> zt%Fd?6icM!{qcLpp=u^%-W`!#Hv-S@3BuGIz;TeO520>YVKJnl zRQLju@5v(9aQsD>`+|nTH`kZ3#9Js2%9CxVrA@&$zZ)Q`1%YTyBy{>&IK--u$h9_V z=q6fxZA;sY$zxmxqND^P9Ei!?UwaJyq?Y!K~f1OG)vNFoF*U0J2}sLcy>gQ3mDcrP27lP;uxF}n()CBD zeOYjGmRpzpuYXcB_abD+-)VXv*lGMLfVitQW5OHrp6SN=guzN@Nz2)9hVV+YIX7q? zn8acLo3<$|%H93V%%^E|SyL2`xSux3Ps51j_Tyu(w@g+WCJ_}QKq05EG!dDZzDlV? z6`pv3?2CPq%(jIl&w#pwpDsr+v}whzI)hBs7s(49+iIt;=d!IYx~QcT98ceDGrhc> z2<$xhh#PWj`SRgppSFkOy^;g{t#_z>=Vw8`~UBsklCu6IF@@0$;r?JZ`j;o&Y zh1l#aUDYkvLftE~Wqpj9S8hR-emTa}np+7HV= z2!D7hxAlbX^N5CoFEWNSVH2rke#Ag)Mn$s8tisM{VhzoB8nO*_{yc7hf0;S>*l2Cb zc*Lao;`3aNlf=u{-#tA=v)1LDr59Su97`^<4=bG&hFkx8oqP#=;W+;(fA?cDOx-V^ zs>)f3Dr;Ef-ct|3m}DVlQadN)0VPc^A0i@n^;)oZ!#>Q^n>hZ~I$>mjon4o%FUhi=2_)SANYe$+nJlGObVq zEz+<0l@ykURcu->w%TV+7o&qmFFiLc(pyXLN@doLzn`9K(XHH<@aA};ZuZ)O+k&I0 zdb*iswA`C^eLpp=mFxcbPQW~Kl5rBmzIyse#=0%-x7;qt%3}5s_T{@>_gw?U;2#Ut zm(3sUk2MtF3;$WveU$K+xYYfX&1q8G?s;@zdAK>hasQhlxqS}t#B8put62C6{}tbJ z*QbI3!}mK1Ic<82F5WNeRsn^a_~l>P5l@=D$0VW}pkAfH(gz9|z~z0#Uz>;Bmm|E+ z0#@!K-;|gyMoPD5^^9t@B)IUm;Vcn{G zL|wyB*$Zq6-vkRu_4cyPDsqzcaPcei8n?2`)iV0=toBI^YKz}&_C3krwmETtv+WIYpFA2lRX_@UM*t3Pfk4{lbKrb(_Db|`+6TqRb$u@@1SQ-$9t zhZ@y&S*SW>qfGN9`xNv#|MtUP%tfs)Z)t z2fC}7_^FxVRxVKTuZ_sWwF`e*l0X@@oi24vFp5aKcQGe4b}otc@TwT%@Q=F5!wBWe zMXG91yw3}HoQ$CKn1+^!>i$0A*#cfZ5_ImXp%)>%gX48#Tas`cwGxqGR93U5&aieQ zb$6fi3e#}r1z(V{YI{Vp>0dd}TK9AQK@A+x)Zwsc4wW09q9?oPR&6qZsegV+c(kC= z2uDFDThoah&2YqDEUQkK&2z#e18?9_%u%vw7s0C-83~83P_`%Fbf6C9FuWaE4fXm( zx$JmOvgn9;-U&!{W|EN$_byd$*si89UjP3Y1L+0YMYY4NN~Ot@$wQ? ziWOVc=Dcc8T2?N>2#Xz7dSM0z48On{o}S z6dJ`HNVI5Fl}Zv6oa}kC?<#f-Va)0LeT9?Y_INc%HY38r=rY(k? z()(cz^%po{XH<&#+s(ZZa(zaMQAWdr9|ufTdnbrt^8BJ+h0j;&`wI(3dh7MFF;MGG z{h@eSrw)<)4o&hW(qA!ZZ&a0-<(g8KRj+1ROVj#)2G?S+J35ZK>5Rp5+W#Z-8#(wS zWi+EOYN43m(KO*Zksm))?xr}=rnN^2t8u8>%Nir>Zygb7@{Um0z^PoYVK_8L!BR823thHXn|6v!sfP zBimdkpb|A8d{p-X+lVB%@goLixjX`ti1e-ye_xl;SA0?}43*B{lTMLq9V_@gm#+Q! zK#S3DNdHOyMZegQicuA0PVv4A%U$3rueLx)tKb(AvVNg8e(|+1QN0&N@aMwL{o;2; zb35$3#CMfP7acPy&wq3zn|&1cIncjyA)Z|^(PdTj!CrcgRGef}q9}cwf=Qys7dGlv zwS=rDxNF8aG%DuTnuaK0mYd|h)J@{nNXs*G2a6bhE6tkf3mywm;qr%8RX-mPe;h2! zU#xDWlHVBueVnbk=3ZIRSZ^0H!QZaV_e$?!W~P{_AA7swiMzaXP*rc+V2rpy#+Jf2 zt15weL+>J4#SWR(_z{g6qvtcyz+9F=w*UA2rSEfw(sr}(rxjw!%TVSbROiwns&mZd z(zv2YoAj_%YH@I_LUAukthCb1Bp6z}xs*p$8A2vZqf_;-cW@l z{6%9O_8hu1zFqqZDn-YrO2%T09sOt1Y$>Gidb3e7T>!PEKzBbHJJ^(+#DBI$CT={? zJ|h&otw3fu*MmLUwIoiO!%yTPq>rgkg^KUU2)WHv*}fe*S{|5{k^h!1QHK=UdaTbW~8&-1n`n-dHA}pHkaskgFchp2=h~3YuaQ}2cDAmO=jJD@GoAgg0ppWQ{m7R7#oO3V9J97Y zk6eq0dqS>|Y1tB$_}-S3`RlgQC&m*ShM~2oy68tGwiMQxtn)T3CHZ8!nU7319`7)* zNvzW)+mW2C^A4@O61HUswX58>V?(d=;Xef{&_6BNfLyQfWY|DXm=J8wPF34Cf<&f{ zdFk?1Bz{C;%+h+xsHLtq(IcC(wpx4F~TZuLf>d}Onp~SHHcv22!>@+3Yj|~d~1xkH3cHAep z18AN7Y4`jCa9_9-_%plsKkP;ZAibH1V{&(6OLk*USe1M!{T?{i@|<8U&YtSd<`yo( z*e>sFoISeOV?CWCO z;P59$CfsrY?@w4w*$Nbw@)d8LGcpd+a}Nqi+&uA}KUBL2w{7>N#=mvh+W*WfZb76& z>0WLFY2@c5nPvPLGK;_is-kuTv!Z*d{`k+Dm5S8apM(7;^=gE-sK=&=6f}RG>_NvR z#0ov4$Yh1=Yd=}FGQu+2V>tTscE!uZ_mErrgw|wx!o*8U!3$?NesiaYGuUfRH~H@( z+3HTx_Rgsj+vyMH7izigE1YSoE16dU-laDu<)6IDqJRZ(5*O@xN0ZmdRMzZl~25t z&_`zY7eYiQ#y>6?_JIpDcd0sed8)`|ip-^0H+Pcqr9^3AKg%mo!E*O0ZofB|Nqv`o zjInav8S-2#3W^1CF|TC0FQvN6L}CggG_PWbk!sG|k}kL$j6B>jT&iEMWW6|Gl78Gv zeu*1Skfjf)1(zK0S9*$E{zGLFE(PxETylZG6yN=FdGBvl>Zj)X%P_N8KjxKM=B2fw zpXll@8-f7S)l0K?mkM5`cEF{SFHT8%sY^ar0ArCMUAdyIIkbe}1nhUF4?s zUa@h=n{sKHmIrS5Sp&cPO@9aI{SKt_H*79rCw|4(9RKFSN$wo8cYYydCo&v9azp?pFu!e@ssnd{?AA61xLOhe{+Tyf!m@ z(Pu$bLN2jhBq5SVU=8ov70)&N8+x#BTFjrcuf!Rq=hj2smJ=5%J%8{@eLs3_fBxXW z*nM)Odz<%xFs^$mU-EUa;7zdP8=HVP%dwDy$=3yX%t`Mql0Ix#=%rPa{z2{DCQ@Y8 zxg2LYyOZ19UYxy=U=5}(|6_i#-R`xG)T3cjybF$bQz_**LmTp|>(5Q{_Sekd&gOWT zD#uOrzuG2$yWSBuE)l~HJdUl4AbJ-l8}C*iSR8hDN1H)P;92+5so^x3B=c^V%Sq|s zZ=J?l+VbGhQjzs${Tk`J324_l@W+s+R=fe*Ve z4||yp`=t*DK=Z@l@Waud;yY8|ejy0~BBbDW0RMF!rv+K!5iLzS39T!Kw}B{hkgC}#;Y-x&N1EQ* zRS0HwivcM^Lu5RHSi*`Bxuq4&pfO$PddWGFBNKRWw13GpnWr2>33a>To-V@O1jSN; z*Lx!g$yUO7VGh0O)Bp$U{81RwzhBlc?7RvaN=kg7DPOaItWOis#DR52S!F6ookogV zD+2AU1I8X+6`~`TN=UF&8Fi*=r$>-nM%5mFM0UPqNz$oWc{6FYo8SRd?j+tyow%vg zz@H_j?3dwpw3M2E^pY{}zZfBFU!-V`savP9_nOJPj&Eeb0m8fpUpgmgb%+W!nDKUmvlN%bE1M}>v$j0}S+MuF53L>G< zPmXb9Z~kz6vW=H^(t~&m&MVd?sVy2&yk4;KfE26J=YYdA4Lt@Jh8Gn(Q%AO301`E2 zux-RcM5LGg>(af?q^ymGIdq`F-_8tJwYYdhydd;>UI7*v%-iXpKDLrdnj|^hNy?$R zsq?qQQm~gf%Jj^MfW1TLHM+>w0qq($8_i@G%ExAT0gIcIDszt@09W9l$@G>9UiN8-#iGTjd@P+B|xX_du(_67#3Kb%j~Sa-+en^M$B47Gn80Wy#lblbs{h6>*clHo6HuDtIcnTRFUl% zY1V6xD3qlvZowo&E@sRaU3!(KIE1IBj2`T``x!D&`fj5ODxR!gc5(#XF!D@W{Vk?g z92l^2Ets`q6Qi+v8||<9m+)n-lxTfbnHFYkNyCnowb!ODR6b;cVpEk}PCQyrOs-JB zXsfyR=sRbirM+%gIu&A|R}NE_{`@L>s7RJc;iWW%H3{X0SsJQJ)H-t!x+bx|&cRrshB5aSs) zpEit^NZ0*HZO6^rSNt*RNBqmY4aTyg&6n&}u)I%%y*GF}Q9%|jls&;!(yt?U7*QM& z4du_nk58kMHhz#N%&7eNrWewulRulKPtl7@EaI(2_f>yKY=*^wNoko{t)ry(+?&18vQ2^GuRB9dI?FKo<>D`t0+Q3AvFTJACGKaaag(F@xG z99<12schT02@1V0VjS#P1x9XI!0hWK+!``9f? zCs8#aB$aE0vjM$!(=~zjhigQ4kw(?ULZ6niUy#1~#J#HN8MBJBJ_pf~8sKCm+R^>X zOcty?C@@b%XuX^Z&NLem$Sq0|-n9O?)iMky&>?v2vfCDkll>}gmJd=?qu8M??@1Yj4qu9`;mkjT(Xz3C8$z;vAT{5otILDz)0nyu z)t5z|JCDl!AoC3mk+{|O@1dF?)`b>eNWdeXgq2n46-S=$hZ+y_c%qIqT*VegC|_~= zla6(qz?+XxUYvMLn_KU%XsU!lzlRUp(ygAgkjSlsKl12Vk}YdCugoWL4^yRN#UU?8 zeB&$*i<~2~mB99q^YO?H&P!WShlewN3~%hg`_J?JaQ0aQ^4%H%<(`@R9JFX9g=9_o zWXpisZeNSebyqv7@r!Iys%}CcYeRL&xs`Qz|YOk_P<+J2C1J@+r3Ks zyF^QR)uw3xb=6ueI1Qma9k#E!Nglp^TC1Jr;&I~3L-T&o)NA&w3f{Mx$pO}wX1@ij zQ+)@$H znE!1?-y&q$>fpE!TA2eav${mAUGcos#9gh6wD{e*sC1sH^ms+xILdl<5oe5I@uT(C zliZi8%IwQz>?_y4XCV~Hrlif!-u~nk zm%-`D#uZS&_#6gVTXSYzXPM9^-C(t9{3=25ED=Nka)@Ip2xH%ilyz(a?!o(Nj{UWm ziJ5bW8D++4rz<3*FGN0eR2j#`#!gO|!;Rb!+0iSKe=Iz2FEnjGkgp@pX*H0v!~raQ z@+)3&ySK5N&2StYj9x74sk5&TD_U75lN(~c)BpS#w?NIhC@^X>t~J9V6;C9fNFzCl z$t*+0)2>2ahr6v%mNHLOggvbjqBB&K`s6VAj`cD`%hg=@#GXP1qHnFOP3~ya zYBJb3_DH5kI5w0E3$NUrulQQg3oDAKFqMW-edOQeOeCSNL4+ zB4)4DxqJ+9ybX7~EJ{<1BmCW*{R4LW-*5$nmIg(0z4k199lrb8+Bu-a`E@~Qa57i$ z&~9+X?wg6ykiOElJ6xgG&isEl`3YhC`p#h{{b96aVa#QIoO?cYT#q^M#C!T8)VU*d z%OXvpH=@!WAGWpT;e@ojsteaeXwd(lJO z;`BSAtWH1WcV&Fa5+Arz@XJ%k_fv+j`RRF(%=@W^5vh>!G~WF*b)IxUw>;g1=c9Fb znu$u99Z#l3d1e65CzJ9FbZ=&c>!;lE&-i;`Zmy77Y%yaTs49+l3s24%&zGV79OZ~q zeAlGw^2A%7q=)i6O4k%Np0sNx0}2=M+3*4pw|6oJ1rn&DYiBWIm11jDu@i5JC#ocX zw=@)08qHgl>{ce?Tx`wh;A@S##s&R#D(2;WWx?e?;TAN{Rkgw!xPhwP;jKAA)m-z| z8shjbxz*Ah)-YGpaUNEYS3tNc8rb+675Dzf3Ft{?-s#^ z=ijxTZ5WZ`=G<0rSXu4fX2%DeRqmLm=$Pm0T&d{X;p;l7=mM_!x*sY!CitKO;nC_i zVtI$XjQo9eeEe(NA@9w8lHq{{`Z^5x|DizjxzH2kLvFi6p_RkLe9&0_Aw%aOYmc1i z@Kh`Q;rgRd&!h2-%JBe?@jm|VLmuD9D!=o(@h>VrR_&j_ubLo7Owd9nn2*16LjSwv zpQMCNPw>wYS55I&O}bT}vAJf3_Px4Xiwi1>4V{aXRiGfKc%nzNA&%IH>k^xXcx6>D zx+>@2#VXgmHo0;LyDG&@oqraae}r$+@MyJ!e~r9yZKxbQfxvK9xAF>X3RG`O2y7`- zZ>bAxTOU-YyFneQcigHArm<1jB@XJG`XEr-5VQ}Vz8NEA~S!QR(Ot6&5fPlAK%j(X0KgrXrzd4+cjd9Qeg0+>aTC*naRP5XLa|^ zX{Sl~zvbqYbsU!uD(Vl5(T5Awe1Uz3jhrXzzK7WoLb#%}xQb`EvbA^`LU_(+cqA2( zJJkg5&U%?WFrl7%CDwcOZr_|dCwPTOGOI|k5kCqlNk!d;x;%z%`Ny@~8&7JHFJZeA?s^`TRM9f=(|P&Oa-9SU zxRbhjteD`xKj6tJ;V(?CTpi;Zb~-s63O}>pU90vfva5Kqdp>v|%>3_X|8HTI)jF2T zbC$~^FIr(XK{x0TLhQ`N02FrMRI&W96Bck>(dAm=bWvg9+ls2FMGG#Q@HJys?!KYp zITYkg^9^IHL_0aJj|r~#`Tp$^99*JXM`iF2^Fa^mJ0^SuFE4u7JoqFJ`A&TWo;C<` zT?(>aikyl}qCEdjW1~@85YfsnY)7MR?i~Umf8!Bi)OFZgB5cD#%xRY_q6d;So=q0` zRVBw*!43OE)!Ab_1h39UcHAJPh#Ww{dztw}^is6#_EJIH_gVk(vl>sO!%GaCp91hI zGNUTGONc-b|l7Hz>WizE+fYGO^}MJRj`MQ(FP$3C z6DOC^+sc(b->xnn{%&FRnKQ}mlhh^tY36`ww(D=aXxmkfB3{(?P_?=QF?73 ze9=n`>lEO1?B*@TZZ0kmjjX@UMrA~g`SCO#7(9~TaCWnJ_p0QY%j?~#SE;{+iiD3* z^L^Vvz@y)Og$)I?&EDFQj#Mr-?jAqt1;51~t1dK%DN3|mmmUgSu&IdJr0 z7sw{EkO2rg;)*Y~T|z3F_6w@AH}Faf?{US zoNIqFz0)PeU;R5}EV)9&rIq&+`#mBD>rNgwp(435-nnRKl3q;!epzR7Ws08&srD;# zDsfQHp=f_V2WlSq^pD$X&$MQfw1u+~=H_TOmnXkVp<>E(rhnWt%6_PeG0{n;dAYMM zNKA^fsy>xe?H9`azW{+3e&}bpmU6ikdpVJ_d5F5Oor^h`w>h4_u%74n*>Z6APPgBg z?kYp}*p6-NKB%55FvoImc-FIa&g!=|F|aZ$Hp927SjIP>XU5L!#X@N2_Az;PG`G^T z3^(@BI(mt(|N3IjYRs~F%eHJ0m+Mr!>42hiBeVDc{+7gI@_T=+P6PKMmvzc=tF|UO ze5+}mGAi4;G~#)j!A4fKD z!tNnUHbldA?BZ#FsuqMEwNXQ|OItUAzN(@}Y5`BWma2OnvpXgGyGx@pb<=UXBYb+x zv#avEyHoPmKI*hivmEp?tVd|Z!uL*pC+nhX#aF7gdN*+ss9Pg+wt}^WYip;U?AZDp zJ7+7By10U0ZEFKS?lvsxia5M3at_lhE;BK$B5}6Qx^|LozR#(*UwW>dY=DOFMlY(! zr|WNO|83Jc?CxQnss<+C8Z1XIJId2Dt&%RB9<|1vD~(e<1KTkh3(1?d^=gke4Rh#;e- zG)WDb%ZLx7!KwxFCNx;pI>MqBi&`ye)S~57S?gy+r9UfHlZp~0w4@cI+L9D)s8p#j z!S1Xjb?4EeKxM`{=@To&on(a3>KsNSM9sWF5`E3{$44H-|qSvuuThkG3}JUg*tN1J zjH3u2@=89Tuxjh4v2KzIDU{@sudN7+!fn0fK>Mr~`Lu(^mN>jyPAFQIBMmg6gu)0T zm8#*e!iUtt4Y-qrsz^uDc%&)Eh-|7Q#u;m5%Rr5cDDA_!Es5;rs zOH4ZTOxnwqNCp&)F2p9v5`dpXLkyasHhhl7&|o1-q@A7%&>G{&?EU zvY5__s6?Gcg07_U2=k7l1p&;|rv&jl)Trm$bZN1eL_12*Lvea;ECL;J|LjFAUvJ}c+4qC$)t>>q>A=@aWsyA z>dGZ=uL4q4`M9lRr{j<^aWhAhVmGPA+?C9$4$*=!vzep}R9~aWb80e~#`-EatkANB z-W8{!?!OQjOVg-j9};gWu3}?Rv`o#Uh%}Sja)}m{Sn^EHkqMhD;RKT#PpXIveMrjJ zYAaAYVYjtxC%tCY4cMXoK@_S8F?un`n7AY?yx5@IcB3Fmi;Oa-8}f{y2wieA&A`Z; zXiu>z6VE-=K625dr1m4)?Srgt`YXtWI<3G=jm6uv|4KyJk&~-- zxr-2%uQiH|Ca6co&^@sCE^&ty27}{)CMi@OVX}9qm_!dD}zbu(Pt=PER&oK zI;1fh0B}^e0;%L9Z=uRiy7nyzNrEFBaf(b*IG!>U25(if|IVQFRvDE%hcJb)NR&Pk z6Rix$Da&z7n?%$dg}q2H-YSZ!^d%Y?&PHbBu}d_nf|Qf22qaobRTpV-!n$N=Tl0%z zUJ5w50*Yv6MycS)GK0qam1IxRn2`e?1+JZNFgP|D-4}C0t_^jNDeNoPa%z%CfB7$%Q)g&*L(zMAIRuOBMX^`11mGfs3c5bXjzY5;#eZ! za7=TS)M9r8W(zm0r+^$xOOh&Mk-=QdA+CX;MVeKru{04qNg5%z>d2Kh0qs;ML`la+ zvo&lYrXz&O)HW3)oiqKeWpG*BXWnG3jKQQcCL|eB|0>9_xWL9cO{yPVY(f*EaAh=S zAtl31#3)N5#Vh@U(j;m2lG@a7C{ltPpVTtIlB6X(xB( zwo=3rps3_SL-7c3NOL`GE{HYne9qY1b63$siZz&Aio1|>i%6WRCZD`tia-)C+?11x zUcF3XyebreEru*M3{q#MCqT7QGCZd&3gq-P|H8Ml&Vo>?lt7(Y6bdpdS|)Lhs#v1Z z{+y^J;ZclQY$ut8rpTwjfeJ`4B0kA@6-tT$ie-*UOsD{dDK9#Tag<`0j9Dg*l}x4i zK;#zq*{+{K35hKmg5UfSxLKl!!EIEW<;AuBn-@?y77$7{p*I_ce^mMWJm zgc@4J20V?(P(l8)pNTBTsQMX?PGg1PhMsqr0;$++ETt0+nfN;rzSJlVBb~c^^hOs- z2B6yNOfdnck_pOXDl`iUXeMb-+5SYtU?g&dRH71^EX_#>ObRg1h!zrhtA|}9i?AR9 z;`CMIP0IBRkAYNDxuhtjqurHLkmKH?|3HK&|FU2D@?|Zr;^ZxG-mQpiV-?~au$78g z*i68g(ZvL4AykE1Layp7ihxI^CMskrUGgD)fyJhP6$>~kl{pda)H_DmvHM1=-IcA+ zB|s@8aV&`wijp!_YrE<3B$29)LWy7pUfgKUMW|XwBw_23$$Jrnn_W$Aqb_|DAzQ@O z$EE9WxKWRZu*}P)V#Q|}I;Z%0h&g&~MO={$#Ot&Uq_8n$PCYd5^<5)^5 z($3`8GgU}el|TCNufBv8QtaCA|Ezo2)1Jc9x<39ZIL5)BGS^bFmz)#8(Pg6*VY9F~ zC9o^&St!@kct7d+5@ioQ7q|U&<;$FGqh{fi^h)dH_97{Ha$@5MVIp%TyMbgs;WLn= zJe$6f+QeF9=SzzNC z_*R5?jjJgi-Pjgufpc^H{}d>B3~ucUss}l))c$-bDWt`f^x09Vjok@mnYFcsa?>^G z_e})%1gVs~tE8;LJHU^J)NZMiCPeZjl}N`P$6H9_ka{m6 zc#XJFBy@}mB${IXHfi+eBBopqN|*-Jno6|l3|r=7IFx2m)GJCfkia5G;>ZKa;=(8( zZX`kwSd?g}OlE-U!lkB9k0=dr08Gsm2z^jS4s?P zbjpJk5sk*|UQ+4^4}yer&M7`<^IC0_mSWRT1pm13r#uRdV&!oT?-bw11&!=TFpWGE zk7np1_l#ms$f_h@qE~3~QAB3+j;&m#&hk!Az0N5wevP7F0-RD%E6&PNj3->sD=B^` zFN{Q6z9{kluMowj+P3d2WMU=O3i8@2C2V5!-X%%G0vBT>4$ERl{NjIt!;j7)UV3OV zHYE3y3TrOB zLdyg&UP32H*5&|Z?Orft^kk`)_^ZFplJ~Un^OWR^^iMIY!mG}U(&h{dUxey>XhSe4 zQ6!3cmS&autX~3hAkk>rjw2;3W4g43Yf^;=1xT0(Mww2=DLQGVx)1@Ujva4}A;al) zsBxg)%Z#9r`N&St=qHOtLrhY~seopZW`{HsL(_&M)JU&2847kVX9(|zC*CC|)}^1| zXX2;=MEY&fv{Iw=hxDQXWf~zU2OPtacUR6^xbK?&3vgfu=yltK%%OsR7|mvls@vpmD| zJn7R!=`%v@vpu2HJ+pK^Mf5B@l;I{ltp1QJlhjK z|I2hk_0v29bUP>2MC%kh9i~1N6jRAFNI^9|i4;qVv{YsEJWJI)vvW@mls>IfM+KEc z^>agEv^$G*@|-hQb#+%AEiKEkzXW2xz6V5i6)1T%-;DKGmFpHHL0OTtS)En6k~LbX zwOWU@(MIk=AMINIVF0`pA-r_}4kBE|Ra^~1034{yto3`;bzR-2U6m_X+lE+y1q9ud zXmIsj^>tsdwdA(7(ei^{dBZ-+)gTIXAk1}K6_#D0m0x`&UmdnLAoguK^k1db5hC_s zn=@lM)>;LY776wr&=q03)nI)iVc%5_I<`Nib!8KxWhoYJtjb~$X<}V=Aa%4`M>hEMWW9Uu!lJm=+-zrE0M@-+VR%ftF~! zR%93UTpdUks5Wc=fo#q8A8dALpw{G~wq{kDKr3iy>$USj{qK?-k$Vc4@J8 zZjsP8w$?XhF>3|4ZWZ@m8)!cq7m)QmWb1j!#-&JR;7G`-Cbh*_- zDKBw3)@hy77F2h2S+{jv_jO@6c4c>VX}5N5_jXzLSMfG)h1G3w33q`vc!hU(iI;Y* zp?Hxuc~$p#mA84F_j#fBb$20d7q@!t)^};PVn=sfx50CnHfPfoe9g9d|I^kQHr9I4 zH(R9^d-AI0Q%dYeU#kW_W~Wn1&aagH2eadf3cXxNlpSX~8sYgVY}7mV8xrA@_mZpnL)MbF=toQ@4w=mRWT*T>IC57ubvgm~+pVjEfeHJy?x*<8s^B zTeX*cgOz64)?kg+j8T?<1^8{ymT&oMim|nAzeg8_7?IG}ja3R>|8a?NNf(g-?m=uSGfQhK)qzO4_Zl~T zV>pxRwvMItj#Jr`iS}SaxrXuel81F(QJH;#1(qqVmE$)K99WZQnO%)JmLnO6!C05k zmzN*ckRM2FgO-GKc$nWtbW1pUli7srHQ$`M_YT*S2|1a|IguY(o*TKEd6tbu`G0Yk zkqsD!f8~eknT(ff8DB-8q*78gJ(nEgQL_ zA=jfzSEF6FgAdkG4myB=C8bqb($-a=@7ah+x?|1RWgVGmijR0*S$c!Pwuw>NhDUg( zbv20tccxDln&&rdnK^K?nQ2X0j-@z?i8+p`HK(oBZxI)yZ+5Bg*NE}?X32SnYZ#!V zx}}|!s9jj5y;`m1)~L1ltqs?$C-|#j*of(QXRW$gu{xhIx34MJpbI!$0o$+pI*tXK zu>D$D^SY{=8mI9$tBn|`5m&DN%Q<>2`?4`Rvo#xfwPCY0dmB7Evvnb~G5Z=w8?)&e zu2tJnxSDBOTZJi`U#}sy_f;2YTVG#$wRKx!Q~PFb8nz=FWK&nGZMwI2`?w9_h!?q! z4OpCA86k2TukSj8nOmHt^|ohOdtrL9sX80?8o9ySY8(4kPP)AJ`CT77aEaS(0=snA z+ofgMp7C|M6*(xi0lUK+eSceoKiRo`8ej2yUH99f%^HXU9FmoJecyGJ**Pe`JHNwQ zx%=B|+c|89hP$0Ly1!?SkFcg4TAJm1Xf(XOz5Bo+yl#Uya|dE%Ra}qx7^BPC#H(7e z%}k%68icA;Z&VKcbUJ61Qb%157WCB36V*jM4i1#n^YqnMeL;2fL1$gn$@4y0f<&=Y&mUAg z@%%w;J=KNX)Q3ITiT&8EQ$lal5y;leCtcDZUC-}4+QakNE%n;96We1n+qwNnCDh8H zT!p#3f~owh%U!Yg&;3~6`E$oT+^g5a*BD%1{98G^oSz)8wS0o}{hTK{!PAw#SJueg z9j@0MSj;=DDO`tt1>AX6%8OfTe;K9+!r=ox-3Q*L@%!LG*n_S6!rOgc;r(<09=>S_ zdnLZ$#r@)so8o(e#ff%k1N+7={N8ixujJTIzF^o{;gLSvArI1Ef>9wnC5Mo?1|=<-P!DE zn(6EHwB7#g;Xdwd7qsPGc{|&)Z$WkQ{(0}d?vc0e{hoMlLG8D`@D2a)5kK)2fAJZ= z@pc{m@gYC*C4cfMzw#~r@-aX2HGlItzwozxa*+_>n*Pm4Eq}zxkd2`Jq4h zrGNUVzxu8J`msOzwSW7$B)|K;|NFr|{KbF#$-n%~|NPNE{ndZ{*}whW|NY@V{#8F9 G0027~SW^A~ literal 0 HcmV?d00001 diff --git a/address_decoder_html/fit/genmsg.htm b/address_decoder_html/fit/genmsg.htm new file mode 100644 index 0000000..0146e6d --- /dev/null +++ b/address_decoder_html/fit/genmsg.htm @@ -0,0 +1,17 @@ + + + + + genmsg + + +  +
  +
+
+This file is currently being generated. Please recheck the link after some +time for this report data.
+
+ + + diff --git a/address_decoder_html/fit/header.gif b/address_decoder_html/fit/header.gif new file mode 100644 index 0000000000000000000000000000000000000000..526171bdf217d82a99bbd6cfacec0fb44ffd7582 GIT binary patch literal 7878 zcmd6o_d6Tj`~U6PS8G#3?UAaQwUyYhWACl@CQ_?L5Mn0w9DG z2_1a;{{9u;bDis4_x;0pJn#E?&bf}Zu9lp<^Cqzn5rOD`LSi zR#sPVb2#h-c3^OztGlbYr5RI;DJetV-V#=3d~g%U6FjE55lJ}5ZOpk2wA-9~3Bir} z5RQ<9BQ)Wt=Y2XD+k@JluuGd3q5T~|hJ z@Jp_^!GEz2VJ8H?8nQCsbMhr}V=jb%PsFcAo_t9p;E}f{=#3@hK=(i0E?m3BO20g= zTYh5{v@!_7b%UCVp&W5RV~!sqs1wM&sJqyHrS1&JpgksEW!lP@JF zJI#cnF2V_Jpoj-sD>6_ff@_h$)=I2&%i~()HwHo2f1b31SNb6vqc$6(uGp4;*nbf8 z$rlU(-#pO%&(Z;G84tEf1lK5mt&+es{@Vyz=?1TKLkRdT!V&hCuz~%Dt^Ie(CnZH_ z0)F83pZV>s_!(qZ4)~UU#}Y=a1S8vYEvj5l4?*4_5akzS6w$(E?GADg5)bTzpxGp2tTVrEY%rts)Yf~ zf#%v)9p0Z0C#fxjoCcD<0rL=y9foWY*01xjKlTLfOo#nOe6M>Q%=9%EC41s9@Iv|P zg@zUV)@ZVch}IsWt`faTvWo9PHzGh5ooRGM44M89XYb};B;K|gDgS} z{J5ZYd_lZ#SqT3xiOr>RcK?G*vw_ySM~F>0yEkU9j~!U>?W>UAi;->}KOe3n4*z~~ z))K&oj7>>Ul@bsf9JajmDWpbK=rjLnSfjl_RN^6LgbNaifE`r!Y;ZT--FD!)Ii0f9 z5xiVq1=0SwAe6r#Ww9cO7aJPpPPhva=7F=i)K?|}#FpoidBD=4f-Uyv3lseW81v&+PD+ZDyzl zYXMc}RDOY*nBD$p67zKJSQoKPp$kHIMaVtRJ<7(jsW80ID;y+PIF+IK?m`(FuEEL~UhY3(58XhR95&!f^MR2~gOm@Ve}2w?(9+j_ zR?cBZu!)Zw5|e96py#r6ZYLI<@$GPrpz`aaGtg_+9MmtE0l z$-q|?xAbcWY_wz>%>VFz^8;s_J8+qin`>npL!P(q)FyC>N3v-mEn@0e_i&3Efwh%JweKrV#(=Qwt_fv-YF*1Zk#8z{Wa2rT)330Wv!e)- z2H_mD#sN$YQc`ywWCSf;*iHLY%4RP-+sSQMS6BA3Wl%fpy@hM@%h=jLdv0EVKdBXK zW2u^eX|2i*0X@I_F18<)e$w^QtNDk#EdHz%FU#7nt0{JEn;sa7;yBO{QdDEjx=SFd zy5kjO5HY;Noy`AI1Mcv+6M(Pn%#Bu?3ehh}u%*F{&ZoEN-8k8N9%1P&ULnRi$5ZQ% zdFQi%x~2?XD6F4EQ3|2dYF&C!|5fsba}^EF7bPHckRf5P{ycoXeD&7 zg4gjuKWW)%iZj)|TJG-;j4}u-Z| zeG4%1S7-Slbe#7xUBwfrv%}N@F30S|Y#vo!h^a^}>B2RQPrCGm0;qI1yN>Y>pKIM8 zg;<}5qEfYLc$xN<>Z-Z2rH)NfBWJ9uIgd974$SZh>o;3>>$CPtRq8X9>cH>0mgcpe zTKtz4KbHCThO|PR7m__pQjq|lp3`+DPQbA}n?Fu$OgWH=2h7W9|f$kap zkd~2a9s8qr0Z0i696ObBx1%ZFTu@dZ(#!pBUlUL6(Af-tOFNmI_Op23PfTK)p)<`= z`eDrn{bALUV*4?mj9;DR%$u)Jte$lR9jW0+)RK6g8k2koD%EIBAGs1q#{Q6buB`b7 zWHZvWuC>F+B>gZ-j{~H-;F@GUZscuRZPUzDB$K2N8k_11Z^b?_A>q}_VVgbBl4{Up z2x>l$D+Tj=_K3W=xRH0b+GGhz3ngTYnP<|Sli+CMLY`|r@& zMBNv6RN*Zc`6*zR!=7I&C|ama#urd)E9f!!4mRsk$U9P~v~ObC^a`dg)Gd4;v5sxp zBC88~%hnObF2oe(REIlg)OxeBRQ4Q02FP4VzFBEAi?{!>WC33r!wF^4$Y_XMhEPp} zKg#|~nn%mFuG4P5{DSh`angrNo(zTgYC)2(o)7DLmPS0aVms(5ZC_5C=bQ?Qm-*3r zH8y40W(pSbb!NO8xBWtK5qy343I77|X@_blS>X@Qz0y>Do=b9MBcEx28)5vVhs=Qf z9U)o94yEty$fotbg1PI(9EWX;&rpjFH}-|+faf?<&yo*5*ME*2hf$cadWhpenvMyD4~)#Uzk-wVh=anLt^KFyQ$Lom=P1R{_l z%yKL3S6z?cUXV2bQ|67{pjSyWoG#5fB{v1S#plz2l>Q?gQqug-$|1R1`omQL74VUR=KN-ppjv*27^V*F9qv{{$ zZ^5L2T%{IPmsblzRR2j=>TNmwy$1R+G@tU#3Qp;ITgCaG2X?C3@fxLeb_#rB0hd9bAD8FbDijHUHRe3gR^#ybcuwo_YD2>(1fDBdRc#Q zxbpeVC%9OqRjEX={#Ypr_O9Qg-$t#?-Xj(EGFjpsW3_d`?lnMm4|%`(6IfZd0mg*C zDJ*_(U-~B|^`4Co^RML_*)o`7y7Hlf@H*?mNn5Y@dAPqY?`j6OCS_DrP{eMcSXy_# z&(#@G1j`Mvc-2sH#Oal`nm}7@YBE$R9?FpjtqrG4+;QP|W6Dd86_tuetl$=_ zdrJC3{?5A&K^QB2K53Y7bTHO2WZ4nystrwgRu3VIHx5V`diLr} z+dUaxR6$MK_3$2rv)DY%qKghatMOJ-W3$lnF}S_)juj+3w}@+(Zb5~Qt53Wx4TlU}N%V5}^Kb!K|WtV})c?#WQ{rhmtWzmG^KuS=&I zO9#Y5xkxg$QlL9jM0+VvU=H*v6%h*=A1s;ldjvQI&zJ(uG|qzlN`Y#}M;OJ$Du%%< zwlXSN-BQ?CNJd!(_p<2LQ#7AsCn9{&R0;1`5^yWoMV|I^OMX>WihXJpeU^m?NvS1M zfZ;Q#$!vg9g8#W$+AsSX^KJg$e8EN1dYoNQcKo`}UYfnw& zcwIso0b4}8SYD4ixBB$%DXe;lg zvgt7y4kp`ATN>g+>oXS%L&Lgp3zb~Y)1F+5Xqq9iRi85Deh^IIjHhZ%fEaY$m{Te1Ypw6 zNu0-@3l-GN6SXZBqs1uXK;^d}KjlDU3jAB^(8sE-3LLej3m7HZEF61hCEoO~u(qE+ z_)Svh&~A!2{`rVBB0C~mG=+6;oWs1hKt8{#{f1F-wxdgIR1!m_Jf~$?SXi%>=kbdM zMSweU7p3i)QvcP|t_iMkm?%0Rricu;66?3RYD4}BqWUV^mOakRaDiqH>wP)hh#pJ# ziYP%vda-Q9@+Of~JnZKWVyN+P5nZSeS4$pv&e#+gbgqMGBaib#z;w1UWiYS%j>=vi zl|hEfPQ4xRG+^4B#ZM z#MSFly(;mE^*NG`ZE|6LW~effR^qhiK-z$~3rc1Ao_!ug9l39s_P$cRp{;cw9*w}e_GrB+Mm7J2jZPjlYwZh6S+wjm`nFSQx~E;=k0!lD(giQ+?jXn=Z_H@J*Rdn-C@4I&XR2!ou;iAUwCs1%YNArKmS@>y@|to zrbQXAxnkj`ub=A6+&xrM|Lx~Z4t`&%2!y%;R%!ET=h9$zg8qG7+K#M9_+Am&d+qaV ztJv@dj~i!k2LZlyp36EDq`nioQGqe6ytK#Gti!kfE1T0O$kKXECTr$uALChl9{2I& z*8Qp9p8O;twa=92MeYzOz8`@l&yPf^UvJlb@}92OugfIqnqx+&@wdEVosp|UfTIRf zpLuMF?()N(SwW(XK%hq)w9olK((>Ly_k~H7T z;%4YHy7(yj#yXq%zpl9%xBJ!_+l_T*6jE!n{tpw@Hu4+}F>A$N9k4-KQ1`^6%f*X< z#6a6;KUe}v9$~|51Dq{qC)OIeK*fD7I^GlEZ{(8{fKc{_UL^EeG(CZbz8`!32C@xi zR42OBbi0U}i{0F%GUXR@72)AHVc7+eRvQn9ebJ?kSyaBT6E7*Nz^2_ej9ype< zr+IE)O=b8gOzGoKb_W@UnFKbK{0-zIfQ5b{R)#h zwp`b5rp_k^i7HvA?WWv42NEqlGRO1x{C40|qivR7-%$YaaSpQ~yKrK|%`nZf7(axB z-&sG$VQ1mUaq3S2BeiOgtpyH3z;BMxm;1c!$M3!$14q0RvbH`mcuRo3J8pQ7N~-GyM_$$3kG&D_j5eW)8~3Mp-vcHsp@R)5rhA#Ns8P+i znvc?F>oKY?vL}Sab0hKDKa~FhDfSyE%3_AgbeyV-c>|o^S_k^7con}l0XlBY8sfto z`YWD%gZYCaGm=M|y+5kh>JOi{UY_r?*mghpsrp1fE3>@9aT*)BLjndM)%?d5}Z^05i#^OG&DCk0q=IP~Hr_>UuI3KyKxoxQ>WdIZq2g1|i^7m!@ zch`O_XlL=L$K;r{yH#rm?ny&BgE72l36tmX)YGPXMrEqs-mNdy37M(E!+AeYzpv8N zM6o}5ZgyZ)ToDM)1qzu@8(XU-F}&}>tt#Ybr3=WUqDytX-fvH#k|DOe{vsxgV-sTK z{o%iVer@leeK2U>pK@Pxe{oAMmg$y$c@0@1oz3T3g={dcd{XeSQ=+CpGYxW6qLCJE z%D&*GA!gRoXnwSOWZLF7ky<@{*<#PFO1Ak~k%(b)7=G`8gVuP^Q|AneZWpc&sSoS94%x?Mj&|=v{B*3C+Ec0>f^k0tai!a#=U*6sd zkuU|S3Uf=G4w2F(x~Nfbgq{vlkn`48#23A&8c75;3G*Z?By zKTuiv;3*8sDW?rn5DZ2LYKDVEfWU1NZ&fb>%*j|WAgBk(rH0rbN5=*Of&dw#K&;x^5(GG@GTjm{vDNj zrlJz#04eo$X^Q)a?K2FYeWlcGtav*Y=>^}Bq*I=pbE#sl6oeWnEsCgnvPO=fd&gFt~5 z#O+JLS#GKTAmD7FPN?(_O+!tdS%j`&vD>0>P5d7TAujj!E5>Hkv%`mNSVozPshcI9rV5Px64nFnaM6`norh6 z4jfo)yw1O$AO;$uLAJhK@G;ww2TTW`AW8<-(O@-=e7i8#R8TOzM5^q#{6RB;KM%6V zDiGtoECSRF29|D@sUm`1jJQ>%lowJA?v7i0u37spEvTivs(muHp$30OQg(LKA!iJD3jG^^6h zjF4K%gEiAs!dCOwX?oHK!~p^ zzGLm4P@beaG)@5~Ua`EdpY!B}~E zE0bGKdG+f_5q1akY%X{BFXOXwLE6=YpU9kKZYrk_Ua&D$$WiO+N-pN0nG_Sq>BAIq zbb*FBsmN%r2&emulkYn7vWNFz!G#X?XTi!!la&7Jp z^{vlZ&pu~i243kJJDgJAU*7E2nR-OS!ym+tv{PR;H=UNRylWV-Y8X)12OB=+lX61< z&bl7Q#I*=k(6R{|%8I+O-+|KltVw1jrY3U=xENV~K8RpN89ABqbD+kUW?aUz+os%X zN@k`%HRit_(ifLxeCIso)G;nzE8%U2<>UC;S6{Kk7_FsVgvp~Yu2cJFdZ8%X&{-?f z@@>xN@A;Qo3Z0>}Jjys~Gj7ryi*nb`+BI{BHJw+2q;JzD(KOE+dLS_H8ZaY>+G~>9 zi@l23LX0NNX~ZZ2xNQGxF+}(8o|(=nYUv`y#RTMWRI<$^po|P(>argV6slxoApZtF_;J+S>^TA?&pf8*&tCrr|(-9ta}+HEtDzpptMfAf})Z(PpZ#EM5;Oc VqLcg#YBz=68q$Y)6B83D{vW&9{;~i7 literal 0 HcmV?d00001 diff --git a/address_decoder_html/fit/home.gif b/address_decoder_html/fit/home.gif new file mode 100644 index 0000000000000000000000000000000000000000..d4411845fbae647a6d162b29a209ecbec85207dc GIT binary patch literal 940 zcmV;d15^A*Nk%w1VLt#J0M!5hUS3{8LPFQWWa#MR+S=8;ys{x7Ab56V{{H@FW?sw7 z!j+YZRaI3eC@4HUJcEOJwY97o8XE4_Wzy2iO-)Vv;bz3MV}*ZUZEa>WG&H8Bnya5; zTU%Ql9UZHyp)oNq#>Tu*P*7M{Sd5Hif>rt`UeLaQ;Xd`_QR6)`cn89hx11ZjY5PEmMPh%qWHEjTy@kdcy;k}5F@ zEkpxN3Iz}lBN!AJJ`tv;sHv)}tgR6RLntRb1sX3H0jC`uKD`FNz`?@9#KpqB5JCeA zI35cnB)h!7D=Q7x*xB0K+}+;E11C5d4*(w#)7C5>>>2Lw@bU8V^z$Dc2m~$U94zPt zE7oPG;lRO+006z*8>nd;KDjH@U3eO}U!w-&ODkot!5CO^q7^EPB4y|1(Dp!Q1AV!Q2fM!Dq ODXfL&t4PWa1OPi|=7Dhl literal 0 HcmV?d00001 diff --git a/address_decoder_html/fit/index.htm b/address_decoder_html/fit/index.htm new file mode 100644 index 0000000..831ba39 --- /dev/null +++ b/address_decoder_html/fit/index.htm @@ -0,0 +1,15 @@ + + + + + + + + + + + + + + + diff --git a/address_decoder_html/fit/leftnav.js b/address_decoder_html/fit/leftnav.js new file mode 100644 index 0000000..b06978e --- /dev/null +++ b/address_decoder_html/fit/leftnav.js @@ -0,0 +1,176 @@ +var noAppletOnClicked = 1; +var appletMsg = ""; +var waitWin; +var oldIn = oldOut = oldGbl = oldIsp = oldVcc = oldGnd = oldProhibit = oldUnuse = oldNc = 1; +var oldInfo = oldWarn = oldError = 1; +var verbose = 0; +var dispPage, mapLogPage, mapInPage, unLogPage, unInPage; +var javaPermission = 0; +var abelEqn = vhdlEqn = verEqn = ""; + +function IsNS() { + return ((navigator.appName.indexOf("Netscape") >= 0) && + (parseFloat(navigator.appVersion) >= 4)) ? true : false; +} + +function openWait() { + waitWin = window.open("wait.htm", "wait", + "toolbar=no,location=no,"+ + "directories=no,status=no,menubar=no,scrollbars=no,"+ + "resizable=no,width=300,height=50" ); +} + +function closeWait() { if (waitWin) waitWin.close(); } + +function popHTML(name, str) { + document.options.htmlStr.value = str; + if (name.indexOf(":") > -1) + name = name.substring(0,name.indexOf(":")) + "_COLON_" + + name.substring(name.indexOf(":")+1,name.length); + if (name.indexOf(".") > -1) + name = name.substring(0,name.indexOf(".")) + "_DOT_" + + name.substring(name.indexOf(".")+1,name.length); + var win = window.open("result.htm", "win_"+name, + "toolbar=no,location=no,"+ + "directories=no,status=no,menubar=no,scrollbars=yes,"+ + "resizable=yes,width=300,height=200" ); + win.focus(); +} + +function setAppletPermission() { appletPermission = 1; } +function getAppletPermission() { return( appletPermission); } +function getAppletMsg() { return(appletMsg); } +function setAppletMsg(msg) { appletMsg = msg; } + + +function showHTML(page, html) { + + dispPage = html; + document.options.currPage.value = page; + parent.content.location.href = html; +} + +function showTop() { showHTML(document.options.currPage.value, dispPage); } + +function setVerbose(value) { verbose = value; } + +function showLegend(url, w, h) { + if (verbose == 1) { + url = url.substring(0,name.indexOf(".htm")) + "V.htm"; + } + var win = window.open(url, 'win', + 'toolbar=no,location=no,directories=no,status=no,menubar=no,scrollbars=yes,resizable=yes,width='+w+',height='+h); + win.focus(); +} + +function showSummary() { showHTML("summary", "summary.htm"); } +function showOptions() { showHTML("options", "options.htm"); } +function showFBSum() { showHTML("fbs", "fbs.htm"); } +function showFB(fb) { showHTML("fbs_FB", "fbs_"+fb+".htm"); } +function showPinOut() { showHTML("pins", "pins.htm"); } +function showError() { showHTML("errors", "errs.htm"); } + +function showEqnAll() { + openWait(); + parent.eqns.setOper(currEqnType); + if (currEqnType == defEqnType) showHTML("equations", "defeqns.htm"); + else if (currEqnType == 0) { + if (abelEqn == "") abelEqn = parent.eqns.getEqnList(); + document.options.htmlStr.value = abelEqn; + showHTML("equations", "equations.htm"); + } + else if (currEqnType == 1) { + if (vhdlEqn == "") vhdlEqn = parent.eqns.getEqnList(); + document.options.htmlStr.value = vhdlEqn; + showHTML("equations", "equations.htm"); + } + else { + if (verEqn == "") verEqn = parent.eqns.getEqnList(); + document.options.htmlStr.value = verEqn; + showHTML("equations", "equations.htm"); + } + closeWait(); +} + +function showEqn(sig) { + popHTML(sig, parent.eqns.getEqn(sig)); +} + +function showPterm(pterm, type) { + popHTML(pterm, parent.eqns.getPterm(pterm, type)); +} + +function showAscii() { showHTML("ascii", "ascii.htm"); } +function showTiming() { showHTML("time", "time.htm"); } + +function showHelp() { + var helpDoc = document.options.currPage.value + "doc.htm"; + popWin(helpDoc); +} + +function getMapParam(type) { + var paramStr = ""; + switch(type) { + case 1: paramStr += "10"; break; + case 2: paramStr += "01"; break; + case 3: paramStr += "11"; break; + case 4: paramStr += "02"; break; + case 5: paramStr += "12"; break; + default: paramStr += "00"; + } + + return paramStr; +} + +function showMappedLogics(type) { + showHTML("maplogic", "maplogic_" + getMapParam(type) + ".htm"); +} + +function showMappedInputs(type) { + showHTML("mapinput", "mapinput_" + getMapParam(type) + ".htm"); +} + +function showUnMappedLogics(type) { + showHTML("unmaplogic", "unmaplogic_" + getMapParam(type) + ".htm"); +} + +function showUnMappedInputs(type) { + showHTML("unmapinput", "unmapinput_" + getMapParam(type) + ".htm"); +} + +function doEqnFormat() { + var type = document.options.eqnType.options[document.options.eqnType.options.selectedIndex].value; + currEqnType = type; + parent.eqns.setOper(currEqnType); + if (document.options.currPage.value == "equations") showEqnAll(); +} + +function showNoAppletAlert() { + window.alert("No Applet supported for this session!!!"); +} + +function showAppletMC(mc) { + if (parent.applets) parent.applets.showAppletGraphicMC(mc); + else showNoAppletAlert(); +} + +function showAppletFB(fb) { + if (parent.applets) parent.applets.showAppletGraphicFB(fb); + else showNoAppletAlert(); +} + +function showAppletPin(pin) { + if (parent.applets) parent.applets.showAppletGraphicPin(pin); + else showNoAppletAlert(); +} + +function printAppletPkg() { + if (parent.applets) parent.applets.printAppletPkg(); + else showNoAppletAlert(); +} + +function popWin(url) { + var win = window.open(url, 'win', + 'location=yes,directories=yes,menubar=yes,toolbar=yes,status=yes,scrollbars=yes,resizable=yes,width=800,height=600'); + win.focus(); +} diff --git a/address_decoder_html/fit/legend.gif b/address_decoder_html/fit/legend.gif new file mode 100644 index 0000000000000000000000000000000000000000..0aad0ebb2b70d602a5de51f334db320963aecd00 GIT binary patch literal 1213 zcmZ?wbhEHbbYYNT_|5t{|x`Z6p#k8fItW26Hs1o;P}rV&LQKmVZp&>4q>gB2!Vr4tUMwf zN5lgenL0(4!=`X-R6f=(Z(g>hLQvVYk6pkb#GqiQN1v*Xk(@mQx>{oX4}SYL3(-ZLg{PbIW?Vg46wGYMq|u zn=Q81XAM_fo9K>9t7CUNzkhZ2b*P^AE-z+oIhh>~gZomd%jWJ1On$UhL9zRb_P11* znKj1MwUvgepRad#DVKI<+KOA3ob}7Mbbc=OKeb3PJ*+0m`2O{T|9S-(SBu}@-`C76 zueZmd@CMIh?f7$hc7A?-Qr2nyI~g73jf(3Zb>I2=Ic;6U$-YHbW*xBl_EBGT_4HM7 zDan_3PFe4ftKN9-%a)sy@0}OjT>g{$!uH$FYPnCuqK#L!oNvsCY?&F5Cd$5`p|sNF zl26#yuYo?2o7^t9mit!7<*xboB_TI!YD7?2OlO3A=&D$@L|^f>Gs1hmTShF-5!z|7 zAf$k`Q@qE8OH;+&?%ejdxjtq)r^krs6&#on5|;UNYDC(~r_(~8iHRg8w0TODrc9C) HV6X-NY{uvO literal 0 HcmV?d00001 diff --git a/address_decoder_html/fit/legend.jpg b/address_decoder_html/fit/legend.jpg new file mode 100644 index 0000000000000000000000000000000000000000..1d04af024b10f70a03faa699fb2da92df25a3ced GIT binary patch literal 1256 zcmex=9X@jO*zpr5PhGlvPb?HxGHT=yahkYr<3UbkKb$@|Xn~>>0l3-%LJOC;5^8;98;2VV88*>51JHKa(Qear>Bm)mQ%? zq4QY(Gb~_T|M!Fap&jRMT>j@#*886!M)*I2TJL*7|q# z`G4m&UWt-e_v}+j#r>Sb*=1b9pC%uaJeqZQQH{W?XP<7#x*yu)pUi!}QY!vH)IF8l zb)OiDy4HT0RKWP)`rJRpf9KiwK3wa6ApF*{g^TX}XGpkVyY!3Uy}L^?4hL7?c-o=h zZ}G5c%5)A1ZGqnocF7+;KRDj|$5(9k!^$mlogLcjInFORydtZt==RL?f49sFJNY<@ zPV7kQII+;{lV1o!t*Tz<2Pe(9x=*jvXvOi`|`FD6KAU@8ln+!F=gI!^5x&@qZ0s`@bLf&%pKJ z{w-sNi+`-wWp0~g+9Ed5MDLt(0JqHgs9*E;<@{a!pW#UcP|NG){|s-oFn*qX`2MZr zBlkEzOl@yBnX7dB&9{j<)whawo{%{Cv)=3ee}=XrAFuyqT3i4A=YNJr8H*bDf8_Yf z)?d)N{_j7-{C{fwsN60M26Qdxd<-LY)=T}nD0RGho$fo+UM}%ztf_8&PgW{CUVr+n Js|e%&n*i?m6>0zg literal 0 HcmV?d00001 diff --git a/address_decoder_html/fit/logic_legXC95.htm b/address_decoder_html/fit/logic_legXC95.htm new file mode 100644 index 0000000..e253a0b --- /dev/null +++ b/address_decoder_html/fit/logic_legXC95.htm @@ -0,0 +1,2 @@ +
+
diff --git a/address_decoder_html/fit/logic_legXbr.htm b/address_decoder_html/fit/logic_legXbr.htm new file mode 100644 index 0000000..9883d2d --- /dev/null +++ b/address_decoder_html/fit/logic_legXbr.htm @@ -0,0 +1,15 @@ +
+I/O Style - OD    - OpenDrain
+          - PU    - Pullup
+          - KPR   - Keeper
+          - S     - SchmittTrigger
+          - DG    - DataGate
+Reg Use   - LATCH - Transparent latch
+          - DFF   - D-flip-flop
+          - DEFF  - D-flip-flop with clock enable
+          - TFF   - T-flip-flop
+          - TDFF  - Dual-edge-triggered T-flip-flop
+          - DDFF  - Dual-edge-triggered flip-flop
+          - DDEFF - Dual-edge-triggered flip-flop with clock enable
+          /S (after any above flop/latch type) indicates initial state is Set
+
diff --git a/address_decoder_html/fit/logic_legXpla3.htm b/address_decoder_html/fit/logic_legXpla3.htm new file mode 100644 index 0000000..c0e6f4a --- /dev/null +++ b/address_decoder_html/fit/logic_legXpla3.htm @@ -0,0 +1,3 @@ +
+Legend: PU  - Pull Up
+
diff --git a/address_decoder_html/fit/macrocell.gif b/address_decoder_html/fit/macrocell.gif new file mode 100644 index 0000000000000000000000000000000000000000..ec9e68e415b6e37bc65b8284884e6a2f6f8747b6 GIT binary patch literal 7699 zcmeHIWm6mA(rsy>IHfI8qz0uprMR}Z7m7o$;_mKN8c1*r!AX!1+=3T(C%C)2Q^?zU zf5Q9uo|&DqJI`~@?Ci|W%E(Fc3;d+Pf<3-^^dAKNHv<1lf&Z$&|KRDj!te-g&FJEyA+ER|TN&kK>Z2g>5RRR?E zQ7*3PUCuAuByyu>Ux<;p5-HD1?Sj{IV#AqVU*aAxRTYfp@`f@*w!Rk|&-U?A`FsC+qH`|Wf~-tz3_j+j))4!S4EJ5&6JpPI zfwVN!M%YBsKrDQUZ$NQu3eAG|ffTM;KYvq%ZodbDC19=DUIl&bVq61r zPg<@;@^2QbMG0SSuSI{uVOo!oB)3|Rm1QVgk5lB`S&vtdX4*(l*Yu*p(d+`6CF!~E zY$Sg*E`x(jf~__omT`D=NoLG5=1{9HCW~0yMwKnF$E4RM5bnMleHsr|L|&E)c|Co$ z`iIoqoG{+q?HK?1o^4RPyUtE-%AsdrJalN z>LKGjwt|{T=1tUklFeb=b{@zcu@nqiLkULoerVKjo;z$Zzbv#%s9%NdG~3jmK9)Tp zusu$>97`!{A>^ZBY#<(0UA>JcUpz?3E`zx=X2uXNewQ z?qK)PajyELwPVrGyV?jF;^e@08J>AywHZ`D1?P^+ejuu96Xy`w80dd-&mAexVh2ON zSMWyke9xUfN2qoea!pFcMIPkoDa`UDtGuMH>6+MVxNJP(z2{Bvcsp}h=B0p1tDFx* zuv{;PaHQ6>eh#CCHG}yMuh(K^*l*Sow1^8wBA(26EQRsf)Wl>qFw+%B{+=nG+^nJT zF3@)HE!iz)E@D{;rGWA;|1z+)jn;I)zZ+etkSqPO?(XkZ?4-a{ngqA+uUpJrEp!;t zER8bFM^i0S9Q6tzw~D*pF5K?~;0$oX<<1J5Z|>`G*{9H0b)nhtwzy5t>V&yY@g1c{S>biN+zwXrA_g%B>@6FjC!|h3?U>38s%- zj9^F8Wi0eGm!PIx1U=yGd1`@x(#~&6eh7&M`YaMiXS@zYyDg+yrK^PB{ z^;bGIj%s{Ep9sqzkW!8YO{`^XPl?_NAmXmtOxjiO$|2%@BI)UG z4e)xBTjRX4?#$SJTZtM!FeZbA4LM3tz4}yK-z#%hxT3A3-r(WeO#PkZ9z-#$unhxh z&ndo`6e?&n7eO}XH*wJd15OpB=|G|U&*_lw?##o|OI7*(^Sv0k0UkEU%By_QXS?E} zc_b;>l9u8i9p&H;{n^j;8TwXnWdfMb;|bHXzMUlY18YE;R|%H9OgzHI($)#W`!Gt8 z-BHQD(s)WZUDo9$KR6-|PSVLF*-idUXmD5){N$rNkvDt#n~8#_L<@`>x`LUhrwX*y z7V5F`XoT(sLVFOQS=)y$@xCZBA}Y#-p?;Jz5NMkdk?D-@R`J@O6gx&1>21kR)z6ZZ z=+36;&mg{JGqd*$vK;&D?Y0~2G2-1PpgqD%{%k-)lI@ZW3eRBvzpA6 zrp1~`xo4)>R$eBE-g3_lYDa6H9?3Tpay^lz+weYmW3;)a%KqTVQzfnSH(CRo8q%xT z?%2f)UlSs}rY{x0*&64Zvg{-hsZ2ehmfI0f!bHx1oPyjCCauJYF@b(eBx(rVb}Fwj z-A$I%==BYfHP5iCT>E$&JD7NPO_RQ{>u)l@3C2V9Djw+-ZULKivRyTRvS@tn&i;;- zdu&JT!mdh-hsAN?7@C>IO=u>~>Se!+wdfo2$lta*A5>p~ZK5uMp;as5yD#93`fuWr zQ`Cf?P@(OG)_!G2Dt|p4oBqUV1v~nD=VgAIp>$N&8`s@_#%S48X|Sm=L|^6eg;SXJDobT(*wxi`^ z#ia;#RCYF^GaxR$EoF1J!i;SR*x#`53G+$kJ{sgd3HyHTaTQ-1sdVcP@i$8!7aaWYTc-{g;e==a%qP8K z60FUzFZCJTmEo-&+31-pfu^TQbzg+P-@B|eOt-Vlw_P|Gx@x|=KMEviTz;l}-A4Uz z41Bhyf0cUO#dlmC75#VY9mmZ>Uft-WMDV)-!x}Am z6EZH!8esjEY^Q8!4i@OL+kUlHBGJ>i1ozjdY{bg~@ZV?P@f3*+=U~#X-!YbvlA2M% zTfu3kc6-mFG$~anQ@pjWA}3O!8Z5(ZJwM`^fb%JV)8wGzR~C%?;YU5;E9TKHo_-KM zt0xK3(c*#wj8T^bfrNE2lmf~f7e;%(%$ji>L%SGffMB(!F*kXUs}x^l+eL7^+zs<% z-a+V*DOy7>!;NGDKV#~m84AM%Z(LC8wj4}BXiA3pHq)svm*Tu{O4aKA)fQrIF?myQ z4*W7L<^t6f9u_?b8aFoAbQbn)o?h3nPA=BLWRAd;c;U`34xx#lu&Dif0Xhia`-ZyW zPJ+fnBC}L7(%ssEDZ!ip0Ln8VdTJ3|$fSVdWcf4!r^8ylPIM+D?x-g@voq6wjpa-2&Hp8ILm#&pJq$}7+E%HD|6WK5YvGgS zlZC46B%J`gDs=3PJ>&KGy<6*C!uS&&ho?q)r2Vl_#oC7Q3%H4BCYi3Ku~0$-A#6n` zc9_@CX{PWJ4Bl@+{Ao9g<0Cor%HA8lFj7 z#flv;kC&e2c>#$@@rs>cN_#U4&A(xLEuTY?;7BT)Hlzi4UI?_{%_Y~(IJ}0anM2ui zGo^Mj%OaGCUp&iUi+~Ys<;JL{e5i*q3fd8n!{0}^>eVNib;G_@>I1y; zOfP}x=DZk3Q-A+5Sf8gWaC1wk@YC4XmK9t63$tct{Uvy}6rjQRl2sB`g)lD4d4 zxPFz8l9zuJEPtg?n5Y>|qF^*HZ%sp;MMmI9L0xg)VHKraK?}<5$Se6Gl*bN*f5rep zre_sd3G{hvD$kgrd8ta_btyi~U-`}9?#a^nF#az*mEJpYibXKUbR`kBW3jnKt#K(G zRI$1qrVgmq$NL(?T+WRPkiBykuJ)GnQS;C=FazmY*tln&CFInXi&4}Xb zbM!+DsZqf~s89eZ%m)R8q9Phl;5k&(9V&*pE>5T}0Ze$=ldcsM7__Rh+6FJyWmVXdGwKgcFoS1>F)n$*JCTZoa8* z*#r5_ibw7DH#|cAxu)`OmSP;wZ#lfnep|p*L*3MR*O)qmyy};30K{PFX)Gl}30UFJ zwRy}6eLpE`EGM^pm~T0)^TS0dLpK7C=Gy6mWm2i!i$QHKe2X5-#t7h5(P=lnwr$~M z&9D*3R~7D5*Xz{O>x3KsS;Rv~p#rYtnm7CONE%x^@C&5&i;W4}6}CIYkX;YSUGr64 zW;D$gcl!K7U5^2^7Q)r{n%!QkiAuKJM7XB*1Lfp8Y1}@h!Wf$Fk3Ky{^Ce&8dcgY? z`U-Fo{9;QzJ6hJ}P9Bs#sGV~lHCi213o`9<*P-0ze9LOcq}yGD%+whOqGs$ZOzW%G z?sv3B8I$*vvX);kg;XeVNL#f<5%ft6Kn1K_sPTR#QSvs~<_ipDy4Ba$A=_jN;1h}i zDFfYikii4WJ_*p^qHni?@8Dcx_!>>+@?Wb_-`*X)!C}_)=QJ!QU{9wV$d+%^5x8N) zHbX>a_)c*MqZqtLllYh|{yU>fkJcV%JG=t|mfFaPL0U0GM?Xby4=VTqmCUE@FMh!V{o5s!UrhLFmw#aV2^gkAMijL`1qyh*-+gd6H zA^UW(AljZ>+3BeJ+IYVD?|(-idT88BZnFCnzTMH-!TM=gK=}P2wYNvvfYI7E;(~Aj zH>Ftm6;j)?$c%O@yLd=LX13XOmgx1IAltZ~RfNQDd3xk*rP4Q${sh;vpBu5x1gCxyL4!~m=rn0liIOJJHIM4T(mIlIXGRdKYa?GYl~buFNAI>H9mWo z7Ht^)s~A80?||@*$JotL8uVVT4eY_DrIK;R?4n5RzXLbrWK`o2vjcC*shl#BKjc` zo#YnV3@x9VUVkY%_C!vk1iq-wt^#3RDN<`o{^TvSYw44|>~s%uh{(r%SVQm0x1wWZ zqBdIqYovqhxs!bi_lsu4!t7BSzc3Fj{lXziG8yOT+vP4R3B?T9$teSIGbwMRPwmG0 z0BWrJ%L0pCCP{gQGfo_$)4;=ZCxdA|;+^R29)9*rx01>I9z`h6jyLTZuHCp5Qtf>Q1;owwia>ks-i^zx<;yBv|;|B>ig=W+NyYg z!A39DB(-F4y~TjSekl94&{6elL=mgQk@8|j7WrAYZ%%3b$w#8L*P^=yr5^GDQ##6W zREwS#(Al>NQ?H^t9h56JMK4_fJl&!%3LiEAnVvpNs#0&Sj10VjqK7>kj(>5aT9u}E z4oyBcP@wYxiYWocjpj!dXaw1Mt1zU>s-+%y{&Y*gXG3^DOd?2 zz&2z-YM;&dr`mpF7QLrc_OmmJ7NB9Qo+3M{$=yJ{saESGS3}EO%dU&UJCm=rVdm!EcAivGRRwZ84X+S&bSxcO1I#>sMTJcY!9FRI+4T}nuS_`v=DO1feaww??BGIPfBmb2;R=0apK(=Xju^751muX+9&H1zHG z=|psK3(om)?hV#H@#m4}!j59#R~^qegP&K82<|^}EtNP=nmv|$@xxbEf{Y^P08VlR zQ0^dusM*y}0`yqA=d~wBrMGGH4qHem`as?9;{Q5H(2Jcp^)?vWjy1mqsc-kZNDukK z#?nq#y5z) z-j6R!qo2UMR1TT2ofr}y2B{BmOog7b@>7_-Vti*M-!~>J5~BWDzSh-M_JEs5c2rt{ zn{xtRf{koaPUBbExS#-xr&B*WwOWf`2Od70`euBLXALXSQb`3sLpE&~M5Hzi0bX#| z&1w@MQIkNS5`amoPtBAid?8MEfr@pqW>)n!Qp+>|zy^0B;?XK_KI+6%6Q`}<%?&^| zs2K*k_0=wi?kaf>a~IiOjQEizUBg2@bq$tEQWO7Juz6N7HT8Nsd=jdLxEm67>c$hYb5<3)qBP07TKsF* zAx7b68Lwg3?-tJTZ0=K9Ydyk!-l5yiW6|g8-eWnWTiu z@HbPA4TnM{ev&?BkoZLPgR@QHwO*W?6s!3U5MbSyqUl=dbJ!2C$f4pX(F45 z9TVw+Tzf~`%O#6d92cl)tWp!)DsAv3#E%|If6&tb^{WWVeg!X(WulW@jg3d>nXv$p zwNR~2%vyoo(S!aK7VSQ!H;n&-4DC&@$lxxNrEhk`@JcCSx zGjxXPh#2dRD<8CJ)_$*5vRWB4TB3iuT+zdBZ$;|0J)bCOW85hjT%>bSmCd`u#8`(? zfCP2qY*bu`1w4#1?~M&qRKc697>Yi2MOcU{!AF9xgQ pHu?wNT8*0;r9K-rhWEExe{eL*LO$C5B=XVjV9+eL#m9Xl^ncXyUyA?$ literal 0 HcmV?d00001 diff --git a/address_decoder_html/fit/mapinputdoc.htm b/address_decoder_html/fit/mapinputdoc.htm new file mode 100644 index 0000000..9f7a3c9 --- /dev/null +++ b/address_decoder_html/fit/mapinputdoc.htm @@ -0,0 +1,158 @@ + + + + + + + + + + +Mapped Inputs + + + + + + + + + + + + + + + + + + + + + + + + +

Mapped Inputs

+ +Like the + Mapped Logic section, the Mapped Inputs + section of the report displays a table detailing the resources allocated + by the fitter to mapped inputs.  Again, + the table can be sorted by Signal Name, Function Block, or Pin Number + by clicking on the appropriate table headings.   + +
  + + +
The inputs table + contains the following: + +
    + +
  • The + input signal name
  • + +
  • The + function block number
  • +
+ +

Note: + Clicking on the function block will provide a detailed table of all the + block's resources and a graphical display of the function block diagram + (see Function Block Specifics for more details).

+ +
    + +
  • The + macrocell number
  • +
+ +
    + +
  • Note: + Clicking on the underscored macrocell number will provide a graphical + display of the macrocell that looks like this:

  • + +
  • .The pin number
  • +
+ +

Note: Clicking on + the underscored pin number will provide the pin layout diagram for the + highlighted pin.  Rolling + your mouse over the colored pin will pop up a tooltip with the signal + name assigned to the pin, the I/O standard,  the + I/O style, the slew rate, and/or any constraints assigned to the pin:

+ +

+ +

 

+ +
    + +
  • The + pin type
  • + +
  • The + pin use
  • + +
  • The + I/O standard
  • + +
  • The + I/O style
  • +
+ + + + diff --git a/address_decoder_html/fit/maplogic.js b/address_decoder_html/fit/maplogic.js new file mode 100644 index 0000000..e40c8f2 --- /dev/null +++ b/address_decoder_html/fit/maplogic.js @@ -0,0 +1,23 @@ +function showFB(fb) { parent.leftnav.showFB(fb); } +function showMC(mc) { parent.leftnav.showAppletMC(mc); } +function showEqn(sig) { parent.leftnav.showEqn(sig); } +function showPin(pin) { parent.leftnav.showAppletPin(pin); } +function showLegend(url) { parent.leftnav.showLegend(url, 650, 350); } +function showTop() { parent.leftnav.showTop(); } + +function Sort(x) { + switch (x) { + case 0: parent.leftnav.showMappedLogics(0); break; + case 1: parent.leftnav.showMappedLogics(2); break; + case 2: parent.leftnav.showMappedLogics(4); break; + case 10: parent.leftnav.showMappedInputs(0); break; + case 11: parent.leftnav.showMappedInputs(2); break; + case 12: parent.leftnav.showMappedInputs(4); break; + case 20: parent.leftnav.showUnMappedLogics(0); break; + case 21: parent.leftnav.showUnMappedLogics(2); break; + case 22: parent.leftnav.showUnMappedLogics(4); break; + case 30: parent.leftnav.showUnMappedInputs(0); break; + case 31: parent.leftnav.showUnMappedInputs(2); break; + case 32: parent.leftnav.showUnMappedInputs(4); break; + } +} diff --git a/address_decoder_html/fit/maplogicdoc.htm b/address_decoder_html/fit/maplogicdoc.htm new file mode 100644 index 0000000..efed6ca --- /dev/null +++ b/address_decoder_html/fit/maplogicdoc.htm @@ -0,0 +1,185 @@ + + + + + + + + + + +Mapped Logic + + + + + + + + + + + + + + + + + + + + + + + + +

Mapped Logic

+ +The Mapped + Logic section provides a table listing resources allocated by the fitter + to mapped logic. The page will appear in your browser sorted by Signal + Name, but you can choose to sort it by Signal Name, Function Block, and + Pin Number by clicking on the appropriate table headers. + +
  + + +
The Mapped Logic + table contains the following: + +
    + +
  • The + output signal name
  • +
+ +

Note: Clicking on + the signal name will open a new window with the equations for that signal. +

+ +
    + +
  • The + total number of product terms
  • + +
  • The + number of signals used
  • + +
  • The + function block number
  • +
+ +

Note: + Clicking on the function block will provide a detailed table of all the + block's resources and a graphical display of the function block diagram + (see Function Block Specifics for more details). +

+ +
    + +
  • The + macrocell number
  • +
+ +

Note: + Clicking on the underscored macrocell number will provide a graphical + display of the macrocell that looks like this:

+ +

.

+ +
    + +
  • The + slew rate
  • + +
  • The + pin number
  • +
+ +

Note: + Clicking on the underscored pin number will provide the pin layout diagram + for the highlighted pin.  Rolling + your mouse over the colored pin will pop up a tooltip with the signal + name assigned to the pin, the I/O standard,  the + I/O style, the slew rate, and/or any constraints assigned to the pin:

+ +

+ +
    + +
  • The + pin type
  • + +
  • The + pin use
  • + +
  • The + input register use
  • + +
  • The + I/O standard
  • + +
  • The + I/O style
  • +
+ + + + diff --git a/address_decoder_html/fit/newappletref.htm b/address_decoder_html/fit/newappletref.htm new file mode 100644 index 0000000..186e949 --- /dev/null +++ b/address_decoder_html/fit/newappletref.htm @@ -0,0 +1,15 @@ + + + + + + + + + + + + + + + diff --git a/address_decoder_html/fit/next.jpg b/address_decoder_html/fit/next.jpg new file mode 100644 index 0000000000000000000000000000000000000000..b8bbb999ee5f7042c9dcbad4dacf3659aa2ee7a8 GIT binary patch literal 1079 zcmex=9X@jO*zpr5PhGlvPb?HxGHT=yahkYr<3UbkKb$@|Xn~>>0k;H_C~9n78`F`QPzJCPur8PO(e59<=M&#AB!andt81j&-><& zq0R)8)>*%}S1eCIc5p`YX|0I4_YHq$Fz?;;;rYSt*U{0tb<-VpZr`&@tnAX^l};~h z4@wFuvh)0Bc+*$ATG}JO(dzgS4jcZ(uV&>fUG1N$daHKQE6pTDX*Pvd9!8IgE0a~U zjAeMzr9*y*KC+EJcQ} z_Q%h2XUvoA_V4%D9AWcJ^)|EmddV+~w(ZY~|Jm}-{= 4)); + +var pluginDetected = false; + +// we can check for plugin existence only when browser is 'is_ie5up' or 'is_nav4up' +if(is_nav4up) { + + // Refresh 'navigator.plugins' to get newly installed plugins. + // Use 'navigator.plugins.refresh(false)' to refresh plugins + // without refreshing open documents (browser windows) + if(navigator.plugins) { + navigator.plugins.refresh(false); + } + + // check for Java plugin in installed plugins + if(navigator.mimeTypes) { + // window.alert( navigator.mimeTypes.length); + for (i=0; i < navigator.mimeTypes.length; i++) { + // window.alert( navigator.mimeTypes[i].type); + if( (navigator.mimeTypes[ i].type != null) + &&(navigator.mimeTypes[ i].type.indexOf( + "application/x-java-applet;jpi-version=1.4") != -1) ) { + //window.alert("Found"); + pluginDetected = true; + break; + } + + } + } + +} + +if (pluginDetected) { + // show applet page + document.location.href="appletref.htm"; + +} else if (confirm("Java Plugin 1.4+ not found, Do you want to download it?\n" + + "if you choose not to install the plugin the reports graphical applets will not be available.")) { + document.location.href=XilinxD; +} else { + document.location.href="appletref.htm"; +} + +} + diff --git a/address_decoder_html/fit/optionsdoc.htm b/address_decoder_html/fit/optionsdoc.htm new file mode 100644 index 0000000..f1ee543 --- /dev/null +++ b/address_decoder_html/fit/optionsdoc.htm @@ -0,0 +1,760 @@ + + + + + + + + + + +Compiler Options + + + + + + + + + + + + + + + + + + + + + + + + +

Compiler Options

+ +

The Compiler + Options page provides all the fitter options settings for the device family + the fitter has selected.

+ +

Fitter Options

+ +

Basic Tab

+ +

XPLA3 Advanced + Options

+ +

CoolRunner-II + Advanced Options

+ +

XC9500/XL/XV + Advanced Options

+ +

Basic + Tab

+ +

The CPLD devices + have the following fitter Implementation + Options available in + the Basic tab:

+ +
    + +
  • Use + Multi-Level Logic Optimization

  • +
+ +

This option simplifies the total number + of logic expressions in a design, and then collapses the logic in order + to meet user objectives such as density, speed and timing constraints. + This optimization targets CPLD architecture, making it possible to collapse + to the macrocell limits, reduce levels of logic, and minimize the total + number of p-terms.

+ +

Multi-level Logic Optimization optimizes + all combinatorial logic arcs spanning from an input pad or register output + to an output pad or register input.

+ +

Multi-level Logic Optimization operates + on combinatorial logic according to the following rules.

+ +

If timing constraints are set, the program + optimizes for speed to meet timing constraints.

+ +

If timing constraints are not set, the + program optimizes either for speed or density, depending on the user setting + for the Use Timing Optimization + option.

+ +
    + +
      + +
        + +
      • If + Use Timing Optimization is turned + on, the combinatorial logic will be mapped for speed.

      • + +
      • If + Use Timing Optimization is turned + off, the combinatorial logic will be mapped for density. The goal of optimization + will then be to reduce the total number of p-terms.

      • +
      +
    +
+ +

Logic + marked with the NOREDUCE property will not be extracted or optimized.

+ +

By + default, this option is on.

+ +
    + +
  • Use Timing Constraints -- This + option instructs the fitter use Timing Constraints when fitting the design. +  If this + box is not checked, the fitter will ignore timing constraints, if necessary.

  • + +
  • Enable WYSIWYG + Mode -- (CoolRunner only) The + goal of the WYSIWYG options is to have a netlist reflect the user's specifications, + as much as possible. All the nodes declared in the HDL design are preserved. + By default, this property is set to Off (Checkbox is not checked) When + this property is On (checkbox is checked), XST:

  • + +
      + +
    • Preserves + all the user internal signals (nodes)

    • + +
    • Creates + source_node constraints in NGC file for all these nodes.

    • + +
    • Skips + the design optimization (collapse, factorization). Only the Boolean equation + minimization is performed.

    • +
    + +
  • Optimization Style-- The Optimization + Method allows you to select from one of two basic optimization strategies: + Density or Speed. + Density focuses on solely + on density, and Speed focuses + solely on speed.

  • + +
  • Location Constraints -- The Try selection + will attempt to fit the design with the pin assignments specified in the + design source. If the design cannot be fit with these pin assignments, + the fitter will remove the location constraints and attempt to fit the + design with no location constraints. A warning message will tell the user + if the location constraints have been removed.

  • + +
      + +
    • The + Try selection will attempt + to fit the design with the pin assignments specified in the design source. + If the design cannot be fit with these pin assignments, the fitter will + ignore the pin assignments.

    • +
    + +
  • The + On selection will attempt to fit + the design with the pin assignments specified in the design source. If + the design cannot be fit with these pin assignments, the fitter will notify + the user that the device could not fit. It will not unlock the pins under + this option.

  • + +
  • The + Off selection will attempt to + fit the design and will ignore the pin assignments specified in the design + source. If the design can be fit with no pre-assigned pins, the fitter + will assign pins, which can be viewed in the fitter report (filename.fit). + The user should take these pin assignments and incorporate them back into + the design source file. The user will be notified whether the fitting + operation was successful.

  • + +
  • Output + Slew Rate -- Use this option + to control the default output slew rate. You can control the transition + time of device output pins by setting the slew rate to Slow or Fast. Limiting + the slew rate (Slow) reduces output switching surges in the device. The + default is Fast.

  • +
+ +

Note: + Any explicit slew rate control properties in the design or constraints + file take precedence over this Output Slew Rate setting.

+ +
    + +
  • FF Initial State -- Sets + the initial state for all Flip-Flops.  The + options are Low, High and FPGA.

  • + +
  • Collapsing + P-Term Limit -- This option + controls the degree to which the fitter flattens a design netlist. A logic + gate can collapse forward into a subsequent gate only if the number of + product terms in the resulting logic function does not exceed the p-term + limit. If the path delay of a logic function is not acceptable, increase + the p-term limit to allow the larger functions to be further flattened. + Choose a number from 3 to 48.

  • + +
  • Collapsing + Input Limit -- This is a + secondary option for controlling the degree to which the fitter flattens + a design netlist. A logic gate can collapse forward into a subsequent + gate only if the number of inputs in the resulting logic function does + not exceed the input limit. If the design fails to fit the target device + because flattening uses up too many of the function block inputs, decrease + the input limit to prevent flattening of certain high fan-in functions. +  

  • +
+ +

XPLA Advanced + Options

+ +

The + following options are available under XPLA Implementation + Options, Advanced tab.

+ +
    + +
  • Enable Fast + Input Registers -- Enables the use + of the Fast Input path in XPLA3 devices.

  • + +
  • Enable Use + of Foldback NANDs -- When selected, + the software will use foldback NANDs. This increases the capability to + fit a design, sometimes at the expense of speed.

  • + +
  • Reserve JTAG Pins for ISP -- Checking + this box will instruct the fitter to reserve JTAG pins.

  • +
+ +

CoolRunner-II + Advanced Options

+ +

The following + options are found under the Advanced tab for CoolRunner-II devices.

+ +
    + +
  • Use + Global Clock(s) -- Select this option + to allow the fitter to assign input pins used as clocks to dedicated global + clock (GCK) pins of the device. If this option is disabled, only pins + identified with the BUFG=CLK property in the design (or UCF file) will + be assigned to GCK device pins. By default, this option is on. +

  • + +
  • Use Global Output Enable(s) -- Select + this option to allow the fitter to assign input pins used as output enable + control to dedicated global OE (GTS) pins of the device. If this option + is disabled, only pins identified with the BUFG=OE property in the design + (or UCF file) will be assigned to GTS device pins. By default, this option + is on.

  • + +
  • Use Global Set/Reset -- Select this + option to allow the fitter to assign input pins used as register asynchronous + reset or preset control to the dedicated global set/reset (GSR) pin of + the device. If this option is disabled, only a pin identified with the + BUFG=SR property in the design (or UCF file) will be assigned to the GSR + device pin. By default, this option is on.

  • + +
  • Enable Fast Input Registers -- Enables + fast input registers.

  • + +
  • Ignore DATA_GATE Attributes -- Data + Gate is a power saving property that can be used in CoolRunner-II designs. +  This option + allows you to turn Data Gate off in case you want the fitter to ignore + data gate.

  • + +
  • Tristate Outputs Termination Node -- + The Tristate Output Termination Mode globally sets all tristate outputs + to the specified termination mode. By default, this field is set to Pullup.. +  The options + are Pullup, Keeper and Float.

  • + +
  • Create Programmable Ground Pins on Unused I/O + -- The Create Programmable GND Pins on Unused I/O property controls the + option to indicate that you want all unused I/O pads to be configured + as ground pins. This can reduce ground bounce. By default, this option + is set to ground.  The + options are Ground, Pullup, Keeper and Float.

  • + +
  • +

    Default + Output Voltage Standard -- set a default voltage standard for CoolRunner-II + device pins.

    + +

    IOSTANDARD + names supported by CoolRunner-II are:

    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    +

    I/O Standard

    +

    VCCIO

    +

    Input VREF

    +

    Board Termination Voltage (VTT)

    +

    LVTTL

    +

    3.3V

    +

    N/A

    +

    N/A

    +

    LVCMOS33

    +

    3.3V

    +

    N/A

    +

    N/A

    +

    LVCMOS25

    +

    2.5V

    +

    N/A

    +

    N/A

    +

    LVCMOS18

    +

    1.8V

    +

    N/A

    +

    N/A

    +

    LVCMOS15

    +

    1.5V

    +

    N/A

    +

    N/A

    +

    HSTL_I

    +

    1.5V

    +

    0.75V

    +

    0.75V

    +

    SSTL2_I

    +

    2.5V

    +

    1.25V

    +

    1.25V

    +

    SSTL3_I

    +

    3.3V

    +

    1.5V

    +

    1.5V

    + +

    The software + automatically groups outputs with similar IOSTANDARD settings into the + same bank when no location constraints are specified.

    +
  • +
+ +

XC9500/XL/XV + Advanced Options

+ +

The following + options are found under the Advanced tab for XC9500/XL/XV.  Note + that additional options for XC9500 only are also described below.

+ +
    + +
  • Use + Global Clock(s) -- Select this option + to allow the fitter to assign input pins used as clocks to dedicated global + clock (GCK) pins of the device. If this option is disabled, only pins + identified with the BUFG=CLK property in the design (or UCF file) will + be assigned to GCK device pins. By default, this option is on. +

  • + +
  • Use Global Output Enable(s) -- Select + this option to allow the fitter to assign input pins used as output enable + control to dedicated global OE (GTS) pins of the device. If this option + is disabled, only pins identified with the BUFG=OE property in the design + (or UCF file) will be assigned to GTS device pins. By default, this option + is on.

  • + +
  • Use Global Set/Reset -- Select this + option to allow the fitter to assign input pins used as register asynchronous + reset or preset control to the dedicated global set/reset (GSR) pin of + the device. If this option is disabled, only a pin identified with the + BUFG=SR property in the design (or UCF file) will be assigned to the GSR + device pin. By default, this option is on.

  • + +
  • Create Programmable Ground Pins on Unused I/O + -- Select this option to indicate that you want all unused I/O pads to + be configured as ground pins. This can reduce ground bounce. By default, + this option is off.

  • + +
  • Macrocell Power Setting -- Use this + option to control device power consumption. Select Low or Standard to + set the default power mode for the macrocells used to implement the design. + Select Timing Driven to automatically reduce power on paths covered by + timing specifications that can meet speed requirements while operating + in low power. The default is Standard, which results in highest speed.

  • +
+ +

Note: Any explicit power control (PWR_MODE) + properties in the design or constraints file take precedence over this + Macrocell Power Setting.

+ +
    + +
  • Enable FASTConnect/UIM Optimization (XC9500 + only) -- Enables optimization of the FASTConnect/UIM for XC9500 + devices.

  • + +
  • Use + Local Feedback (XC9500 only)

  • +
+ +

Select this option to enable the software + to use local macrocell feedback whenever possible. The local feedback + path, running from each macrocell output to an input of the same function + block, has shorter propagation delay than the global feedback path. The + fitter always tries to use local macrocell feedback (if possible) to satisfy + timing constraints. This option allows the fitter to use local feedback + to generally improve timing on remaining paths. Using local feedback can + speed up your design but could also make it difficult to maintain the + same timing after a design change. By default, this option is on.

+ + + +
+ + +

Note: + To force the fitter to use local feedback, manually map both + the source and load functions into the same function block using the property + LOC=FBnn, + then apply a timespec across the path. 

+ + + +
+ + +

Note: + The XC9536 device does not have local feedback.

+ + + +
+ + +
    + +
  • Use + Pin Feedback (XC9500 only)

  • +
+ +

Select this option to enable the software + to use I/O pin feedback whenever possible. The pin feedback path has slightly + shorter propagation delay than the global feedback path. If this option + is enabled, the software uses the pin feedback path instead of the global + feedback path for macrocell signals that do not drive 3-state outputs + or slew-rate-limited outputs, and where the associated I/O pin is not + used as input-only. By default, this option is on.

+ + + + diff --git a/address_decoder_html/fit/paths.js b/address_decoder_html/fit/paths.js new file mode 100644 index 0000000..22107b3 --- /dev/null +++ b/address_decoder_html/fit/paths.js @@ -0,0 +1,37 @@ +rootURL = "http://www.xilinx.com/"; +prodURL = "xlnx/xil_prodcat_product.jsp?title="; +cpldURL = "CPLD+Products"; +xbrURL = "coolrunner2_page"; +xpla3URL = "xpla3_page"; +xc9500URL = "xc9500_page"; +xc9500xlURL = "xc9500xl_page"; +xc9500xvURL = "xc9500xv_page"; +marketURL= "esp"; +supportURL = "http://www.support.xilinx.com/"; +educationURL = "support/education-home.htm"; +buyURL = "http://toolbox.xilinx.com/cgi-bin/xilinx.storefront"; +contactURL = "company/contact.htm"; +searchURL = "company/search.htm"; + +docURL = rootURL + "xlnx/xweb/xil_publications_display.jsp?" + + "iLanguageID=1" + + "&category=/Data+Sheets/CPLD+Device+Families/"; +doc95URL = docURL + "XC9500"; +doc95xlURL = docURL + "XC9500XL"; +doc95xvURL = docURL + "XC9500XV"; +docXpla3URL = docURL + "CoolRunner+XPLA3"; +docXbrURL = docURL + "CoolRunner-II"; +docCr2sURL = docURL + "CoolRunner-IIS"; + +var messages = new Array(); +messages["fastinreg"] = "Direct Input Register"; +messages["inreg"] = "Direct Input Register"; +messages["fbnand"] = "Foldback NAND"; +messages["fcnode"] = "FC node"; +messages["LATCH"] = "Transparent latch"; +messages["DFF"] = "D-flip-flop"; +messages["DEFF"] = "D-flip-flop with clock enable"; +messages["TFF"] = "T-flip-flop"; +messages["TDFF"] = "Dual-edge-triggered T-flip-flop"; +messages["DDFF"] = "Dual-edge-triggered flip-flop"; +messages["DDEFF"] = "Dual-edge-triggered flip-flop with clock enable"; diff --git a/address_decoder_html/fit/pin.gif b/address_decoder_html/fit/pin.gif new file mode 100644 index 0000000000000000000000000000000000000000..f110f3df4f08db5e7b03c33b102290f713d6f6ce GIT binary patch literal 3093 zcmeH`_d6R37spFmSG8tUjiM+uiz3#g)2Q96R;#UEGh$WM2p7HfjoBfjMi4|otV-(#5vjTT!J0J1sW-ZcLRDEFM{t8p5~a8`#) zYYCK+s_h7e57Aai(>OoV`XmS(Gt=<;=Z0tqZs%K>50flvCiv%E8;DooMWdCK*|&TSLph+NEZ!Xat_6O-sRziqcYMWT?%mOG_e5lT$kkj|YOnjtXj*36T+FbX z?1)bZwM#7adaOM>R^oiTK+wgzn%OZ6b@XfqH9=M^;d&kt8gzRFDHWg|-tp?G7){nC z>0VCHT&giP0O9=zP%@mNp1HR4k?#>OJ?V)XY4ZIHaJ}$>)qu+~)Wz0~qQyTz&(Q_g=@durMF&SyY5Yq0ptxOp}G2FB?FG+?oY22-8T0O?r9h zOcj9*IMDMM&tLVXzU%_@EWc<4YnNMSHzJjvHCddAK^3g`EM}vYx$V|&2Z)rY+VX*Jmc6w$NZ9nJf%1tD( zXlJ}&)P8x>4i{xNKo!IO~-%Md||UI>wIx%^ta+y>G?KjLFI1Ze|B=x@ki`crKcuQ#&6sNTM$!YLfRCQ;lvN zEPb@|Iatnc#~iF=1(6R{;pN%;Yw^(V{dGh^@@i#KF&VsBfxZ}C%PS2`2$tNM>#xU zg~54pppSW}%uq~A(WmWx$kz8JPcU6hfScta!!P!}GuMJ7PcB%2+015HSkB$8QuNcM zhxUSGWbtS3D~EBr!1q`)S~um&Bl|buMz`40IXH%*r4r%noCR%jF{vPx{(&(*L1|XK zekU#h_uCs3b!26HoLs0?JCi^4%Pn53>lYV=cwPtR9#eP>Ed&1Kkpgr`a-ECe=e56t z3(gUW-8BR(Q(NyO&BR3sXP}|u3D5l?erd6&V0D`+$fvTUr!tI@ zmMiiX((wL8a?gwb!X|1GH^RPaQe!nV{u z$utOAu4|~lsEP5qWA4m>u-NlC;XjM@#vDv&+trb*&uzE=q}P*FDS2E;9@h3ZAIAeI z`2s}bT=KG<{o5zDl^p9v1~B>e7*B+(641mFHIkkqpQn;kV&-l#3Y(%7X%R~-g8D{t z4k^WVIZ;+|CSUV~sYqjU)E`iJ9S2ov$#k2CL9zT8$}=GUV&R4j4mE~(`ZjxRVZ*Ll ze!R*@9qmFzIgIw%BK@9d_$8qoS4}4Br>K}9ieF&eb&}bKky+DQ{*J-CyBPWstfPXzhH&;lyLd96McOmF_O{ioGZP10B&>&o2ybADVTKQH!iGY$ zrC}s{H*ueX{%iyy_fg#ft;zmly1roH8(CMmsSu-o0xRy*V}KmI4%*V7YM6Uwm-Q-= z;51rUpz3DEsaD<9K!YYm5Z9c33Xf=WeW^Sc18HAo^b!OBa;97NJe&`wfMOch;zk3< z>Hh9}v65|F<^=t=S`y;mi!e?PRN~F(QO&`EReM%F2tCv7XM+m1l_UcQ^wA@($EeRj zyP;Ckgv7F-R^_FgW7e#%p*Of2jfmcE+zq{vv9yemB3Fy%o*8U2we?NemLjNOk^k^& zO`q$y--A1jMAb`mUwGdxuq%YktBD-o7O%NvNg-=fW z$`y@z4^ghA934>wjsdN~ve|WBj=$d7-AO>{YSOR)f5j*XFea301I1mB*A4?qM+&|M zGGnsath#+;7Rf(QB3QCUNGM|PR@)b4==$xZhB!KTsf-}HzD{L$u?!L$)#`^H#f8Q3 zh+B6;vNyj8`)%)=9FBZGp&%`rHVFE7EQ(6Sr0A`5V#lZ2XK7WrSI9vTjM;{q{5o#^ totOw)q%d>8$Flhcbns|Jmw7Oh(o89~Jl=T5Je(YCrs42)k!Q~6{ts)#@;m?l literal 0 HcmV?d00001 diff --git a/address_decoder_html/fit/pin_legXC95.htm b/address_decoder_html/fit/pin_legXC95.htm new file mode 100644 index 0000000..db88bcb --- /dev/null +++ b/address_decoder_html/fit/pin_legXC95.htm @@ -0,0 +1,13 @@ +
+Legend :  NC  = Not Connected, unbonded pin
+         PGND = Unused I/O configured as additional Ground pin
+         TIE  = Unused I/O floating -- must tie to VCC, GND or other signal
+         VCC  = Dedicated Power Pin
+         GND  = Dedicated Ground Pin
+         TDI  = Test Data In, JTAG pin
+         TDO  = Test Data Out, JTAG pin
+         TCK  = Test Clock, JTAG pin
+         TMS  = Test Mode Select, JTAG pin
+         PE   = Port Enable pin
+  PROHIBITED  = User reserved pin
+
diff --git a/address_decoder_html/fit/pin_legXbr.htm b/address_decoder_html/fit/pin_legXbr.htm new file mode 100644 index 0000000..c70585b --- /dev/null +++ b/address_decoder_html/fit/pin_legXbr.htm @@ -0,0 +1,20 @@ +
+Legend :  NC  = Not Connected, unbonded pin
+        PGND  = Unused I/O configured as additional Ground pin
+         KPR  = Unused I/O with weak keeper (leave unconnected)
+         WPU  = Unused I/O with weak pull up (leave unconnected)
+         TIE  = Unused I/O floating -- must tie to VCC, GND or other signal
+         VCC  = Dedicated Power Pin
+      VCCAUX  = Power supply for JTAG pins
+   VCCIO-3.3  = I/O supply voltage for LVTTL, LVCMOS33, SSTL3_I
+   VCCIO-2.5  = I/O supply voltage for LVCMOS25, SSTL2_I
+   VCCIO-1.8  = I/O supply voltage for LVCMOS18
+   VCCIO-1.5  = I/O supply voltage for LVCMOS15, HSTL_I
+        VREF  = Reference voltage for indicated input standard
+         GND  = Dedicated Ground Pin
+         TDI  = Test Data In, JTAG pin
+         TDO  = Test Data Out, JTAG pin
+         TCK  = Test Clock, JTAG pin
+         TMS  = Test Mode Select, JTAG pin
+  PROHIBITED  = User reserved pin
+
diff --git a/address_decoder_html/fit/pin_legXpla3.htm b/address_decoder_html/fit/pin_legXpla3.htm new file mode 100644 index 0000000..8dad55d --- /dev/null +++ b/address_decoder_html/fit/pin_legXpla3.htm @@ -0,0 +1,13 @@ +
+Legend :  NC  = Not Connected, unbonded pin
+          PE  = Port Enable pin
+         WPU  = Unused with Internal Weak Pull Up
+         TIE  = Unused I/O floating -- must tie to VCC, GND or other signal
+         VCC  = Dedicated Power Pin
+         GND  = Dedicated Ground Pin
+         TDI  = Test Data In, JTAG pin
+         TDO  = Test Data Out, JTAG pin
+         TCK  = Test Clock, JTAG pin
+         TMS  = Test Mode Select, JTAG pin
+  PROHIBITED  = User reserved pin
+
diff --git a/address_decoder_html/fit/pindiagram.gif b/address_decoder_html/fit/pindiagram.gif new file mode 100644 index 0000000000000000000000000000000000000000..504bb2b0fba66a2a6d92c65f259b6a52ba328c73 GIT binary patch literal 21649 zcmV)nK%KuwNk%w1VK@Ok0rvm^0001h0001h0Dyo1004l10Du61fPjF21Ox>91O)ud z1O)s9goK0y1pLg*%tS;)`~>_2{QUg<{3IkK?BwiRbbOqQl(ej@926A9%*5#Kf$uq-KfG`y^|baZrNL{y}lq}p0goKQY#Js#jOhlxtq)aqS?Cj)xjEqEtlx%!_ z_mKo zTy%7tl$`YR>{LWdoTQ|bjGRnVRIH?|M8xDwL`)PE9BgE4z`(%&000300RR6000960 z0RI60|NsC0EC2ui05}0Y0YC`<08=DM(Tt!#g9Qf`Oo))60|%%aG?VBcNkmjBFgnP{ zabrY?AVWs%coAX}iV#s*JUQ`WNr@^!x-^M$=1iFnU+T;$GG_{rKV1g(DRS3Gh`Wlq zOp1!B1EWTHPI@@C>eHr69ZUr|H6m1;JWHyKInm-os7tX9y~wetR*!9yT16}OYF)Mp z4d!LIP_N#LV_Cx0*s*O-p@hX!oS0HDuiu9#u zuVSMjE$tMwU92F#UUWJ&s!hu)1EV_Y_M=tKh=10`m^foyx^IWu-q<&uZEtyb+%IYrtitKKf9&sydKvy4OCmE~V*qtFDlp}{tj3!! zzW2hLF16J1>#o1>URx4J-r*Q5b{)}$)v!D&m*B&0ef5<KU+ex-DM+e>n>*8G>R&vm7Q*1Mh8de>h*jsCjz)2nV6l_wA zmnK+ZljE(niZMw%VatTe<}}R(N@-w4y2)5mSU;J#mfx?xJviVje~pn@+F56w;!`Hi zwCvXfFExQsejfR8V#4M*0>WLj`}B($MCExR=0sL?Nn`9{>K_ja{PP1oT{G-+3uZb+ z32XO|VZ3T&U(l_$%{qj`8%uBk_#vDe3NxPLl}8}&UwC*8~j!XmIIA0XXH^2TSlZi{jo-R)PrDxLgo;Q9ISA( zBMJroE6Azfz-4f&Lk?ivR=pfrXowZu9L07gthd0(N-*@M14@GPojLN8Ew(`YLFefx24tw)Dx*_l=m~r9JB59+c^b9+HtK#~6S2O}5tzqEf z$s#91v$zZrZWbC66Pe{dz(LW1tg)d+gtijzH7b@{stEnI!yFP)B#F;6B(NU%5*x+t zHjUDa4{1`rA8L_J%%tWnquD=%)dY|lV-Mr}G&d3Duzz<+i4(_Yu_yM?f);6(5I@HM zLGMMfXKW;o@f`9!Y0^=ZMv|PASh$nB9W9$N+*l3&Xv0muvySUCS^?XXGB~E-o{{oo zT$)JEC>dvhw@lyl0x3)l2CJ9_A<+jbiXf7mj%}PnDGDpb$%UDbW(dsU@m4g-m+*{+ zA)3!2Q@YeUstBFV)W`wRSjq)9F{L&ulU6H-CcR`1P!|jt9N!63;NZt;qkDuDuuMT4X*F!xD}q24U?tLs&_~ftHJwJt*X&CZH&# zwr*5gX2QByRRx0cXHdE-V4bJY87ej*ih0#1t*Mcv((@+v?Im*8$q zEv{vkOhssWUu#{|E!WLJ*)mEUYqdh3X|gSrqh?MTrm{+xnK%{UK(6(->k9*_sXt~=R%57C_hR#}xD-Gu7swBF^ z)!8YarSjnA9&K=a`ioHG9(#5#=S<80c@>nI}4~GPVJ@ zHYbM&$Lg#Z!P~cCK?3e0X1ljmRa`mBHsFGnb?yd#4N2V`k=|zi&Mm#MJ?pqWL_srq zaC}{OySq;Nl!_%*CgEyo;f;)<-Nr1q(=uy*)4Ha&R&k0`kg2BQ2?1C_4~SM5@3SJ+ z(|l`Dj`b~QtEh0Ub+wGZsWx$$ghqbGkRCF%rjpyrP_7m*WDa*LavE;Wv-=>al0jptCZ(o zJ`wE{81$Kh_%@3_js!QW_+)>DTFQG>KE?W9ev*7B9j12w@HyYiPxF}NSEab7tNGny zMt;oYJ^8`KY25r`Q27o&@Y)o^TOJi%%|6B@CZ=}>r5MZ=a^1%=Rd+OfRd3{DUG*aw zv}J07lW71KU+hOeo<&sTQA#s(WR&Mfth8l7M1K0$TAvbjv&D8S2uCU7G9`u_{ADLo zgfz|*dxK{kuq9q0f?(*QU>O!UKto>(1sOG0ZwA&@#q?W&RwCUugrgUOQ$#@S^<1~ST(_OqrUu%aL$)XBEwae!*N|QWWN$Yh6Qd|vUYX<*LWkbK*yzpQV2RAXIekOYb!$} zJ7F76!7{FaQg9_lpJ*ZTaf$zR zl5Ue2jL3I!Y(j{rXN*^aYYdSo5)v(PVJXiTDbbiOjT0;Xl7h7|EuZo!Z~{8m2#u>U zjp7)Nt709@NRG8Kj_HVw|3ZTfFbBTy0Gq-r3{a1Bl7h_;0s8obmQoe{xGMgLSkBTo zqvwbQxo_h0hzD753%P|3sa_0OkP;b@6#0+}Igu9GkPw-X7`c%id6B~vO#e4MBsr2M zS&}Guk}8>!EV+^{*^)5%k}?^SG&z$t$%_F0!jTpEks1k-I{A}4*^xdOlsh?;M%j}{ zDU?a+BTEUCN2!!hS(HsllukL7PbrmAS(RCtegHCz!uXY58J1u7;LJybcGmRhSzy``Cw;5b_0huIH5+ku}nm0O<{)^5(Q4)l!`)PTBsN# z4wNN~Cp|+rAU8B$1!f*+(PN!cJjr*60O)lyCRr=S5My&b;TKz$_fzIo62J#h4}}}A zDH48(fqR2AiOC*AG9RF^Bnkr>idh;67l`uFh5iPKMsi|B*oJCkoci=be>WHmlzDuq zjK{cfe3^v50#G$(XDH|$Ezx0dmrXGLGCME=RJaLF@R1};nVX9FBumnm3FRb?$z~1& zAPH4ZO)@d&Nod~Lhd8Mvn$|G3^(8E*L{m~0)Ol!S5)x{Zb0yO_$)iA(q-iu#8LoH~ z7CK6_XHy->B=(V>JEETW0iS&ri|h#?S)nkx`JU}rpIrfo-o>K!88!d{U%<61&^e%a zmwCv!p-DHI(4ktd$w*T5CbbbQbmA>chbLu`Eh$(nj?w^s2Bl6aE{77Odh#eL;iOS2 z9HoK^*$AeVf-4EYIbRy4VLFi3Q7>kSSZI2taO$RU>PG<6Dq#XvR7mUg zD$j`|J7sGf#yh)#gkIEY%LId4SX$|&nrMW9;F+l86>1)acZ8R4_V+xg#)NL?gj1Co zu@kNtNi~LgELP)jAjf4QM==3Lc~%ED9cFKTVLLqPNVsHL@!@#*`mCTfX974;^VgNx zMu)AWtma!YiZPRCBd8!NMWp-GXtpL(XD3}8`$ZY^ zgCKLNAsb9i%c)YE8;@og(@K2liL716LoXB@;kO>M1V7pNk*0`Yb7*2c8%!a%qgN4o zl_5!&8C%9i5e7AGb-QdTgRiuyfp^uf5=D5D%CeNGv|NjqCo>e!cQ{byN>$hdJV5=lOTDz*vugU+S4eGz<+hH-1MR`ObDkH~fYSD)C#sVKKh z7QceaR@A z9oJ%zYlD9O6>rfR!NL2sH6pi-ONSJvyq2sJ&=zT)L&ri! z5?E|-#SxryD7)K5HbKNN@YSu>EoZj5B)}xAP1{DaiG|Du_rxTec?G+w_x3&zDs$aD zs1B1=E-jiVCb5ZJvAIYiSmc0~8&D5NP&uY>=ImE)+%)FtIhd)c^V7)$#%*c0%FMjF zDGXXGd{5Xb(Goc zd9A4%TnCGq9HJPf%%{G0!M3_IJoV34^%Z``Zpk-Rk+Z`ScE1jI664&4)wi?Ce1R|Xne@WUR3zZKKN=xq@G@B-at~T`nzCQDBI|ffEL@k zu36YTnA4BZ%jD|Mz`VE?ZPpz;y0aO=7AAk^oxm)kwKWuUDe-MA3>Nha;UP)DY^T`6 z(Ra|@IRY3p7aM$qjn?B_V1Uca%R$x_O*hZRytEflT=I5kmrB08U;yf$t2W$3F;%|T zJHNbhodv1J$k#7@+JD`BjYi_tjX%MwiyT5CEoXniRol(>c;X4K!HXHwT5VYWR#KuU zLDehVKIo+^EWHFsI8#y=Ifc!`f_=*4vn{1kf_J{$q02!WTJ(&m20UEt_fumhVdra` zCQ|0KTf7=xENk??_2c9BRKnaXwr%wjI~~Xb*i+)$rybX@*Vi{H*fOEg8&6gl|&g5Kzcb!pY%+>jCj(Fa&o&;zbbA~r?&z+-g5O; zx-H$f^|dnfUk{LCUn>W3j$wcH|A_XHa=TS;CsXkNEFh0!zYF!K2UYJa`KXU=Dq`#? zjjdvd6N~OQStMI|m0Ef6hoAUHZ}^LEm5yKdk0096+xU=Q`G`;Xm(Tc`ANh*E`Ig_X zA}jc!Klr0x`lNsQrl0z#zxt|=?uqXCna}x{-}$l+`?UZ0v!DAQDL1yS`?df3xZnH2 z5B#wo{77kQ3QCvz*X$f?**LnbHZeb+iphhAsHgd6j=g@nvi*Snh@y+>75C93qLJ{C z2{;xQid$S)`zr8Nj(~61EY0J-Jz5c6we$nA7oC+hc{yx!qn(6ZcmRPai33y)2pTNd z%z?s!4G%UGcu-=&gA^?yM3@32DvcF2I!xiv!6btRcU>guFr`V97)Kf;d5~nxh9_;l ztoc$V%ableHcS#xp~8W?bQUCe@}oxwA#HMO3U#J~r$&>ax=GVuvlK~EaOLVX?ANYj z$0|G+R4qrgA>Bp|IdP&}wiOpT2%1)?-Gq1ZUQ~P6;oyw~J2s4qc;nK7Jt?kiEK(~@ zgpLUsm8cb~L!*zWdhU67GfSA1i9ZLyUJRs=)Ctl%gm+lMnlm%(stTTr5D``kFu$(yGSCimSfGmoJdOSAi8Wb zt~MHTvyD7~68ufU-+BwqAn0a;DmjFrEb=%8Eo5z?=1kj5A@T~V3r6@jlWaT^bNa5$ z?!-g0#5F}b)3*D3%&jmjKMN`(`>vZRNW-N13_{%hj7*Y6DVu!Kx7`>VP9X>l1!^vq zLhK7F1}9PNxyL%y3noy1GBGqd&m1wmi!7t8ycktY@zohmG>Fv|dop#U)+D@@pg!-K z3N#Lxa%!{wB1BX`+;jwvNdp^=GE9sn<>*&BsbfeZt^^y&p>0*`s;+PQ>@%T{$Q>54 zR>3rNv{YS0FV%NTdzGSg@6FELQ}6rj3ktDkmy5~i`fT5G6VzS--nw-&o; zq)Se~S1TXv4X%YXI@Do?B_h{ZyC;S;Fbf@hYh#vo@fZTCT8^0`w43r7FTx2=d>{l0 zZ+!8`6USNQ%73O@?4^(9+N;B{-duvvU-BDisudU=bk#Lqo#@d?dK_%lXLr5xq|-*_ zZP=uqF_oI6-zCw3Cbue{_|vDQKciQyw(ORzwMv`VR$UOl zzuYYcH&toNj>`2G;S7X9ZV`*c;P=4)-~n%d5|NO6$mA<&Rf<8DqE4A~C#($)$YBBO z4~Fyu7X-#^A#pj4+_1ABxdCu860_Tad^0Vy6|rQ@lb?Bzl$#ia>sJ)?6@4-?zi>U| zHcOmJRe)z3&v@@ABAkyEF_bs-)lYrRdrN$>_`D9*MnG<%pY^IWs*d>0j#5+93}X{N zKDMVzZd_yBx;HF`EYNvN(Th>En6c|gYGLPFOA4b0$pRh;QFGhX+`c%UeCa7t%Zb=s zPIH)JWrR38xg-QRsJ$ws294E9p3QhAKHn|!g>Iw>qn;(i10{%Nzf2hd6v7{$u<|C@ z>dIZ#rZ-cX1tY`kVI^&eOYyA#D2LZ1Oi(a%zYU2mF?4CB3f;#>RkpEEu!Pj~%y%8I zmBgK~laHaWcuSWUuXuOko6msRfY?2yIyt#X%UD*i3#xD}d!wRr{@B9~uF`oUGEw*l zlcNes(Td!Z$WkaMNpWt`qkv>+3`N<%*;o)E)Dh?%OE*#DJgkobYKUsKH&2iflQ0Wp z;#{2MIKL_7pzIrrNgWBt<5e(z^t7cdyXZ-5GIc`;B%yQklgs=}4% zPcpMYWn^cB9wbh42J)l-aYg4`BA6ld5zVqf(@;yvSxJe!kEUA{+hrwyM6NcEm38Gx z#3G_tF)qhHI}(mDK^x5*m4{WEq$Qc4qtH&}=$k5p5GrTuSmM&Kw;WAoID=Ku`5c@Jn$p8iNrRIfb2yr_?NM5X)a{1N(T}JW0v1xy zI?iKOv#DXEXjG+GQI__%e^zzPhE+UHkz)95ff-B+6#TWX^00fe%}y2%Jlq+N_In5W z&l)Y*y9%EXdwY5RZ55+<aP35~61G z7-7bl>pX2KrGQ zWaO&qxt_)E9RJcExTHoz%p2cg*{5L+i=@*W25pZK(4*JXZ=6H+iV5XtF_Z39$q~76 zc?nG0qX@`4A_SwKBN@dL&QOvkUfZX4Y)cHT_RNw_p<#M#5#PbhoaF?cG@t$FnTXo9 zgrv=bZj4KiyC`=Sa?_M%urJ{H?nZl0M+7w74Pc9_h-Ofbog)hd07ZGDZo7ZkuAB z&&%bPLeIi4K3@OoILi>*c(}H<*R-`tTv3x6+m&4SVPKqR5hK)@{ToPe33yiFhA-FQ ztIw!lygPWW(8F4EsG!?YL!eI5E-Llg7X=*ke_wTrf3Em)FN?%EE3bog=JsBybYf}E zhObw;FRcl99}kmN-Gu#8-t_Bh;*c~#DJHjepWD^F6$iF}S~h$M73*1ZQEe0-_Oz`# z*PAB`!bF}9g@v-`CjMnOjAt^~HCdY59zDuqOti5-4n^O6aOhi3lt?E%y$s&;IM_6+ zz(t?`z-)(JkVvZeArm-L)Z)<6njgs0iONkMZ{9q~8`6$(mW~E{{6QOM>7>=Vk%#hd=yDn=w<*>NYJr7E<+ zA|Ii8rVNP=Qo1>C`kt55s<Y zJu2hBBMZ96O1~$&69QZ}Uh@m=8L8C+F4n?8X=1@v0y8vwJCPWx5y}@zV?XT@l6w*& zBy1A53Z{k9vTSpy;xnqgQ#GGEybK#S4%DFrjKKkvFtsbPPJ)W2+q9Zku|N|&lN%}j zA51w(O1x2;t(hyh0?DVfqL{8=LVo(O_4&G-(~AikL!+7?Dk7s6q&1}~4b2#=jQgbi zqb!loC~U()S!*&h)S|8mieJk&@aVC0a;`JHF>kpdtkOb+E2Iz{zTgoG?((y~D+$yq zsg#pJCUd^Pii|Od!4UdGE2|;NO1LL{BoFIAP&}vPI)58}dHV?;f}zE%X0V+*KZsc_QOct7z0GU?MwH?7bJVvs7!vZrs0mM2jU;GnxCd6q~aD0n`y5 z=`D=J5mJ&br=vJ$lsgS8woJ6D>rsr*x-1b2vWOg~lOnwp^DErADOAKp7fMJR+PSLQ ziziB`nEI0QfHXBQd69E#1M7QrxmYiL7|*$-)T2%nC_E)QUFKu4K6h zmT@PMu{l13y44^ujbzA$xEKtQMVT7MVPqx2YAVz7vpBM}bzHSZ`;m||w?mw|HB_fp zi;5nrk%W;*4nl~bQbK@)mOL!Tn=7Xh+=|uGzqXq?dt5=^v&6V_4Y`y{L_49dLJogB zMtR%Ht3<<*)HFhLNs+-iOdAyY`aXS0FUG6HtLQfnJfx{Qt!-Nh2oo^>hnNy%Y@oe( zJ=he=L=2cr!?mE=5J$wQTw+JO6c4VOH{6IoO`O2=VVS{*sKo=cRU*g2l*eo|3a|Xi zQDdSRinUc#$Pp8{hr6HU)1Z$uroxPo?o>`g>kDmq#9m~IU(+Q zYE9QPyK>_+5K_z}45B5h#%tq4KqAYuOFjTaM|>NvlPS#sg|aQw5T?=)lUlmH*vMlX z&F)J>9mFpKoxND>HLl@E{mo?!$tMFJ>{sLR{V)b#8my8yC&rz*)uUZMZ6ii z2p*!&dAi6Rh14V&MTd(SnoObXS;cLMq9bKalTy;YS|~Y;&GgbwF+DUMNj;C7q2HV@ zuhUT&bs^NuR&cz!Xzhz$9Z!&uP&Adsf&3+FOdcqmR%?JIV35`(yd{Wo9+C$R<9zT>*EX7Ek zj%WyEW9&#G5k(4#spsj5QKJltCP1H&^pG zy5-jPgFbhWQZM9Ny~D68m0p*P!*R^O*G)mWNW4`6Npj7X&>hvITF}^OFv283x4k~e zlvf8~AFy3P_-)dtC94ueI;EXL-&!o1O_A1p-y?~@Zv|W0u)y*#)Evzd66#07T_RIl z8DafhRYYImJs8TQRVfNQ3(llWnp)FKI^%uFTNO?06fPKkRYsz^zjO|p*;g46VXgyT z@s-@LAm5>NSLZ1{pvym<{1Qnbze*`i&>g-1<1|@ubzW8rAAx-(`ZCz>1D+Dbw{Wx8 zkg&jlUE+BvV40J)u3S(HwcPVv5)DP#>KF=$Q_B+yS=I$fip=0Hq@=J?(Z5}_{bIA2 zgvSo@T1^bM8bwLVIu9+p(-xK<`Xt**3Q|-wwT#u&20_+~9JAu}Nm$D&KZe>{OylG% zH0WSt)r(%>^0u9AOM{iw?ES&)s7mx;;Q@7zDo#v^RZ~k1%i$Xk%$2Z9eqihCyoy~Cypt%ut42S-WLR`nAAbsNZ>uR+;_bpg~VYb zof1WL#Jv#NDHhQFG6=_uQEM>aO9TMc|$C^pu zJ4shAQnjNrQS=bu)H4HaKVf07b96*R(-hajj(7WrO~bk4vm|(Mo#eySw;3Tjp^{%4$oU`(M>Qlj9v>tO)Q*E}*+=BPEk zLM=I&z5g^N65)^VmE1|)qn~E!T2-(yeOkEwAwmT>q2pc0D79bFDtP7G1iW7~79dLe zvjL_jjLT2(Nv*tK>_YxxWi?{|SP|fY)#X5`n0BUB5o%%&&xW&AE2v7xf@amnGBAP*U_(eWe48YCB+{+RJ3cXA_t@*D5*lHu_^R2#~LOtnM2odLU(jS-*Y^2fH`;o7C`b{=yC>#2|f>VF+T}I zM+Hz9^*`?^GBx$i2B{C)YNz&VxAxI}x?$h-VApkF?{;nn_i_LB za_9AMM|W~R_i@j$bvJi!clUUQ_jwohcdvJOzju01cYC+5V#oG>&vt+p_<$$)fj9Vq zNBDzR_!3cfeQ)^xe24gb$9IW$cz%C)ijR1>Zug3x_>1>=iwF6R4|$F!`HUa=N8Vb6 zPxzHr`Icw-mv{M?hk0vf_>;%@oBw!`*ZGpid7IC9o@e*<+j)~8`kpWPpC@{t_xYtC z`uvP(edC`YQCenNVIC&ogrX7_``vD33ug^&LVXDX;Z36@d*pma4=YDpX?LxdjI~E_ zdTGGol4y6#>bx=_{o1CC+~p2W2_#)aUjB`R;m_R~H|vr4W9=r=&Awm>5<}DAz&ER@kBH{8 zKeoiK-x#p}>s?7=T1h1ipaqfCLUy8$eJGgY*=#IF;c;-C5a;eok?e*jOuG8fhv{)e z$Qn%5C|>W+Xdqq8Teh=fpgIWy{1QlAi`WbQ|2ZmL{m9&dwAq3tlBj&-UfZQgdpvQx zU7y_EYH%al zs&cLWR-7s^Em4jl(F#1N_@_^pX=Sz~sE}t(mNF$z9LrN8!K6Nu66G5DXI6_jy)Xku#Hfff>Sw)uA2L%dCRA%uKkh~aR6C3c_x zbRkwarEwX0xuJ9%q4gtC+F4ZDggy=!ofVw z9Z<2IW@(&|#aJhefsv#hdz>L;(^&Eye0Q`<4<--)letWK$7miCIr{Yo>+m!=$nQ5u)z2zMLey$~2 z&{q*fd=pC|38o)H_UU))jh=4g-n~7)2l0{t_xKQ0J*k+`kgwsGslxE4dE$t-$voX# zn`+AKbRTOtozI8*i#o%qt&UylC` zYV%Khm*t?P4o;lklDqon)UJ_E#CSxJO1_+gT83?T#$tPoIn(HUoq2E?cU-l$8-YtU ziFRqrTzq{UhZ>M_8$`EbcGJiyueaL$c%wYoTYFw;QCcgB5_wcui=cg{TJmiv+`K|u zg**Dzn~OPDaG+xCrKs6o?x}O!dOaM6LW8Oqq^b~H))Mdow=X2nUw?|GUb z^snEevDgs;9DslU1~6`q>xzQtqBIBfr!#9pSTh`W7zjF$b0ZrWxyW=r?|BPc8r+`e zLWi-+p^b!HipiKV$0ZGd>o^sum3Mw*AMeyIZ~5btjqq1DmNBX|yQvSo@U#(-;VCA_ zBS}giSEnSdZHWwui4z&e#MwQuBMfw2axiDa{z%7iTEr7wj)=uBX0eQ9JfjBNqZcol zQG+j3K%Y$V*A`$(-7hlQaFKJt3-3U&d6Z0QILJMN-g%MzpCz zb?Q-}+RmvO^`HMN=OQ69Fp!7@r18@#;BJFgzRJs zYY=7*Zg^a+lUOp!R$OHUB!J9cNWEIAMq$b$dr1iaV=LR)(zdp?y)ABYtJ~f3wzt0h zEpUTNTex_0wbraxPI_`$`gLwPxzeFQ_(#&4zz?1YDjdJ2c|<_X<}=sbUk}$tO=@~@ zKZv@G%G7ouZ7Po_N12b|BqgNal!P8#dGbg)+ftCA}m*h?01R6O)1`ZW1%v zj24XAJnlt5j%tvNRXkO&7?&l28O>Qw)jqLuCM_mC45U;PVZyQIzV-UaN69i@`4ISL zMcUVgb%$VB*(Po5DlwJ$R?@Uh4Jj4VPkHOhQM=`rZwX44m~l#6_eSSU%9Sx^s^(;# zNoK{~DvZl;Inb@PDZ6alE^bilU6|2|e;}T5&P>>;E^nyI>Qz#t3PfiqJ*u-m(b$@` zyf>ri*VD(-aRdtp#v(e4SY6E@YW;%CNPoCy1;pwqbA9Wt3W~Yf<)Sm2_FyYd*k@<8 z(ToDTB1%(QNpWVe)OHi!fOQOip`LM$licS2Bnx!5;M)+8)m*0wVL8P26)B~Hwd+Jz zTYq0|v;#4`lts@^y5}BmWw>nK+MM@CDOPKxTce+A3B&edM zkbqfxGwDffu69VpBw8^Es-9i7-pCp4UU;kP^)!dCjOQ%tt-N1u7l~Y>9TiXISlBA? zg9&XOgcmtR_F1@;Pt7N%sCw1rwGVa=?mFwBmBxCcktCzu$X)Z7LNoqjbmI*>{t$_7 zJj{{PMQt}cp4c>jx((MCz2c&Ah0{D9nua`#apsnFBwc5;ih%Ccy#?^Z^x^rqi9>5h z?=9U$`uL~sLtzm;B`H%Q435I%W{0i+oVl(W@p;WoXIZT`^8ZnGnWqh7=Z3nYd#;tx zUz}~TEatY?fvCwx=RsuQifjq%-p!` zy^n8%(jcE`OVkz5-|DL@^hu4==y>^T>;aFZSvOrz_qM6j8!+f8YYQW>_3*;0F6{5J z%yGs)Hfh_>>}qWuIcjo#z%7ckFxiXf+2E~NW^5bxHQZ|L-Foz0wIoqcu-ti=R_T)-8;m6iUr$mE!cx)2*E8#fzZu8=9DxiI5=7!H$^?j=b?6t%+No z1%AcDtf0Gr$VZL;R0`$_?sXjE!Hrp|1Ww&r!vNdEprHCd6V0uOkK)kp%?0*CSR`5{}hnT$37B&Ky#mZVbzwXkoAfO?*wE@eoV>sT>mO zqD#Ea%R%Ca{a<*IA$*zt;qM z#gW$2nO5b=-o5bKj`5nb;ft&A*ep()nhczktsO~*-Ik?LFBYX>xTDJPn{MFTB~pvG z*kc;jok%nzeUuuD1kl7}C0YE>7Wx=O2qjc9O*Vp7wB=7jCglj$BD7SP=V>9n*^bLC zpD)U#7)}>Ytcu&c7>2!0#fckbu;I|DNb14N-pOI~tO($|T2P|RqaEX#A*3m3W9(#x zAim?U98L+=TZcXWUG04s+3nletxASHg+nT3QD`8L8Qi1M9Y)|Ij@g~(SqfyP();`! zI)+P7E*@iEh-AKEO*l+^UBqd~(V=LjIlg7yR9_2*X1^KARRG}Txk(}R2p&NtgE1iY z0cKO2W&lafKGMhh0G!1UjX4jwGpdqLlrfy7}Y0NKx6OhfxgPzbGuBK$rqpt~6l-?n|8(61uHJ57QZy1pfw@{( zB8M8PC`M?+SjG$>#t3kdD2o7~2qNNfUg^pqA80b$3<6_PM&Oj5p;O*wLB1Pl5+)FR z;zso2VV);Z*vv-RSIZrQxj81n$!L#OmrbmXP8JO8s;WrO2`X3X?h7* zsli6Ogx&(uV-eby?WlwT5@yGFmz&%ZLW0D2kfT7V(L-pWnbHW4lI3SnCXk}wdkv`{ zv8AKsVDSy*sc4=fI;nnk8-Tqo?0SnjpH2I+hPK1l`v=j?H}an7s51WN?c~n{ppIHs~eIO5H;H194dlh z$gm_GMUrZgQqy@*Ds%v-jnYJ$vKBGEBRI}UA4%%Y(Meo}D8HUv3|i)@_G!Ty<2e!; z5(dd9N?_q6SqjJ~|DBe(Wt>R%>Z=+dZ<6Igu1AG>Y+BZ9TS(rK9_-42T1MV!7-~mp z{v)-}>q$IbutuuW&8iP-=ytYVcXY}^z8YtmhX>Z0OX3TfCaQ!6k-w~uENUuz>d0x~ zBECKCgz#%orWaCrGj{-lzm>DUf|#f^?f~z20zEWY4r; zD&pU;UT)mbNM+6|PcAFdPNIWCrE+eNvMnd){p;junw=5scLAsh<|vC!;3wA62{Ozx z&R~KD=mlZ#m9{N5G5J{ej(p%G!KdnWDL5u!Iu9-s#0@|qam3d+A#aElSF zrxC8x!fH$CW9up|-r=e!^5^4XshHGA;cD6MLhyR-#qb8~aE@U`ycgK62E4fcBxlA* z#6>NWdg0%e;0V8IQ^t$=kt_{09<6TJC-p2uE)2weGK}!K|c~DNqD(F~aZR7_j-0qJfDgx++HvO)jlH zuuV>A+^&tA(koEXZKHXH+#T$#=-&so3%`Xh($38OGF;UuSOe>*3U8%x)~<{uF`Ot} z1tPNek*}@@1p3<1S*ot#P4awXFy6vyhn^zp^ex)`Y4jbG^F;upwj>)Hg zdfX#YVj2H39zv>F^-J>RQ2G6#Z$9#XU2qy(s0gw!@nN#bzRrD;V)CK?YFoBf?2I!i zuh7}PG3u$^`>GcA2@rywS0R_Dkb%@2+4ChE!~z^NLL+o-V=}E|%S!N#+QmTY&5hQFHhJ>^nx)*b?4|~6 zr&jWu;$L!pCFPv%CU=*TKn%NJt6rL1DeGpf_^}QCL=ekS7Sp9qlBe8RpjTlWu$Dx} zF(I+S*Pd`k)ncuhyhzHOGjjUOMFKU-CdqnPCNfJ~G^+^h$*`|!?=x>Hv0YRg&f)U$ zNaGf;N$sgFU+Oad@iPtJrh|BuR@b!AWzeE?>|pV%dCU%yJY)z0Fo9(c9W6ODy(UNwTvA%gDxAIM@fz1&C+bN;S&(J&SC$)tHJwZtw)(xckDiA^XXufF zBf}O6I@8_BVq|l=V3a#i0DOe&O|D9cB3$i6M!;o|G8g5Y9CQmaI&8YaOLTco%S> z1sWOhssS$)(Ceepj{!C&nx6-NXLr2TH1S&0Q|$81hOUkR_M?_=b4P7PL#cqIMwy+c zepKI)FJ|@yOrRG^u3h@j(JC?uYgmqIw0aj_wXi`n+ef@<{=N;B}j*Ciiql*05R+ADs~ zXODa4NyR$0vx-LNZ_9pc6@SQ__bN>2V})zi;?_5MMx#nw|MLYgdk%8*e;f9b|7Mhj zb>}W?DmM{DudVsau=DOLTVvWM$MXn2G4J~2=sI&TDz}u8b|}VN8E?9Xg~^3yBAw~^ zkES@%YTyOubY2f}J-eGs*s1e^Z^(gp{svq!=W&W8HNtso) ztEkbIj6t_cN1f6NX8viBO~J0DCp96yW00;s_nJm zjp1IeUh&boKTGRQTXyH1;h z3y0#_t^3jIN+!N)jJV#ifB62$wzYCRkq)qh4!dW+|9WqGaKJ0NaN0fRo+05<JB0wZb(JY076sR0HK!{Lb zLWU0;E{y147pjU5EADbIX=6u@89jysd9WZ!f&x(;1o;tFGm5B^I5;^_rh_CFJ4%GO z(_zDj5^K6FNzmoZq68F1I8UvIBfOx3l)lnrP8o(z{L zb5pVvZaDIBn5AKck4<~|SK6jc(lm|MAX};k;Kz@@ zS|e|suVokZ>lHs|`Tui6=(4GrBWWS!R0^sz>?$hCp~~7~jxPyaE36@w5OWK%!Z`Ge zF$5K3X+Y7yv#UDtHk}4byLoiP6`h>TETNbf?av#wJ=p-WvD-i z^t?9S|LsQDI^vefLOJ6p$b(T6kWco2iq<>7dO^gF!@!WQGUB+mBS+BSyQ)1N`jkVcaCD)X^$&2loYR^0`vYL4sj za4Cx)eDa`P;qEZoO*y`hWgd@3duhjv9#CS=lw>PnTbD)G#40Hkx^6cu{P|=tDLOIa zC>vvPmA&?~x{}*|4dp}d+ltDAiQ|CO7wMZ7TQ_ogR$3~^8N%TxNyg!kx z@TH6iAbop?(!lQ#z4K#`4C&uP947?n9ksq7*5)Oo}pIR`uti4V;*PO8$Q-Qb$XMztm~3JP zBom4VRt}TY3w39}-%RjcV)PcQ;KZfqK(0eu>j;@72({#mD2$=0k&1}7IB89(axI}B zL+(_No1r3S5)@$+|24xB^1QBY>{Ar&xHC72L~C!q0TvS(WXCefP<2D3q5m%8lzsir zc_As)(*WqKRW0RGToM-Ex;2pqj;&6cL=pyhRkPOUuTyt>(+sU*lx6j8VYCTc;uM&; z7qX^+O7r9^)AuQj1m)=klbs1c!W*o35t)?YVL|K?9>g)Jd{T6$V2%gC9!`!rr!*M?BeS>l@Tq48 zl@%Xx9pwJsp?*V)#E`NsNhaY1ARz=_cS{v_$md z=$%YQN?-DCQ=X#9z^u3vh-7g{L;NdN@xrZ%ZHI)x^IdqPW+kuY5lbFZ=nWaFm>r67 zR1Ey#+E5m-;eGODZ$Y9Rp*l8Zg%5nxS*k@Rf*A`2?Tn~kX#=+CokxLCa0yzMGVg~J z!F7_l)YM9Jr~|pw3MQzcEoInN#je<1gt4^U33>`B6VM*^aJbynxQNHghzM74h-_FK zZxWy>{|RP;b}H+{2Di$8_KAXptKK+YWkVb~(RnxtYI8v~9O%)>S)pWXTw#YjS_&z& zp-b#XP8Qjm3YV+oqs>D~5>kUCY`+9r?w}wXwY<{BkE7#Z5qBvOHP#5Vq6(KodDz+n zicP$Jt1c1uGs^`IH%15f9&b++Uwi(f6{Lx-+zT0Ao4-)e z|2_^x@Ixp&yNF!2JjZ11SO3|n+*&TBc$VgT+nlup5AZ{t{jPWVY8oBA^FDu4GKG=j z=erEDkkgj(nLTwl3AuB9EG4E!y8M?f%dxXGb?!*>muF)urc2x1SGcZ2IcqJLj_#RK z*zOEmJl1B<^qT0swBue0eCf9s<`Xkr7R`F&#HBlv&AKh6R^wa}DQ~?nb)n;9RB88( zpZ2a#n?YuL#TF_bhvxpSoy6Ngh$yCu@M6(C8-#99kHAgMSS%~n0{!>obS5Z`Uld0W z#xmj*?HGf7x@ix2D8}@0ZOWs~a%02Qh(giUQw#hgk8T9YA#eC*j;+~WGM!lf|C-OP zg`Sz12ARmv4ZY-+2egm5~2 zIO=Frs%i{P6%i{g*IcIHeFrryv3>EgO!m0X7a0~IjvVTx1TsNI7L(DB8sLMTd`~=M z$RsXtn7ke3tpRJki-`L#56Q7Yj;mi@%N>0(rSn<|O!`cr|K;HXv30EuRiqBcSSwwQ zWJ$2i>GUqqYAYsg%SE`SRsao`B2V6=$Ul2D6Y|7ivBq1`2+H)r zX^r41hkQ!)NCnQ6FEPN&n+yxqs4e{%<%quScWenJc&~vpkhHL7{YWeEeoidT%|lZtM#-+M4zKnNRoJAnF2%^sE({}uu*Azm$Zsij3unmgB1-KB zDWq7!iE0u}zZl}&Tuj{rW()o4=<=`QR;Z-ThJ&V0*#z+Bt`A*^sym8fqP(p?(9U0A z#*iS8)f_|Nz^S5KCN`i5(PXV5-0tldqIRa_#X|9{?#IC1ZRTb|VAd|yI1u<0OJq`u zc}($iz)PaQZpR++lSW7fRVHn8ujYKpZZMJU983624gGR3i@0p0|0ZJH0xXP5g^c_R zedO(Rcq#x_uMmwR^w>&+MD3{j=J$$m483l{`s_{+;>pwyeA2IarigRcFV=LT+#D&n zkft|s=k+je%z&lC%#0UP&j<(hP>L=c;uGNmFO_RH_{nnW zL!uZ2>^6xN1F>#Ah7etoyWB0Ch@xw}5CL1LRoLXvl(H&P&EV?At$cHK$c61tXv$*4 zBssI%m=ZM8?HT`TeuN3arZOEXCDEEr*1YZ2l&9pnk~SY^FSIXmy6+Y_4q}Ll>lCeP z9B-q_XcST7=XUbj7V!HBlZ||=*)pbLBIioP zPE95zIuokYz71ZCsGKV3-$ro<*D*h%X!v>wD!i_w|E_Z#J+mk74^ZT%pW5v-Ev%VT z6PiZLDq6G0zCIP!2n(4p#uP9;h*bKCxp3Yd5WZGycEL$yC9&1NBF|c}(Dw;-0 zl5WEot+xnC377P@6sbz#vNjeVPz6;`$>K!@NQzEU<)Vr~|EwzQ^VHzU!eX-bj0HLz zhE08FuL#VySjHKDf+rzpd{DAgDDN&T(?dn9Si}&)yn;Fk71cgtV7lT;Yw}@)BJVm< z1uf4dLn1I1>L9s;H0BCN3B)8G)bNtWf2PO?{|SXuwME#Xw6E}!Mo_98sm8IKR0y5p z2+2Y#!gDI2MYCcsU|2;XVePu;sSSavKzr{MOD?m_)KtC`pdzOsuZvSVHKA4{A~*@b z9OMkO2X;d5qf#t)_B6gMWHTqPDm+tP>}D$ENmz|#-~3Hpx%BBIl~*s;qC$@05Nb8b ziF3LJDaL3q7$sM(W^$JGCjw5-G;_*e2>06bWT6Bmw5+Q7mAF#%d`dD~El^6u0&D0M zr9yUM|1eo|qGffp<;=20pH4>a#+B44p9Tj;XvJz7)y$l3+VE9aG%}&$#Wf(d^I!-x zbTvZj&{`+-T6vaLLABaYgh)!wLUGMu|DYp7J|#M4&2HQfYr3LEqbzWzXY2Z zxi@~@cYWRN0p{QVS*X;&WbNTdqt|)q~=ufDsA*>ey8iH3A zLV_Rfy*7d@$ii1H_%0`qFfiC=|2}ipoVGqh)eh*KrwUI_S-l&O5IeRq!@~)n1dQv zAs*NvBp8N!xPwLbHZ+*g@H2_O7=(%BgG+c|ws->7xQo}AS5i)@rizD6n2YO}jqSLN z?>LO-qat*eju(P|^}s36n1~sIg4sAB5LtuU&S(_)kv%A>*h6h8*(zSx0kT+;XE>3) zn9;xh1m0$UgRaq-Q@d4~tmRWdz8Nd@o*pM|D zmQ@*-X*q-qxQY9tfhyUU|7+ulQ6ZB{n2w=&L&um`czK$4rJ75bn9-P;?Kqkz(3`ng zjuSwOueqDe`J2xCf`l^rGsYWDwqIMeeY>~CIv@Z5J3CUs;s5{u literal 0 HcmV?d00001 diff --git a/address_decoder_html/fit/pins.js b/address_decoder_html/fit/pins.js new file mode 100644 index 0000000..591e563 --- /dev/null +++ b/address_decoder_html/fit/pins.js @@ -0,0 +1,62 @@ +var specSig = new Array(); +var pins = new Array(); +var pinsAssign = new Array(); +var prohibit = new Array(); +var unusedStr = "WPU"; +var gndStr = "GND"; +var vccStr = "VCC"; +var tdiStr = "TDI"; +var tdoStr = "TDO"; +var tmsStr = "TMS"; +var tckStr = "TCK"; + +function showPin(pin) { parent.leftnav.showAppletPin(pin); } + +function printPage() { window.print(); parent.leftnav.printAppletPkg(); } + +function showEqn(signal) { parent.leftnav.showEqn(signal); } + +function updatePin(type) { + with (document.options) { + switch (type) { + case 0: + if (inp.checked) parent.leftnav.document.options.inOn.value = 1; + else parent.leftnav.document.options.inOn.value = 0; + break; + + case 1: + if (out.checked) parent.leftnav.document.options.outOn.value = 1; + else parent.leftnav.document.options.outOn.value = 0; + break; + + case 2: + if (glb.checked) parent.leftnav.document.options.glbOn.value = 1; + else parent.leftnav.document.options.glbOn.value = 0; + break; + + case 3: + if (isp.checked) parent.leftnav.document.options.ispOn.value = 1; + else parent.leftnav.document.options.ispOn.value = 0; + break; + + case 4: + if (vcc.checked) parent.leftnav.document.options.vccOn.value = 1; + else parent.leftnav.document.options.vccOn.value = 0; + break; + + case 5: + if (gnd.checked) parent.leftnav.document.options.gndOn.value = 1; + else parent.leftnav.document.options.gndOn.value = 0; + break; + + case 6: + if (unuse.checked) parent.leftnav.document.options.unuseOn.value = 1; + else parent.leftnav.document.options.unuseOn.value = 0; + break; + } + } + + parent.leftnav.showPinOut(); +} + +function showLegend(url) { parent.leftnav.showLegend(url, 650, 350); } diff --git a/address_decoder_html/fit/pinsdoc.htm b/address_decoder_html/fit/pinsdoc.htm new file mode 100644 index 0000000..9da2708 --- /dev/null +++ b/address_decoder_html/fit/pinsdoc.htm @@ -0,0 +1,265 @@ + + + + + + + + + + +Pin List + + + + + + + + + + + + + + + + + + + + + + + + +

Pin List

+ +

The Pin List + page lists each pin of your design with its pin type and associated signal. +  Check boxes + at the top of the table allow you to select and deselect which pin types + you want displayed in the table (the default view will display all of + them).

+ +

Note: +  There is + a button below the table.  Click + this button to open a new window describing all of the acronyms used in + the function block table.  You + can select either brief descriptions or more detailed descriptions by + clicking the "Verbose" button at the top of the window.

+ +Clicking + on the underscored pin numbers in the first column of the table will open + a new window displaying the pin layout diagram for the selected pin.  Rolling + your mouse over the colored pin will pop up a tooltip with the signal + name assigned to the pin, the I/O standard,  the + I/O style, the slew rate, and/or any constraints assigned to the pin: + + +

+ +

Clicking any underscored signal in the + third column of the table will open a new window displaying the equations + for that particular signal.

+ +

Clicking on the button + at the top of the screen will open a new window with a graphical, top + view of all of the pins:  

+ +

+ +

They are color-coded as follows:

+ + + +++ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+

Color

+

Signal

+

Green

+

Input

+

Aqua

+

Bidirectional

+

Blue

+

Output

+

Magenta

+

Clock

+

Red

+

VCC

+

Black

+

GND

+

Yellow

+

TDO

+

Gray

+

TDI

+

White

+

Unused Pin

+

Black Outline

+

No available + Pad

+ +

As with the single pin display, rolling + your mouse over any colored pin will pop up a tooltip with the signal + name assigned to the pin, the I/O standard,  the + I/O style, the slew rate, and/or any constraints assigned to the pin.

+ + + + diff --git a/address_decoder_html/fit/pinview.jpg b/address_decoder_html/fit/pinview.jpg new file mode 100644 index 0000000000000000000000000000000000000000..c3a27ca5c3e1ff7ae45eafedc7f9c40ec212299e GIT binary patch literal 1868 zcmbW!doQXf>O=;b# zml(RHBH~iiy)@RfMOBNDifvJY(lMJ*t&%nqyL)EO*}r!8dC&X)^FHr;zMu2RU&v!WtZ>>V6w zPTzj#dBV$^?h_bvI{3_4=DFyY*tmEW`@#=t=^2@qIal%vt{2|8dFwX!r@Ljma(;!N z@_s{OQ*%pe+k@_&-oE}vj|T=v$Hsr25WScb&%U1fWqx7t&C;@Tb?x2y`wtr*H@967 z0QOJTAF}^&feIH?F%~#-+XaEfD>e*-BX*i8VQk%zj7Uw={!7Z(BYCCuT`Gp=9ulp9 zsOPFUvc)V#x=s6s?7xGh{$H{`VE=ZB0W=Jv7#<7+4g)_+5EI|t_Te)MgV8aqayC{*8bzy$NGB4*&!*%FG=ecG%YliwDrYHhrnf5ol^=CG&*$fDJ z>&hzMl%ArdWBUhrx1iZHSPHwknAnAR+l*PJ7EIcryAvYAhhmGY3e!9o!qL7GD^lX= zUtAi%HYd>-QQbb7$U1xGGlSZ>NeLNvhnEB0(NZ*;xFLv?1Nqbx)j{`0uC>6KyVo`D znwe1V9Hlfci4VOuLN#n7u$Z`Sst?M6T!4qXS+^3H|7RW6;tHyK+1lokU}*#&5`mVO z521w9(=;P8i>-SZYIv=~l>DRSIxq3zmS0lQ3b#b|scNWxb!~nwICxsL_%tr&hZG&S7x~bR2uU;oFaTucWUE1L;PEE0tVgdBfD&;M5^$Rrx&p>bR;%+kc}nMh;|X@Vn)J+S^x@k}(%f z*qI{Ne6dB&kiUsv!)5Wz^`W$M-#8_wa1VA)rVVM-*RG*`Y@Hq(hV*RDTWLCw^3!Xh zA&w7yU#%AKU13>Xc6h1#S_|a;?Wm3~rAD^TWROzvvN)b&JU`kvbbP;LsPu1XR~ArM zw&A`8UGOgLTDwj7k0SlK9m$V+gl6NiW3ykte7UsOxf`85UYlPG`cC^)_OA|;8WRFz z>38FU0gzNb-^rS4Z?)g6LV31{5e9s^M{pB29$C=hWRwg;&XeN^$s$w>dAG3&`cEf= z@K=S!yhN8Vi=~=sev|aj#GQoBEfZRdZ*$w#iJ%N;D^89k4L@oeU}xf;Tg$O3=)cHx z1(`yFEN^bNev{b%6Ww{1{ymF)wcLwSdfq0qZobX0b=fC(#9I>cp!jBwW7F`S-?+#1 zSP|vTKagKeRX!NTJ^wIrW!l=EZya->@zkc zuU+3Gc4;um9d2_8z=?HjiMu-cTy~bx7^Nhu7RQ&fu{rHTA`fTXhq^^*UJ0duqem znwlj|E9?n(?|Ka2*R|NmMyvsopf=xknjPnA4UV>5 zhYpr4lA%w&S!vyThJ1N{!>}uAm~A1{kZcVk-DzrP*4=0w%Cuojt;v>ap0a^;t(l9> zCdK?E8%%xO=&xa+p|b|ZBEm3_^iHpyuLSV= 4)); + +var is_ie = ((agt.indexOf("msie") != -1) && (agt.indexOf("opera") == -1)); +var is_ie5 = (is_ie && (is_major == 4) && (agt.indexOf("msie 5.0")!= -1) ); +var is_ie5_5 = (is_ie && (is_major == 4) && (agt.indexOf("msie 5.5") != -1)); +var is_ie6 = (is_ie && (is_major == 4) && (agt.indexOf("msie 6.0") != -1)); + +var is_ie5up = (is_ie && (is_major == 4) && ( (agt.indexOf("msie 5.0")!=-1) || (agt.indexOf("msie 5.5")!=-1) || (agt.indexOf("msie 6.0")!=-1) ) ); + +var pluginDetected = false; +var activeXDisabled = false; + +// we can check for plugin existence only when browser is 'is_ie5up' or 'is_nav4up' +if(is_nav4up) { + + // Refresh 'navigator.mimeTypes' to get newly installed mimeTypes. + // Use 'navigator.mimeTypes.refresh(false)' to refresh mimeTypes + // without refreshing open documents (browser windows) + + // check for Java plugin in installed mimeTypes + if(navigator.mimeTypes ) { + //window.alert( "length"); + //window.alert( navigator.mimeTypes.length); + for (i=0; i < navigator.mimeTypes.length; i++) { + //window.alert(navigator.mimeTypes[i].type); + if( (navigator.mimeTypes[ i].type != null) + &&(navigator.mimeTypes[ i].type.indexOf( + "application/x-java-applet;jpi-version=1.4") != -1) ) { + + pluginDetected = true; + break; + } + + } + } + +} else if (is_ie5up) { + var javaVersion; + var shell; + try { + // Create WSH(WindowsScriptHost) shell, available on Windows only + shell = new ActiveXObject("WScript.Shell"); + + if (shell != null) { + // Read JRE version from Window Registry + try { + javaVersion = shell.regRead + ("HKEY_LOCAL_MACHINE\\Software\\JavaSoft\\Java Runtime Environment\\CurrentVersion"); + } catch(e) { + // handle exceptions raised by 'shell.regRead(...)' here + // so that the outer try-catch block would receive only + // exceptions raised by 'shell = new ActiveXObject(...)' + } + } + } catch(e) { + window.alert(" Creating ActiveX controls thru script is disabled \n in InternetExplorer security options \n To enable it: \n a. Go to the 'Tools -->; Internet Options' menu\n b. Select the 'Security' tab\n c. Select zone (Internet/Intranet)\n d. Click the 'Custom Level..' button which will display the\n 'Security Settings' window.\n e. Enable the option 'Initialize and script ActiveX controls\n not marked as safe' "); + + activeXDisabled = true; + } + + // Check whether we got required (1.4+) Java Plugin + if ( (javaVersion != null) && (javaVersion.indexOf("1.4") != -1) ) { + pluginDetected = true; + } + +} + + +if (pluginDetected) { + + // show applet page + document.location.href="newappletref.htm"; + +} else if (confirm("Java Plugin 1.4+ not found, Do you want to download it?\n" + + "if you choose not to install the plugin the reports graphical applets will not be available.")) { + + // show install page + document.location.href=XilinxD; + +} else { + // show error page + document.location.href="newappletref.htm"; +} + +} + diff --git a/address_decoder_html/fit/prev.jpg b/address_decoder_html/fit/prev.jpg new file mode 100644 index 0000000000000000000000000000000000000000..eb2928581f4bcea54b1064517f7a86135afdf365 GIT binary patch literal 1490 zcmex=s9iIEYA7@1%I!D0d#f@A`UGMI9L z^#214f*cH@47SXSN(@YbjLd?J|Bo<8fgH!c%*cQM9Gt9d>|8)O0|5pGHlStf%uMVM zX(nbCpd2FyCj*zTh*bErYl1?eVoKtQ%BtpNn}Iq+fM%fqkfC;^KdU49b&{<(WSUp<%RH$lHVqE}1B)6>*zsaCf_)3J<0GG`PX zBv)>G@5&YRZgHIHr866Tls?|?mL1jmHFdwR`Oz!Zyl%NY{|?{!c2wkm_;v9tm4NLY zJ8oVuJL%r8s3CM+?8B@~&BGNbA?}=o5_7n!b>_aDlf<31%X;J0&z_=ZeT|O1Xa#la@N6MEtk4^S6ef4zTx7$(LZpT)t{LFc|?cm|QS?k?CW*s}ny=dA_-I^C$ zXHIgtb*+PK*OG|2T+d#<{oOEoMW2^x)t8nVSBm|59a>m?%9SbqKBsLby4#dm~Pgw=G&}YPe083z5J=<5k>W$Q}@ogKlXNc#-jIB zH`nvcq_- #UWVEOpjvO~`6E!MNvbH!#{72I&~;C6%QrVi_P_Usn!IxkeC=lZK! zKaTrzoWc5IKjwXqj|p65ZEd|d;5Dmb4u(aRp&jTKJ)ekW^8lMQOP|mHRooss$8|8g64mQ9UAQ1Ti+~Ce_uXNYoGJie+}DB zR~0hc+gtajYqjjudOzJ8u``7yeOxHGZo#QJv5zmDstW3Ia@i{*bKE(m=$$CXRGm|T zW-B;n7OR*E^d>#r8+s;h$I|L6Pp!G!d^x{ZinRunp7H2#ovwT+H!gZxJogFdCROHR z0gvCRSen~AB)@wtxO2$@&A*jfUS-U+X6Zk8^ZGFrKRs8Y?MpqXXPsHm|2%NZ%x+qjk_nC8Ksm>?Up^8Qy$^S_VhnxDM) ztM>kFyyVu$=K?vXW`8ZMk#^d@69@qIt?8T3X%ux zKC|DGNp*ZKbg$oA@!zMyAN&30GM`i3D>u#g?~}(L>*f{ezWB09{=K?<_m`I!Y#v2l ze3@c@&(B``B~C4iW9PjUi2hylQM`Uf#x&OTf+~K#XIJ0M5!F3DulLLmwHaRj{s~ot alqWmzy@)u#-Xmqu;mpTf2m}I-q+duRk`cvsSE z-ox`kKw!{CLU72HsOXs3tJmUwxpg}^CH2nTwCtP*xexOmKwk?2 zwZ{cxxJW;62!Rw+V&v2_M>+a&i7Q`5V~-|f5gVB#R4gdmPX4{jJd&zYQq(=PFJ#{a z7Wu!(zJmSDH3C@SF#7V~5YPqdPlx9l!$hdk5#B3-w%jRrlBGt2r1LZ1t)kuJ3r|Ky z@$hCXHRZ&IL)enEh3w?36>|GX@-B+nZu?2vH&40iOWolzGmRgj0eA01T?Y!|&#G9E z9EqfvxUT6LK@a(P7Qv!gxmx@4;=0MHQcd@|x{IvT2W^ySAZn?#!`BFfqdL57*NcB! zy&GVM`C;?G)GCtDXGWSyH>bR+R7=T~gDMgbE=Llaty3iATGcy+y06#8CcbctG!kaZ zi>YTjyJVXLZ#=seE$U7~jJMIVQ0zK&J<^Phzl5+4!LXrLuap+z$+ z<0DJJz*~d*`_gv>4vWX@R*xbdV2Clxl}ZIsF&aGSlZr7Sii6sYkL~PjY{@lN_oz;5 zEvA8iSfc&7q3BlmH4|@wRi%#H7V!0Av+s=ZH?tFy znms%GVHBPI;GFQIAq}@|UH8wz0;^WxSb~q2m#tOpt@m3QTw1t157`3abCn(7l})rz zhLv@!4w{f|wy8&HZc?_#hmY8EQ$q#ja^?IxXOFX97&Ed`nT>HDl?8kO1YJ5HnWX04=uP+_bV^L#8aq>%W?-h*QVCqrp3U#F<#%JxsKMCd7I_jx8_iNrl1vE--vu*Y*KH*c_AME)_Hac!u z3m2^Ml9vWhb(eIqrsYHWEGfIG`CghU=|KZQ{zk>^9$xT9@pkp(dj4GN!!CB0lgIS- zMQEne049{Dm{?k0Kd{bXYf2umHJQ?bA?0aXCZIgaOxQ9dMDEnF<&%{KwFC=m&81pr*4lm| zOgoi=MNAs;I|Ro(f_<=g0;X+J>S4W6m^*F|oP$5;FygS7`a0bGg)=LD%CW-LH1lN1 zr-Uqrq@)y?d(58W=37+x^ zc05F`358frrb{B95BOcFa!y*d(w*{MoLEQo>8^Is09Doe2yao?_k@}^$jWk~`_U>D z8l#>G85uxnAeRPWBB_om}jw=I($f!V|>3WoQb*p3TtO8iG3Cj-7%pZ;b2_Ca_G g71N?Me#yf7*vS&>Dp%(2l6OH`nVP)@SQu^icTnr;*Z=?k literal 0 HcmV?d00001 diff --git a/address_decoder_html/fit/products.gif b/address_decoder_html/fit/products.gif new file mode 100644 index 0000000000000000000000000000000000000000..768040424da06354f7c2ef4e69841ba8ec949646 GIT binary patch literal 828 zcmV-C1H=4BNk%w1VMzcT0M!5hUS3{XTU-0#W>r;Hg@0fg8X7`ELQPFgG&D3IARs6x zD7(9_xVWvcv87W}Q&?D7rlyxrP*7-QU%kDu)WBprJ3F?vs(g1~@!4gppJH-uUzv|# zN=iy4B_*t^pxwx1F)=ZZhhf05W0RAE!os+TiFqC#9;29I=h0=pzO;IJY|6M}oSckB zMMbl-r!Oxrwx?pbxvo}LR=T>dKR-WFQAk=^P=9}MPEJm_xvgPgSFo_Bc6MlJXj^S< zVRCY1A^8LV00000EC2ui07(EH000L6K$0*;oEVLZq;kn@I-k&}lb9kEQ^r}0!R>m# z;IMd1<}Qpek7viQxZkdZd`_?1@A$lao|~jB1%ZNtgoTEOh>41YE(sG&Bao#Kp$P$jQpf z%E2Bj1}!Zd90%6d*xB0K+}+;Y)E?9vI1vs5=;`X~?CtLF@b3;05D^FFG7ka!{Qds_ z00RmfDDZ&{2=mVA1HiDM!-o(fN}Nb>Vt@w-%?(&sv7^V29xrB87@(m90w^^wJc*Kk z1cw(?u55W>=1TwsWa50PsbAwk234{(!isLRl+2BrY? zHU&UIYuvd2R-j<}V1(PFa6D)J(TCLkcShcg+y`=7pM!9czXW;^xDP zmNmXj`hfxlz*y5t$RMC$UDb_MdnF#Ral;HBl)|7~;<9n38BV-RdV)ac4Wt>iR*fQq z@(kg@ZaD4uHGtupZ$GH68?$PprdQwn5Mt^Ir`?GV9|jZiq{6>IW>&3=U1{e$` zpiT^3bc1#Hag`xM7)*Cr!3t3Er;HySuL%8XCB`t+BDCO-)TgLP97g zC?FspG&D3&P*CyNWpZv`-Nm#;IMd1E_*wQw0g~MyWjA*eBEK# z@A$la&+q&Hcpi5NgoTEOh>41ejExB%h6s|Al$Dm3n3$Q_Q-x6=XmI6Eq%eg7d=Qf71bg!GrR?`l zqEwCm5Q2qiGT6lo5@>G4IG5u}2N24RB#ACog{2)?I&exbm; + + + + + + diff --git a/address_decoder_html/fit/result.htm b/address_decoder_html/fit/result.htm new file mode 100644 index 0000000..a63a253 --- /dev/null +++ b/address_decoder_html/fit/result.htm @@ -0,0 +1,14 @@ + + + + + + + diff --git a/address_decoder_html/fit/search.gif b/address_decoder_html/fit/search.gif new file mode 100644 index 0000000000000000000000000000000000000000..714dc20092cf8efa2994765f2d5366c977ae5eb8 GIT binary patch literal 975 zcmV;=12FtYNk%w1VNd`a0M!5hUS3{8LPDyYVr*<eTU%SZyRTJM zRm8JnhK6~ywW-3wxv{aO`{8D|xUEf1O&S^+T3S#jC@3HxAiTV?g@0hn%fnMsQ<9Q| zuCAe>p_QqroI5)^o12V!dTg1Qlw)IAP*6}LM11)zB33?5WkPQ|u7L}Hl zn3#%QS@$J4159j{n}Kl21M*k zfLOd%C<+4*AP~4AII`uTECfJUFcgDj$u$7XAQ0JrTnKOhKoCH<=+hD;5F}mY3O~^tCCph52 z1{yMuod5*LxB!YO?o|VPnyrXha{>@&00x@LxTIf^HBPK$&Ns zVNf7Z0Wt>w0Rz@Bqyem{S>t?9b-+Oj41{n%2-!{O=br$ua6$uGhF~b4`T?rwd@by_ z=LwMZx#*-!v2cI~c%DGRrZh-O>8D4X&_bp%3}EU2o`R|>4KDC_!T>A88f&VmQc42@ xE*wAu3M2IDE3LHxiUJK>zB+;q$R?`;u)z}6Lk`f6Fu zYVwkkBbF|mR#uiVZCdNLZL3zTnzMZQ%uSn?W@JQncULpu1v(&EkX;O{c?pVrDVg(L zaIDQaEmfd%JUI8h*@E{q@4ru6P@v%>(SKrb%E=rf=?g1Nv{po|zt&K8`YzwT2L?YJ z^=6;$+ETT4-H!VocG&c-xc&ZHYu)+#*8dtBo0^+g+S)rhyE;XB`}+HMCQY6)b=p++ MnX_ikQe?0O0MC_UO8@`> literal 0 HcmV?d00001 diff --git a/address_decoder_html/fit/style.css b/address_decoder_html/fit/style.css new file mode 100644 index 0000000..5f32596 --- /dev/null +++ b/address_decoder_html/fit/style.css @@ -0,0 +1,19 @@ + +.tocRef A:link {font-family:arial black; font-size:14px;} +.tocRef A:visited {font-family:arial black; font-size:14px;} +.tocRef A:active {font-family:arial black; font-size:14px;} +.tocRef A:hover {font-family:arial black; font-size:14px;} +.tocBgnd {background:#CCCCCC;} + + +.pgRef A:link { } +.pgRef A:visited { } +.pgRef A:active { } +.pgRef A:hover { } +.pgHeader {background:#E7CF5A;} +.pgBgnd {background:#FFFFFF;} + + +#tipBox {position: absolute; width: 150px; z-index: 100;border: 1pt black solid; background: white; visibility: hidden;} +.tipBoxCursor {cursor:crosshair;} + diff --git a/address_decoder_html/fit/summary.js b/address_decoder_html/fit/summary.js new file mode 100644 index 0000000..6fe7722 --- /dev/null +++ b/address_decoder_html/fit/summary.js @@ -0,0 +1,26 @@ +function popWin(url, w, h) { + var win = window.open(url, 'win', + 'toolbar=no,location=no,directories=no,status=no,menubar=no,scrollbars=yes,resizable=yes,width='+w+',height='+h); + win.focus(); +} + +function showTop() { parent.leftnav.showTop(); } + +function showDoc(device) { + var url = docURL; + + if ((device.indexOf("XC2") != -1) && (device.indexOf("S") != -1)) + url = docCr2sURL; + else if (device.indexOf("XC2") != -1) url = docXbrURL; + else if (device.indexOf("XCR3") != -1) url = docXpla3URL; + else if (device.indexOf("XV") != -1) url = doc95xvURL; + else if (device.indexOf("XL") != -1) url = doc95xlURL; + else url = doc95URL; + + popWin(url); +} + +function priceDev(device) { + var url = "http://toolbox.xilinx.com/cgi-bin/xilinx.storefront/1816638537/Catalog"; + popWin(url); +} diff --git a/address_decoder_html/fit/summarydoc.htm b/address_decoder_html/fit/summarydoc.htm new file mode 100644 index 0000000..71bad37 --- /dev/null +++ b/address_decoder_html/fit/summarydoc.htm @@ -0,0 +1,102 @@ + + + + + + + + + + +Summary + + + + + + + + + + + + + + + + + + + + + + +

Summary

+ +

The + Summary section of the WebFITTER XML report contains several tables summarizing + the fitting results for your design.

+ +The general + Summary table contains the following: + +
    + +
  • The + design name
  • + +
  • The + fitting status
  • + +
  • The + software version
  • + +
  • The + device used, with a link to a PDF version of the device documentation
  • + +
  • The + time and date of the fitter's completion
  • +
+ +The Resources + Summary table includes: + +
    + +
  • The + number and percentage of macrocells used
  • + +
  • The + number and percentage of product terms used
  • + +
  • The + number and percentage of registers used
  • + +
  • The + number and percentage of pins used  
  • + +
  • The + number and percentage of function block inputs used
  • +
+ +The tables + that follow give more detailed summaries (when appropriate, depending + on your design and device) of the pin resources, macrocell resources, + global resources, and block resources utilized by the fitter, as well + as the macrocell power data for the design. + + + + diff --git a/address_decoder_html/fit/support.gif b/address_decoder_html/fit/support.gif new file mode 100644 index 0000000000000000000000000000000000000000..a154620eb23ea85665f2a9d925132d1cb474dbdb GIT binary patch literal 760 zcmVr;Hg@0hXyRRA=8o0Qvv9YC1O-({V zLLeX@C@3g2G&ECFQ&?D7P*6~4W?$66WbxT$te;|XZeJxOC46^akB4EQp_JXoWHB)@ zz_4SJlY_mzvK}5DiHUimm|~faVWgy&oSck$dTi&>Wy-i?si~VwOG`aHJvur%v$LnP zw5df!MM+6XFE1~yuA#Q4Vn9GZR#sM8T2Q&UtzltTXlPrxxvqbIaZyo7baZDJu-SE}PHjv>IXKwhTUld`_?1@A$mF&RTpHfPsR8goTEOh=VK^j1~-!kdcy;l$Dm3 zkSs8p8ygLuprN9pq@|{(4I42ltSTxq3bC@Yw6(UkxVSSaF)j)&E-xtw#Kp$P$jQpf z%PB7?&M7?*4g=QL*xB0K+}+&{5GW7{)HDwP=;`X~?CtLF?+-L6;ML~<`1$(#{Qds_ z{{lQ1QE$LKfCv*R>?bfo!Gi!U5XcvSKm>dQDpVwp5#Pp+`Yu$U$dTj4k|p&CB=Il+ zfCv%<2$+BY0099L0SKUQQ>Fm_4mN%M#3y2cOcNJ^`kZh|RJ3!SrCR ze>qSHaq{Qxi;9UNz8QkRVG(fUzJ3t9vP%jRL=fO`6~F`u1Z;~%4Va<{4H$~P-M%xq zWF2-Ra4-rUABLD3LiEMhJ>P{BGtc&&pc%4qs3q97(0~N`BT#_O6{z5X0`VZ=f)Fay q;DZrXXy5}sP-x+X`3Qs+gN7V#D9{ZchFGCMHI!%~gaYjl1OPiZ2uK$I literal 0 HcmV?d00001 diff --git a/address_decoder_html/fit/tooltips.js b/address_decoder_html/fit/tooltips.js new file mode 100644 index 0000000..790ce27 --- /dev/null +++ b/address_decoder_html/fit/tooltips.js @@ -0,0 +1,143 @@ +/* Your are permitted to reuse this code as long as the following copyright + notice is not removed: + + This HTML tip handling is copyright 1998 by insideDHTML.com, LLC. More information about this + code can be found at Inside Dynamic HTML: HTTP://www.insideDHTML.com +*/ + + +// Support for all collection +var allSupport = document.all!=null; + +function setupEventObject(e) { + // Map NS event object to IEs + if (e==null) return; // IE returns + window.event = e; + window.event.fromElement = e.target; + window.event.toElement = e.target; + window.event.srcElement = e.target; + window.event.x = e.x; + window.event.y = e.y; + // Route the event to the original element + // Necessary to make sure _tip is set. + window.event.srcElement.handleEvent(e); +} + +function checkName(src) { + // Look for tooltip in IE + while ((src!=null) && (src._tip==null)) + src = src.parentElement; + return src; +} + +function getElement(elName) { + // Get an element from its ID + if (allSupport) return document.all[elName]; + else return document.layers[elName]; +} + +function writeContents(el, tip) { + // Replace the contents of the tooltip + if (allSupport) + el.innerHTML = tip; + else { + // In NS, insert a table to work around + // stylesheet rendering bug. + // NS fails to apply style sheets when writing + // contents into a positioned element. + el.document.open(); + el.document.write("
"); + el.document.write(tip); + el.document.write("
"); + el.document.close(); + } +} + +function getOffset(el, which) { + // Function for IE to calculate position + // of an element. + var amount = el["offset"+which]; + if (which=="Top") amount+=el.offsetHeight; + el = el.offsetParent; + while (el!=null) { + amount+=el["offset"+which]; + el = el.offsetParent; + } + return amount; +} + + +function setPosition(el) { + // Set the position of an element + + src = window.event.srcElement + if (allSupport) { + el.style.pixelTop = getOffset(src, "Top"); + el.style.pixelLeft = getOffset(src, "Left"); + } + else { + el.top = src.y + 20; //window.event.y + 15 + el.left = src.x; //window.event.x + } +} + +function setVisibility(el, bDisplay) { + // Hide or show to tip + if (bDisplay) { + if (allSupport) el.style.visibility = "visible"; + else el.visibility = "show"; + } + else { + if (allSupport) el.style.visibility = "hidden"; + else el.visibility = "hidden"; + } +} + + +function displayContents(tip) { + // Display the tooltip. + var el = getElement("tipBox"); + writeContents(el, tip); + setPosition(el); + setVisibility(el, true); +} + + +function doMouseOver(e) { + // Mouse moves over an element + setupEventObject(e); + var el, tip; + if ((el = checkName(window.event.srcElement))!=null) { + if (!el._display) { + displayContents(el._tip); + el._display = true; + } + } +} + +function doMouseOut(e) { + // Mouse leaves an element + setupEventObject(e); + el = checkName(window.event.srcElement); + var el, tip; + if ((el = checkName(window.event.srcElement))!=null) { + if (el._display) { + if ((el.contains==null) || (!el.contains(window.event.toElement))) { + setVisibility(getElement("tipBox"), false); + el._display = false; + } + } + } +} + +function doLoad() { + // Do Loading + if ((window.document.captureEvents==null) && (!allSupport)) + return; // Not IE4 or NS4 + if (window.document.captureEvents!=null) // NS - capture events + window.document.captureEvents(Event.MOUSEOVER | Event.MOUSEOUT) + window.document.onmouseover = doMouseOver; + window.document.onmouseout = doMouseOut; +} + +window.onload = doLoad; diff --git a/address_decoder_html/fit/topnav.js b/address_decoder_html/fit/topnav.js new file mode 100644 index 0000000..0e85b7b --- /dev/null +++ b/address_decoder_html/fit/topnav.js @@ -0,0 +1,28 @@ +function popWin(url) { + var win = window.open(url, 'win', + 'location=yes,directories=yes,menubar=yes,toolbar=yes,status=yes,scrollbars=yes,resizable=yes,width=800,height=600'); + win.focus(); +} + +function openTab(type, device) { + var url = rootURL; + switch (type) { + case 0: url = rootURL; break; + case 1: + if (device.indexOf('XC2') != -1) url += prodURL + xbrURL; + else if (device.indexOf('XCR3') != -1) url += prodURL + xpla3URL; + else if (device.indexOf('XV') != -1) url += prodURL + xc9500xvURL; + else if (device.indexOf('XL') != -1) url += prodURL + xc9500xlURL; + else url += prodURL + xc9500URL; + break; + case 2: url += marketURL; break; + case 3: url = supportURL; break; + case 4: url += educationURL; break; + case 5: url = buyURL; break; + case 6: url += contactURL; break; + case 7: url += searchURL; break; + default: url = rootURL; + } + + popWin(url); +} diff --git a/address_decoder_html/fit/unmapinputdoc.htm b/address_decoder_html/fit/unmapinputdoc.htm new file mode 100644 index 0000000..fe242d6 --- /dev/null +++ b/address_decoder_html/fit/unmapinputdoc.htm @@ -0,0 +1,65 @@ + + + + + + + + + + +unmapinputdoc + + + + + + + + + + + + + + + + + + + + + + + + +

Unmapped Inputs

+ +

This page shows + input signals which were either not mapped or not + routed.

+ + + + diff --git a/address_decoder_html/fit/unmaplogicdoc.htm b/address_decoder_html/fit/unmaplogicdoc.htm new file mode 100644 index 0000000..6371313 --- /dev/null +++ b/address_decoder_html/fit/unmaplogicdoc.htm @@ -0,0 +1,68 @@ + + + + + + + + + + +unmaplogicdoc + + + + + + + + + + + + + + + + + + + + + + + + +

Unmapped Logic

+ +

This page shows those equations whose + logic was either not placed or not completely + placed in the specified device.

+ + + + diff --git a/address_decoder_html/fit/verboseview.jpg b/address_decoder_html/fit/verboseview.jpg new file mode 100644 index 0000000000000000000000000000000000000000..819132e71c1438dd3a1040ff6ed6aa00b4c01894 GIT binary patch literal 1262 zcmex=s9iIEYA7@1%I!D0d#f@A`UGMI9L z^#214f*cH@47SXSN(@YbjLd?J|Bo<8F)%VP10fRN;N)UtWe3Wc3NSFRGBYu=F|)Hm zXCTgTa&J8D?l80!`UH3ye#G8~xc!>MATG>a*&$=&DWGS|rgr#Q3^JYr<{ zZ6beb*TH(hp88{YduP|l_?_6Z>5#?kIwdE89W%`njhbaQC7p2zopMCc%hD^iY8y*AxL7Gx&HO}Q(6LiW->I(9~U)SEVJ^b_K!*qnVCOpe=howVPNSXlM}hl z@U7+Z<+D|#wV6(_{5fzh>}YIAg`E28$emfry$)%UCC#MnL>+g2f27Ve_u2aF^JWVt zN4|DAE55(t%xTuhqJ^KVb=@mw_R*3x4;Bd09$ z`5G*+ByVucpjpf$tcC zzFhQp;_+0GZ+=9GV%G}Bt&Ufs1M{qdcZNmWd#)mP`@wcK=Uvvj`Dbh|d(=RI}x}lA&tJ((^p6z*fr%Esb>Kvqqpq5 zCU9A?J}as^+@x#EwV0||*C)3vta~jZEz}@&$f?D73d{V|04_E42k$v&bOp|y(e+8X z-(b$mul<(;EZR2L?@hD6xu<$pSetT&?iD+wvytMHEOsi~x$n@mb?dX0{Zq8G9ULsD zFi9K;d?4@Kw{7N{31!Xov1{Iccq#r{S&C<2;`7XUHG}2yx%(_{RlACC?A_OAVmR^E zuGp~f@Kf8qeOTms^6+wTp^1tb4Xp;8llA!+3jghz^v_V{#ivcOuet7Ly(!$69Fx!c+SRpV&k=$0tOe^A%n1)%8R!|6cF-bp%Emxe U5ypJ)hJVKd9{%2Pq5l6(0BBO*RR910 literal 0 HcmV?d00001 diff --git a/address_decoder_html/fit/view.gif b/address_decoder_html/fit/view.gif new file mode 100644 index 0000000000000000000000000000000000000000..616749722f9898c57a5a8e9325bba5006731f956 GIT binary patch literal 2913 zcmW+&3se)=7EQtc2~IFT(1@6Z5WYqY5K$o1fWuD*4U!^iRD`H#hZc3}uhVuC0#S&F zGE&r3Q;F73+D1v$;;0}4qDI7$wy5cQi19;>uWDoU`SKnwcCU5UzW3a<&e~_6d)GR7 z1-Y}+R%Oy=(q7Zv0snj9e-rLI;qL$dfDX__Vg{W~N7+ajEHhdx4yQvVmWpf+wMs1# ziQF!?3dM{D!e+5Va=Db%A_(QK}1GIA{grNxReltsS%iTps3Dh zHaZ**E(rSa5e5QQ!WOd`K@f;?!Vm=dWO2EK(P%Ijd@f=Ls#Gdvqytf_Ns@GVy&{aT zJM18rXD||^oswcZWRw#Cz(|bn>65{b!|7D3)grOTX0b(bBM}r;DOGNd7gZw;yTj}8 zasf~V`MM^vk-h|Wi^b;g-C{Zr09|euK^UE0ui0!d=nVFE9kJLQ4irJ97$KF)l&})T zP_xi%?e$_P=Jk3Z$mgWPLXsX|1k*`nG6SZg zNXl%nxZQ3D)2UF@NEkgHw-SPlW;0>i|)znqP3`bhh zutyjCRatp={m!ELe(m-<7W2a;GX}p|mvHuI<=JnEgUj!=L@6|#1|HQlFXndLbavf) zcRJYfHNzk7wP1aY10`z~9l6Gue7*H3ysU1DyxtwOhShaexNvh}Vs`FZ^Qn9CISFT~ zerg_Q?r0mk>)-L`&TIu9jciRVI*TYq+VR7cSB%J}QoAO(D~Rje>YdmaerNdp3iTf$ ztv5A0t!4gk8UDWx=(r`7_iK4;Dx(&@*Q)3TC&@H&=0=3p80ojChPl$^6mUW>2&^~4 zQQe~eNfHv>yJ=Nb@eNi9lA_-pIMcHrmOs0R3f|b%-c{U}pEQrZLN@3qCWphdQ-7nH zsC6n$%$mhFX!qx5T+TVag7M1vCoAQGTCzOgR@H+&Q~5{F6a_7`hlP&I!Q0r3<)-fN z#Yxx?;QP&3c}_^>i6x?gsj3!6Vu4{+!LC97xq12g|KjpE{s!xnJUmLU{^SuM-YeXh zKh|_?X=uq`*I0u;YdfVzLVG>+4pQ8kszwHX6EUT6BaGw)uPKqKAaLpF9Aot@%ed92 zo}G1We){a(Z>bJEG(s&bjP38F>shhTMj+{2D_+a*klwEeNF^oA=vZ}V{rL9$;_p&Q z)!N#WEwkIIyZSln6myp}m37Jf`SqC~-siG1eb7d!Ffb~X-P~(pno|lhq^b>9qjkRaPV67>GGV0BP(-uJ8O3l!I)u1a#;9KR{c*FF58 zJO_x*%_xya-|6?C31o+TS#_GBjNKMSaoQmH_^F?++9piPKhG#B*;zNKq%>#87+sfk z4=a3nU{l%YtE`(_p~2$9Z8e^VJ_&o@lwpBjoFoVP_v)X^>uMWgrUCz|9zxbID3$}M zxs_Th5L{vBJpM^JT`_u{F;knaZ{&?s$JDjz10Fm9(#OAl;@d&DcHWvBYLn`h98}XO zxq*hj;N`2eH11Kn?bPlI+^n?;J9=oqxH#^@{i|Ddy`GxBvd0R>M4f0ajJPK%f)f7o zahTmiRBBQ?U$B5T>%Vx?hsT&Chs*I@0(OFPv?Hv>QT2eHQ(4#Eq0kj=qZXXZrd^Yb zFcg!XM_0!8u#%(Bzq+_Cv}0st0te?kwho6i5BN;$ALn09zZ+6tZExdGrJ9q5a5nM=;Jp8WHNR8Hm*8~H_0xf+rP+Z8n=~_&Sq&?P zqas>xIrj+7e>EkXb6Zh2qm;v*U?1V9O`ZaT(X@HS3VuTM+>`^FAc?@l+18t+ip`szLGnqrs}XwY&~%gH_ObN_EFS{rsat zXS8imDyyB)A|M{R6Ov?dn8E;$3=e759_EgMB)F z>mGnD#6vS_z_aJc+M|0a;x7zoGVb2lRUpp&Sd|4ovL&(OF=1RxX4UBQ!LJ6;ybUCW zo@Uw8@Ki|i<7=`_Yj~HQ?iy`d60$xs_I5U_)^D8q)uSzMe%%M|$PQR9&`b6 zx3Xf=;)I-_A*(65wPbdDKe5L1>$%{U4El^-eN#qzEm#@N;%jmxon3}yE#;GL-Og?M zJ?odyIDFdS=QVrVD=ht(bZmXgFnv63%3oM|B@~*iiSdnu3)B^O2Sc0OKl=%iZ?b;4 z>b=@8UVSA}MDx1x=So_-0^=8d$DGp_%AWQta{i|5?b0QbcG0>=cw$9FU-AT7eOW^l zH~N!;RwyXh+VITN$@V@CMw{|2&+-Gqo8x;#7JvW1h!*tzo4Vw^DdQjWZlpE8>H7YR z24`V<#l0u79PQUr*9$g;qCs^p`vz+k!4LRjMy1p@tc;=|OUAwF7slS+xuBZCJ}dC6 z+jBG!Fu%>Xl`x@lEBiqBv4d+Dkw2flq@iWn(n^`txgo9o2kKVQ!(YogryNq$m-|P{ zsy=G}@%Cq~l=*_N{kiA$*Z{Jow|Son5>x(o@q4v2UrwWC{2zR17r+1j literal 0 HcmV?d00001 diff --git a/address_decoder_html/fit/xc9500_logo.gif b/address_decoder_html/fit/xc9500_logo.gif new file mode 100644 index 0000000000000000000000000000000000000000..ad995ff62dc5c12ec258aaa9d1db1bd1d142d55f GIT binary patch literal 8103 zcmWlcRb0~#7sh|OHt@l^9N3U;>$IO)hv!%dtlhXGGdcU5U|9E7+^1^BTrQ7Bk|E)K{ zD}zDXL*YBa5t~Edn{UInh9kCzqqc@3x8Fr?{a-|H4PD(DiQAscTOZ4vDFnEA(1mjG zU(f7*ce`)&`>wtW+!zSjejBzq7`ibKvh^x#`)$O=>#!Y8^w!&`m4Wcp!RYPb>uYag zx8EhMagw%2)7RdmZI5NGzt7$nFWC7|vNKh+yYOUpxp(*5t3N-6{_=PEe|A1+Be*%( zFQu|8UB2rtLwC82yR)tQrA|I?jK4a=Uz?lC#IAM)^M8H#m@2qX`QPuyUcWkmem@Uc zdz-sHQucR!>F?$b{>Jyoc)^)Mz3(lQo%zAPTffHX!apCmFOhMTjayT8Bv-QM81$&Cgd`<&%5ean-Z=l|nH?XTDE zD?`s#-*>Hz_pN_;wK2un{4%jM|KZR2@3-gW=j+lJ8gDMKa+X^Qzjc)JdRg0xpLf1} z+5Nfr)=6%}Pj@oj`BNJ8b8aZND0=n|{Y%A-xw|Plysv|2hmch zV2VL|dqI4)OVXHQ-Fl|H=Vbwp8}#sGP3Oy1&0_FrVc9_$*{T^Hs5K$g-=2 zvO#I=BLBOzRjW$>ZF$&oT~BY#ySrqm^&^^$v!rvj_k9y2J5K@X2SG@`aKHMA`$xz6 zP>eZcx?BIHveJ`cb3_FYSfS^ffa9m<^rL8S&PF=hX|kvc^Q!%qvHpeMaw!1N{Ln2^ z(+?M=@Kdn(ew(S(gGa5NN6e02+^w=MR(r>isOXoXC%I7?qk5*!Z(svdJwt!Js6JSD zXsYk^_L~DRRGCL(*@TsiOx@&Xb8&Uev1t3XpQiHsTU!Apb{fHxtS#8v{}w2d4|J|w z87rEoTHka>?}0o|+ULJ0Vt(p6v>GaL^>}yeDf-6|J8rzN8LPmMy5J>NLm)oF;a`vew((L_eW`sG;Z!v3Amd_miP&iJM*c zdz2ahohj53)#yTkW0{J+@5*3~qv*)FG&wV)%=9Cks`J-f*LjE|AUpZ=0;fqqr?lf= zI^F#~a9$|og%d{0g-_fXwn+^Bv{Wfc%&WD`ea%!^%T<$EtT4kws~$dY^rRb<#@6Nj>!=%F!OpiTHT-DxRre{HcU9WKIU;-Ub^ON z+e5hTr_pL+{JRH(d+Q(WjdDwrnsh0xd1w?IxuXk=YwLq=6Wco~`;2=->0LA{k zk2h64I3QRfdGKv@Rn}ymoyD|rn}uH+oQ;0sTy;3r?34KWU)#z{=}vwE<0Hlk?~V8N z*yN;*XLz`V2b^sW-v1$8;Kjv>Gq?Q}`JZB+ZiM4=Z{7cT?dq6^`Jger*qYvd)_K#_ z7x_mUf96R2>-#q=s#ZUMc4<8aK)yM_^Dfp0k4_zrEPvQuc_$%oVsW7T^4F>m{b2lx zjj#H5veq8Og%f03bVI=k|12~j?cw){TJvV)0_;CFIA!C2w@Iv4qV6tQct`vNk|5Nfv(IA?*_> zflf5~K}>oFqhovteTq~=Q4zE50RF+@ zyUOswOT+!IQlv*VO=Q$+Rbl5+B+_iF4g0kF-sILvf1#OcYsW%m)6;{i2N)X5HP*2W zGZBs@dyWl&1Wtd6McDCmy`+aH^c%i)Q)VAu(t+?a!ig1of)+ve#Q8@Kiw7nCtH8+K zhCYquv++#?Q)xP{KN2-0#PVgF0=7vS0!IJ`$8P;&lJ``DnzcT0sOPh5<&LR+uPk-< zISJ9lN%+h%zBee?G;gvwYlHG;yAm>&^+py!vJPg}`%N1Vbe73M0d4=~&m2*`3!Jls zg1TLY9_StQ^GueoH$_<Q;2Dy?wU%5F!9~SbdKu}jWzhE| zb@GA#c3keHa0}Y=l{^TX+(1RKP==g0fk_^VyG9Nf4-~(MZ893X75(Tsx^Cq+HGMn_ zd*dDYlPytB`~(fNlP(}#KuCz*HM>e_df&EcI`R&#iZ?I4)AxE{5am5OK3?ABaVR{$QWSNIMBysWeZGwPFNlJ&iLBL3N(UiI6G|XrbdK}ILcxG*&_l^e)Yt)?)eGt4j15lAZdPs~tz{h80m*=W62H3Th1CM!*-}v*N-I@L+R;k7YWK*DMSpI|*O_X3<){uO`w^ zP+=qPzs=d#ftd{uo1j>1B?w^G(Dc+;3%|j@bIse`xiLzdGCl=~ylu2k&kY;7X$;_L zYgf%AZxBv%M<8dnYn^0fuUXk8z*dYQMnjXIIzxn%i zM#UGa;@NZjqSuOBt(=Yy`kwuxTj_$#8zEy{g3aCaTy-W~d$D4`AI1ORX!`M#aOF)9$M?yT7 zLV?O!bjt76L%oWNBt-I(z8K&B?C!;c+CTxRd4ATa!A@GSt5qm~Gfc5zf<;OryT6xB zXGYnVBcde0`!)1|C}D`uWu)O5gLi}T4)Nq9pB)TTtJKIa#E-xbcJ#dl+dQ0%{q5CUS zoB#$UP}v#HLcAG`;2)Ev(AAL?GBwjqHr@exjeR-oAx4}DPWu9GWP8|nhP%>XPMk#E zG?=2}Y;8evCK2AT=wd_&pyM7a3Km-Q+A{MMrzh8DV<-TOOn{Z1fkn}-%oqSd4sIJn zumlZaByVz9*S$nEYG6~v-T-<_ETK{q9IA`mCA;MiLAQ0BF&y}tQJ9`d7%Leh$^hU0 zjj!ckI4s->6Tid7@38R8MD#F6(qiL$p%P7!4yKM?iB<-Q(ZKh0pyv_$3;_g`?AGiJ zxdj56c~RQhsLpWwe|eyzP=J#kI2ZJ`h2da!`FNoRX^31{=);__#X` z0b*XTL!_AU2S5TDSwPP&1yE!X^bQnKO7J%p2UAIeR$ce)aL`?1u!9-oRvE^;Jw%)g zl3h}G!Nr_Qapf{Wk_=EO&94H6mZO38kidd>Bd&x)OnH#k1vz`}URncCCm66o4Yf^z zzm;;7lq!~b)IF61k|Rb$FZkOK3H28A(nL_&Ft+Gnq*XphiI88^hS@6yRwf~afXo?P zur(WYjYq$<0PIk}1CiR}ywvP-0kRYbAmUqX5!$5d{MVFrk(gy94iTrNsk&W^5yX5{ z4lh!|&*p*(0nm4CFw`7k0~KgHN@H$;i!Dkl3P4%}1dGqQS^hpnmWY4^d7T?8K1oT+ z3Ih}nPNfzQQ%~ih|q)EK}DXQdzVJ=stL5Yb- zA=T5`fC75vnN8w-QaO%?bxSGDBUEoG0!#*IZ$3zwKp|?Qvm~6FEJfl-%wqE!w}`+P z4XI4Ix2pqEp$MQ^bP0MRs;F{MF&ALnvWWDMbi`HF=IDf{)fGwnWuaRQOaTTuO0vvE z46H=qqt5%dy&o+JW|biXvG|?a2kQ`mWOScMoh%)aL_?a~S%!jpBiktEQC0c4?Gr8>vw zM4}=37p5;4*YgmyOuPSn!C%ZAYUBjb;z9otPqjK$T-k>FM7SnF1YKui=9d6C2M&(} zOQ{l`G9qS`9zCHW+)&`XbkGh&%3T(xT95MtV1JYd;b~r1@<12an5)MsH7IlmGF-qm zy6^qJeL?LLiIr!7wS`mDppf@R-KSZu;soR{+2zGcw8t`lBE)8m)x!9)m^lEy1mLbt zUhT_Eztbk==ogJe!@z>rGhfq#zd~n^x$lslWGB(FotRVh={RPf1QBe_F(?(1^Y3js zUj+7JweJ>O#IQrMbfOVuh-0LG`2AxCqRoL#yp-xTXj0Xqnd)M7id@%}(xOSt+2@$A z)(CgDD}(GKZtSR-!jpQODlJh7RXzYx8cZhNfy)YKkP+TYM23Mk>lZ$-xco95q{YLd z3odT3i)Fc>F4_wTm!||bjD69?9Ue0~7w{14;N*(EOUU`@6d|UbRW*T!NrG*FZfUu$ z=m^je?!QhTk{l6ifRstkf_gzR2!dZYcN)k@e%2NL>?81 z4jT3e>*D*ieoSPBHV=7?n`R3jzIX{d`})j^<)zH?$zZ}QfPYRDSR(-)7+lvbTM__Y zWg{;Iqr@bW3Rpqsj@fq7UF{ZqBn**8bg&Q!BtrqeD#^J_2FcOEhU35%fM4kV-0pS@ z$$XQj&CrdT2Fc|nwrKCkTgtB{nhlW<5f#e8a6*^@1i86cc z%n08=l9}Copf~7^HsrD_VN~D!gpA-Lx`+?y%3&rXGyGj!7`k#k7oU|hh3#p0L=fJYgk;B|AADsUtEvV=ij(I1E&9iqd5S{tCAu0!=0pZUsD(X}gf zjm=oK+xuI{V-G}PRaq|~({l&xu@X$Mt{S%AAnYq0caZ^(W};P3x>P+yL~DaJfd$!M zm=goW6h~Cu{v4aH7ndVDXn=kxmt0jFx{viK&~~=`0p>CRQ$fT$XA9h4Mq4vL+5oT# z_d=1eK~muVHlS}`D_Vy*#QZq(HB>F1)P2Fy*HJlO?Eruz_kf8P`r2S!l*Q`D_#Q5N zuQGTq8Dhu${3}G^HfMRQ(}ww?S5KMtT|Lm9Zy8<`nUvpg6WHa?KUNNVxP7F!kof~U85iqn8*Y=LW7<@=mFNE zL+Yl^Zkm`ZQ-9{!Eq+u1JEoVFCQd+`s|e@|8|(+nC0LLQ^dKE#%>>)r1A8Y!^muvH zxuc^qXP(k%_l>YR+rV4q$ESnAgQg--SuC7?-Z>3ns}HuirZ=e#H6bsXQlQN7h4^lW z1H<7_+1mZ#cUB*-%CZN_AK?3vXCdks#_@bG4PWO9D)j{Gd2iGwY^>%;g@pZV%>|Y( zUp;g+$pcXgkHtM@;Cql zAo&WQjB}=q6aPvNpvXfrrs)z|m%lh>nU~njwq}g1pOiDXrFyQ3Ix#$VvOuj_M8R>< zD4Ad>Uad#wbV3ln&8VAuR%B&lWO5IA47d-}hbx>c*K~fre6GRi%FvO>I-^8_o8MLKVDr357o zx$2&hf8|mU-aaz-@mA`AEWSbvNa_4!=)l?S_VqJhZHQ#j-(=9Hf^0lO*?oS|)^#m2 z|BYCc1?qA$;zC5UL#gM~$9spOZ@`3$2y$H|w*=>zf+P8af*DZcYC*8#)tkqeRp5}R zsi(F+P#IkU2@ry_Og~`uymLb1iIF^GVcTkAljbVJGE-rFDuicvt3aZLewFQ3#Sq6~ zWSiIJR4SVO(iw6$El$bal{pcK{2}ikDy=GxbWa}=jUJuu$(B%S2jT_1X>#d$A2s8f z_C>eP+_bvTUtLloXWM-L<^|MuQ;GUea{gRsZS22SjWmmUHdXAds(KwsOSNDORhI<6 z8mg8#ZDK;gQ~UT?XtM(=S5MPRxT#FV$Ydn|D4M33xzXDN6)J+*yta4 zuzEy`_`arZFYX|$F!-bFOY7``AjM4OugjfyvSplE-bwddkpudfeKmbIMSnphBSdq3 z6|#1{-E3f0MIQFloPd5DS50Fj>A0vMOftAvcFiH+RqcHK3A?Jaq!Z4HD0`1Vt$bNS zHimn`TTDH{IijgYyYPai6C^{Hxf<_W+o!+r*=fw6ynJ6f$z3Rf*gmgc{EJxeO`nzN2cXD3Ww&I6f==c<64FI8LCIr+A% zV9lm{3Q|18^Wbx*41yk{c+R$bP2~AzXQRwS@}U!0&l9bMQAMubw0HHLnL}nj&mM}h zq`a|j%uxvRKf3#S-rr40+>Lm>-}ntZ`Nrq0x<{~(k&`a7qURgRXZ=nbs@`24@f$mX ze>A@xxKvng*%QvKr{*>Nl~Z4E{v7YX??i6DJHKjcS(o^Iu0HUsUp2oTD)lAiA%ExH z8{*z%tG>c0iKb46eJs7+i_qf29Ltz%+s%6_oirfHChJ*W?){CaZ{?fNS~+ zat&f_5~wh+NW?f;#Dezh2g#L3r2`hg~KsQ=+o@-~wE3DoC-A!g6j;2{yO?QfvM>Yyq55>D*niV-EC^7`K z%0!**vYYfyRrQG%yHd&hvn;;nsct3%b?Sz=)^M*~yM+|nVn$A=-7HhLM>zXi!h)L9 z35;hpx{5t(alN_ZH2*D5PT1puNsbke*eo9r1yj~Xo#ZuMqBLTuYOBd7c>+Q5F?=-- z%NNj7Yin8ZrM`leZHAWArhB-UD^%$s%RR?Ug|22qI+;0k<7&H&iobSI%?g}O#bmsT z#&%o86=`RO)`dh3j9A2hCx}t@KXD`e%B5Bl8I)a(J8d~tW^_i9cgGp2U(zbPfvBv4 z9&4!ye{bH6l&pe;0EG{9XVZAG{OBKFOWjwzd3%Pe)2N}Irw{n>B&YjQ8&X3jdGJrp zzQ@AtO?-GE=gb3(9v>0E6``<{ICtIom=J=YB}?&WC2L23xc_w_CfZ2eWWt!}T(TjR6}9=uX*nEVOh5LTERRszEYq zTo1GLq;BYSU-B$%IQ+6412uuVKcD^{*s{18r#Ei2{~0+jHm3@q24y0B+D$Yv9ZeC% zX$1DsI7$05Z1(C*frNeD;FKQ%*o;$nR-=$IsHGsg2m&IDD{InTUUAU^=%SxU9%%vT z4a{gz&kJU+1#@eb`U#IQ+(u}gN}+m~0w`C8I$&k*uA)4MJz<~@_!?HJ$*18QYcvj3 zt6Rn$65L_Yun7<>I0SbI3AR}9KnNCm7k60*9xMb{+%32) z0kT1VKN#Fj10GGW|cx{Wky^K0p-{g@wTiz$C-KBEz`v126*s z7&s5n{tNhj2?iz>_Cu8Tj|d1K8ZW$igZ zK+P_;&Vl-e_CLt}@4zDdzsUX@?0<7D0EnwXbvzlfZ_Q)cap+~tESq>J2q?uM~*<>q~6+#bVu^wyz4kh>*aY0$B zk#M|NT1iUX$DdQ$ZVkV+-5THjER-k`yWG1q^7X9)jZ|XkcqH*PnIdKYtg*nMm*RmnP(F2BT}iJJpDpIF?sq^ z;otHhMhH}r|kEg@fiD&O! zP8=CQX2;rY{4AkW+$H0RKq?G92|M-=q_Vk|$NzqUd!D4I3um>>Y?)DXUn* z_I5y7zHN#QpK3?%Wz1e|S?HU$!xZA#8j^WfM?vBm0K<*$*Gu3G$-M=g#BQVJtmMRU5! zDyq%7O`eW!5&cq08pcW1Ga&uRz!2>BW~0|QLaUvlg?g$ydnRO)lb+2t<6 z8`Jew27gW7FH~&rSXH3T@>nm0nBTs8*ZeaPzq+=6t<1`bMweN(>$e=kIH3};j+Ek` z1HU`_RHxNO#>S>nxz4P0lg|1C6jfj5ipEEhYf$~Wy|F;GZoOEUlfLJ2n`qf&I^#=dJJiS5@eggh;l81x7wScS3*O z`05}1?H)jN_r%|1wI8x{Ko8;Hin_BrFQ?xHbaes-XFZl#z$3+&Q#8EgmJN2Lmn6kBILSe zA9E5z55nqSGLsAXaNh$QPp}-o`!qFk7IRL_4o%SYNoiqsVeIf{>^AQgN=gXyEB1yC zKVPR0RB)!sM|@{vjgQ~x6hIqq3X*5Ld_ z&p)m~3C23iN`{ax;9Bvm&k1hnjOqiQoH_M-!Hw!p5@jEWoZ^uQ;Oy^(l4TYpfsqH) z_>ucuOfZBUOCeqv-?hYIA2%EC88$xhyyQEZ0AE-|(!(hRto zKG!mp2>k+Ilx~i3Sw%{AJ%!0c;L_OPtC;B`aaA^xLh)stEG^GNV>h0!WU!C)=MMFc zXvpCl#!4oSXN$E5l=ln?B6*&h*NED}CiAo{(i(rp*bHg{3mYDTRZI}hF^9i`)NOKo z65_!fpQ%rGYOWX2!xJO-PgkUw7OzDpR}X6ZrGB(I_5d25X)!?bj)@nJi=9Mr+hk2JK{-e zAOAU>Zs5;LHxBl3MP^OX$qv~K(<40h^?Lx_E3{Jg9ntiSd!-qsdtnV9Ii^gNF=H&_ z-7BmCua7d8@49Hk6rjF-LymSnMF;d=IGs~&F@j7Q7-^_hPkaz2{uWXEQq2V$iEjgW zw%cF{*iv?XwNW6_W>Xdhyy31`LR$=@Uznq#M6`v6HJH8J2u}Ng*JH3F<#@Z$>nMq^ z=s=R>uwt~EJEeuw?17`RowKxBs&M_WPT6+nE-luVW&bUINO{pFY)*P&Ps}tcm=2w^ zA^1e8QfDJ68q)l3V=99)p%FKghUKPbTdSW*!i8=i6uq|^eY$$2ROl=(RX>^6)Al7Z zy79@TRq4TG*Kz5m#{ljI96G!4AL>Mpuxe_i*_SgGqebK+Hz|Fqh0r@Fx2g3Uh;eae zbif9qpK02lO$nsdG}8@(J?eIB(OkMPX5)c<XA{&_wo6qrvc^4HOja*5uP;D&?QM30(h zBp}o^6CZ6$wMN`nO%*?RxBcg$IVFFTXF~xiFSG?k8}A zBiY(P(U*DmfDc-Csl&CA3y3%=!ez`74?9bKnw!ff3 z6pn(YS`tyWv)c-@p##=P0M&?U11UZ+M}>e!SG}Mo5Gg*9qT657jdRB=?1_QM+6(cu zPNCa^b6329j4QdyyvxKq$|yP2ea>Iq_X^d_nix6znr$&hQ5-q6SM4MR`uiEoYkq8ZMV?PofdQ zR@aoP=rA4h4v|cQh3y}cy6)mvT4#R^ALC1H;X3pJchI#fhW=wz=Zo0isy4c?M!}n$uJEpd;?leH?3B$ry+2y_ zfDncAgo@HWEd@IN>kZRhOPb!bu?6&-qO1_RfkXpPq%k=UuX879q!mu5zFH3s-DL^jow>jvU` zLqpdSI)Npzjsp;vA5)iCQ|H2*k!30Z%U;3BG1H#5%~&2>KiRzR0l~BIyZ(jD=#x;~ zy_p4eUUx4$P_2{4@yL@1Pg|~XqGJ5>YXmGCt+KYPwZRn+Qb8#V(u?=QJz;yQE42kVoqa>Up#vYbU z_#BZ7l0Q4ELgIQl6&U#&EFXq2L?~XQVn4g?Lg-5c)^Zd6S;U&@_-cZ_KD`<=Y^jS{ zwU{n!l64boI1uJ&Q1%(2#!h;RUlq@39y_qCALqNWjOk;gW1)SXxqQ3-uHH=YPW*J# z0^9w`qJ{Wz46Ep)r`oOhZ=V^yZ(+ihs^Xm8-09t0SU#=LOm9;=ja7=-e z{QTbBy;`-c`%NSF99vSar%ewB4eJe+n6yWNB>krd{T_K?ml?dE-N8t^T3b7>g0>2X zByd39I?2dh9sPkyK|M2h01{Q*b?rcP!prrq-s z@|NQvTl~%43tB!HO`<_MzC^}7Kp0s`;Jf21$IF@=Qx*DWpiR8_hRvnTxB6`^i!h-L z5#Tm&6#w~W{xgiO9D6j(g|5S-Q^QPGl43<01p;l ztf~jTw)U)Nn4J*R${ z6E<#l7n15oZ$y|%!^}BvaeGZ0cK=fYQtSwPPy~KAE*#PDy?r#;gi2_dTc^jP{$(az zId5UT``v|dkKPIYtCs;q*RX7;zg^sk0Iz@s?KXQT74kKPyUJ1-I zS>qt-s`qVA-5Rng?pToL)g&*T+=l*jam9)hsjH=v!v%0wy;9mfWT}H=@dm#C4)f+w z%`V&cYmvG4)*#Nev&gJ~n25VV-f4)QS|3^F)Mm8HGeMLtYEn4%oSFyuYNnPYh0|%+ z2*_hcRmYM+A?sv2ro_BlKG~!!qKpggBUVgz;#na${Gpu84FeNI@zhiKPN|A;J@cK8 zc~@owOC~tyuGG%$t9?_+p%_uBd0x&>WIOb=TtziqsA`u^xF@F}ZZe~dj4iX6|LJu@ zV*!f_7356Asv*O{b*nW)YCeV1j+hPOV}31wVk!l%Jf9SL4{(tZ8z;H3ei_2nCs;JN zF#@zkf%L14St$fKUdz^Ke-zT~o&OQqf0~h6ylX)})eu51rX%rVp0yGGIj+!GJhpN< zjbmNszEH*+dgP5p33|sIUH|$cI;sEg@=kL&l~I0PT^QSr!;?-wQICu>;Ct27rN>l9 zKt3Aa|8g)pEZO6$rmKq}^@g;`F)|Fs=1ktMHWEePdvuZ$NSifalxEnTco+KRs5Z^e z0MQJ(z9cm2I8}pQTsIQKE&Zdw0c;_cn7}2>u$2@gboON!DLSazL|$m-;tTbNwjP-| zmAQe#E3unMmRGiE-wEcvjpL<``6re?ENGiFJtqtYSA*S)I$SS*Ui?iMZL>Rv=GmpC zXgtXk4u7#0wHN+;f!HUkKB?z~9BpYjd*ioxP$m#;wt;(l*3+G_BDVrUZ3VD~ zJM;l{hAVOtXUs$B&*_;oWe&G;zLXb_?^`ylTvSK)kg)<4v>6CaI8OKtx8_CqAV-hh;} zvq^JRHY0UME&>Xy1|flk?aivm z2|0;Ampt8GO|J{J=0{*bp*x-t_5yl|3ZMBjH(Nw>|$V4bi)S|VaYzV z7yC*no^9u9Kib9*Tb;ei#yv{>%i*q!zZp9+E8f$8-8n;3CDt)AJPp; zNPn7mo1x>_q98K;ST}z$ysyxd_?P{JqR$D5h{ zT#>raaL-U;S2uo-ZDGox2Dx0!!`wspGYJwnqC!&j5=G(|%%5?wZ|(4D3~@Ggh!!q7 zgfi7kY?%1N3treb3cYlorF)9;Qca;aGJl$5Ou%<4{kUObcY3v~vucOm+oUNv{>J44 zWa$PpWt^>2JAGI=v09D~_6%*Q_MAE5l&F7y&g*4tQaIxx-_mxdYI$TBng8ny!4BGiFKX0~nh@AH0Vy z$9y)ug6Y2+c>mhw?h)Q@OsUgoI@vA|+Z`L?Y|yC-V`4@pF9i+U1HRA31@pC_iyhZm zSWer}cISBwI$NKSA4gigFV-i@UwT*^RKK_fIJ`hK9lBwY%b_de34Zc-h_0Vc9A&FT z+6LfdMR*>*IA<#9$Z3}>egDmt$P2m?L(&DOzNUM zx{7c6tT_H!Lh5_98panZ2h|j}F;yoWfy(xf0b`)9>4oLHzF?J!JVW&F^|GyLA7_nY z`;UAx%_>YdFXlEx<2ikQAN)4zq7fepGejr3ucLpVlp!FK!!wojL&EZ{aRUuz;O6Y! z-n@TrH*AC0Viufnd^WkU{HNc;UND`{e!j*dTaOB@auIai1^u-#v***IxLT3U-lw<1Zj-}b_Q?izVBzV1^ zor)(WtS^p2$o$RaV4KFa(Eg*2O7ZM-m;ei91pah z{thsCJF6z770oI)Xq0x&hQt*|XNtXQ(&~B{k7~UK#4-+cxZfoBm%eLMV4AxT4r1ie z{%JeqxAa$%LNqIm#^v-01H-2H@zr+T;$iNhuOudk+ySZDDV*LiGOVUDTj_C%8m=l% z-J!;=oQ_S}4yBO4nx=gHLr9dN{u4Aby-A*8Gt;c%ae9Giz&*Z3JsUw#9 zzN89wS}Z<8qQqJ#ZvLtGN&I;djROt6-woHFE1v^6q{lh=B%1WyuM*lLEZO>5LgabX z)kt^7j69VLkq&#toy{=yD+U^uk3x8K((vUc->7E-r<9yCsXCwgP z^{Bi7w|9u{FZlA|LobI-BU7GDBy_&MFuyUiTkpczyCQk-QDXKrtVrE9SL2D7`j!p* zE%#xoefAV6=RjgAhEnm50~ua z<&D1PM_#>~0&NP{(CG4yq^2y2#^-^@yk&MG^N|;f62DB6aq~PF`v{o;MDfL{!c#oJ z$}g|wIym!0boJIXhhV_P$}Dg%a?5E3(e`(LTpm49FkT!iL+|sew!%rl#`mL^&s(z+ zKbP%$03vaI&!W3vPOHSg;+X+&ZW=c*qiTHn&6f?GheFw=3+QICkWg3EU)+ zgDLf1);VS~R%LNaZ_hR3m^haQ52+7}T*ySLkj5IskeHd%m0zB7%~X)Y3T3B0)po~E-&?6(Ld%t%mQ)e+ zd;=@6zq+MB`7gxp9(Ov-=ftA?*sl4^iACSNk zvJb58(Sz}+n6mDvP)$5kB)?uaS^*0YGPo2RiN%y)i%R}PN0LG)Zyo!C<@xZ?j}yxg z2{!0{C`N+K)QiNXn4rA4;3o$3K=^j6vMX4e(nK3KJGQK_K8R9vn&RON@K3BMSpAy8LXUe7BR3zBpdg?yLN@p^QX2$k?F*%GRikeg8P(w-wwGDID$f;7zF$$ka2RhCn z7RsoQ&`=JgnnQ)uzVGk-)AbMB*Y&ubm&+b!E9>A%01Nsp1*B5x+Ut|g6b#yR_1lcL z3G|HwoAd=*Tb`*JJXbe-rl9v+QU9@`zCg+F3I0D}_*7x*zrSl=?gI0CK>GLh*9PFr z9bmo@n6C%sZUeKofiDf<`3CTpM(BK_%$GV~z6O}D1!il2nQGv36)=AX`K3Ym3t#hF zv%$Cf}>11Hx86W89ITOYcxK63GMIWT=4_|mBN?Y_<8Q#Q)`2#*9Xt64J568(tjKO z{{Hz`fDm8Ce!8x;+!6Jw`{ahWVPpE0fnzSLDTbVhl))az?Q?8;!{-?g7_6Ewy%t>^1vzTRVgdy*^8-7{Mq z{cmY*Fj{lujLA%S)bFXmKg-{yN{@ajiyRCmjwai$P7iG?ElAgYi-Ji*@jE}}hffwB z6&J^RZ?9W?)v`SBY~@|Y&k^CT4}HHUM5~|Pug!e;`)jE`NPFgX&TPY_dH&@u_pU5F zsgQK>*XKU|S@^W^W3E4d^fuaRH09uUcG$OqU!cEdhKy&Q`1fc1rHjr$q}yA@zM-@9;q$>G$sr>b!+L4t;pFgciq5M; z)c%k?LWgZVKD%Dn=nCDeyKJ|1IaqX<>%Fiw?bv3}VQT#E-#;VZzx)4Rpdh6H2&v)9 z=e4CE6}AKl`Q;tycx|^be;RjFmWo-_n6Rm`J1_bZROsU(=7%-yz8(1TZgp>N1Xx(e zP8FHPj`H50ec61g|EBt^P(zL9q7ysAFn$BOSNG-?#ZZ6j`t4Fs+A`|ehVSF8n2JFu5UR!W^z>A z?ew>F@9k|@%(_a=cDgS^?#>d62B*S){cn=k3KHlH_Kn`Tp?xEC?_}`pAin^}ut@Gr z07EC969

*r+C&G+pj}a@PQNX`&}0JKm*L{rkXLU2%dQ<*QzZ=u+|u(}%t z_X;$d$*QLd4$A{$@l2-LVZI9+(_*5f0kOC&-fiM;g#cn|m+5wxd;Uncxg&*U6!z@Q z1fb3#cZHM)2XkydYN~Gf*NM%ZwX@?LXalh%v-_-4Kq^Gn#4qOQ#kIS2Sqq=P#v_%Vlx_%3cV{hRKvy8RMfZ zdOlUWfU3~NEE&(#d`?x+s}Mm-eaJ|=KHD@hh0xuTw?QQNqV6`Ftv+>RZ zTcmyJ=%u%zhN%-AIz$Ux-mz!*kw4N^0k~cq41ybOcB}Sc7p&!!=~vl{bXNL%h{jUA` zXNO`W=p)9abLYFgt+#vyhtt9H?Ovxb3y{}_Ss9>nRl0H(friOQ9W<_1g8mTpSy?9g zX0(tAfAaK}ex#%icUF>O<=ajW5?!pB!+8hXLCH<3Ia?(p4b&qBDVIAy3Ck~1I~A%b zz&lf^j++gENt}qTwL==Y*iNGAf`yr~_zRFHQP_?Q+Q}@<9Ii%lQb=mRG5Ab{(CH=s zH??h{#zw7XV@@sR7zeyKuh}d(kO9|kB5SM7wiN7i4>wM+=RoccVaLT#Y-h2w{%5~%EF#J(gaYvE*GObi&MmnDM>>7j-j6jrydI+@S4 z;lPe&;5&2SYD@EjHA-pZwgLF|9F*Kitb@{1CTI5(2Vhqf=&W9*MlaH$T-B;ksg~-c z#W06F3Gl(WC*F2S15%t~Le<}hyDTr{bNAO*1{QyL4WQqpvdEQA5NBB8e6M;KsGe)wqhzdRhfsBujM=MlBd;}Y+|C6RP1G109b1iRwGtOQ7`T`^^-0b z;d}gt&QdA*zzFH?#2!k`-QtJOC;C8`c4jz&guN))>#0ICwD*(CIfVDZUl||AizX5q<{;EbQP{i{saRmBmQV;vuy-?h^77LZ=Az?%q9AV<-P`)wEF28hF) zbE$!|iQ{F8*{~W5)b1^63>2#J}m;eq4zr`uga#X~TP=lm) z-e&Ez<-zW(w=c82l-G}K_ArJ7Go9$yl0jIZgqTojj}0M%<=p)}RU_LqhQzL?d-ppA z8@;f-KZ(gR_U#B#5CCK?eZ9r1tG}+~7H<&I-tKLaKcz3HJHNILKA@l0>wnona`!Gx z8s>9ej(k=Vk)auXCbyc2Tn?Y# zUf2LT$%}*+Kkkxj8*k>niz%aCh+5sK9e?Y>}DLA0}vE>4L3N0FKO0{67+S)*9C zV?}VdC1>CI(Bm8W$G9CcN4C2K`?wRUkesc@?7B-3%$8;mdhpD}E^JRKA^_55lX=m( z8s~&|<~o{UQ)G(GHfL4q^u!G1DSSQhF-;C>D=oh$K_|wilzc4VZMtoI`odJW`UNk$ z#W`j>u%Iya#`VEQ-CLUFKil#@vs#G^mrDbX0(;eBIcBGv{7aLh!X^S*sCJq{yD5h{ z;ix8;p}Ng!vtJukv4oXvg3K<_7;JJm4`V0i!+m`>e_cjrTr7ba#DI6EZ+*u zMj;izI{{#VOGMIlX{PVBEzC3*QkJW*(~fd?=uzO1U@pn<8PQsSuk*AB=%GGdAkaT9&{R3PCqlsK zom2IZfT<#sL>v@42)tt)h1tt^DCx=r=;9C{tpGHbh&kkE{2LERDhA~_;G^lGclAQf zI=e6)=H)0uw~G>J0IEUsUp#`H1isrvh=1fAV%>;5vpl2Aveg;EU7xixk3u3WFLf^^2=f&mEz7`W(BMT0R_a#D z1XUniY+cJlUzZ?@h-i^mc7Y~aB!bL2ZABIMzhI({TAt#gVVMXbBXa9qD^+~nZN6QLHGjT40YJ=GDuHQX4H&9 zD1i0Jh^RA~7`mml2pT9tRJ@G&LkAC7tBe5G>qZKP z`vLShB1DG_c4MNdO3Bq^qstDEYs1-yED(tSHfESq-v@Hz%fMXy6Z`^~rR%F5H)L8h zgNRsuD=9%iKf=MX;tg(+kHI|9S?y5klwc-!vWJ{xz;Q?Cq&$Px48-#hP>#4}E?;)$ zfo3QfiD40YNtA0m*)jh^Pkuoi#ak_CkR~=PKpfRhBF1Ervjvex+Bj7r=z;)a(n^Ah z;7O;zydC(bypZb80Gy9W5^pDpL7{Xla6VG$q?MFXw=oS@p+ThLE4zKTHrCkqEX`F# zaLOqD0hwzz6gr*{xN#2}60bYjAILEzRvGF5j0*8PoT(DnQ+%`KB+6+}aDI-)*f_u}4ywU3{w6nRNk9=I|HQ5A-?|Y%YTS)X$ICcUI`e+>i9SO)Mflj@EYe89!L31?0&EJO9u137vqb zmN<{noPA2rf2E_F{_>y;i?+~3+=ms^!e@{t8J@sH(3Rv)Gw=3g#FGXgcU_&EhvOem za9;(OVlo8r5M)l*~%%-QeWIe`K(Yk5&i8tip#{OXBKX-ctBX;jm z#!BzGj7JND%HiArNSqkGd{0IIWaVKA;kJY`ozzjrrYjo+lsmz0BPAfet$d7-%j`A{ zW_r%bP)mC}@{s|;ox_CANP}KVFv86Ub}_>vz*{D&zLzqY*pGl70NLid^m&@NPTxXZ zQnr2B+h^MZuh)aQ2v8pYTjAbUUX!k-AZP|2>-`S%X5rQLwhj;jsyvAaSY*vD5PZ{N zx{J6z^4UeKVj>X^t3mfryzH*_8YMO_n9KpxCrcukd;x20^m}m0WU3g z{B^tg%$G{z@)HCggny=8giRkFFg8I(7Y?-0WUfaJZY@VFq(ckj&re7x-Np^_k$m<7 z7I@B7z$U-ZjPvxN!ygGSBQ(`n>{~*9Dv0?iM}RGCYIl1o_w4lfYZ93sc<+7Va^wce zse#m{C+}49;cgnh-YRd6Z9{Te?!J>LNgx^Bh9P;A9*<-tHQixCdCvZ})S#VxN#poZ z3uPY(q?+$SULBUnhwqDF2Gz>E1vnW`@l!4YvhKbBt#|pkcw?+;TIYRU;d=~7C^3iC z)XN0RjKcEa1XpE|yXrIMD6%Ob(_Q(}#zQQ71}8;NQgdlbZU-(Vh-=8h=tr}^@!C4WEcZ_s$E zy{C^RtZjfV+}>C9ihAqs@WsW6g^ZSSE2Fj7OAHTu$lEl0G1sxrRsG!C!KB==-|FM_ z7`a4#;F|l;yxGXuEt&M||0Oem#Dey15k)ZX|I&&nEXTfy#h;?XPeb9b>;01v-l@C1 zYGd%HiHO0Mu;-(OIv%f*ODVQAl;tq?;yrYfxXWV`G>U?563dK=WdiDZSq;pSrlD^UO?5XiH6 zVP88jqLGM>1E3vym6VHG(sq0_Zi2THG0y}t8)9Htf>OMUR5`7QqBMxlx@Vk!bklf* zXCf;|d2h^;?Zf;}LA?Da4TB{Tam*uTys)Q^i00g7oQyP2MZV%@Z|xoob`@3BD=BVMdZP;SzYhy8U42kBQPF^!q<1 z%C$7udx?YJdj?c@%%y1`#y{X;r+BjXBQiiZzVI6HQam`CqKyNitLLD7YENZ>W7Vj= zv#}CM>gEGwDyX&xZxViZ(lg2P*~(H8y8B!ZjtyEs?=w@1j3-8elc;Yblg_}>w6zw z*hA`Ppu_f5Xo~$-(1-iQ;pWU7@S+a50+2V;Ev{1EXS7lVL;XrDlf|Qh#o{J%&g6Zl zjTwj|`Sut8=)3BT$qyt`%WJaD%d&WVRWV4>!zD#Ld&TOAtr)x=fF)Cu>ZT9&DOm)g zfIH&aSr+P-gPHGigMIIU-67*iQQV#P-qv4%@pes|wTtzQOgm8kl?+Q3p}GLv>z{zE z1ZjkC>Ai?-yrlV83b}TDyM6)#^?72`C2BxkLNj8^%|C~Yo&2Q%S)p}QZRv%OZ zt^xt~kALpjpH;Zo_TDp|4u9r-3bN2&#d{jhzMAA3LhEoo@@kjP=WttLRv5>|Ai_d$ zO{-J3utQQV(<+eRsC#Cv-8q9Rd;*L7?T(at|0P0HUgyjWt-dp~*)i}56EyuIn`pb_ zEB_gNMS62Hh@;VS-cQUv$s@F8=7Tyi@P?Z2%z03U3_XJ@4TTT!mG+2wMzJWR;MJ3& zQr8-P7<07emJ;b!?`_hUH&NA;~8xRD!ccKW6XHWaS%`W*B|pGK0H#o7o!sGSyO zR;AZpXaV2I(P+8FRdEyn5UeGI#nHQg6rha`GsCKrooT}6{gifD0!m|YFB1|*i^N{> zZU6osFXVO9U+rZnx(9XLTH1Txi$rvoi6X_ea0zFfSlNGx^tI z#LeWla!zWo_sY9>G*jIua2Z-{!ovylr~@10nG}m`YC(f#!G5OZP<0NNcdM(olrI0w zNXG(VQRUEIjWMnJ8OdSQmDj1?Y?771CfUwhJv?pw7$bnRmzsTk|;k<#1r`a4-LTRn?{ zSs~Vx1?*(_Vp*K;Jk(1--6e7=&GPXOvJe}U0VzJ>aMlcJ9pt9LEx?WL8nvCT?Bdi$A~oEvB2-ybV}F_T~l3RGv% zy|9`AwiPFp&@60W3~MWiq0~c9v;C}>@ePZW(`Q{JXrUqsI|GhY;cdiWK`B_k<;F*C z`4eo*^LcZuzDrVwVOD07Q|&Rw_xRfz zC#n?ImTSIwOgXg9U?PktnvVrg&0>Cf1h0Ctwn%{eS0mlQhdC?52m-KdBzTw3)P-jO zfF4<3;71T>b|Yb$u5^vEDkAp#^rW4iefB|$uHwUN*R|B6D0xjs%_Jd{8c9rdbk$Ws z(=})-c$Uvdl?|t@O-nWys$q_Wc?jAR=%P$jYlXDR!3dKPZsb4~rL zRiDjw@0Ojq)|E?y1&pAte}|^DIO%8@w^4{w`xGYm73UCpDAQCtdy|cm(r2cNjbIT= zvqI>rwzS=rUvqz1&rh|?$_eo!ZJ(3Qv7#;h^lDHb*lEQt+r7xIjy(v=WyHpvHq5^U z!HS<8p=zD<3O=I!g$dtfJ{2YUbZg(q)DnzHpxkHX3jTB#Z;h`=lxt0YAH%B?aUuTo z2AyK_itA}&W>Mf83sb>Fvz?&?MRK1qts*OSH1(2c@!=`4OuF4GbPE@KI7f5jk ztki@U=vw)+5ZFKpgeZn+hphW;-E~_J;yPr%9{em#1kxR$_m}_5ylGL&3bV;zW3q1L z@3W17?x4J&u+xeWRiRR7)}{{C9LBABe|x08uua=0IKxvzTYXjq9_Vpr^M2Q5Ck2B# z_jvp6j8AWMBWnjW?uEcqDD9iin*f@-udp=ATzA6{hA6nu*y@DxvY>n_ZcDIi!it-E zkHNQN4cRy23}Q(ASM2Fw1wmT&F6ON?7%(WgsyqkCH`IQ$_iU?`UP%}~FfktkcQJ>@F1{$mcF56D=eODE`$0Y`lc35QElh4-AX;p{)cP9+7)2?#j~Vx!T9P9{5Q5xkMMLJHLQB@-3JOflVg zaQM(9i{R)jJMURCSgdF1meE9G+Y)Ic@@I>nip$rLkoU`~t|k)ldpV<1mD=*{_zYl% zq!R2|I{vfq3?t2_MSJ84#ieQdZ$Dc{AnLM@@KEC`sc$Ii&dsISESUfh72f70%8XR= z=-)CzZ5)&dHIIq{&Tk-@=#=yw}o+Gu%{TiajQn z+@ZQ4fY%5oC(TZq<1P>v=~8yGvcBaJ)#1iHHSI!#y~xotK-XrDIkVSJ23I4=I`wI6 z%JzTvwt>F^??W&Zfd32InMw4HzER&Cw|BO7p$KDg(6@t=AjLqFys~}QM;-|eIYMd3 z*I|Ndv`m492>)e^92o09Ymcj8z-oRIaVKyOIG>Nt&m(!j8hI-Xz zo9M6QwdiJnp&|4-%uZ5+GUvvLpsb)mKO4Z>?%X8yv1Ju7s zQfDI?lft;#BMqmMm&@zm@s%}*T^SC#ZmgVmb7Z&Rw3CMWk?)$Tpg)6Y9HX*}7c@uP zFcI)}+!7z@O-a$19=X7O<(+$EWAe|;zmC=F=nE?tL45#3Cj)OxPVpck;u-1d7|TdH z{2b$4tjQ_nD$qiJgT-3PY`_kxaIRm@+&}Up9l~~E z!s%ZXPw-&4zP5T4=i4>-(Tub&1?YOKU5j_@V>95gZ|!xT$RA{KG%y_BmehWtARY7k z(`Dlm{50LM_ALP^heT-`JjiPZ*iJw(dIB?c@3`ReJbt-A>dG{pzs>QbIoO=nyYj(e zdmS`JU}9bGU>9@KCelH*lcV4c4_E?|!Of1ezDxV@kf3G-^$wHp)lOQVlgKK?{S(M560s-6+OOW}jwnT&VZPG@Hu<&a zFyL?q+f_Gr$$94v?-rB!C^((qeDoyRqPBG_8P;;y_;93i^jM}N(aCJ*!;{gr2cI}c zcXp~qKlaJ6nO{#^0$idsUc^?h5BTqjt+h&g;(S1(JJP)S@ER=nn#-a3lzYp#xBH%c zp6-s;>3YN4eL&z63sBf$T@fp|PuIE*$35W?oKM-xNQuIfNOF5xz3^y#cO%h3t*|jx zV1J3w<*4$6y8>D3pkB6pNq^$dsM4vB$|*^GdC(W4Ey>a>ZBr=YR103x!yF$Rf|@NT zMo}Ef@4Yae%hJq%Qga-(1@;^yK#TgaY;$@J*Kr)GdJgvOs{h2=(P`qa(sR&#PeWv* zo9I6(?$iu*;=grV&vpn*+Uw_=lG~Gegy)=z>Ac;lc z!8M{ebq;-Qj=j>_6n`uGGllLgeOb!2kV8nzBN^_m9383t&$VeO`)Tm358VSR9sls` z?_Ah?g>cBdvu1^T84r&t6`rVnWtRi!h}o{c0Z!(M$KEQ4Q4%h&6dnt3l*~Qt>LEHv zi<6O@ST1ZR^|iS>#1_m95OVxQuieIMLR>i#LO-n#Hsj#6R|gIa_1cR?0z3Z?MZyBJ literal 0 HcmV?d00001 diff --git a/address_decoder_html/fit/xc9500xl_logo.jpg b/address_decoder_html/fit/xc9500xl_logo.jpg new file mode 100644 index 0000000000000000000000000000000000000000..ff4054a6ea969b3df28520da78e54f2c7b276e3f GIT binary patch literal 9908 zcma)ibzGCt_y0pT(jbikqy;3T5s?n*7^QR!7%3tOC?GMqQyNCYMyGU#^fpAKOA*1K ze)E&x-|O}L<9oI}cdvV&=XLMS&OPUz_xs!|->d^vT56hV01hqyIACAE%^^Uo>TmBJ z1mFPp002m1yN&_MhYnuP907-3Y!A*23U~_;5&RYGm57vxkcgC=goucQoRW-;oQ#Z; zn*1L^O-V&fO-)5fPtV9mPtWmJK>raOVq#)S3Q86l8WwgYIwto24E$fe-1Gv}#DE&^ z2_6nLfJ=>oM~!nc0Mr8jE-oGp4tAaY5?nk02cLkDh!_XJ_G9JW1K3}Ji}!bg3c$g` z!zIAQ!y_gjCc_Tn0JwP6_yjbBTw(^aL=W#gRiT55gTe|8pZRdp6DKwF%`)77WMuD) z;E^tF>X%UUlk}HjdVpO84lX_(AwB^CfcK9{aB!*dxWs7i9~uxmg@)Y`r%h`3O!uv@ zPla&S2Xvo4T$Sf$6(GmMPDhPL4JZL;@VZI2U`Iq5SG7Q5R^pV_tk8(tDtAMTwHQ~N z+Zwm%DnhkBz2Y$(ms3@l8c+=_A&=0YGj2#t!Ho$EHv~v^9O*f6GynlL5)FV~6sW{; z&VGb5N>0R&-2Bf~Fpc1dhq*DP>mFZOh31ymhfQE7} zmni;QoW~V__LESWd2*V0fTx0qr!vg)34yEfIE4-w`C~w;L5$MjrIAKq0M#PWgoL%Xh9rxeOf}kmnV}+GA7%3r4 z4FXKxQW@hAsN4bG;c^m({mR9&Oc|cFM4HI45;Ez;r~?@PXE10 zeGlHUP+u9iY7?(y5l?~_4?@G0za7%zCZNj1Rk0%>#(k&U#|043;=a?sDIzo=23#^nRdJqH zhC-gYr^!cOiW@_g9}!tNY!|^S>j-Z2A+gxtK4Ff zWX4>RWU5>+GF6RUhIbDmTppKkhQI%>CjY6|g-xHMmTIX1S%NH>rpaFKF&59`$#siGo?|sV5=pV z4rhFE^0__tg29%g%17#dX_tzK+T?eQzDINFfMkRrt-$wd6r0CIRV53n0ix?G6sN|8 zo;$g@h3KxTfXOVoMaa&@bCIoBj(5_g6B6;CPtUb`eJJPaD7Q|#Mp<_RwTj5hs z$hQ^GPj9X{;^J!{2emqRqeP>uA?a@A6aL;7HjOo(Et?Vok+57zQ|nJgtrax_g{+U~ zDcwS|ql}3|-;9(IBoj%5);xkMtCC0b!xIJGpOBi5Rn*Yf8HS}k0q8*l8o1a-2uHxY zmk(fl|1ZngB7c^PH5kL82&~2Mi(>aA$z~$f7RJ`Y=v+bt!-1mAG36Ky9R9d>xXhf& zsY4_>r2GH@9{#K-0bsxfXey5>)9;79UC?-BNrjiapu*l;Nh4Wf{1*G+nqZ~pqI5%W z!PYaQ8mu(!Gff+4+2;^gds^gf;);EqJdAXUw$DbY#$nCL4!aLc-_jH^(Efkhu;|f4 zUl_2VxrwV=8jBBOR~L1tW{8a2+*8m$th*I7Z`D~pp0#U^GP=!7R#BE$6BecFrIf%a z5s+Zov~gTD-n@}#bN8jSm^gQ5SKG@6^4ee)MCkIW5+zd<wo7J_N6p*2sBK?^m_@XkubaDv^0<2N@E4UDmC3F143=_UziXVw;B1`p|SQKgl1_ zv#M5>tmk=Z<(luUjP{?Ho()P>>0P>pp(vB?l8hN@J{qb%S}F?qr^gsDdF5_|5-t^^ zcxwE1ie)U={eD5e5xraw&XA_iFyRytZ^{CqO8wqMmrc$qhMjF2`FL3AGAhrZGl)YG z)oEcf$g|Lz2_E|>S-qOgQMk7IN$Y`Ws^FfDAYpJF{Ts!aRh9&zj&D%(#-nEr5D#Aq zZ^{;Lj?MAMgaxypFtVN6)wH%b^@(GTF?1y;Y3!FAZ3-Idu#vOZPzMdUN+BRaKbX6F ztCi!_@5iq|@EVdCDhoNgJ;ZEt?~Q`i*wo^OML`z690CM6bw3$lIDAr;pp?lXJQ)w-5114t1+_b?-pq|l&2KDx}Pv>Q#(3jV;UcvHZg^M zW!a7fb5M98_XE{-aEAn+>vG^PP-7H3SDE!2hG(K)@KAJTb=hRXrmFCg*P^5mEw!Vl zdMB?^cNQ1aD8)oir^ZDSCb%z||K1e4sg#WjEWFA(?pt96H8}+M;8LWU{LV zrl~m4=wmT6eMR|HSDSvnOJo02_Te7qY?RwpSxaznQo5Ol{j#>z+#M)B^#E1t(LHgA zboI$h*l?@u=eVnb?-}tO3H*4VHvfS#Q^dL3;)rzxrF@u8Y9WWefVY_Lo&xRf=ihaH zqh!us1#F#e9`={lw`Og9*Y(uzmX;aFkd8XUIJ#{Z_B}ct*$LxSz1gN+?oVN^Sd;@#WXD z7;u{{CtE*!F5rNhG^Oyzy1)yO*u^gaixt%dVi2vkqi=z~^+CU-z$m%4&#S=(tGAx1 z53_BZxtFdI-?G=Jc@TrMdp7PPumG3b&e)96Ydlo1zxQC7MoXE5AK=mG;{!+&@hh3I zr;b#hgc=9nSNt|@rgCmEM_%0A#9lroKHM053aqmpQ>F`}!-l0HElyG{fP^HJ zo0otp5m$u}hd-3J0?;Bf1WL$5c|+|;-r1`>V;z`@YK)nQtcR;G&kx9ShL>=`1mK)~ zy2QS$l_c>`EsM<0>ER9uPb~-19fnL#92$-UU6N%=-J+Qnim0AiV&BxR?>H;|M{p-8 ziy@w9dLa2`zM{=X)Fh=yK+MNaT{?NJdv}+p1(0XfB~i@oX?wZ-HCS*emiYRV6rBYQbwt#ThgU{ z$*i7hjel~hXF_xu^!yrE6=ZuDqGBuejB8ZHEpxuNJk~)SN+27JeOmYM_oCRpfD^v+ zKD=;R?7v0!6#cs+V~}V4=NxbL?bTbL@5s(5nl6)eSf(z|ZaK`ydJD4${h3>K;6^60 zrivQc820hZRWrM%u*k4FX5N^B(b&6<_A?IpNqg)*>QXL|HXgGHx*NLy&oV41e(!1Q z>@@!wzibonvF8u-Erw@>=%9|5YKG1s3=d?F$yE53M)uu;e$5atLfo^%{meMBZUDE@ zz*9Ndt{o>-u35IZ@!Gny$XeTXUL$`eFHkcg?2~rPyKt**b&cYqq24dMq;s1_9Ryn7 zsGKJ9J3Y2|DZa=Kwi3ug>AP{AQ0Mkx5DzSQ$Za@uJO6!5#G#6trl!Mmf@`@NP2t~@ z(tx*ZcK!;a=o#~vfQo%QjK~&q2A|_@+L;!;0U~=~Ex&B9D{p{=qmM0G!TvWu_4U-N z*u8eIw&KaX^%qxi=#L5IQD2fOPf~L#bKuL6hw#szewHbAz40=r>(SG_Jlh)d_e_-t znu2Y#9CbM_z-+knGoK<|qMhZskHi=|+(BMBz7}>DL5gs7?^z~Sk&qBF*NT^Bx{>o~ z_wQJ6NW8w6v8;Uyq)w@&U}V)N^dKi%p{oszL!?i|#{F=THN{~!>0P6s=Ept4&wnZUjNe9Z2ff7{+B3d=pVwKFN^h z0FyoybBww*XfyQ3Nm7%=-la06o*BL4N8OWgL|?A&Mc-?tuY7G=_pRlXOw$`$rpRDT z$Jo1UZeM)#iUkwI*+0z5hJ1QF+#Q!TY@YI{(YdAIc)}ksxL}VVMUQ~Qus2V_=rok+pM>%yhNWi4 zXpr)V@?Aa=hbq(g`zz!6`|IGywwD2U)`kG_>nCC1?lydYmYsp}7#x7VcW~7ucGt2K zD-|PLEyG(eQH4QFut$&*uE`pDE^>$w)lfLrW7rAIQEf}q81f|8S*j)-5ym=1v-X-M z(Td+T>D07eMXJKwW@$u)slrKT!b!rOiZNtjEfVO6eFx!8-{0EcHScTemV2^qQT>*@iOcYwP~-+ zLYfinX6(c}KKW0^ZL}fbtH0no$L1VzBCH&2Rn~*;Ka7keXzke>?9;p(TM{(h z7I39^pukS87wCK~IUYUzRW1PpsTLbKW*zVu4;B=zvG5#1C|j}qX6t(spFRUMUqc3L z7W2a&n~^S@JBDHE5nc470c@2ADK2xItjU3)GSdX`r1qo&EeLxW00Kyvd z2PQkmjyz7J=30g}WKx~fs8*i@GD-(q& zN-yd@!F|k#T&llujDAlz+4aJKh%2HvEH-Ki4>oNzaD2UkrfHvABsA`RQ2JRY>WAeb z&FuLlBiNut!SDma=cwT6p_qK0PpIGP`3yB1m*TdxuI!5#uo86HYWZ{NC{uMNil6zG zV+JLD>)2!0(#_-t{O2Wwx2^ix4oX>$<5^9KW6g7Z z{&g^&3EQ}4J4E6QrhAm^5wF~WpGxrJnbY5~=nvBO*>1jOZmnSZwK>eQzOjt6xgpz* zaNYWyQT4G}W%F{nKWi3&WJxJ0h`PTs!YuL?1exF9k`LAO=Pf5Z2y9p1tAYs{?RRIb z*Qev`Og9eMZ6eS-6)rB9`!DqB2M?o?j@h69tMS-@ZrAvKG zGWwH7cjPfK{l`pD?}umUVx3JO|JxhWTB>kYo}b1xq3L-ovf5-acbC963ry>HB$R<1K2M-&d6JrM zYTo2t9rl7 zJ1wBkyKkeJE~+JeNH;mGCkt8#mpM$^9WETgCMkv2pNF<@`H1ZMTih=Bk^ehqRSH%2 z^kH~UT>ZE`o&fl3jOh^O7H~P?eLc7{bR50lE9F-4`*aZH<#&{D*M11h5yVy|{2Pb+ zD$CmQkyDb1zw`YsI@-%dQ=-d8{`lzV`vLkICb~{s(%B!Vgd={cfb4>wn9uBh$uasch;rzhD`@4-te*97!3?2`nYrczjWR zq&g-3bByKLFpqz9@<$NV*X4*dM6w5plz)h?l(A`Z{^{2hD!mxm$|F=Iz@+V+QrO<> zDa3HlnEsPMCQ*5PJd@kiq2a+|*kPb0GrM-w>$zh{9xqII&2VI-y*+Y@5v^~7hWMh1 zXw_n}?n4C+ zutJ1KpyDq0?Qe0{=+5|%4Q)O&+1NWjn9;c2&dbPP2pja-^)ECb_|_E}PwegDn!4+V zTiQuG^Px;~a^BRtd*j6}tn?T!k6FMa*4sBZW}~kiloC4*Rx=MiY8;cLHr&gBm;y4% z>wmm$wQhhojJ~$_!nnccPi@5hp;yE2+>mZCwPkZSA(Xcs7bSYJW&nEUl}14;@C@$PhIn6kIYQT=?x zJ8tNER`nt+a)GB?_fD4O?1Qpe8Jhe0%FKwU&3x!wpw=vqyz!5-1Qw)bbS4%lLY5MV zZcD&+M}@q~&{hkPnz5sSgU}csC7-ew{HzY<#B+`&- z5w2ptjEENU#lm|svBta#RmPf$y~t>xV3k#FnA-x4WR)scm?hQ2|BY z=co7y+Z(Q6=l$(Y*O}S<9n(<$9L;Bb5QyH^@(??`K5C*i*i45_MiO0x?m3{u`8HZeHw6-zL>1j zH8{}Hrm2?_c(2>+!FLb)1bQtq5nV+Kp5&viqG(U+t)dvwt=|3f=BdVEv}R6QW8~WT z`o05oLviyh=GL&mou#6m=O`w))+<+`s{Z{Txyj2i1TWfb=ZEapZvNAMqlbS17bw{& z7HvW5JTk8&Neg-kNoo$MnTI6vTUwsWM}wJnM?3sIz8aeIAL(!9Fo zMW1&twnKV9C9oZK=r?C|@I$U1*lbcK@gvGKCYbS4j(NXJi~Gb+gXG~X=N&@R^dE-! z0_J`1S;KXR-`k~z(j<-<0(1ZY7J^O}B?XB1uwcIP{ceX=r?no($~)K?=T5w1_~F6J z7b3^mML($)(o0Y8?3Cs%#d`nBDPUffDNSmtZIdA~Ce>Q8;lR|X5uW~b-R)BBI=UDA;T3-*Qq%_mf8uH%p$UEAvtH%(ljDc*#dW8QV*+P- z0c3Avn}zZe!@|Ob1V^+W8bZ1{pRwN70@Gb4QWacM#e`u1!&FdUCqn!?#j}D{L;kc z)P63!*_U185ZOG}e8+~Pi0#ug(Vi_wq|zSaod09%cuXy+ZQ-Q*RWfbEu>F`^x||9{ zvCsWeO_;n#^5 zRNO8Q=JR8j7EF5MeI#XRHSV#}7;s{vPM%=TA$3czS zJ$=bMTXw(o$GeOB12qe=*lau5J1%4k)44=5!Xnu@m=IkJ8%oTknzRhTJTISXVSeGi zRQB(u7>mJGR6mEn_Y*PRpDlO|<&C}Dz7IycZ%?;872)X~`gU;cm!HzoLQ&j()dL-i zM*k?iQh%X4ttWAKUuwNB(I-^sqU_?U8eifNYH$e8s#Q$T>Vbn~P>D}CGR7J7X~zkb+*Vm~{T^>heI$Mmd8 zL}?Q(yR{vnwQQV3d@)t4tSr5YX|}HO)raL>Hvo58ZIHKxciCNnN~U)suFI6rbd*&arwQp4#q~}PgG#@SQ z4>^m`F5dv}L=HXC%S}S#(pD1bZ+ht2uegv4Hh!$rJm8j=yqp-%52+!{><_%3bA~4; zm({Lj1$s<+QrrNkAHdsQ)zz;eS}0qPxx+unJwCGeOJ>LJZxFHDRAo;bE$_{A9S!*| zE(-Q2hD2z}SVOC{-UQTcPb_Z)>WQ3h=%g-_5ZAZnW{e!^CMS*jSy*M`U{AZCo)1W` zo%Z6ZVZRc{AaDG&&QP{dI;>gz5?nOxs@{WY^}?=Mrt7DgV@(ZtyBzOYw(GYo+sEkI zmEdW_dEwEPWl&>{`>&9a2VKnj`<{W3zGAPhY1;;ECS!B>E?ylx_07hs9Z*TITsJT0n793CfL~$!BDuq?1O10| z4#7ci%5qSG&W{#g^{w(h_0G&krM!twK|WMw_K7YeM-1$djIqH-t^rgTm)x0f-{Y{{x5cbLq){c z5n!tQ-0={0b_(jXc%UW3w8S`muWB<8q^JD)#b?Y)hwnH;G5gDxa{6HVp2$_yM`m;e zyT(+k-4QC}17;H6NBz}M18nX)2nR-;;5=9y!|;JjSD={GAn=uw_xWP zjYovv3p!AzkPiK`&Od2Cf)%ox;inIz!SLT)d%v$JVS%~-q%i&+Ux}!Cq!b!g!ziAW z@H*U2Mre!UVu@uKmRN@Uuf%esO5%TsWjhiLyEH7Zj3c0oo7nr8SO!=VCxjw$?%Da> zJKM~4RDa@f8rws=g0|8x0_Ow=7lSK=%lx3)*RyZJE~|FAF5~xO;Bh65kZ19ME$Zqb zGmQzJ!!1HtKhDMK8ybcuh}iTb{oOWnH3i2K4BCFOU!3Y82NqD4e&3{+;*6Pct;@tk zt+DuJjI?6JswJO*GLDaD3r&}gQPAl89CcA)AqK3a&|li*HrHR-u1g$PNHi0H`uHOE zyr#9}U?Mg>b<&h<_zOj9lmP1R&1R^))a_dG#E#ZsHUEYWWnl}fLA9{2R%sV{s0c&> zJ+u75M5eice--WPdV+<^rCBrvtSxhCwmPw^9lFU@oJoIX=3F<$Pz?D%V=!*){wD_P zhjE)+TSYNlH9@$g#9aK2Df89Y^n+NDa-U7M{V9tB110%88?6|j$SN%|JUJfMv*zP) z#5^(g%jY86(h{25Nj@fOBA@ynh7C#I#_=t3|HQeZ;tq<%jNCG8m%do>tnElHwH80@ z%?X&Nr;bQRd2ii2{L1Uw*O)JtiJ($AQK*Bs-6BQ0Ble9NJiTLVH{&yGS-bD#mGTyF z-JXO`O#Wc3%MK2z!PE-II-i$5y)v7bD|BtxZ$hC`Y2u27=F<$Mg(_uJ<+-s#&fI6kAKi(ALW)wCzI7V`%wM7I$hC*~bFWKX_d47Y2yZ3eVC1`m?uZj}2j zc-nNaB$p>=8;(8!Ir1SVR_&lryOSpyknuRtcJ=!f_=^^7f^9gb^0koj@8F!;%}NU+ zkwN{LISi6sRSx8Smi`YtO8lw~miEJ{(a=P#cG$B&q5m~;XzLIMs4s|MA!%@Glc>_V zBfnT~>1xl&^tNMIt}x%QCd>=@9?{P#?>PMiyh)K98L95(&HU)O@d6~U$}0cMr#^l1 z4$&_Lf+Xttc%=PKV3Y&rD5g6&%i|$~*tB2)t0di}6uWk@@8XUR>a9I7{~rWgCNPDf zU9Yw_GTpjE3j7>xeOu&k&qdX&x$dQiN7yV(Ve!nfmTWG{02W_>kI+3B5-;wb?Ef5{ zg4lv?*UReYEj0FjajHkUUIu2}P1-Ta|ITm5(eNBRRp|@$w@PRBDWf}<6<#qgmL#%U zo%mYbn!NtyBGSl?_j$`b-ck&FuDoKS3yWDF2^8P*NnI+RJP`+*p!C$ffLaQB+8gs# zwqtJ>=My%D5~*&tg{R63%yeSv4D&Me1QO83{zr9ZlK-%nwoCb-5jHPxf#DeWmkhxa zm*-28!{W7;M#fGy;+S)$T_FyMC0xLArIrJo8k2JT`X8=5{=oaIm2VO3xe&E?WZEO% zs@z5-CoZK9uKTP3g?$PhSA+#bVhZ%mW^ zy#L0L^r(elf3~QDyCRQzYUg`WbcoduJDOV5WKRB%=!@j;*TMduI?7}~bE_*Q!4sX7 zxEdv%WFK+h?3`nPN(bc<7Xl-vMYr!xw)@H3VBYCJ5q-P<_5vwO~|{*lz;DUl0p-3*}qj3U{9s6--bC z6gSBmDn*6dc0)PXUhqacX}i_XD7^h){B~HPqo|OA07Fk~Pu4D=!a8Y9&vpNf><`O3 z7Kvj&{t|m8F43&3H3lG*37l%%1Y0hUV*=;)bwjE!F}zFqn=?Pbr~hZM47T0`kCBIG zBEYbH4kWRvmo9FQ|HJeqF#wb}i%esb%Wk;RsCg;^nXw4l2`z#TvPMZ$w p082_g-U-H*56zj&j(lCy@|1}A{&K4AMS1#v{_B71pXg@g{{eX>QNsWL literal 0 HcmV?d00001 diff --git a/address_decoder_html/fit/xc9500xv_logo.jpg b/address_decoder_html/fit/xc9500xv_logo.jpg new file mode 100644 index 0000000000000000000000000000000000000000..90ddb3616f5fd1c5a93a4247b6649aa996dcb136 GIT binary patch literal 9336 zcma)icQ{;M*Y@b5ccS+a(Tx(lcM=hTAbJ^t(Q70mg6Q3-5iMGfF@r&(cY>&+lM!Wz zV6=#Le$V&3@AJLa_5Ja!v*%pr+UxAoX03g%bKmE7;dUKBW2kGO3&6t%09*NY>`HJhoyF~$B14xMeG2EJjjD(nkjN%Rn$sGzR za&iiCaw=Mie;F+m4J|D#4HY9JGczM2*FVPhKZZw2N=ijZ#YRWR#>v9K!udar|KE+< zuK-$7fG++q0Uj*?pB9gR7VowX&;kJ9;}hWF;jZ)FhED*%BP1dwA;kmW`f>K(4&0sK z6a3?$0pJl3;1lAuiAd@HapM8-31|t4=!kiw=t(pl@j6OtGC*FvXCyUu^5YjT1 zfgdK29wVdW9PqhUR@)*Fs1qc|EYG4qiyMj$AS5ItASNdG*O~C}X$g3w=m<3)(GxjB zc%?TOh=09GZ_<=`-#z8e#~7ofEpWR8pup{n+mRNa1~{wvH13a`o6cwLsUfqb*lwV| zA6H+K53H@M&SXW@@PlnMU5uUz)R4w#6RK;+q?jQ;jF44ah0&v3W`NoyF)e+4ReLVPIS(6H5|aOG5fsY9i#$s2mdj7H`~a z!n34bb9n7E$eRL!>ldp&$$(_`v2O^Oxs%2#>kRc7j8)6M=379;iE^Nu7@IZaQiK&2*G z3^yT$akY>K9r9>CI@1!;Q@qzzrB;SU*t3acf-4D_22s74 znN^u1iJmYRyX(xRYg)LqdUn0SdcBP&(|8AGMT_aG57{&dWI&Nupc~opMWcJ%*J#>C z|Ds0a$?>ykEN{SF9~zH;{nb>wwI|OyTVR#CjWsdZ_6{iL`jVg^KIcTa+|EO8U_E|$ zhUL<(T%m@uOnjK@c{FAnnREXI_lm9Lw9?zjDG7qjsp%+^Tt>`3Hh+-K>4lkQ*P;wN zIN|a^GGaLr?#z0CLE~XVgOLVEZ?t=wXpN=Tlw+W`>M_Yr;<(Hgb1;Olt(^Z624t}N z&TUPie|+r)4|mM(r{0q2z1fspV;)Q8!-alaAnjL= zI=U{r5x(6wYe^~f;Qa>UA_J0c_9!N=s=gpUYo8g`3wPS|h4mE}~Ig3iv4b5l75S5o)sOst2OTX5}Ci5@K3*p9MH{7VTcbF zHgFf#a-A!|#g*0)eI7q~t=;>Qi}add(PCy$`gECzni+m9w_q$w32%ZuPhlxIK<{ud zD;)Em+~Y8b`9JYX5MT3WUIGjjNw2$CTG1O~26hX$@N%jO*<*Lf81wU9_xDr!PYY9W${>`IVzi>#Vf=cBGHzj|S-=}DFi ziQv$|J5jbUc~0t(^{uwPxW1vK1$6T|htIlNTTUXST817z^CWl{9MWGRv%CvOI!)+_ zE*zMeLHaWjeRBpn`_Hk)3=)1@`h_ZAZvpQ{dly$eb>=lF+da4$IIA)~F1Zt)on2J_ zrp{9CksP@P3tt=)r5H#L03a`rk;xCsg)IdruhL$;YF=Kjt~(DV5eNfOn0hm(cgrRv zS6NoO-uIk23b%W7DLQ*l3BPhGH)tiZwdGN~w`l8*-w z*sywdgAFL8UGc7~KU(E=%Q&wPjRw00d8$R!!|CM`IVX9}jc7NS^G_r84-S>fDN=sS z)8*UDOx4=*1eYrOCJMK#h_i=%M9Rr=z0ZQ$``8+XU4?Hl`~sugn8g3yI7! zey%higMus-dO$KveaJ|TzmHpQ#&fU}hoQm6--Bbnr5_K5McM2I#}F(`i<^s2@}O9{U)DNf5@H>|_abYHY#a5&ChHto4{K~!j%ZOu$D%0wW04P3F z!UHyIO<+{!}X!ZP+6tthBSEVr%41~cLho>tJu zs1CfBVYi{&SnC|5xX$4C7>{5%V2>H`uIL@DbeZn_HYmee?c3F>p@q>kBeD)r!tx5s z&HElhTpKu&uWtd0Swn`}^K=HJ?L`ycLObhZH=|yWxqyUg$V?x| za=bW7w#+u}iaeq|m|p{5fBGx(_sdL`Y1ZlfE#S`e@*n1z2;YxWXHi|$4yaDkVm05l znC=XHGVGJ(x9 zEk6KxI71r&ZGT+H-`uWTFduMaxN8?Bn%AYiuu)0rzr>)^E|1ZF+t zy43#`aOKu}ntyX==oYY3g6fO5MMb>51$dyWBh6HwGj1iYJ3FO9g}%AveXRZC@v%yS8y!l`j)!ie&EUt zXu#&|@iD5Ab$KU@bZzuBaR!AB9ef22C)v2V1(1iJ3KXQI;@x36Pn#ig4f6bZ(yb>v z2V#7qE5Dvaoq^eeMCX%KWE+^?ivSqPzgxV7mIJ)f?$l)7pA`WFS;VY3$R;7YPRv~u z6pizJX3^y%Ktm0m8eQu$bFv^hNje(GY7ODEmo=}6=xDr*v(g@kyy5bqR45hRJ5j$g zi_ZJ^FAb0Sgj5nbp9nB?)FG}Zy;m*kX-Ybm)txMohO+2Bs40go)x;>)l%{|^aC5+{ zv{Y$LOlBTgYm8#D=u=#2NN!k;jn#o^nm!jb%xb>-r1P;*3+N88R^Q;2=Rd%%A=#KO z_HSsvBtK?a42YUQ!kdy*7V4gvwu|jlyqf8pxMCC5aLo+JjTPxc8s!P3X`DyBmR3kx zSh@x!@T6J%Vh}SC+-|@M9xW&(=ha0j0dv}vG<~)+K&?K9vXf=rc*J}K9)F;~>-tbNtqNn~64Va;`?H^iBJD@L#~`c1GR6wRm@35A3uwG+_qfs2$i24un5m8w~!+>M%c41bMUFDm2Ww@2# z{nY2qZ`EB_QIk0)8iP7B>_JM`?vMA>L)7BjzW_TzEPrHIJzh_MrWXEYZjI)DMO{H> z&dp2k_PqnNvq@Hv^7)fHxs)p^qfpd^*Jf$R{&0#>cYhzE%<~6U!U_d@?E3fP z&8knz%#&aTrjix(rN; zh>5dsQH%jWP%Gs*Cy2y@Gw6g+!3eU7DmvWlxCq&=1)+R%f>H$u^7+Lnc}z6qWhJvt zKxZcPkL}-rXAH-8N@B^;p^Elr*rgpNzw1ob#gWD1A~v7w5A2t$3m)JK4-fvlQ4cd! zd!rZgF9Mj3P4>5E4{PnFIuJfX%Tv$&0Uj_#4!O*q2&mHMIqC;0VhrM}U961ZXjpx1 zp2*mk^f_a3^qntWUQrPJy`0E>P=>s3bi+){V|EpNbVZdA@% z2pf_35dJ$~!3@HKB!rNIA8DZ#(uN>roBQ1s%jFish-!wCDa zLyD+5)+@HfWXV~J%$KCb zQD=gCw)=^e11r>kKgNDHKX&GQbxt_Jl`_;NN_Oyb(}lxeW!I+j^d+aYG&-}X>^Y2@ zhz$9{ujy$n4a0!-PO2?ea05j7_|L+$pulbc8_3}(66@Zo)IOlkm!`%MGqBRwG=+Ky zH{fD>69tC&PCIPq3?p4Yb>^RsbFz~rT*t68T&+mg718mIYqnu5_R;1VI-epn-s^11 zc&DYJ#iya*;@3x2a#QpysbZv>zL#5@ZG_^(E>yO*@6V)0IG-N z{<^k9z8xOQ)AD!`t9H4bVZic74%>W)2R;&YrF6EsdMaiTj(kh3npcz#Rq{(sa=Uet z!ugv!hyYsgn4O??K9qYfYQm=pUq&*6Y4%6yF1OT@X=x~pN5>*|r6ey|p&t$Dud3xx zF?Hhn?fubMy!9=gyRQ;-&VJdJ=_}A*029y}g%@slBaciG{4BM>v^k-a=ll7(gc{*C zsPn=l^T=Qk?C}#2VYFSDtFXseVkOzpHIMRb_no<+%^wAU6Sp=O&Gc*SHNp@LLX^DE zT#o|f`cn+|=>;lvH_=l|f|sBdAG|_iNTVe_My!0N#zM=2a63sq>1tEH1Rwtlnr-g7Y-JU?3+&K3u}V4P5EV9r7RbXyv4?6 zoQGE5vKjfa8$*^3^ABT4 z-xGxvt+_`rLv5rae^w5`T+TH_b<0-Ci-Sgf(^7mo*Rkjq)YafO*E=HP^^}C%yRo02wuQfKwk!Eey6vBu%Q(S@ z>fEfQ`#%^E*ZWa{I=VNRlz`(xbTue}w9n=*H{*$ck66FuvyTW7Mkv(A0N-2Cz7*7x zJsUe-i!RrF7#sw|LBOSohXdYqNn4^V1_c3#cY1W|LrpE+F#C*lU>i;UR+51*n z7ef>y5_O{V4ZX2;0G5SHndFe*HvxUQ4Wo$Xz{w$FVe$K>REGmLZ- z1gPW3w8|+m?Hk#3^y_IPCtEOGL!VIrU}4E$6u{n=NpG*sOCk}zav}RB4L!^E1e7lb z5K3&WW|F9d)(z;M498fr;z>u}A;i*rPx9i`xP=VtxECJ<=V)F!_xBlnMs6GA#1X{i zBt2en!1`8m?QvZDbtzS3hJ}$9{Ms6hFxkFFw&pFwZA>hyUae8>Qs|OfPBjf&JVl>z zRi>n+j~#0kb?so>`c~;}m)#*!<0>$4++F8@4Znoq1NU-UOT<@B1B$!1fF!Ki*rqrt zKB0LXI=2aH!+7(gO2d<>-anWqv$HAoU=iu8T`+DM-m;BPvPSc}EV2NHD-C$V(o@bm zWdl-?+7xnZw$2!E)@UAfLM2BGDHb%4t8(=Yk8<-|DW~jJ+zl~~p4j|XIbGudV$?AF zbYHAlZnC94xmicl$bRsp)++|?82Efx9hzK*J}=Dm=s9=sp|!U(dT#M>mIH~os(AV5 z!Bt)L@Ab~k7Z`=DXOrVUt(MVz$pLC=-QO_{D{hjl^Un`M$QzodgFpD70vM8g>3(h& z)cqb%zW(l8By3`_)?Sa9W$Z6RUPD*I+abOs>*%9f0Cn)m%BY;0Bp6)0H|TTyqUpIB z_2Zh6RB`f#qCZT6)x`J&bCF=(f5sflzcc;70k0zZ3Ym1!roxU!rB_-du@2X|* zZhl^AmkV`zRVeTwW144~jqsXk+ z_gs3_6$#6Eam+AbxtBTMApplyJ{H7(Ng_qT6g}sie5g(kL;kABnY>os1k)m9lOPSUs{LX$pK7S8vqzC-DdXo!Yb%;F3?=b1g zt2-%Xzm$pZ{Kz;+Jl`rs9u^$+&LG13H`7C@L$tPQ(#I>0*3OiZ=rNnPm;K>2Aln0o{lJYZ^EFJdDphlvp1vkO@mtP zN;yiJlppRdat!CqNgw6w7!|@yY?FEvvHmAp}(<{Z%MuB z>EIl_Zn+#h2|U<75kp3IfaPNArQkW#jk5t$tyvvo&vuYjhE>UEW@x?N736Y zTkbPGilNT$VxPcu6to>12VBr!v2m;5_VahcNw21rDIblwisiL*=vA4poiWB8uAOjV zrVyAEg_HOcuEbiOBE=XuW^Ql5U5b9;?>D=Jm9{1~>l~j}Pcahvdn#92pqh@Kip7S= zHq23#i+!L@EjG}Mlas!OhQCTxo~3dbD& z4li$ha_b+@iu&@Tv27f0-ib%Ak%r@ggOE5E2y zc6+ivL-JK6P0IObsX4|BJVQ$dklwHRAY&#G4~k?%?{HFb3W&V2l`ZP*aq*u&ITsD= zaT@u-x4U_t>lPp}aFU{`T#>t$c4P0wAw{jFQTE@8j>4PE&nVl6x=j`g&3A{N30mWU zEryfrfZEX6&PRM+)AYPH-C_^5>2LxNKSTO^ec_Lp=H?6*EQYv(UoxAUh?@>48$K7& z$1?|PC%;Mi?0P`;=C5*QeUl`2WK6(r|!v-{}6K+nJ+mn3_T!t88q0+9dHy{@g#C!8HORuiEu zMUa`9^#v!Xhfa{-yrJ&E;B>g~@)fhEN9jiZ(eO$L(@OJvad0850>a`EVmY1ax9hzG zYuxk6)Z|##_{9@^?c282k`L`Ojhy;yVOP@~{Kv65?T2ktT4WkYTraRYgE@%h=LB5A z;@g7{slch#>mP`{q5u;J{T5^2$t2XXOjL0!GrEyz_Rsv(@`|mI;tdGn7AWx5pK5B1 z?4ow&=)AIKA8I~jZ}(*KG8-A1KjDf***bHJfQyUC-i&> z_zgO=dG1fp*N}1x=tKm;gKh5?`d|2&c0%;~B%4t_>=idf+Y_O3j|T&oVn`Z@>hj{}+O^gGlqiRS!q$UZ8^lVcv)`ULXc<;5M$})2+oUtL zfG5Q6#x7QhN!=RphK&IoL%~ z=@8#yv@MNJQozX0Af=8Pj@1qfQ9Z|15!v-3%-8MC^uAC}RG+E4Ok9o~QqDGX+3v3R z!LEn475r1Axc956WEIOxgcZ^5<7LnX)GqB**#4HSQ)rYuSMNKKS-C~!t=RHDjO~3@ zIS{#J$$ZDKa_WP^^K`@38}gnbl^J&kT+a5b*?qsk;i*r?mXhB&VnH%p_M1krse5-# zUgmEucR+IkYYj`J`okYzrTWM)R((tgI=yk|&kAlj9?XQKqrR=JSex+#=p;=|5?HGf zlm$HNHsoaVu=fQWTFn^ENvW1Q1sqRawRBa(`Yzc@dIt+%&7I@dg?<=pa$g8J$H0T; zp>VHv0|L28h^V*+S4ptolNyyaIVJ+OL`Cg+t9dZf!5?XwF#Qah0u7MIz8 zdYd#t_B?v~r1Uy}$F!b$p~g24^<-;aLuYgz>H$Z}^yji4>NVE$NJo%OlcE{x__2)T zg4PtG;r}R+J*J*E-K^8F*LgUnqXpe8O-$+ktvqgr0RI+#5bNGxaDKa^oOzvPyZ?zK ze+AQjyuH~ZmvR_~<}gIMVupJw{z~v=rpCKxzDFGY61QHpv+GoFvmbar{hy;zalG)t z&aHZoNa3bTif!2IQJ#*RS!3wzl!ZaE?JS)n?DbGinvo?fA#O|p5%*jC=NB^sN8h$xbSow2*T@n#oX^op+MTYU0%SS?RBrs05{c5PqDGY=d z3zzcZrxEnyDU=e<0t7$iQS;gB6Uw}=JKe4Ax7aT2eIiJ2F(z0|2Gs8XZRc5W@dK{X zK&+YMtQ~38DP0CVt{F?UuZw#kvo#FH#eZAq#vBP~JCk>0Yp{>o8a}dW+dy3yZtb zuDX)!Q`ToXa~L=$s+f1_>8emV8(c9v{B7Nh{NomZ3@;R=@7>xyy-6Hz;+*OK?5>ir nUO>v^FG*XCuT}VKeI6v<(5cx{Z^ieM1OLBq3Wt?yw~PM;IC;g| literal 0 HcmV?d00001 diff --git a/address_decoder_html/fit/xcenter.gif b/address_decoder_html/fit/xcenter.gif new file mode 100644 index 0000000000000000000000000000000000000000..dcff6a3f26778f1d9115dc1e535c7740814603bb GIT binary patch literal 868 zcmV-q1DpIuNk%w1Vc-D;0OkMy|NsB~{{Hjx^Uu%EmX?`}_L( z`uF$u{O*?h?U(lU_R!GK)6>)W`T6|({QK>c{OyzC;^LT?nAg|W@$vE5+1dQDt=b=H}-6 z?3B2;xYgCwva+(3m6guU&e+)4(b3VDmzSZTp~c0;zrVly?UKH}zUAfRsi~>!>+7ed zr^(65-{0TL%F3&&tFyDSpP!$eo}QhZo%`&T@#T`avyP>tpv%IJwY9akx3}l#=bM|G z;o;%T%*?v8jjyk-!NI}H%ggEAj^5thq@<*on3AHRqPn`e-qMZO&Wx+5jr{DEyu7@w zuCCkL+qSm0z`Bv8qnE_Q#I38GpPi4Ys;aQCu+PSd$H&K|rKP5(rl6pp>gwv`)#Xjw5a1eKPTn3xW;bj> zRZ%hnG!P#g7a1!kDL^0^v7^V2AVZ2ANwTELlPFWFT*#TA-}ivu6dWT`SOm zTek(l;~G3j_h3SW3i9erz_)J#34kRa7)-cu#>0pcJ7lo4Cxskcq?GY7M8}OF;|6Hn z%(=7Y&!9t#9!C>oFt6t5zwd>cgW6L)EptfxqByvO8&HIDz7cV#nzi?s3j10(E ztPq2OU5YF2KtQPgPedGfEIQOv0p}jR5c>G}`&YIt!MOImQ u9ts#xAULuA{{RLk;D7`cXyAbeCaB#_vdT()=K~H zl!O2f|M#W;_pSf$rT_1o|L?8;_q6~300000000000000000000000000000000000 z000000000000000A^8LV00000EC2ui0H6Ry000I5U?PrWX(Xqi8VHNLFcQo(Vc&$J z?+?@W3Q#WDX6wx>|+}jFV4@!o`utRf`ZuguuW=x|&GPL7jrtL%3+AXQ@6HA43isDGZ;Yj{W-oIorjh2(OIS4w{ka$&(%h3rU<9(Wcd_ zICEy5C09|6UMkN&t(5X>T zL7qQdePj~iD^Wg>B%$VwXE&M3ltq|X-L&-*AR%41nG$P41&TKhf5s3>(T3X{=)86s ztVy3TNly2Q#z#6Ozm7+EZPJ?Ya6y_s_%P0 zHd`57lk;5yIb9XQ`lr={@d9>b`f=Hkx-ncdM9D zGR=g=u1fn^782!F3wY>cR)%4OVZqP;FTs4Y-g*t9_ntGSDF_X8)~NGIO>o(i#%wA0 z_W~S1O;nj>JRmfNb3?4wOgs%Xa@-raX-!NU9!koPVuc7!3lZTK)T6fWfzTJ9czKnlpDlsul@Lk-%#D6dBN%-G0TL!+ zGkvAsheZ14P^&<#xmF!*?LdJ4Vy%5KCqKhEi06Y(-Pm5RTN1+JTs|U;n2KfKM5L;= zLG;kP^v-+790Dj1fB^gUp;3#{oMsQWSJIOVt}7L>qiU_eRO(C`qQT!PX-4!=0sr8?!mV`>e$mk4$pM z0u%tU&_i!*vauZbX=jWq?@CNE_mHa~7q)&0Q!YP%vQ4wj9{I|}2_T(x$VC^BG{*xx zsfjKE*D2L!Pw!dWBQV<)>>x3P%3@5M_%`dhJgaelPCf&TvDrhVE{&J zTXk^Zu7&ulERY$&>=xjb^WCmv3arWcLgv2%ZsKw616c|2x57!Bkc9UWo&XB~Ecq4S zfDLrtE*{Xo12Uih5CmcYlvSN~9jRUi$QbU1X164}zW}-q0Q^H6{qpxe z82&F3@>`({1t@_38`%(tH@sgJQ#b@5LazWKR94TzhQWCmAY(oHPkVHVk>YKy&5&P53bF8 zMB&CIs3@oxjk`ayU;v?_3JQPmSfbIhs0wn-PApo&?LrlyWKuIyk zL`F?Ac!(19cndw0!9Mg61TAanKL(01k&B!}E^E0<_wmA)@)O`M-AA228m$1Q^V&`J zz|3Zf0~Rw#%M7HM$5V0x4eJ8aju!aJ_ocA_Sp=so7rBUW0#KbPbY&VPnL__9Es)Cc zkQwUvx>3siL0SR0SU-~nPgUXqa9SZ~A_b_;g)Wqlw0!9M)M-3)S~7mPz5JUU1~*;sS|(0+#w}{X{-+QfqV4iXk?J&iUR}yqdUHQ!xCIYINU8ykB_%;Tr6L30<(>CTQ*k=~@qXJ5-YKP`Mm~2gEgg{$4u^87D zUY4%^DU{_F)3^)C-cJnK`0J_AfJ7v^Kuu#Mt76ScGB^>+HIVHrL~H6&xr!6B*_CZ9 zxjRVNwogoI<(gOZP+rsC=CF3TQlJ+CM=5G-+}Q{Puz{asg~bxmgiCo0JjDpE`c z>7-X502wruxL&v+s)CnGGC!t+6s{#!H3&HX4|@}lwnYSPQ%KPiI#9!k^zeJV*3)Gb zc$C~h@L0>LM?Yo;piC1&Tcr!jkmadicVa$Hz2%2jiarp9Jx5o;;Hs2?kpI%phQ zoW}CbUaqnj>l(>A! zG}pV1whdOg3#PGD;m>ZjvqR-&b%T!)kU&7KZA~VlusY6fo}{t%@doqK7r*$08HsJ-5mo;JtI1ZRBIl~7{ z=!9u#h-?@hbQfpTkr6J!ejbs0b!dQ>@+S!xVKSC30LE1m6oI{%eZOdkq}Yb!@f+As zd9LHN@(~i^9?6)8fdU*DvK6+5AAr@1d6W@0rZUbBIfh9iJG9 z7F^W$91~KI%XgD9LXa;)jwnboV4#%`BV0trgxM&AD|wXOC>|%Zka4LXmg0|k5s=Gw zhqKt02_rg#qAo006WExN5Gjg!8EbAheQ+2kmiQSWp&kU&6jW0h@F6VJU@HyCZgN+b zyf~C-cz;lM2V$8JWQk2fkTBfxnR+6XBVjjw;doXlAG}~Qu4QYJM<#>#kdeuameG>` lv<@8D8OP`hji4*0*O_`Em@krt&IdP|7?amz5WNus06W;n7d`+0 literal 0 HcmV?d00001 diff --git a/address_decoder_html/fit/xml5.jpg b/address_decoder_html/fit/xml5.jpg new file mode 100644 index 0000000000000000000000000000000000000000..8e7a8d708d20bf951763ec5e437910c643b8ca4a GIT binary patch literal 117992 zcmd?R2UJtt`ZpK^K}A48P(eYYH<8|nh%}KR5;{acY6PSkS}d=iARr*UN$*5@C!*2` zy|*Zkgd!jb#Xv~r=)M2@-FIgG-#0UB-8Hk;Y}P6J?6c2)vd`Yn^Zb7MIZ&sm-$3W? zYU^o(Xim_8bb&t*b@SXKV^=RfFJD)$C)Z?d+yp7!)-#~p1pQ9ue%}G20sj7Z{8L8! zQ+Ddl9w_~Njqc2!91ZACZJ~32a%X^g|41kP)Z6=$(FI95I|C(;fj#}7D>VPSl9QVD zuPf3(2@S{!*#Fl(a(}K!{EsVt+PwheK_I%{+n@Z|-&vLrVE4Q2XTW9%oB~IG;FRSd z=n{yA`hV676p)a%+heC|fj(}2eomg(9N^b99(g%9UHezx6kn4CI)}OiV*XPAdIUTa zc-S21FVNXjr%s(db@ue>v*#JlFrH^;IeV6c{Sq4+I~&`j^Jo90e;)pk`QPtpm>3zE zn3>KoGoQP_%*=e@_m26(KdPMnzw!X;C(!v*z%PA9OLHA`;yexQc^c{h&?~2?UunRA zlqYHaBtYQbi57VKbSLQsU4ebfK6DJwyPo1PaD+3gs zr={a%l{%?$-=6Kd_j76b3voGBxB2+lO*$N8WPM(YXfg=IKlu55$I(}gBe(jc;GG21 zM_Qlr{Nyi=LYABeHGh2>^Is-v3%$>ORh#&Ad}X(wu5$vrXXYFbo>W-hHHq6-&@p!j zj7TnO=$^tKoClpa0Z2>tMw9=q&A@HqV2=pgq%f zl#y6UVm6+xeMIL_8!}j>!=f~>_ zT<>kXAM5n>nV6gRjg+x&SKmb1i62}a92M*9r!Pr*D`yuR^DID%ww#B4)gc68t0SsW z`QK^halTa0Y3Q05rG+DK+DDU9`jKqgho;n2wk`Fn2-wx#a^Dm*W)(G(Utm7@`1<1` zVY+vtk_g<_=*lkg!X9Go^{*z9lHg@qX3(UKrEi8NH{-Fc;tw(ghZ;q66pxLS2Va>_ zw)m`jZ6eXPk~e!VrgG7X{D{cu40#xecV7{cNbqQh>lu6TV7uEcJ$<9jx+K`t*hiTsPoY!e6Sic zhYGUM)8$F`*Qf|RQ2&HityMXz`1^qX>_x z>3p7_cF&HK{3{m^s?k)?I3+g=Y_e)Le;9htxh*MtbaeeWe8&2+(>1j@DriduywoNT zV*mZ+^~erpj0)H8U%|f)?IsKEh){<&2p@eagR>3&r=K4&Ps*w?rN>q^Y&oi#Ki&&a z*nR6#KshgH@5E9Q;WEEJytF|-N$VOrGUZXhT{%lh9y@lSOazsw{c4y=j6Y0G-fSD3 zi%x;r2i7Z$*)62cZSDS`f+pVf5xsrZePR7|6*Qk)YV@Pts%IPQF6_=?@KjJT6~tqk zSjH2`p5M)G%k~zreh2z8_{ZhtF{brExpMIv^rczQ2GXaa8?Yb8_KOFbfvZ$dTHaU* z8zY;1R3LO*yx)x`C$=>0+v{UKXiVP%Y7J3#4&k~7+OJxWp7z~OmhU>(L36Y@tkha@ zKJOVGa`wCM#AM5pJ{cUnA5w-m@iI2VjJKyL;5_TJvXKa0WtT? z?IQUq9h+L_ss`{+s`7QZaXDF!pX4Y#nZ2Vot*j?rM)~Nt+*HwA6iuvsW+VHgQY|_V zuO!<3acR!h>?5cjKPvge-tTJrrU11e}u7Uf~$N0YxbbD}Gu<(OxW3VK&`WQxcI;}KOXZ4ZxsR-O)U z(jB@y$l{y%nrqYdC0&crd=$9k2hhSVIe%x$Y!SSYlY98IeO1n2lhn=rzuo2xg(?5al`Jeul?DF;)8J#w8ccVGoM)I@M zpi`{}i>J24Sgb`)m{#J<+NR4o1-S;+ZDW6Qg_3Rib|DrLz;>wC8O{GXaP@#CU;&d> zyR)@d;!)M$xAya9_LW}*x6|I|kt1FVr%H1k9XqW{Qb$JD{p@WM152R#P21IzQVp}@ zV7=%{$2SstnJsJWs9)gSyZ0{LE2M&S{krHCLhHO*+; zC``PsG^g}}ChY=wpY1W1&5rd|L(TGCO26Sj8>OhorLcQujql<8g!sT3VdcWcixUA{ zFyFM}q-K$tbny!yH(r;Vrt`1kL3sy5T8^TaQFKp>$ zxSVf5yjZ8&PZ7jRBximx@DIZRHeb!XK416M)AJbBTH=Il8)Z2k&77Is_h)6Q_qKsc zWUd%@jq=8DK=xs37cVw8hu#d;4p!JE_m@*a3|5QH{UyHjj1v6u0new+7AIO3wzVpd zzP*e4@m(prHIp~3?x=raO-`4rQLl>ju8mwiv_T$REnDjW`lh$fahJS9?jc@2J zTJ5wr!UBf82;lS*zpILBjSx91=-!E|IiA&l!B=m1?I)$?De(YWKxaI-*%8Y zahYzYX*PR9r9&?w=~BLKoU&Q*`(Mpq>gcMEa8Kyenap&{&@P^6fBTn~{sk?ewm-^) zvcmhJYeD{0ko>C$o-Sn%Hr8)=wCC9#24!2P*{(&U*{xe|SX;LlB-K#nE3$Hj8*+Bb zkV-6hyMrHX@DUvGJKW0+hi}dM-^hs0;2f^g>2PFK@^;)o1o$pl8yX7Tt@^bYOl*D? zpvcj9<&7T$D`r#<)j|bnQs%ARf1I4%ko14`jO9MgW|fT3UNp=^>4rc;Qk|rqwk!nb zUPvqA_=am#abxCJJRLhS`RqskwJv|K7Jj-rVe?ur!X_A@v#TCUj2u+CLcUioyLkf+ z`eoqHf)(bl`cY&bTC}?uSA{pzi&NiVRJWgvxY20IB$cl zKjB_UdqtQ2DRAFQJ>4z|(`vEO$^KMuzEA`U*WI%Hh|t2xD^a>miM6I;eht1~LKSA# zRmS%e9`Aua)r{3yR31u~rComT;bSukSLQCykA2kKwgYm#)@l0lK~GgQ`z@x&t-)e} zMa_MfmR-zGc|=Fi&wB|E;}y0FiI!W4YD~cq71ZlR7S+fu2&~5BVupx;WKs5dPMhtC zP?LL|j(#99uPuZG``dZKcYV6L!n3arZQEo!c~8teNx>by=ng+`FUX&C)Fw1zO$Al` zxLvc!)M(-(&JqZccYGpYFS!>$1u1P&zRXZTr`|ve5k|#QZ|!|8Iau;f^VzmX1O4?O zoE)6)TI62{_qCQ_6ZcwElUxierGk#xV-LB`wmafX`+v-QZDh;5| zOse_gNc?!2!sGt^ps>2^9h45GF+S6y@~4P`IZam{B=Jq`C_Ti5N0NV<`|3qVYVFGw zM{$4r$TS8~uucVKlb2@LO;26NB`7mh>gg@qDl2;Q3_opm1<&K^ee?3>^z1YS#;)Kc z?i=XT=n?jp>Euv$RV5V^1&jyt%aHQ5gBocn$iyWyC;!&$@MeWGW+N=x^vRd`&8@!O zef2;Eg*V4HHn(SvjmRv!ZX!3?uZVsp(r6cC#Ye5NM!lvR2rUBY0?f8M0b z1RMk(n|UQ+xDFbAP_pocJVS__V;*Am&l2OG54_vM@f$j$KY5xlvJpA!Dpn3$(>i!k zZum-21Z>*MsNL#Ouyuo1o6Ov!8F?lPLC!}kVR`fMX^$`1zW4;SHh5l*i)+=7z;8ED zDwqe{Pk#PrgHyTvbEnqxWHw%^1yI%<;LP2L*;LnVSN{*0i+H8V%(-KX9(sTKP&kl2 zw5dHWqJ;Lb{B-8x^eh#mLjjyWI&G*FQPlo{)Xtam_7)mny4KoEt3Fi15uj))E0;MA zHQgTT=XvqyVYJN9CEK}^%{yjGy-)2`?$xU&WQ>c8R?Rqqn(F6ICv$9i;~*hTK419x z&N7Je>u_r*ewnR7=0X)%_Dnz(24L#U9~}M<)Xwk+z0=TusQ(Y}^(_FZ{sUj1_*bF@ z&S>d?J%9)4ey5Z4C;udRdIpA53=H(APoFsh;PAgE8d_S~lXNFf)6<`3I&=CA6U%RW z%>tlwApP@j_8+;w*ZWuQ55A^}KJl8C1^}f017F_<@O3UACd0qOYY^Q@+7t9Npnu|P zK-PaR{X4!s0f+|RYkCG+V0Xfo<^=6|I?zb~U~Al`XR`+Y_66y<90vA(;M?yq91cJE zeO~McXv#i_&#iX!{VaD!C?T&#{-Wt4zb~UprvzV~K6B~vU+=ZF^J~qe8#bc4T1EothVStE%m-?efNicBkQ?wegd z{0APVJqdItJ>4lRgg-FbP@Gyz~AE?pLs*Wwg<N{r^f9MIHWsS+-wC6rX+~%OJN|I~9}>L=Z~y zw?>Mrp)z?=o4ww*F5qFx5}k!vJNi%d&1$6M=nim_S^^Ad%v$Qo(xu%9)jAewiYdiD zOrsO)r9uk#U>vmbY96b@h%UM(EQrm{z#VPcb}2P}o`U&f9c%<2td(*lhFP?m3K9VP zZ~=eh0794q>svG=ENqUgVv^J$L~y1YN{aMs9`?kFlx{d-$CXmaG01~7a6b%Dug!44 zO|jFQ_4BtZB7fJx7i{B&unLJ4b!rB3+p=(@UW%oc+WNs5nxjm;s?4o79x! zgGz<+@$6~rH4p#dW&WfjGLS2J#76)+Oyxd#khq6WRxKcT2M1zfCGFOly)r|3CH69; z*L>ZZ>6G{CzF75M?-gg*IB(5-#xmdei6ZYxDc1m$_)fX4A&Ux9Mu}{zzV%yx_HbK8 zpgFk*Yv_ zHx)$j*#WD!4mn{rB>VA%QTHs9;gF5|#d&ulrN+@ZMdY>dRL8^jx75>1XG3pmIdkWD zLBh^|E&U7_ar`w^{0a}L*JzOE#=1NKUe=QU#}FEN)3h@Mmb(R`6*BxtjtU$f4_U=> zns*l;+Pc;`Ex1A+Hcyf92Pu1!{VcMi^gc`yN054(BPp;5K6Nkt$O6`L-NOhywP<2t z$=bz=6RJM^BuDe|$u;klzBZo&icbQ1mI22A*yTikBoKC6jCkKlBKeX$u&2tAPKnm0 z#IN$w>19n;v(gTKx%0-J6TN=^)yaXBGMaHP{u^rt?87<-IPt{By~dI9IxlHkbj2m7 zI%)Wx>Z`z$wLHe&;3B_!J2iTjo_2jV6g}qYgi=TT6H^k;lVSI8BBY3rP(`3zmD(@*z*b-x$xeCL;WdT#j< zQIq1s(2q!*vNIS%s@CC{5GF)iG;$*hT08cw;jcq(o3ZdB_|;GCjrC6pT$%VxB9?za zBWxfq+@Qo%M56LRN^29gO~{ZaFb8iiCxl1mY`DQ(#a9vr51FP?YSSazrr&Gr=_~A= zj*V}Eocfe>rX5BG*prMgfY`xqONTwS?L=V9V*5&3hl*#`0&aHudsiCZdqc{KI%y<0 zpWk$K%)4o)lX}gZJ;*2#m;=(+3D|60S(xke;^MlUIT3?1sDD%7_Rd=TC)}KH*f&W9 z8E2WX=KHkXpBF7)5#hc=CyeVW8|DYvoF*4Vpf^@}{k&wli+gc;sx>ETRY~Km`p#NPG}7K8m0bQiX%@Na^9ks31{FT66eU zw{FPT?j>?JzvzygzSM(9E8$W!1KwNAqTxgg85^6>`i2x$La~}B$)K$!k$EsX!{Is! zeWO@|!;}`{+=6CqzJWL5Z1iBTS#)po?aDpq)7gdfNjvM!-QMz>{Q?@N;zi>+fyy%^tDUfi^JpxO zlGQB5mIOm{yP@D7eoF`SVTP015oHy*g&#gO+cdvtm1cNg`?^r{0NI~Cm@OXW;)Y3? zO-|lzb3R1{iHCFsVlx9~*JtIkmIz};HRGw}OZ901iQHVPpUtC^N`J0F?C4R%G>XLp zGNddY?$R@RyjX=KQ9=FOI^*Ix}se$GhI!(dA??{x&l5N%+f?alQ};e$?6b~kdN5fv-+yv`5;tl6SG@56|IC!s}uN8!@?@E>&TyI__AKKJDuW9Bq-}XYDgz!_f6f@ zldn;V43%Dlu;BPz_riFGcP|o)ar}SfO zYHMRIcNV-AoE;VYz@>3oN@u}1k%xJ@Ok_Ny1Y2RZPB}0gF861wFu$8{d%UReIltR( zZ)%#p5+iM&ptzo9Ri)^c-Pm4mpONIop-!5tWPclj_SEjx8&h{i_Qb@5Kg45ndeto(Grd3$smIT)s`%%FZ8hd*nA~x#=;g5Hm0^C3w;a^p|uC z_1M)WQwzIyW;44ObTI;YV&6= zdqdep5dp%bE`cN_l31}8>?9S0HBUt5R*y;4T07xDw!To(vfTXdSw2& z5*FNR)Q=K}2eDjpwaTiHi%67Bw`J6>O15flY96UgSqTq@3EN`buD?CDlG^cHjeKi) z0aR*^Wg!96yw9tj3X*Z9xD(_vOW>NuqqU8@!BJ{F4_a!fP_-}iJ#N#r)r4z>d)*Cw za~Bd|4!pdUU*4u(I2Q7<3)To1hQu2d9TGv<1Azl30LDmEH6;d2uXfbn^>) zeMQ$dLtFcn$P4CgbdCNx_aSnr6p@a3M+|cX)B+(G8tPWNEfEG?qdXoZcQ{>%FWAF2 zq}CRUoqB;aaC~H}=V-QA3VG#i%8?4p*j`{eg5!?C=vn40OhHIoC>B$)c4$}^kR*SR zVlq}Kix%#6@p^KdwZrl0@J?Ld+sIgUlOr(c%QmT7e$-2~rfAjN$J!F^-I^_a8tE>B zc6oT%{VP)LZ@xl2wivX}vnYNo$$ZTdq_R{=k-Kts_3!}Zpf6^w&VDA zj!rwlw8kK(hd0JQTB|jgRpDH#Oosz*o;t+)P#-J?={}w2y&vN zSGpUc)neL8>Z^(^?e5-SoYxQ_UjD4BYjz}isgwzUXQ6_4lO$zst0F^s0l!a@l-$qx zEx~-;iPf#$a~yoveW$edNe3tFqX6><>2r$b=tT!x(@AWk;1wfL(snP!u6}}V6eV3* ziEPEHO_qfQ!u&9gt0o3!uQq zt%-K}xKZ$zi)Fa|wm7>*BRL*9ceMMVE8Xb%{7Vda?22W!% z#n8&;sjXv9*x12278z0?x?Uz!(E!@8S>Alnn}DnG4=uTvWGiVn`C&2yS~R8|cjxDrZ;wx#Y*B)by0;tKO~or1cC z6&ba0-ew|z4-tirm4bT_xwJ+BCRt9kgA|&(Zl||5XEIWLW2<79F-5h*UiNTeq?#GM zzV>r|wzT=#g(kXi??`0BFcqXy5t2vR!Q0+GAR3tvxhRhoR{{9Yd@}Jz;Q8K_jW!pZ zruvqKkEx47Z|oxN8U+K(LxaWxN!iH?vs0Nr5ORFvEON(qNDcP8 z_nK-mC7bjT=7mk4xu6uCr+<0*b!&LIXn65@*{~m&7crT*QVLEWwz?6^c1GUPsLOVB#y@B>h zQ$6?dItezJ9EeFD{|_niiELsd6j0l#sB~q+0wn3cs(wt)Z5P)-WWjcfRF)%K8<2DB z=0E4PZwMWa4cPZ zOjl>uy%g4zTfsTIr?2lCm-`kBlz(7(4Jz(%EEL^G_G5;429eTX0sYwCZF9&-Eii_V zG9)f2VL)E$)b6%~CH!u`MMHD^IzOFSdye$yt8)i04ApdV%Nx+yX{am?6!BdRTugtg zsDY6DYwXsr?61+UdRMY6#zXQ-*V77HT^`vFPC@Ep&Oi7Xy#yg1ycZ`ENeC)P z{Zk#0;r*030fP%YNG|tM9V)h7t85;R@joRl$^A5qPezYDl6~(C@!RuKHyeltG7BZ& z3WOynS(B2>;LK{~17X(W&qP%6%0@`KK^1Bc>Jtm#{RqcEixS z9T6#a;%+zirsPOlr}Bws2uMF)*Jc(?bp>Z+XJ6zFX(AY+@WAD~uNCAq z(#v~U_&dkvSJ#6!3yxqMZ*(0j=~%757`vNRA*~#cw4-1Z6rN8NMH0y$qR%OZsl(VP zf$rQ+6Oy$r1;)7_5{}V(Q}1`dcW%=}{>r}3uJg6{Bi~70Df9KMm;NPot3h&GH4d_E z4qIJ|9_IJVa1vXO-dMS6df74Gotk4e@cui6Nl!e$u>h7`QueQLxnr~0&&$RN=Uh2_ zt61RvUT$g|ot(nr^Vb^U&6ij%XnRhVeL{$W@uK89JeutmQ6beU1hsN3Ghk;#h)t33 zix_Y7Fk{@7?f1Q6mN56kitp0$xkhlS!_v!e(Y=1ekWn9u3c5K*(lH|962Ph3UJ|u) zYQjAo=;Qqif0&9(X^gay^V!v+fLm_u(`ADw5uhosrJ+ZgX6zqC=@ku;YuFmWd&2UGhF5V}sKoh})x(dHdu|Z6_RSi72Up~k? zev7eCtFbw<=BJ6h{KmS8#;sBB0=-TP)XEeYTZApbxg_{Y1lmmb4GF>)ts~6aiO}R5 zm4Q`bwdjB&&6uAprSvgw;-!j{uO^h^AP>Ok*ukNGDBv>pfz<%*aCfcVOx-J+04!dQ z*Y<2x+-0n9s%eE;?j~ow%3Ti15YQY+s^vcmNq}1ur+O7?rr}U@m>Ej9^}f>5BqM2RCu5$zHxVSrW}9FeOK>Q6fKU#trC zPY6~JuTMprTv zc_sy%a}RvjdzbBG=72df%Jt6rit$p(5S9wU6=5;Bg2JLu5*#0__Dc@SXG(v4z(*r-Ek{o;+JS^8|x z8##fYR_hh)&BYF(w{x|flQAh+Qg6dy5Nf_R)26fR>rPDYoJx`@4Y+JwD~dLLVu zIY*lLnwxWjdIg`h?(EI#b6v(|-OCRmix7#~+3hCe>CrmLn{Jaeu8XFe6}VU|=B9gm z#}(zT^~%wU$xl4SmF&BMO@zO(A}=53M79}_zhh;an?8EUxIv*cF?yBd90ZOm*+|pp zGr4DNPA1)XySblgUP8<67BYinZ>+90l3qKpVJ;<~`MBX-P_p0($6sG_)+rxMOd|<} z)1~^3q_~x<`u*xIMCSw?vs2F1&8B*sQBuicpzU=rq5F|-oS1<{ z^Om;8k99=)WdGu|9RrDl_vTMjhqV}A<6N{HdWv_=_>Hf|h<3Y>$I0!HRFKA~J<&5U zxD5UQgG{d72yV2~uPMCa)bd@s;QEELMz{M|Y#`7+O2BAHZw|@u=s~!o#D<;wn8%() zNCCpz^WdHAoAs2r(Lf#7zBp5U*8G=MotE^;IItwl*T#Cac|Wsk$n}lDxV-s&8&y4C zCvE@elwyasG-|FPQl=Y!U#M!;AqEosD9$iw61U4oA{*RyfG6>=Jr7Z6BiGpcA~@7h zw{-+*AJ50{=cWC#Sy4s$`CX}8WXfYO9#pHSS|Rkl4x!eNDx2CGfd%vFUzl~75WGW( z!sxpcTg`v*d(_axX7}d)WGkoC{zQsH1xbP8?Tc1`rniTV1I*t7GEr7iJq!x(?^CyL zv{-4K+G@>N>5&DSc`~bAo9Y|Yzi)QxL<}ndV(Nzif*6m5^vS6syyQjeOc{b1Sh)UK zH7Q`hB@N#3Bvoi!s4z8+VY# zg$~3dPc@h|yvegQaPwkc@07@h|J=2{uH)Gqtp5<1sJep{Ry_(X zoQ1#aYj+_8CT(lw4hd!#!E4YSxc>cAPE)p?nkO%0Ah|+(W>T+=|A>-#Lp_}%SNqb(B z2Bf-RYm6AiRXHGsBkqh^#X@%$&rrY=nG^dp>(!dYfOh0+OJZeTdo*!-b{7xM0a8c$_4vt`bm~xm{x!b>cr^ z0dS}cbHPt@@wgeOP6{(A9&pWJcjFG57)rSn0M{AsA>Y!b~>1ke3Y|cp5^@3rVO5} zGg7{1D=*k4;cj{3j>3*o$rD?yr6VDBXTYwbh*69FWWsps7#thwawl?bkS8%M0=rTl zw3Z&88K_?6SlUrM_c|vn=T!}VZ63t_daWu%{|6Y)76J@Fr`Sy7c59h$(Og*A1~@$y z_8Bh38Bl^v@so1R*!*?4^z_aqicL$kiAKwUB^iuA-HOM~vGhmFn8x06XK97yx%I_Yrsf^ z^rdey>n)A8J@6ByOjbBVI!2*J8x&x{NEY3)>b%HLHVGv^A zYvhg`v1+f?qm#BKrEP6(O?WNclw<=nS-duMj0{mRc0i`> z8Id|r11>xXAJ?p=5=Oy%VKx+V5_n)#f3LA011QXAFmna742f8|HnT@%I}gLAY#^*C z;tNU$LMjaA9!IL_&w@q~Z+sz!ckCI6cxsW|NYTY}c5Ce=yL_ySa?kRkhTJLJ-Rwci zz`K_r5r_jkabZ5q!(d6-=-{3-p7dHT@U&a*H!HxyO)wmjNPf^Dh&GZ-HuAauK17-& zvbD;L1z4;73{{8UqnLjwhL0IE0Us4ug+Z9i4kwBPXQ&{F!U=3+UDY0+*{;9Q=qaR~ z>;v#M_nbr*9%3X9@y((qFl57swuN=&?pfB^Mn?UOK0F?-CtcsH648m}*Vd z>SL&hMXBCHlb7EMMUOClw_fdcfoHrY>SU7J@EB5O3k$z{l%qcmHNbT&`Jk$?F^Q3D znjvY=`hEEJsNSVq^a;INb*0gru*h~>0uLD{lv1~?)``y^KKQwoowjI5&Zr|`-g#E0 z{_qQR8RDem<wC*7&xN)k2@LFE&;F0GB~a?* ze?ozMM-UUj`~hYIMPA0>g|f*-0ZHmkguwTE5>U;Sc)3JY+$epL^hl%V=dwn%Dsyx* zo{TNbp(v7(gwLJ6SjK3!p)%~yU@+5dvEamXgC>GjciLuUML|9M+1VHJyhZ{}exf?8 z5Eo@hG7kA}?-+dN_$s!-g{+60At&>^S4GNLbxZuP3mfyS^We}<8L)qyZq1b-S~J0U zy=*9+19FlS3ScsUQebYrg9272k>b*jHH-U2k^(RtLbB4@sD5I11<;~5kQH1X4ul0c z-bM3sI7wq4?ue`;4nD+!5vh~DETF<6pg?(EuNC&f$CLR&!y`v z!D;H#u6JG3dy(Zjkg?>t5@#ls2h11;xcy_qjXa`xve#~#%K*iOAYX?Y()f@xcQ;{Z zs_5RRS+2>bc(U-oyq3ug@o!S1>_M0`gsLAxBn-9+es2|#xYy*5k|zZ%+Yyqgc*Y+X zjV}%!PWEjWHN?XjR}KeG5JWO9PaNvJ^U=6e_BkX95Z5cmrrR5PWGglZkWhB8Cjn_VA<~cy*FvKS^5agg5PXv&7exM@vY*zlU@osZ;-nN%s~$)!S}M{z6Dj35mvS zf_IUL6v!V9981_etP;v^ni7=Jiip7Si z+`{Km?8SsKUkwnA)C(($z7+73&#R<9a&$YPJ!qXi-1B3!rbUzpaB+NK-jEzgY+_bP z;f2OA%pC$MzN-Kc=r6F_ZWo*h)vC+H#m#=3ZuK={koh?rTYX{)`FJuU6`Oq%wrEUL zugcd5M#7ob*NJ^W8Bs@bB3>Q>)s0fwr+E_-BYO5|+2P!oz%ObdN#?@RBvV6B*iD`O zW?Y1K`4D|kAuO$~b*v~wQoEpJ&Q`Y}r07NPN5S2U_vS}5?i!Gc*)SuDwFM~&tS}2V z>|>GYz^QD@Ym|PterHlnO-eR+t{|sKWb?dZSXbgkyJ)X!I`%40PnP9qNC7}kR8k^F zuDd=)=wfW$&221aHP>wy`(+87RXKsOTujd{l?ncRto{39(4U9`*Hp_O)l`DFMVwIF9q`>||{5vu#5a#WRAib)fyc}sS zk*Hm}QsyBy)~}=&O9u(h>;*`KRgF5{4GZJ{+mWW9!0O!v3M)x@cPWwN!BIhEM1@na z)l;4Wis%9Pzg6%p|MIpv54XtxcSK%hN!r^C?zcjw?^*02B;3t|43TH;pc93SJlvi~abnM|%Nb%CvQvfm7vM7=#Ec+#)!KG}XLsiq zM$Sxc4EchSBz0|*dU;4+5k0V>A;vPOf;+HEC8k4Uxlhz8D!>A9369=ZwCNDLYN?Oh z0uEMzN8X;@#&sX7BCMz&A?Gcgn}>mvNPs+6ETMuP#MCuFNt1QRBfjoqMi?sncU!I$ zBlNtwJ?c;xMPB-_fxqgC_*Mr7h7`m z#Fl@w!Yvf1XNkl_^u$XY9|yh9bj>k>UN5xdPNbGk?R$ov{mU10_EqGy&7a=Hhgp0k z!5j}||Et%jBZvOa&qQ6QRN^;WE`aFqgp1B;N;4a=mBy5tK94MUX7S3{GUgLgZ>RMm zKULei3RX9B$lhkNO6TaG&Oq9GuRRO1C>;P^?nBmFC-Q&Li#ClcU(UELpg>@Gp!f9cvz`2^o{DLL zap*)?BHUh%pRo9>89jrV=*sjsH2Ia=<1oCZnq$d8ZT3}dKkkudKHsUJzZ{RE(kl-0j&&)?vpy6PIP~qk zw2*6!jQcv;gTdiygY5LD3n_MR@IZ3Vex9#W=^7%L``#p%29YIYSBwGG+B`n0h)+5- zaDPDJ$2ZH1lTG$JQoCb6T0~-KWIi$}N1LgsJ}qQ|-ZC0&qPMT47y>fgT(b&q!IC}@ z?FPQ%uC1H6;Zc#&RUP!^nnQVH%q2Blwczuq&0$?bX;+@SihbF)k8C)Onwib+ zcb86Cne$}RCf#2l%x#mpHo5w^{i+C_1$Y@a;4;B3d!j_hlaDVxNH{**4fl&y92ftT z{hT{N)r7ChQZeE(GD)57jwg2w$31wi&>5+BRX9dY@;te*hMY63+ETK5SR3ZtHZG8? z*IJ!@FT%*W(J>r%2(e09uF&e{?ncUpE8XC6N)7sffoY6 zsjPK2uv!AR(r9b6CM0=)Qvr+agWu-z&^P`VrQ0%kZM?F*<;2Xw=lEWg`R@LS=x65& z#7v~jV-bU5tLnC+2s{@`7>wulKh_`cB_;GVo2S;HRBW|EOV(>PCaZeO-O4-!G7h|$ z95k=Q9eVpnvNEqMA@5ZI6DXN5gfmLrW9fk^gh4mB^@utd0BG@49V@cXFP&TPsm?Zx zCwXiMj$-x7Jx?}YJ|ksrMN?%T+3pBb<^{I28UlDFJKh5!w=#ttYh5F~DO)u|r3JNh zPl{J;XAO?RthHrShD5m~(8EvuswNygg``sSN%j4|gUf*sZC_`w3_R&bkK9OZ8woGm zPkXhA-7HKCCk$FH3ghD)3bM>Vk^xJ#To27hq48j15;Pf=x#*bQr|gk9l+@boo!^b) zk#I`}7*vmvHEG#rgM}mW8sZM>CK?h~OFe{=#N|u$kzzGFbXg3&msf3sPk|jp32C0ytNEa z=uu0AY#d$Of^~Oj&fWb3n0&T`QqbpB5fO2QhZ&{$Z$*#56z?g*XD?p3-MY?%oVnM4 z{u~f)gUz(sspI*1Fj>JHyZiWJg}0AO^cez6k6D|_H*;V~DmEwsOiVt+;4nCIoM1^M zl*HFZ@oXhvuqZ4*e7FU0`loex@HRIl-_2TtMZGyE+90A>g8Rfu1)V`Ynjn7x0(}Ps z;Cw_!A{)_~$Y)Mmd|3{UQNal6lqgh`HK<9?PLAEV&CV-LU-RzG1<{m_q+2{Goi+d| znwWMqhrBXe7t0S_1E(V5tvKOD1E?f)$+VH${MDvLoOxEJ$d$Gg4_|V?kP&o!nPo)%OSc=@48#jb2a}f zv?@poDEoafFv2nlrw}*6vM4<7P^xO4WCBV8EV%q}w6#AJUS?@2Unxsh8FSi0=KNSviQ&Z_OPC_GvNj0YAcOR1p%L5c!z+23C7Ec)J;cO!( z6)O2Nsw6l+bRB6*$#RZ9**(270A4VPtU~l#_6~aNeX{vxaEW9k-zjcZM-9%Z0s}~>5=n|wC4}cmVo3i+@E=N&Rp}Am z@?+B4<5Dx3yC1o(;Km{HP+s2o`;DD;HQt9_Bi>k43F5Wh{oJd%ed3+H z_G_uf=SH6}_0hXQy5^TOQS zFzHZYOhTAFDX*6kolaVt(yt|awmW{P4=intyIDD%Iwzr=mM|v3x%$#;%qHRD|I)Vn z>tmu)+j6`1^nCZUx8CK|Zu`FT-E6GvX~Hv~P#{+=l5d#cUb%-$$g=XX- z^`Ov5F@PJ9LkudD0t}Ry9CfB77E~8#T(@d%Z!_IKA?7ub#>_;~mlxdy?*L9A_USZY zP>uAMW*8Cm=RuI$cp@Lgr+So47DbE=vlYF3PL$MqLSMJz!kJI>*k>D&#t1?k0xyc% zzbeZ^76)RmaBn#{-uq#OyauC;z+tv=o;w}OmC5#W z>z>6nCiDvAdaKL!*Up<)73s_g@%%-v`{qQ@P9WkAPn~!ZL_Wv^9qD$yskthm2a)U4 zl6ASYGVXoL%0Y2_UAalzp1ije!D1$F+TU*Q*YbU1p@?6otJ}{J9Z@9d(75i>^Ou_* zey`zcFm`=yE^^*xEgW97y9~xM6(q|O6v!2A^mv1MUSYXYNjuwFUnMTh<=&JrDURp< zn(<{n@6%-}$bS#P2AIN6k|aCZ_lDKv69|7l7Pb8kw<_-t?;`M0(WNA=J_!UD+JnNI zQR`jn{n4w$XiY&R{?1aYwtLAhjqP?{I`t(q3O}Y5i`BtZ1(^Hd6-mvZ@d&M1)5rj| znh%@VJ03h_{!r}DMCc_{>9IAM_OE{e6C`!)x2Y8*Zxg)I0rwFhp*pd`A_OdHJzDf1 z^YT-Jnf!0my?0cT;hQ!HqJoMdpwbBf(yR0ur6ba%m#B1*E+tZ8r9y&SQGdnvwbG|)$X8-UUIHo*2?{l~7zV7RpOy3(>=p;{A@}`eJ zJCZ6@Or!g4QoE1=kUH*w@BDoaa4K%I;lU<^&a@}K1?v_~F3A8pr<7yG{TBL@t-YOY zfTF$cecrpa6-Dbq#)hKnF)d8^QL;}xfw~)#0ZK<^GA)GTI~%cdf={UH9X3me&j0_B9=g=w!r2@kbD521HoQH}FzP_8&6{fOj zI`iGvvjVb-xaDgzXZ1tZ!?o;fVj&N5(YIXFEvD)WV1Uc@pCGY|q&05sL_||?N5!7$Hk!=YDtrIl6A%ifiYb680PAD_ z@`nNpV5zr8c_zN0AGh z@}=kNTz`3A8>~ChqP8t{u1>k-8?;mW!A43)G^vJ#`{WEo_B16%-kD|4%2Ukt{EF*+p zmJZ5VEv3r|)_*jD$EFJ%?DE0}c_C1nK^P&K4@e5qn~E_mq_uaL@!iZC`SLtbPt7&! z*FCQFS1q5$JC5@v>bz{+FEBaRl4=$M4I=yU6Zm_PDV&a^#F5YrqMIr_z~PIVDumF{ zh4={Xzw0(LtIrZ?)EupFF+wRa&7SY+UxQk7az7%xd)unV_XNj|ViZ{=xv_q*cI!=7f#F zBt#+#9fydxejckTd|l#^pPQlxeP?(2gdZeD2`{FHxLNSN)TE(wBVL%SnB_w1_zu+F zOq6Sha1>BnM&tvQZVKz^?A3FHQs;v4?y$kTe<+s1z-J?3`2H}k)=&)UbYAB36B& zW@69J{F-x&v!>~I%#P$E_<%*Y-`Br?A!&#-0)$a46V5w*ZB)6Z$n{pZDM541utMu3 zD6??%%NM{;Jr_JtoB36sp(iNJcJa$G(DuV|wscj9W5u&cC1EARLpo8t_fzgKZbcHy zdX;mng z+@Blj@^Y*jA$7sr++%4WmqmPje)_CP8Pi$~;26OxK%C#CJBf{?)CspH1Fhsi19xDU zr7Z+MnT#R5BtVcngz=w&;W~tCuY%l%+~wll_qnatJRBOJFE< z(cYFE0GuT|cg4rUwZgd$<_M#a^XmZzR*UMHAKpyHokxs5waAK*5t3C92sq|KY2qiY z{of;&L?Q{m2Pfi4$3QGP?MEJ2G!I73fOdU=DoygNV{qcknEU~zx9^Iav(Eaxf86N5 zBA`h*yBLa_C zD6bWlquEE+g+JEjtvdMlR%@MFvXuT&<5^JL*3Q{hkM zS1r`q+FqHybAjv)mAP}49`8DI!HeNU* zf@E8tczA|Lu!j9^iNB>(6#UES-6iSr3rZ^$1z-i3P%YiSV&AJZy1fouDmMM}K^uy| zk(*ZDPf$$>xVi5z++ZH9K6jt3miqqBXTFcXNhra9Fvk8;oOeICUwC%_A`c|j>v@*r zyU#@SyK73>s@di>y*-{rGbu$z(y2t#rIuZ;j zut`v;lbhqjs7H`!NYG``g3ncKnT|<%UR1un3U4|u1WrnOix9mC{hUw6MW5R->SPUW znvQ!rSw4;s*eMVh+_}d|5y1bX@tXX9WU6@Ps}qF4}2o zXisUafQIco%Al(AKY8$fjrKg?L$ty}DTE}8Bf{$_QRR77Mxg;lbYW~pI?e6oWW89!ij&_O zw;SWntQ;)6pJ?%3r>N}Fx$rg|2p!ttM&X`B?S2@*KhJ=q+B=U)zu}ERTwnZk)z>q` zGk`M>!7BRmMT+Ry@44QjBv&Vl-S-EH+F2LT=Y~i`9H@U+aJ}sj0g>uLsK{w~-@^}l zj%-m&EhYeSgK6SATz-0LL3usEL6OqS@k2}9lOJWhC=DQ#j?p-fzK~|(i==A812o(c zI(paBU)GO4{H5eVg6IOg2oTgi0HP(nfifc?eRBV$T=_HpKg$3M{XH*WT04ry^i*oc zys!3O6RKc)T~?;_`sy{IWNV^fpSkSBp}F;)qTJjM9yiNB&_}Jt-!L|#cctK@c>F$F z=nuv6JR`aM>si;y>iuWUB&7n_cpaz#5NLE2MZhAT8=lm)AAvUGFH{x!V+=*@)$i0| zqg|k$qAE5XQR$WoZza~?X3|{r#*?g9oPbYD^CSW&kcrrQ)0n--n_pA3k)0Wl$#c};Bj{Xn7l0#7Xp5o+qgl@t47vFi<)mcX14M(mcM@_wCw8ps0apzNpfd}lp^_{ z&Kq0PdTVSwDO$C<{De}LTMKTN;xlG5M#PN$_S+Nzfn2krn<-G)nJ&%hvGUMnXo+jx z9`EE=x5KX;2eoEW1D?$HZd;`3QPg}~U@qk#>@Qe&^LvyO*tnTb*8A$+nH+l@!NNb4 z)vWc|c5E_KPz#1Gxd0YH$zPx;&eQ6uAo+Xx!)C$%Hine^V+@%%(fhKQWs&||-=RNi zdueoE|6FgFwk66Ddj3{jWlgn?iA<1}z=z>SDO1h{vD(=-NKWMW;3~(&IISNT9^+fC zSNKaP-+th}r2OU^O!zM(APSZxeSc5%zBi&gpT7vL8adqUl?#6|+>x7CCcVA0TGbe_ zg5eE*BqgWGu7g3x)cbCWlW0#(ze-OBu;AYC`t(Y6OFFLRi8KCE;1T%tQ)h@n|BbDz zmMn+k-9!p*q9yjkVK~FT%s@qa7Z_VST5MO|O;91t)xTh*G`;fDB2n3JdXMN0XAk+& zJd}+r`h_Zaa1v@L6g>Jx*|)Uv!SZ?B2eCkYCl$(kaJaJX?iB+a{v@dOmG0uly&vE$ zPhIHy-xbq1Kfe5P>(UC1iDsy3aOTedix-Uk`>jj|f)K-Kj3H}4SAtAXRmoaf*}reT zfr?;VrVj!4)c{^wSyE1>Kl($lH#7#u3V9Rdr*%E)xCq5$eM4d=ghU6RflZnVR`605 z@ICy0=F$Jb1peEt!{5~eDstE+ke#@c1LjCz>sQqy;L<|t^P#8#>%n?YZ_Z+6f8DER z`;!tcmTNLU^(Iq1QlL0K7%VmYyw&=+OQfpz4!dvU2d!3~{@Kc&6hp+@?(XXpGffic z6QEWiVFv&}w08zS?nLS6JyJFPvYZl}FIQw}+xh#HjYuRLoq2{TLU4v_HzG-=4rG6w z2M8*HVQ-%=!wciNtNK4@Wu?)}`{ourGLT12Dqk(>4$;)G)Sl|K}}05~`sNel`F-sB5~?OuZa+m~GX zLs8%h8}x!<=J89#XXQXUzlBc~8PV^^ERjJf+KwQ9`DKl-2x?RlElfU>-x_oQ`VL2=bA~#6nkU* zB<^Bi2@%naN^8?6jpL6n7fXpw*pLtu7x6?~tLx$Tfw0~*Pv!8(7W(`+`#!JA-WHcc z7FHvkyDnoKB{Lkck+(R!-EhN>lj>G4<7OQEdt%w&UwgP0Nx$;DFtL|9_J;d8rrXr| zG>*KT=hT$>9fq=}Lc@iSh!YUjJpXSAdTG5Ll$IAdoPw|JudgEqOa$n2yNcfQ3YZc< zGf{6Txvb8OPhOEvP5gf4w_oM|$2O7Eq5e+YcqlZIYDm4Kz|#lfivZBmkw)=B8*BQ+`v(9-OH{dL!a8p21#`TKD_d%#+ znpro+jPJuIJ=f{R1ibrtaO5ChbaYghSS6xdNPHLiJW3f^3cVBKVR7dGQfg&0kG}L2 z3w<2d!x7HIkRbe1Y<8jZu%b#_eZ^&@D{kWnB2==>)-;tz%$7c1R4!!d;P4aUKL*>C5K4u={EF6q?5~c_I5i3hwHzK1v(wc1ooh1>_fkM z=fqaIrXy*K)0H1j(zL;NPLgfFcc2@q=tD5!-DJI|IN~{|UkR?facBQb*|HAJJ-}>EBV`hj$_44${VHX0d6}Hu6 zQ4NnGVa;|kWtuB_tX)s+(23q+Mf5znw{;#5<<7nqbbdc|&Y)e%Gcd(8|EIru$VK_e z&M&43P4u^1ZHqopSaDrF;>!u{)*0$^AuW#WixVx{fV!yf6bC5>8oUKFMPclTv%r7u=7D!WK--GsB1yIfUOgPsj)+6H1 z;2S}t(nTd0=8VvF)=onHp@_qR`({8Vd~T>wAi``Fg$lxy0ddbW10aPB!FiKVZNYyi z8ejlax0lmJ3<2OajRConFvwYI#XE5v@(^4S2hHm>#QzMrhXWG%(UrNETV?nET;s(EJ^AjT@|$!d6L;jK3hv=?={1Bvrup$iXDa@GXv6)F z%fS9)8%6yYKHMd((UEmvgGdtPU$WoxbwbG@6WQ+?`VU2Z4tRe=Ottv5Bm_Q3Y6gn& zFv7KB45SydC-$m@tYzszw#R$t$Z&9acm$*n)Y!5;>>gNtNEwe`^%BXCa)0AiN&PX5 zXM6C?t>!wIcpgWQh?NYh>w_MSEC<1-=Pz@sb$*LcRoyAn=V1?|6`?u{N5TDN2-?7T zUo(#cz#tq^i8u(BpRscxT(M!5a=T81>BcMwJZT(fu=29jadyKd&UW7DIC%cZUNu|c zE*4oGmT>4{x-E;U0^hdWpCTFXWD6$o$w$Sx8()99n#3J0Qp6Mbl zKTcQz+<}B8^^g|v)WBFjjQ;>M7y{w4bkFjt#oFl+`b>xRe{Ff~+)h~0k=DDSR3*9` z!N~4Q3SyjNO0z2B0$9e=AeUyQ+7NL$_thZ|jeKRx!VeL)5kAq93}cQtDteEK)266v@B`?o0>v8BMdB(R?_0Xl+a-?yV$gCGQ7ghcAXw# z?cU?*>+OB5ES*4ehlX5OV#%)|7T@vmm??i802Gg=7y2VT4U7~s)_E>{qm5wf=wNwZ z`LM8q?Z#C!`v)F@Io*Q?pEmI5cJ_ukU?vd^Aknj=4bmqy#Mn43w~r)in_qpiwOBPDIorgptzY<7CX*H) zY*qBBi#Fr_ayg$b57qZ|Wzz(Qj*b;IddU*!0mO~?z7g3diQ6KfnY>^5$39McF;{^YjXl%fq<8Z7^vZiv%p+9z7D{={mUbv-uaZ3( z80sSUMB(6Wx;1~?llbM1SpEob2%Hxk&0^H)#3<0&s&=Lk>N;4TUysUE)gGFY^RZU8PuHbJGK z#I|bVwY$?vp=`zN)8x$BQaYep`z^e%51^d3eUf7)h0 z5otoRSqNxLS2;50CrJJNd7GB~Mbz(MGU2>0RZup&yv?BQ%DHoDGMtaZhzGN4-rXO` zYQRZ}1OB}vbrbkWtKR8A&p_f?Xl(#7C4bWh8fmJ?QM7L|Z)N8mxCc>bbY&aAe`)$~HwXkgvP7gkOQ2tFQ~9u zu104Br-{Emx^_Z(_M@d8D6%dPQ|Pi$(xjsg==@)*u8@SvmB)5PB2)alz6i z>0o->xhb8y-cP7YdN4+QDD+a7Z~h@u6{c|bS5;i51kf^dmt^h}v!|kkWiOx2xv=}D ztwu||<>B^1f3~>f?$RN6nffKCdIep_FQ2~vB^d^l8w4Rr%b~Lm37tsdDe$tCu?iA%52bx)4VSj)bqKAZnRgfgBm!;?@rew1RZ@Gi0A*A7al z1}$Yvc7=}Eh=Yj_7Ij7nP@~T#&8xmfOyG6WqV%GmeT*U!-3q8Kt|f;VV1V;l2;DW+ zDakG33ah?+I(sCaDQ(K{D#z5NJ2pRTB{HOXsM6mUvZs1eklcCza>Ym?7elO}V zNE{kF2FeQ814K9$k+Y+Ko`yzycUFCR*>lgJ?wP~n5ku!g*-3M~Yxy41LEMrzMr`|s zBMi%DKdqtrPc^p;9r~&QSQGk2y+8g?cEzMWW1VAhmtwg?8)50EW_jGO%pUx+!D-1U zDW9#<-7om%LxPeSbJ7tU*#ds(k8^qUPoTl0aG-+X(3c`VT>?_k*q3-6?M0xVRyP8` ziW1b?s?(hs&qwcmcV>F13~H-2b_Ihc0uuV>M@p1K}tWw=~lZKN6hO<<4<5XT-Vyik!C zGmO~uIL!+o1BlHZijqEn+|j^vmWQJUnh|0UJRDH>0v%9y8#hn>ggU`O9wvIqV1wWw22s^O?Zh3&LJs|<_I&3C|5CPP{G#yxhkNBxd z7umoTg#nS%VO>oByqMBe0uDQ!EJ5}ixy)p=^q-HA{;|ZRBZ$B zl^cf==Z=9dMYt{gm6|E9m|P~9r?}D9Ga0u(JCXa`ooL&4IU>>S{Zowq{wShzd!0(N z3bL&4<8S#EDT^+PugVQq{dYwb!~0l#J44OFA+?Nv0vfi4LV6*1__n#UDPPnL#wFoU zDO>PT3QwOt8BLa-C&hu0hv5?iqz=+}&euq^B6~;B<1B%vs?Xcxk;lCK{-ZFUEuJ8b zmmtHYPt8zW$ZXU<>=_6U-UW>J55;~pSsvBhPW(gRvK@3xAOw+%MM2~x$YBVal+y_7 z1)l+)?_WRW(Invt!ES!lQ0do~JyxCAf^&~fUxn2%0@~swbi}KF7xu58WgmJ#K_&ZhI`aE!K4WOWfrcJ}5)&{0c-O}Rl&V6w(T2$v!vF4R z4p{ud>g52s1|9AUS-ZHpIDkIMsezrof=%y$|KmM4BKZGjdtmqP4)W?hp5xemek_wP zI7|+_;WrGFeHVb81rYU~KE&U~>InK6X#M{4YOaJ35yXn(S*=}cuF#4{-=?sziydgx zGQNM4tyz2`qxD7>g+=&TwcGTKHlbC{EjpleX)uBh;3bI@BYPsd5F%a3}nw4 z?tSuLf(= zl~M})Sqbv^AJ0Yx>>tn1^nd5c|G#k#jp`wp$gIPv^;?jYTp_(&fSmSj^4AMq-*yj? zwHFZUJDHgj6%rI%{vK~;-yqbpEtV$V31~;cku0TcS4-!-dkVrbc+%yM+uj?B?Z*87 z(SH65yQpqYb_(}!%L*?oK?6p%qatV6+I(yH7dblU^@1)B`@mQ#`fyF)d?YUq4OPn4;^c;8s z+=ue$RRw^V{B^f-uzxn~pnr2x-uz!e%YWtc|9=+e{ws@dzhXifAWe=)mz$bq53riy z#`(p^&TO2Mlo_<3s z-%#PB5JWOY<4^+~&XB#lgy3Z1lPm3HxW5s2DU(|qzxX#At--kAf1P#3zlq^=|KQJg z{_FnC{fa56lQdH`BGZf`L}dNw(nB@gzZh4k!y7SS#p47ObG~Ob28w3pOdUWth6IMF zTfhyMeD<>1l**$q-^39i=7m+ABF>=}_K?~`(KxtFT27Um;GNfUNp&}!jdW*K=tsH# zsoIACvkFq!W_<$yyP7GANGkZ1_p})myD^YYjB(={*?FJ&+E(-Vur)-|JgUC^m%M|f zyCAPiptG%Itwf$}rlc0%@gAi}QsM$11^RlM&|(6gaz~OD?rB$dAVv#BeKnD#zSO_y^FP`aC3M#JmkftXPdGdh22TC+xZ z-u9`xF}HDItBZU$6=VN(nmPG)+Q{GPdt`SP-?d%v{I3%iggE#P>W)}TYr#V=X^6OC z$)c`Ew(SS@p5PU-D5o+f-t zOhrcw{#*_3a|Nw8R?{j-9u={ANQ8#5{GLOn4o;)p{L(GTFXk){6z&{o@h=#X9`xl> z5!CQU_s4d@f++qk2jW899T+>UFc0J8RXvT%^de5)!ic)d^!Mm*o_q7{N!ORHvS9pb zL_LJrSuUC9mL&Vz`J9*T8;;X$!q|`@hr9Qe6XxobLRtl`y7YvH5ID;(qOjsU;2v=a zkHbC&8;_>Eq7Y~Z>o=%Ii9a~aUXwm|?BcQELzM2!8M5$)kK3twjqi$_&)eHHoZ_EX zIV_{wn!U#vx)j(XUI8uZ<4r)Pd&}~G*`gbqR(h*a`iS)>Af(k`)A+?A4sR=d?4Dfn zSzzlxMAjYKlJ|p;nxHuQ@*KFa;}`vj2JA;GH~7Z|%3}kl&F5H0!AE8*f(@BKiY_2$(CEdoJ_a}QN1SgMFrz)PI0=R zXkwcpzUj#_Ix_P0&H2%@UO-5q51DyVi_I?CK&HY{nm*(=*1ddr&iLI^z$1 z3z_V(k}3v{F59^mgV!SLhqqa}?)XNlF))6nisP_ie1E~$&gjd1D)x7eZr868KaUXA z3FjQJjB;zJwX&{(EjV_SuOzg~+;vTg$NeV0@}$R#PQnSJbsTZJ#xv8J3%Xo;_FfsZ z^M@jcZr*ZP6?6>Q*-_%WJnN;qlt<3+M*E{sBX7Y70Ii?AN~9yQ@K2Q89@%*XJWcZ- zvm^UJN*rJq!`w>KpcQ^$DV6H=$nb2Fz~l*LM(u;MisX!6n;`smYq6N1uil2TN%e-T zvLNg(2u?7=;o(>hmH7EcOc3VQyYgRI9&W1U1l8Qq`7WUI|51=TUb+W^Pc4{#YGw2K zf@DmhQHhg8r2C;I!`p+btqi;&oKImjx+}~4lEPD&q}98U>?Un0?{u!y1oMwbp7)b$ zIC=r$cSRDSz=T8}XdfQePj)8+VY)J;QGF_&q>g;3jdpZPHI+N9=UflZdYj#4XlpL& zlQo~S&hfVjME>3nKFf1KhrV{&j$a2?b>?H$&o?*>r zwP2uwk%#ezS7TUvw`$E-yf;!BEzyTtnA#a(!z=U7Zu+8 z5z-~ACc>3UtS%QZGXF%tfsde8OFqK-Z9#ZnA5L8wfU$l2%N<0xDM!kA z_Mt8^5jy&Zf)9}06(>^zKh1ORigb;~A>TtCsGn9KV8D6DKY{X)r>s#VWc45;nFh9( z1zt}2L*XyyF%4P-`JT3)YiO*iflo9y#*A>@4|AJ$FwpvN?cu$WeDuw@hw+r&I{HeR zvU97koAfWLs>_TC_6EbOIycNelz^^tC@n3k^tn&nocf%4blpbFxiL@4_0h}G2OCkB zq$#Se%gJl#O3T?;yh@v4(z=#lmNYhY-Sz(Y0BVu;vo%b_pmktA9O)^&N7{Ir(t z1l}<4hoTO&S6MD^~&y9Y0%yrjco+!`I&E1Vz`9Oy{}x}+Lv9?`xZ|)C`*%ANW>A*4#WTeYPyMmDx(Xh ziN)QEhy^o3UN$b5O(*MzVF@w!aRT;-l9l()nPA~b*Z1dlc#TH5I_$)@cDjc3Oh0zt z=Aq4Wp}M4_7aC+z$vib1Y(X5aEETH|Ki?5c0ZiaQ`XT7WL2xfz6f)q3g$a-!FOY4C z;Clp6*7JC<`j~3dL{r@FpIsJ>*(SGUY-rXV#iZEH*-9R~YjNuqeZko=yjk_~iLwJP zjyXkO{3qx=&7R|&)hzpC6=lcqX@ptIb?hYyPVMbQ&sJQ|FI37`%VH7+m>M|4B8z6% zbpeT|Zq`~%YmFPTN9mc$j!U{)Ov_rbw#be)|5M8yf2!JtsATEa8hI?3bEkzuRCCm~ zhpY7=8Qt1GVOcL+?ucnlMFLt!mvIZdB&$uUxxSm8F zXwUT<1)qq=+ne)?|j~M#(DX9E(4?Bz`Hk!U=Z~^M$TS@a_W>l`n>;xk3gR{nV1dm zTBQMAE2GIDich-!#fMU4S>X2x@DA_tA^f=IZ1Dr%9xQxZz9gV`-EtpRuBr0itFps)4c|Qf-V$vZ}GUTS+>(R zQdHjj=$BF8c;U)4nlF`SOq%#OeM3}j!p8C{f1_@p>(H@O#QV*;wSL~K*FA61KYmSl z{<`LU4jP3Ex-CHUA<&ft+l~a78Ds2?!>2re^79OA6LfUfR|fEAF~W6=&oNoy zI&i`$USGXGh?r`T7y!BwQ0_Y{?vm$dzTVHdf1V>s<-Y3}gQQNv>JeDN3nd4WTqOG* zf_p9ywpIxSKgLNIC^kSbqfyn6(+(mJbYY5w`{%MFW$l88&Yyxf!$7gqUj3W^S|XAw zLCPswqJ=dtlNJ-6YXmE|s8X&5{h?qQUk{*GCV{S<+3vzVHZ&gXhf$Wm2F=5t{I&L8 zUrpkprk!{BWC+m@xNe28m{E%yZZ~Vq)~;=8(7U_W?d8P%UQz&Rw1^ng5fkY+nN!=AuM4|v7qp=a3Z?e}cRcFkM)b#`C7~(Z z`X7L+h4MT&4Qlaa7JV}BI!=Y_`A{n=@~iYLv220+G~wbw`8z!l>! zD$>B>5KF>Qp7Nx4idSfH&9LO;~*fz%O6^tlT`X zthN4fRhE`YzK40wAQ;mDX>N^T#{CrAX$XGhi*~hr>cGZ34kcgdK`8-TDPb8p2`Gf1 z*GGPe=WAZug!fi}-CocRLqi52g#m)jd7|!ej0@JYcAA}1PJ6sg!x<5D8aFpo;(>vK zbQQh|ZKPpISB{5t<6Sx?!xe{f{FLkxIr+k>Vj~FJ0!nt(!bcl25ypvJ2`rSAg_8%W zkw60$Cm1^5j{~a`VSrRb@+N_)51Ddg?Uf6tmKTu%o8m0wf=sOYv%=zHdpAcUaH6ffO$ivH&4!y5PD5k~^lyx34w)oLZ_ z{0}X@s8lb$I-aLWQB#rfY`5UO4F5vg{B-ueGet!VB2)k=EmJah`yD`i={Z$i1}_1< zdX_>m3+PBHur=vy?+DZaRt4ikTPnX0;T9xh3qB#Yhu8rvDbgLo7Om1IT$i!V$ zonRAI(UM6p`mM74A?WkOr@d;BiB>{c4lm&kg>wM&p1ORmfxO{sP7!^*8_}tSec_jw zPr7*$TjS$DHt}X8X_fuXEA%*yh4Lr0pn=(L0*LGrX-cXE+_nys8of$TH^wg>CyBcd zF1{&wVPP>d()EdLK`PpdUlzAX|KK|}mM<_RW7-uIpl37NfRJ2Sy~oZ{+~<0OK{V9(@>^sz-JLl#^#n*eO3>R^Jqs zhmcDNb1Ouln$BS+Sl$}msMKaWaW8O6n(h}A8#?sXy@ZPZUC)vFsi@QKl;2KYx3a~c znbLmm8u#+V8aJQW2E!i;hsnL&r(_?}5^$dZ7*h}MKrm>mZ01KILKoIu16+v_nTb?W zlq(rDd*u{s(A3zHp}i@QJ_CKrXd;uUaZBS__sF3+2Vb#1l2Q_ZRTk8ajV&5Zq-U~u zz!Rf1dGj?Zt|VQ@;BJAu2>1LeI|lWh!e&2C%Fla~Tpa;&klxvJ zU@)o?G!F8=jZgJcedGO|Wj)}NMHD|7yd(8w8=&vo%YY~UP=wt2f(Bx5Wb=MO%lG$g zZH~hM5Yf$vQCm0G`O@i)^rSgks~!=C7{yE5juEcJtI^t~`lV|A>TjgK_B*~h(3qOy zIQw}${C01u#w+Tir&&xG2nLrAjag(7oc&?tvM_xM_g>B#69Wyh5QdwHC&8g|IpP*5`-B64mHj>MuwVGIi!+8aC{T zK3=7E0*Uhws6oqgmD(hnQ zx6Ewul#~oXeDAqM4rE-CrsJMZedBHLSGyyF@(a2cMt*n~URlD$2LT1me$d`-snZnWYHs1yFXL zCw?c^ABbRWReD7JkKx|3`9!Dkg^fJ>yhSz6vSDa1c3S3AwoUNkWSxR|E^`q_8Z)LP z6Y9!S-8|n>Od0N~b0=jZknw8#PPoFw=(p2KY}rYs7*tIVj@}{rE(UmWBZ=LH-Ig#M zJq!l|LGW`ka4cUob`~tbVX&}jqA0aa-}hN#$X?T@V0EKz()VZGMl8MSInf^-;w2!d zGP2>eRQaUOU}cV@G5zYwC$?xd-v_*VVfLlVD>wXokEcSF&E-(;D?O8t+*_k%S2b~lpO}+cg?R5Wscl%PCuJ`5x=FgZ z6!pr-9nklY%$TDt7qT8Ks&p4>)wxfurT$#4RgYXm&FwD^KmdV;LHM1&sl|gs)?$Pg ze9a{{6j}VMtu9jF1e=XT8TELoh?7}7&vcIah0&w#oo&V6E4CJX47*=UiFz1(jAz`y zhy*n3b@8MMF1M38asCj@&EPXbe%u-C#T@TGCbVK?a+S_QvLeSEG4#*$oG ze7qFA!t2dP%~TcfB(dAGS9~Az**og+t$aNEAi5m!>DaShp?|&YyIh{ zHxT+v$-Ghy01m!71z!FNQ0Q1|LGyZZ;W(^V1!jO1P`)ShJOf5)vs|zQcQ65-ZZU&I z6xR<$Dy&YBKWD`al($Ie@)~QUFE_HByQz76V-&S50tkSZo)RKzt10G)#>zbHRg}Da zO-I-bpKt7m`@Z2@L7_*^oSNB$6uf#Lgb;-y#Ep1Zn<4U)iQd>w0$p|mS4zL+df*I4 zO;Z``bJ;%>Ry9^JclyoeCc^2XN6Nd+t*mwg9jC(l-ac$?{#OT`Q81( zrff6p=X;#t0KXY4hf*wGhp$5jX`meI#gusBDX-aO9n%l>KeZY`aM-P*h^%e`!8j~_J@ zj=Jw!xf5ueAIb8(z`+u{tE8L7l`ZXm1unqGYCKIXIiHT(|FFAuX?uIQ(o?cOa8T@$ zOEOE?qXXH4>pZFPnT6I8edn7Q&3!J>>@Bx(Us|bdo2MzXyBe!J5E)BgKK{I6+lp2n zRG9kQ?tNjda>njH$9VZHjaa$!eO|u~@o#%g61US*6Yt;Pad_GN({k!v=@g0!b_b}& z4=Pl`Eq_g@-Xj%^kebVWL2~P7vdPf0EHR|$$CM&>6Si!cJZi;;b9cEdSKoFuu-(x) zxHhpZ?^b(Pfxx0=?V~Ji`^6+-MDDaLWLj?Td9Vb_PoK^x#CW|h@hpzKOE4vX@G}Gg z&I<2YoEn+I2+TA;1X2h`q(18PRoMPdO`gV_>{C>UE;BrqnJ0h?Pwxl1bt6Phzce9F z;o&h%$c1C&p}gVkb@+Ag2+v7B3A%cB`P}g@!XjdY+%*LTP|=JwcS0?2opL&9sg8*i zh2hWJZTS$;Dob7a`b6H?Sy%N7{(*x4C~{W0q&L={2eBQ0O;p;)vE!*iW%RJDwm}F4 zCfcZURm{zC1+^@Flrz#OD4vPJFcS>VDrLk^-XauX4&YS>!!rl+iUA1dzsI8IPt^R+ zDRqF(yA+59zYcMck=jr_lJI-9zean#^ZVekt9y7{e37%jBl-v5?*7~=&cCel{fRJ* z(1Wi++D3u$MiYS7_G6kqp^uNdQ0A)U{dWu0>(s?aCW=%9eSVaXH4dHtGYiqPU{dpk;wNmfveg^!zuf%*fv{lpXw)Lw(? z;r_(Sr-Uz81&HRWy|Cnk2Y8F@GLhoZFAmKip3kjskEmZkm{al#AEZC-`>ykjSkGFM z8(A>cMTr1$KFj3Nk7grgv6D;0B)1}Wdv$_6{L8xaLT$n3$+Hu!@{dHn>0KFJ(b@|qWhnCqnLv?J!KcA_ zT(`L2$db{Rfyf#O?04m0yhBEgQ@R@S&&rc7_ULob@n*3~0q(t&uP%10%q`04VbFaF zh0Q=Q36PT%)$GaEnnZmGPTSVmKA*3SS8V+CVqQLsC?#vXpjA?@efm_ZqWVm+y&TjQ zdHfxS985>BVcZ!%(`(QGaq)R|rB9^i+SAa& zpg=eGI8HN{&Kc3iHE65Ex7I%-m5`!GmEU|J+f}S};W)^-SW#n{W*}i-goYSr+v-0M zit^gtefxkrS;F#MCCN5BBYZBfa0ccob(o+6!|l`O39vt6|P~E}e86^72 z=XWF3wcAvjEZ(id&6)#5DADW_RDFQ+0f7l{O1Rs~xFTp}`G@9a!p-#BPj)jZg-p>~ zS(@}3-=leJ9_qWgH#-?^lP5dM+sj5bl!VX!-lLGzyC&bQ^C;pT-Hmt88RGrfc92(C zqN5q*7G2@DiA;DT9yfRh&Iq?7)sYAd1kHrllM8^1Ig`m4gN^q?&B6O3wr2*HDONV# z92(J9)SY_QDLLF1y;x{5T}WFMoJQNQmn7T-RF=;UE^3#>taicK8{WDE*v3c^OnXu3 zs2olwJTALslo&=_{|H=4lCJ+Ge}mJ0sA7LIhCdC%pZHoE*Q<4tR(4kC(4|(%bb4FN zPAuDOy1FCF)-=KPYxgAzZN&AP_nzIKSIDQWVvx*DSW>eqAyVOsE%E4d<>pUh2szBw zo+x{`a?}UpTUsBL2Rskz0<$PT(?DxPY=@cS^Od zIFM=@JR7z3PbjNyW0=J&elkL&?I#LaV@{%F$~Ty%oexWWRnuOLm!F z$^8Idr;=Z+Ben*y8xV5xN!c)(7tZh^XWS~dsz_-Dh4)v7$D25S@~6>>%cQI0E?w>bIbAn1yelB8Ed0Z6&RFM3ZzAP_HUz+w+XK`Ose@jg z%#y&#Lo55r*4K|YSnqYvZY``|z8i<8TO})m;9ebOoz@Klr8Pu0-sz8IwwM-&;_RMS zjrShcsZE&n^t1m+Li;CWd7pz_ogYZ!R)@}Dt(}4z%mU1TjzhNVM_Y1jTX0Ic3UX1N zY1xmDZ>ArSa`199y@ON5op03_9fl>LU6G83g4@2%!M6|7nr5SR&R8sG#c)8pF-0ex zo?wNL)hp6(f4|-6i(z~x2XCsk8>q+_PM3BJaHPMePlA`{Q-^c(mgCktS0+B*a^v08 z!QD>z-zt;;Te}Z5YP^?_VW|!*8)@o*Lb!+f4D5tK1P9oK9dvnY@l5R;u^fJM3>7Du zLPT+QEEG1zEKMwYaIYdU6ri^nwKcWXdZ+uVe;1ekjFEpAE1hSZVB}4u010YqJS7Bb zEz&oAi}PK3T=j%Z+}}nq!d%U^`EZm}hJGzU+h+SKU`%wrc|x0~GPmC=xL+;M%eTML zMCfjB>X_xXoi2)5eEsr?fYJcLsjZ~?&bOX=;E>Z2)u+!v z5bMMK-eb6g_%0ovodOwlR(PO)LNpDrn0#M+TGcXy2f4<_qmPU16oW6m(Pebz*N&U_ zGBb_s4F2_tv192j|IJR-oDP1+W+~radUQqWn$Ux_rrJYT^oY3#DkylTn1rhjQIM+6 zq#BipKB&A32&1@i0F9pt9ETvM>|7Vg-7YkT?;r7 z(m@!!uUwC(JGK=rro;2ELokidYU4L{Qz^zBuzQ`5pC}-)K{6nHIUCX}Rh~J@y?Uan zc+=c>LgAa;kcVC(=Om4r9|>iB=Le-HfGpgW=%a6HW(j;6ehUj_jO-G>748L~h{LhO zqpQ00WVsl)6E+9+McaYVe55=T6U- zCh`t-Z@>c5uNH;7i&GIkujKj$=9t}1G8Cr{}e2hj&EhnubOBrFPoUW zzNIH&Lnd7{9iWh+Ani!+xE7oYV2@CD+G6+xNAHo$ag2xw&WF;ZBxFcYHqDz(1U_Xwj0XKza0W%zi$-J z>To+J3tmLp3sa5G?=h?7efgTjlf%=DJO%~GCe%N zYzMs}O(@}=#rX621G}lrft6(140t=dyQ4lWGDu<{z8q=NBxw8g1`p4xD}Cq(PateKw2r_TldqDeaOM0FGb~op&2!Wi4SP0=hTkt}q%!L5gfe6UeyD$6UJRd#6e7Lc|F(bG~JBWm6c zt*H}VExKv!ojCGF_oep}Fg>TnFlBk~t(?T$OnDDyCnCs?Hgc7qZ_D!pvXk$fd)6=O zu8ilAjVP&jNc+B)LjVg^EzF?^G`0)5X~t|d`p``ay_~$FMzJ=-C2E{~CFQ7THghwp zY~CjMQ|y_duE)dqxxa`t+aZ;}T0^N8IC=DX{OKA;Z#Mn*@#ryC@6HwR8Av8vk*F1J zw zmMuDdeo9Vq;`7jB!SW~fMV#w5CD{TGl^`iZZzNWEoLbWxV}-mBH>q{o;}gFtlTdYc z!FqbOB&o+NzMR6}0Ga5!a&T()`ed}gVSR~x3DUe6ak z%gYbpXaNik8=G)ib-6W!0=GPu#!n+F;sI@)iO5R{(Wj~BLS6e5V`CjzYQ8dZJ5Il} z6{%D@-@W5gyO;S_vYB%I@`Jc{%r0FL^)eEBw7yArq#ps zX8H}wO+g$u<7-VrGUjbQfEl4iUzR&=tqZ?wSzoM)Qx&N zye%jqm!z_Cgc9D^-RFiD;3f!br$jjVa0E13Cklx&@BE6?A|P~d=W+^1EGw;g8*X^j9Ja8Ljy$49vOMzn%dVqLd4<}tnVbG_4UHS;tr!YYc38V1p| zzr}jSZO5YMrOAfjObse;|CakD_3$dZq+XyK{UFc!ajR2y8Y~4C4xIy5hY@IFM`y$Qi*#W8r8!(R|8SCZAhm6AR`d zqz97MGIFv&=CAti0)N}i;{)6O<@5y2B-#RQf;luj1SE}2Y`8 z)4RxW$5j>JZ3 zf#(Igia=)RY=s?WiFMyOL%eeZZV7Bv40mRsou$OI>Koh4$Cs6esAAo0N7$bnQwzrf zY$g-E&|`8}x8x^+_#cOaRqBbaeY*2VZut!*B@1Yl!d4eV6q_<6$xxTpD{(h%(R0+v zk5QHj_j+~b&a39j!XNy^T7mGIZEsXm2_paS`A#UL_$;dF^rk_@j5l$2Aaku8x50hT zRyU4!M>_Iod}4Z(8{DRPbuI#oC`1!H501?*gMdPpEO1ZqBp)hwM}~CrE=hC6d`tZa z`001|yK;IM%xVk_NZ%ZAE}1@y4CA_Z2cYt=NGWx_6IrLw%?SzGZ-j@AS@cMr6@&0L>*2IO01L89v<@Vo>{YhN@}VJENym3{JD9#YgZ z>rV>v4;a{V=19vDe?KMS^@2g+mJubkwAen<^HIzOsj#d&9hRva7KSoY`}mNW;rD^@ zK^gF0ALE6qogU+jOt9c>i-)ckr@TnB6(i#;$iX)wb<_0&%?*DbczaZLm{*NaREE8i zMsj0+%tJ1ZQ$)Y=iz+J#WO8kdcJ`xVlGu-J?<5uXLvYPMTEp+gtB}WozhxGGPx!jK zHE3{-vq!zjd?eP;IJEiVYh%6bT#UGM%hV9EUc4A5?G0)=cgH#p@xFttaO=O%@v0V( z*DAWu89;e5ZSF~*M76h?6t*|D8Br~(9+|T`#$2eE7~fT@jf}D>rkZ`^qnq|fiOd=V z?@hNZTIILR0otauUV=QRcvU$7QF7DLBo=Uu=l`C#_znDO3}^;Zq87pH zxbyJDiz}k9>8pAyYLljz(OjVyvz6W?Z#scI5B^LWc&S>(jI{dADM9^fruj!p+%pgz zds0KX%Vx+ksYVyuZ6e0!juNM;E=Qyht4lRX`>M1%eCi`==cdQTM9QyTVUI2x-XCuZ z)Ibf)EEbV{0v;On&*uWM;mt-F2I~qSpKhrw>En8d%U$Sw3JDWQ-n-1##N#>q_ zQf6Z4HcX*q;woPoMm!r7{fK$bd6!&EIX3<8(Go0mf=T3c^p~%<5<_=0x2#+j<#52; zcy0-@LSQ(|gdw42S{%eje7UP&2pcs;Csc_{_?O@-_09ZSTeF^mEsVF+Sc!k>(C@g9 zaC1)AnSGUrGGQc}yo#e@|J!uo?!@reyO(AN&&s;tnp7?!+a|KzNn(Cu7L0(VO*{$X zov=#ksA(y}Jn05>>D$19@*{!2d8YnrY*=)RX()E;2$J|PMJl<4x7rhh6RG{jO`^l_+h z#xfh-Aw{7hm2D6X{tVUYDuR&-j%G;-NmktDVM!LAjG>qWLA@}wrED_2N zV1HtAQ>79$l{KS=k|GH5toMcu-@3mnS4CcU(MD zPC)r7`ptjX)rA?=V)IoHI8CDOQ$lv#KrzH|Xde!;W%hH^_E>RuKd^mn)2CN4f-oREhLB;p)$e{(;?rKxW_><6T99@x#BHfN%KPS?XV{qm5BMAMwW$ zj&+isS#Y3k9UL{bY6z9J7*?AJ^!XHwJ4mC;b(hhhv#04RZ=zI8OnqA`-;lzvO%U^Z zR4C>O+~oTYMsx3I=&S6_*1&Sm(%k4+q;x>y_h?)`dMmZ-3LOA&hQ+9B@rKZc^@rLo(2f1M`d`siPrdNEa9v zm!Ei4+ZBO5<*^dmjwym9TDV-!EiCw56n{0YDV3zf(imrvjfTy{KPu;B!tLMbIwGF` zlhZB#q2Jo@f+IKkaAywx#%zDoNc3_wC9udDh;)2d^X=dKp>y%SRny+a8F#xp*YpH3 zH+y|$@jfK)*h3U-{2XhmRh_;Z*KGjj=1;3EZrKX)tLN%T@5mpYB*8p-O<*whm4o7;smTM ze-3QIHk#DP?_R+wJ#Zi*pcghh@&gM^TDmJ%kr*8T=vOh-`Wfzav)C6}#tR?$cqlzN z?a97*E6t+BFTj6|mhjfe;Y{qp_D7BbQi#a#-1DVRW%Tzfk0mYWnLXIdaJ0iV04iV= zt|AXlY9=Ef$~7JFU$~ZK$m_Rf)NS*I=cNleRQQgE$fFf+RMN3r=n(FQeWRY;kSgRV zr4nubX!AZ}~5w9e-l_>=RxsKu=`3; zU*&bU&OuFK17}mRhU)qgBGM>c6!Z?TSJGbjxhQp|VEl48oyj}Z?xDbqaYvqKgR&;_ z>$TJ38FA!?);Pl`qE7_YL5BlUgd^P;r46=B+eG%y5D-N!GclKFxX$ur3kAiWhUJmT>r@9xX<55ICd1Com@g=Yd5l>(y-4Xc;7?3T+xsf zE=2~0Q6p@gfZ=&29SK$=yC@zCpZN!4;x*z@hqoJEBSkgUOl3iz4V+(7=Yl<;KXz$t zub%l|CaN~bz{oR3b*Q4KZbr7q`fr8ysmhxS=YI|p4{fyrOsz5M!M?cZmP!lF`n7*+p|yE; z|EJt$np)sSzaW3Ew0G_Au!8?cNTE(*QqA-}HXj*+j^C(p+6yqOug5_WZ?7!Oyy&o} z2zdVJhAf^7U?EF40t49D{ISJw-7d|1e0AH$OmfuHU31Lm#=C9}<< zcQ@nby7TilHSc)7Hme%^9=n4A9e&JI=X~dm(}fZ5$~PvP(nTws9;bf499$Qor({`$ zY2Z)}eN}@}y+soTL1zG)PCCXKyoEsDMZ?mYTw}WOw|1FD-_6Pm$fu$#5?uo%sf}OW z5`V#t<}X4D@yryL2N;ewY5`wDXwn(f0$?V6aGV+Oin~?xLymq>n(MjmdO13djS!fi zyhCx!NbuWipAG(urltO~y^^WUywcOHaz;_D;1K7^Kzf0YVWjH&x z{W}W%oHDJwc?!i}Z0SaMqC#T4u}_b^Jwrv7BD)o>X!go#a-?cGreFO>l8f9xP0{0l zrKq%jB}5RXgS$DDrIX_3zY9k4n;{ns8;dJu?H5jctEV+HPl{VUY3ggLTNuYi-pbQ2 zANnVs5m}@G6KkN$DYOIW+zOJu_h%tUNzlzRI3CsSOi&c`Y4;vy6;? z9fuafV3k)Dj`nzlx+ska->?f9J1D&4thX8;tJOLyu)_T}9SU>vzo=s9DaqTuTn4EwBYIbNn=&|3?udALSV`#O>+xFX z{PD0mNTj9vtavwAt$wy(ZkpoGshg>0mF>t%iDRUP679w0ece{^?VJUNvpma*As-tm z5ss)?LEDM)t)cez?+Bp^3kYlIHJ%s94|ct#G@Wm@5_Yz`ZAbV zF|cj9xFY*gOpkA=k&2;}U7eM|?!=-dTZ3MSl>2caocv4wYp@36CtlQWf`yomuB5G9 zlnGFn-3fJbd~*3^+sC_>+AOMAG%8h$5hdWl@9N{nc=Fu8Y+3GjImR}DyGa0R2TWHMb3liiO0pk81>hpSRegh)Q!cATk;LVg9=ekgU@m<6T zv*t*!YO8BCy9+;h-yqi9nR;}7G}-K-+J}?Aa-I!o&r6Ourj*P_az50oh#3USJJK_F z_(@0xQH}XV&#(3qJbQKT7F{oY(=M3H)OaV{y$L=P%)gL!5@yKkIMbbvY)!dWGUb6$ zr_KGwTKW0jiwd#yCU)wxsCS}?NjKNk-y|@9{!uF==p48bv7A)&p*H0H)=YaY51Kal zJps{1m}_q7ZNwSur{(6AJ)vzV`Lf~s9qDT8>+5c{s^#e^msr4$hzM05e>zBhr6WB} zG){bfkHflOs(k3?W)vE!t_4*)LyrMjt-4(#+xI=?5#F6)@S`7ji{c7MmgfkB++5$_r*zVp+~aPQb&B)CA5y%Q}h4^NP1Me==C zU!#91CwJ%kXpj&Zs$k&Eumb$D+2xR2;#g+uCNX)%X+)xCU#1VDfUlwu)# zkrFO#wxj#vD~=%Ue45EQQ$TfzVl0Q9H`UcpQxs*y=zJFwnzjDrv)Oh0xoA6Km90(} zz151-3DtMOW{j9~OsmW0NFr+JL_`4`b zSg%8m$Ce7hqcTHJtMIS20tuRx5K+AaLoGqwIr1tm-0A>DwTR}pzLEXo7s+>KmxLRx zG%AJ#6v%Bt<=B-J$_Fcp>^`O* z!l|XP&b~3_uBhIA`K9D^rLNRYpt<7Si{~8vyQW@eXH^fWzRD?NWT+bK#&M0at{8Pa z9x(-Uezds@S}DzhBrH1~Ii}zXD(TM)>X3ou$dz}*#T4wAMwp3bTbY}(8i_QCh$M1R z<*8iy7d(aXZXH?2&is2yZ&WMXBk(AsZiMhB+>}(+;#x*%0swl(`GDQ6qZ#(B*|7@W za~)b!V1YM!HR;c9Eg<%r&>hN2%OI=7pRC&AslR8pHM6lK(s-p-Id)Gm`r-3?$sBqc zOl5)QR`;>42~kX1v1lvG6jLL@Is)Ai6NdLL-!?tWbvpn*KhRo8nsv=94yZP;{yWUy z`6=HpoghVdpfPljgwliof;{18C~Ly2Dw7 zLDn7WH92#3$EdkP+e?+P1KEEM4vqgE_y6v$?W)UV?E*$usS>;un!cB}X!6ub@(1|2 z|BA91mP4Zo!PW#f6{1jGfz7GOhUBZ`lzR_3iykT`EHqvh&p9rr#VMc94A5Ufj?}&K zp!%M+n-YJ@1QH(gJLRh8_=k6_m53S?^4< z#!1U15-(^z>u#N8yz;jHe9^y1V@&HQ*==QU-|xG&CHw9H8Xv6bQ&?@dT47|#au+-= zYRjth>ofoM-xZPFz0kP&5xRgs#7|Tc{eer#^>S;4dX#oiej+)v0v!(>W5`S6%yM0Z z)EUkXM#wigti%|*8_wuDvpFyg4CGWw)#9(?|GeEhm_KrSq_QQ|4>GWglT!@b72xv= z_S%d!EPCtfeDSf8BrmVf^_0-J>p9jt;qHkAY(5Tw1>t$BnCq_9$l*wA?`W8fMflmp z-D$DTq_Ur7f5g~L7<@QvECW~x;x1U8%)N0$WaksW)1B1^Ll;E`(vPA_t^ERdH8xf* zRr2!@C3#Tm0i#?I(T5g?G$j1MN@fw#HtPfzx6>EENile2`EEx`L5ORZqpR1E_-6*l z%>DVDyB==#*3^$~T(~?$e!pU2Fh3g0Qb{U5A|ZLC-oqgvxTav%dlknxmQ)Uc^SM5*iId?x-mx>t55#nop=Z^c#A+|*2&CBKaSvnZ%~#86exONm+w z*aw_>veZI+wN-^>Vm6rM#2Gk`HKSqbOHKz33rse`q!VOTaO%n4w|;%N$FVgqpfD*NQ#P=6CecAd`OsVm!J)^FNcy)V?d>AWa)CSu z_lJxu?qajw60Qle=^G|<&}ZUSBKR@@astFm!aGKAYG2yQ*o-A>ZEihs3D!DX^$ zq}uvkqDcR-Fmntu%gYp|;dH)ZgplHzoQfw)h;C7TM3pqV&8wS?_pAsTh^@mcxz^2I zq$hW{wKGldSW|v-ASlxml+jexndyITugO-by><50&avcUvL2fFuMzV_eEZ`A1KASh zZJahxAz`{ish7FBFv_FYoem50A}V^=TBj-=^gmgwZC2#bRpjdPwto3|S`D#mTUK=@O&+KySZN89Y)ir^HZEdJ3kaAfjuN6rY zIWVJ8Q78|g9^dV}c_BAd1&Pwq;c}UT#3Hv|_G(hIRJj%Hv?f^IYu9i$Jn6VGR>@G9 zQybMR@{cQVgG%mw|M7#9Ja3Ey+{(+h;cvoQfislHi6;hu)&Ue5GIH7en;;qUonwgY z+qTxWIexL&=EmgRom#H1&x@T(s%;E3q&`rJDVvf~gk>+9gn)&{qSfL^?>_42j$w@7 z_4IxYAeBXZyk%)SR=5~YI>RO?QwS0!QP@QnKZ;&gj z5fYloiw_SS!{|sn$VX~kg;^nkzeJWj?e5!Y`C4_SC}8kUf9t)0==V=u4v!9=e<|E| z>(HU@i{pJJoG8rcmFLa451N&9LzX4HZU_TILudgDTfwYe3Aw%k2%`y>7JdpIVGPq$ z!qF69kezdvCxOA1#jSx^!M`JRq7U2)PiWM?CfZ#r%}``A!>b1h5PXxvY#A{sDioEv z<;d8yLA9^20N_1hqksg{nK-v%ci|IuV`Zi^~ovtKVLhb&rk7F`O0dCZ8z~@a3u%~ZuiOU|Ldl2cz)4x=hf0DL1D%iJt zni%dV$*m5LuStueIs1+cTzjIY65X}w5K#Ex#i;QqXD-fj&o8c1Nd+g>kblNi)^ywE z=B4fxN({gBrr8reZZ%wbD)>||^h=#9ZF)>tKzakp*{93!U3cXut3wRkhx>1dleVe< z8bF(T%PqrXSXw<=Hvf>T>tQ75sQfAh&-oqS10BjAf#QcK4dOe74 zi&bzI3i=(30};?P6=)Q9xQ?p`Vl#V14IsNPXb5Md~y^FEcHQmi9|ddfK`jp8tQ4vwa|b9soD~ch!IM=M$L0G+PWM5(LKk>f-L? zqRp&C|IuDP$33hOG5fQqP;_$qmgg9$hCZHK zH&Qo+hu%bgpj6Mx_LUXGkiKQjs@I7vB}5a7Lavn!VYmqFA92@-;UFkK$Lzy8*D?S8 zdJF#3Mq;YkodzrA4@_+VwfNnmv!xbQDH|DPt6L$8I~SqSMxPvfDZo>s_6j_-0)qTG zl*ortTVkfL#+1{ zGkQi$jVG-?rO)7Pd+@eB?N9jc&8dk&`S?od$U;caXCM9g%@LoY5s?@s-Nzi$?Dyir z^z8f;?i-jhkTnP~AvAJH!ZAHDX9hG$B;YiKP<8Ppc1_bS(e5zRR!| z8T$#T8H!FY|0%9(>gZU#Pl?uXXzp0iaokGv+4Y|IQGt*o4<$RkAD<<(&ghj@)ZBIq zyW=z{%BXTUQyE#XsYQ+T#nBSIsfY6n0G-=GS;cl1aF%qj%8+>Xdu4mkVjn$MIf&(W zGgQz1qxf>P`J(e3-_IqJ>%zV9<#`uBdh2`Z2(q=r93Q*S9I_ca$>z;&SaxzgS z6_l_>WD)-6=7b330gf6OZbP^tl+@!uIrcMK^$T*n{J9PEe1O^h^5;gBhFk$sFQViI zs#Le^Y}cY_pF*HW=TFDB%@1yJkr<@&N6-q{#-n&6%g|)MsZ>t#4ad%tgK=P09U4&z zam01LCZKU;gv~NED%+#FE0;2@tiGvtW-lX{rhZYywghpLfzvkNVyVYj7TPMZ8*(Qs zsc0|Pk`DRS_vW*4sD2zeJbRrJ%aSzJ2$+EtaW<&zTTs`Hdvf4u0l-XW2$hj;m6!K& zYG1AhU1O%$HhmzT{hTZJ^qHrP31Bf6tuOgLG4Mi5l=2VDpM^7!or@p!-o8FMTSD%W zGSiP}1fP#E>)_r+NXQ>Y1bODIw)JOJ6FsZWMd3;i)tTX5Ttbgl3eiUtyIo93#dU9G z2_8Z<7o$B3yL*~C9{nm^FCXC_;%ccFhZ*hnG;((~``b1&``6B>k+Cq<-g%TGRkFRz zB3sZiraPmeO0@wiMu8fu|5W%9RRKwB0F{RR~SnaziG*J#*3T^ar~ z#-4C6;_MJVW}By96uy^O+UqLMxOlJ%Z|ts*Fo88Ty7&^+Z9gB!WFWpesM52utIg46 zvvlxyXmgWW3W!n*Qw@X=qo!8f2p=Z>wKQ>OU_R)$F44-F#gK58H~k-p;dEVX({5~W zM{}>)Nqm`E{wJ=ak^nOvQXcH=bh?sMHC(pfq8%IJ;Wh^Nb7AuhN5KX<78E%{$ zwT@i9TDdX*pZb`uZzhrd%Jt%w72k`2?ST0IKQa6Da91o#$`-THu<2k) zrK=&W<;%H*)`C|hR*dSF$KOKq7Q8=unrL;+DEB8FX}%!NugaIsPw0>*M#s=|{Bkp? z>h5eMxmuUa`xNwfi2H1X6ITXY5fp}8A*2;&8Fi@&8qKBEoa|bxVEa7bSvcnJUG}>A z!_&M~%@+H_jCr@xUenBwV7oZLB5e*?Z;noxZyJIp@gc@}}DZ+)7u?uPp zJ>?;GHF!P0aPQQ6!Y??cfSn$+(xfkJ%L=yVzJDlC4~sy&QpWK zmEn}$O`R0VjMIFvSJjZ(5x`(k6=eqo^#E8O_2IE7q1b$Q$P^xNc=y)5}E?xWcf4P7iZE7aj zhU>BUX{x?p;$QvtIxiA_&d7ucvHp*Qks*KgKa%d)SU?lMesmrVAMA0Ol*0k9NYmnN z@$W!ey%?z}x&KJeg5tZEbIURfKRJzBd|sbf8N}Q0K6!h`;N&OUudlh|j?Rtm;g0sR zL@Qbv>5b@<)xoy9u;IgPchR^(r@jRJmwZTo7vWQe>`l(X6m7=qsO66>0JdX2@ESbI zykl-pEH?9fr_*1x`sOzBg>wO3v7|x0TwauLh~%HH+@d&#xX*VqMubVZl?I803ehLG zmgFvfufgxpDw_JCa7n>vpa+4n0bxl2{&_6~X@NaU1N33;bEQ>|o~~iVyLp){ZE5J} zYdvj|D!S~8*cVPy(a6S)n4EHg}ppmGfngD z0iTz3ddGO%IQthd_4lyip@$cq@XAYZge8|{O84mwn2AWXn~xs_^OT_x=qSwX)-+Fp zUa`=h{^)FeN&TJ(t?x79jCY(4;BV(yq~9k9Kf6brO8Pqe;;CsG;*T5R9sEYd^{mP; z$%tNDyVtLu+^y_qxE3`l7P66^BFn?r9T5LcR%9!BpVoM;tJfE;^-jMba_cR2Y%@lD z;PW)AV{5wl4>hOZ0{bWOs=is(-U#VmBGe>_oDz?57wsA7{u`Ce9e2-V5ZA5Ebywxf zj#~R=1Q$DEE%=Q)tV{yW7Tb;E8DqhjOJrttJut z2E&{Cp?G06rhbjMpj&Zjbdkg)fgMht&2T=|bW(Grb4j#Y;A>!3aV2z55z_Fnc&KwV zq(?`9=xU`^0omAPSQ_^C4TLlkD!|3}8PPWcoWMcfC>jWWuiiZbsU~&BC+f_-^%QEUQb8|D;P`^vR@IsW3dRsChR=C)&gHN z%5XQW=ET(%%;#c?oG3Hrfv+0PP%JBC!JTLvVv`$a;$Da=4)rZE>yx8zkc~K~45=1N z?S73vt=jF`mXUM#*zt6seLvhbAelmVC02HK(M*=5IJ<-sWQzg(T&{0ZP?%_IfssATmI zKex1EUn2KdU||v0Axw66&&n-1)~?20EV?U!s+KSBAAe-%vZjqEyP(GVs%1C% zY^FcgxA7+7_$3vdVsnmycC`!l(Zx_q(8{UJB5Y%H$y$;$3ja^DK{=E$O>yO#oKT`;SJ_6gTGXS-9iOZn3>f_1TbK-q3~GQeS*Y z1b3xbIYxhvJiG!}J+$XQH1mbEyeBten9i261OWm@jwzvb+6G%K5!mB$ZxhA0lczOB zo`n>?zFB?m%Xq%<2^8|^bZLgstMIf`nWxFLA~Tt7H^(6ij9{psIFt26b;Q#Mo1ZI6=KJU4lY;C_%mY!DQIT9V z50}Qi&x!J(cKsyw3du=3YN}q-wlUvjjD9L>10O8}YnX|aMRD|Dz_R8Lo3Q&Qgf(lE=d_Pd5VrqJz`3-<)F`LiQwVq+iu1 z!02v0DCEt4F-1r!3O6I_uR=h`R+;$02z0N2i(#9;j653~3wo$Y6=(^)y5 zX~)DxJ*pqNTFzH zn=E`}ERj_dm8bjv3Fa3rDe>{O+u?>-${fO6jZ+c?rirbM52>+qddKJ1s!{f9K>>c4 zta2;kj7y7xLSn4-y;H+(rydMZDD92Cui z8O+94I2YivJn;j+v)e3xZA-|pE^a_< z(pU-6vRJA%O^8d(-LUeOP&oTDU$u*fZWB+Q%cF8lzHD zuDW}2ug6S=@#5taA`~(@$ZJJcIHh}`vdf|v%CZd>B~@c6vf328!^4$OEzPgiFNB7< zuWzKktb|40$W##e8`B}$TgV+x|5hyID~uewhFXrxLSh?`1})f?F?e$I>Gc~`x2|?E zQ+=azcD-MsPqS}`eH=;bdOIJPE0W=`@0OumC^D=0L;&;f9od3x#>rr{MQ*jJ3(5S# zO5>0}bPP1iEQ<314XcOdf3t#&ZW{%cEHTQnua0wgI&v*b*cjbv9z~M|+v}Gohbp`6 zob-&Hop}t(fA>Wvqcr4oP=pSG^!4D?%;3(0D z*@OsCe%IJ0B@fZuMEs;hq_5okpJYpY!&Bqo*>5T>=;xfb>i8S)wl*;r{kbmmlW{i; z({EF$6`r`qxOG)Qom@0;@TOk-aTFCPSg<<>>5Nyq-2w}dFH3sgfTOMsH}6iDXJebS z7$R%Y6MEofoJbN~FI|#g`8%~iNn|$DX7xQ&@y5-}A?Y6vyY&AUp8*9%y+%}xP(MF? zphc;M^=N1QjF~2CNi4kSG7I_g)X71JBYN|uPrT~c$SFh5+zegZA91?Ts7D*=>fUPj zsde@ZjD&g|B`f7Hb_=7n)tX9(#TQ`mN5%lGs{!CU8I7=5T8X*JMCNoDRH~KM6bHho z=}H@XXr3hAxrmXE4AyBR4!Fv`Yw$YD^O%=$azOs=*BMBXmWtzGj2pypxVP+>zv;;M zcK*pt(v4&{c9zb3we0Ov7iGSO%T%DuOqf{T6KHOqg>SQRFlkjeGAbyCOUI9AD# zRFh_XExF+1`ZHA%V6r-r+fNvoI*Tmh?on|nqsxd$IsatKRKyx|&5~2Nu2TL<3MOVd zw~R3ZA`8U(g{`^vrqsF;aksX)n(*cfwO9-3z8mds!Yos5V_rC)rHhHz@+0aWifRJ z4?n;-Sh}K%9;S-3_rT|0@LgQa0^RIP0WokE*tc=k{u7_TuNFb9fP^Z9f~}@bgCW%uHtt>G$fHR;LKi@%~Stn8~LoWTO z`bKf%w}+ULxtDd8y^E9%A+`;Ex%qiA)$QoIK_8_@(n0ID*hCo~CuLS=C*CGYL8dG4 z{oZ<>@JLF_a@8}m2e*}Y(pVP%ZX(Zgdc5BADJD_SKbz4v(B0cgH3VvCe`=c&^LN|8 zg@O9by3iSqljHhP)1R^*Z|wULeEz0}myZ+%*)z8lIwcegOS4KR^=8<+RmTnO=uW2j zSu2tK{zR!HYDmW3C*JlyY1{voIaaNBG#^b*5Z3+_y;2jOBO_5p4wnpkv{Y8XH(&CE z-U9NN!?xL3>&g+d-x8r)GZ`1e>L?(U^>pZ-XLn$f?Vn6*7}wBb(ItyBkrjJ*{iZ|m zy5AkVQw!0#q~7<$tL0yT<_~+p5%>)tK`8>+dn)n|bxsA8`AWc&vp``UXsN)hS%2+{ zCx9kEuD$&%K!dG-12(vA@nzQri0gZ2t%Rb9YuK*U#9w02mBs)0HsF6c&An(A=pNLR z2)&C#0O3}5;m{rXsonz)fP`t_{U3=^7=WET1LP#OmkYQHaGt`Pde=|%44)z-MUAuL z!<`~04bBr1&2*cycg-s6+Ijk9L{DgUT=VZ|mx#q=C)4zl((M@Aa@SykwEgb6^Lc*m zS$-N7L5OU*{izEj&7CN3lqAbci0qb*x(na! zQJnOj7_Z|Z`hmulTp!eNYzHz(WTU0>)k37*4kN<+Iokq6=WTvqDfG*JzRoG4v^{H) zr_tis9HwShHR^_ydAwNK-x)DE(tI|&?z4SyPcR2?BOs(7dM97yc*H6lj?4X>7XE{4}!~P4+rCajHX77w#-8+v4RMnP;l;S~w{+%v?-Ib}ez8 zx7ehGLCD9Xn&NngOR|B_lnF>0z=JtS3CiKq738@N~Woaw}W0Lqt8gf7M9*>X~;(eF4Z_=dElAGvn@VRqwbw`Rc=AzJI z)|6%>-b<7Mfsj>lCJoZ1*1{z9Lt1PnVSO@#(ciImbxhqEdj|Z>evLm_l`s&h8CuBX z8>eRpsrU0)liE7T;}F#X`rvM9ntfn9WjI#T#F1L=UL9r|a`-5TZ+uw$`W@$@rFcdr zntbzK=>+Zj=-w;ReB14N(MH#XE}hF<){vXzvK0z?<$Qw)sMgl~`?eSe`L}%mq}~6o z+Fm2|W+OGFQ#zW}z_8y`jrvcOjwjMa%ETbPg$2nimbbW%f*Hwge;K$oLC!Kd`P#qH z*A)=Qucp0J3%p`n+Hu>FM-6K6&qId)-#g@Sc(f9r>|-pFa7^dJVQ^52__xCkgZfI{ z-h2OKcjlxHR%?OGlq&SefW6~t;9kYAzAtA?EY9=gMw~=ThDc5%j&zi^$^T4>_q~@| z_eJY6Y=_aJ_Oo;1JuQoK8;-W-qyC;?Y!>6|y_N#YS&Nmu?>18^`L4b4ya^_w#kZ#~ z={D81WUVV5{Gy2ee3LJfa%26o1RwVk|H(e_j*Cu0C-wzV3(O*b3OY6)KCL-SD z+njn)Y0N}K?c86VSfsr=w4xaiX7=qk)Q*OM=nl@*Lf)W{46W->p;dt2nAEe(oUC-|H}X5A)-QZVd1)|Q+ahvsK-L;@sI7^ljV>im z4jIy&v}@iuoXfGPdwMd};b^yf{9`RlO%oyns9cipuA2j;%mAVZo< z^wD?eCSgU3q0Ne=+NHX!xyfoDZAVwf!;=YJ<+?Zn8+cs)OngFBA~|zV4Te_!L$~cT9KoPbY@J75?Y` zaQ&+j(;)gkWB(?V9+vz#WN81Hil)LDYn}J*4tIk@%L|inBLt~O+84N9KfB(v=P@xb zIQ%S~v%&j;SbPGo(tLsYpC|imug|}6yrF&M)v`19n+P~LWJXr%J=faiUfFAZLZ8Rq z_mM<_m=X$-6i0?&v$(R|*~eP&%C7oDLKjPF_GM)rb}&3{FgcSOQGCNy^;dc|Q(T>Y zaQx7G7eYl|YhP3HEW~r~RK9*trehLkw`ek407GP{X#TTkX@;Pu5XQ8rN?jq3rvNg9K3dnhKYr9^$)@TxaH<`rG$ z&-kDtbxq&tzDI~aZ*On6-`>e467+;^gS#}H@UUrSO1rY88MUC{dl{HtI_v>h%)PS2 zVp_2cR?<}z=DePYD2S~vy5GYqZptSx-NZDshnZN0i#T;uBx-xSJ4OH7FZwK7qR1uQ zP|c3)_|xk7&GjWWUy5!#Pw-A1ANUavMO|5W-QnC^{S$vsMl(I?b~H^J|I}S#Yy%3M??7&9LXl5Wo{qD zA;YT+hum$1N=nye-#jIgf@aC5n7INSBf{-r7=tltCS|T@m28ei{&LJR6~l}YVviWK zx@#iu(P_NpweQ(;t+z>|BJ<^TKMktsy$B+$@W$&BGf$1ki+#fAmN@s++QV+b zyE_=$XlU~6B|O2( zobQrlL$J(wnrx5_?!X7+XufW!bWq9_7=lDHP*|Bm=6R3SGRudn+SpEglh*RG6xH0! zR;ugYDvl@pezCKb%L*9g*Hcx}%H@;11|@8Q#lwqx{h}Qsy#*CQWtu+=QxOIWHFzuiP?Qv2^tU$}*4XJQXEXf6Zn?l%FZf zgu?m=g0U7}tTDIQ5=ta;wB|EpxrrPm=$z{7-YN8(JA8-}C#q#T+J;gAH9 z8J<>!KQiv0gw@(@9d&e%rRqX?pD#cK>#d5eXPyu`L<*bs>{ZsQ3}DqJw>rQVT}K_U zoW2W3RL7s3HBNlI=QEt>9+Uum)Xqd^i?S$AzSr3ItNi|&S^|KzUeuq1)Ln|ShitN0 zw?ZY}H6x(hn9NMB!?I14YHnY+m5-*7s=mMztn=F9tMJMjSOG7w|AMDz8Nuk)z2VM z5Qg6YDPLbG*wOEW`e=q)$Tg8PgXDC>l&m?ePsO#K?$Swm5dUP`j*C|QLpB3IK`&## z&22;jN;g7g<)Z-dgEp~PX#x-CcMpHvAC-Ni3^B}`ZVbj<6l;_8(Rr1BFwK0?JfX`K zuxH4`j6l?GJLv1(PiVzo^)+Fs`Gakr4%O_UL{Kc+1M)W{=W*v>2m<}j)OFggEF_^W zb=}g!a^YX8rZs#Vc(@p^#pnA2p}0Zy4Nsvw9U>Z#h{!V0Cqn+EQ;Z_LlVqKtNzJO- zbhb-;F9r+w`@}!qy$+8qx;Cd0y3X(sTa1-^U>uL;;a6BplHhv~P|q1jD*s$j_w3`f zi%O|EZB77Jt^^EZArn7j0(zF-@Sct@Fh1T*Kz90F5xnow9LeWX$|Scc(SVwTBFAHX zgA4#E>bT}=kf}uYb9_8kY^y79g9Jn+QOE5GhwImsIs}rJW^9$OV9UA_irx1QKBU?g zLbtn@*EnWvr`w)>5?hGWH_%}44lvNDv&)1d=a8+o~p{J`=R+5=hkYrAh7T1dn(@PkSHr%x6R3igia9_3Y8A3U9XlXLB}^tF3X zaPBc(k|iFUBU)4baB^d=d(BaxMl&kBQYpBsTJ?kW2hBbET`5e;%+O$&`nUOri`Op* zn`qbq_M>A(QC0f+biTf-0prPY;d(Qsh|5x09kN>6e85&?qs=X+^*P!0%xy1P(zN{% z;SObyqTWL%vLY8Kr9Ap4s}8<2s=#Kjz00Xf#{{2y4w#e zj(%JB$R+(%n`{~DsKlKEJCfCdp$)ijXp3}bwucf<;H|C2O-+YzjL%4t{!PK`kz+d5 zWz?=~6(Efg1TYqI3^%_A3m#egg0FCcDd=mVVU$!#3xW9#r5-oDoM%qT2c$&d4@q@M zqMpd1LAs5VX==Zyd$KlqR>S8iOPtqkyAXeU2Ile!{d=$N9@Ab9f>&`s&Q@z1050W9 zF@OCrlexD$1_#wYfS%DxaI*d#fDGKrbyuf>lrS>R?pa0xGVMLR!1p@pxorKBa{dRy zsT7?N@L%6?D{xu)3axApyn_Hyhhv*|xMKjKRtV?-f}lY=Yz~;!kLgO45l6gTfRx5U z#nHL<@X@?3S~B1oX8D+N0)RQpZb)N8T}Urk8AH zDg|P;Hi-^*p#=fR!#D}lYnRq)L7LK_pk!i-C@5pHNH*UGocg%#!eF^WZNtm7HtDk1 zuT!xmRtwV(Wu1(0@?G*3E1=UNUM86WFNA@+^Xywm{YR)Qe=If^VvZT&-`Q-xGBG{X zVShb|%`q@`>6or5)_9-aM1Z`BlJW#70ZEiDKz$*LIRntq|B`i%@HE4TX_9Mr`5^Lr zl|_MrM&2ijs}fQ*Mu&_8MWriqf3X6cT^kOqIGvFK#DnTYt#uc-C39YevWrQo7pmBp z`69U}dil`HfFQJuj!2p-Ukc1a2g>KhE7U%zsm)ieEoZm``pfJ7hgPieHu)*?1B8-G z(K!hnD?UBsp=oY;qq3vqj)UpgGIEa@ky8WTA$7&DP&az%s3p5Om)CTY7tU1 zu+6^Kd(pPnKmrt?E{kZf;0#0X& zEBjp}g1b_CK~o~3`#5Iik^=fgVL74*?{%}bjgZQJZ^1d$et+L3 z1XNCzDbkZ-Oo@_i&GtCm^LEZVUCzkdRN(9@I?b*VTEYBvQSY;XO58ZnjZ~WQ;udIW z{yyg4zcsemkLG*Ds+C$zO$MZKx=1cj_z7y;5=hjR5<50*Sq1%tj?;;svA(zB#ozqA zFj7|i@s8P@cCj-LDpjZh)GjY{ zeWe-U75x9$HoODP?|$ol8rnIqMc|L_Qt z7&@PdJ$SC|`1)GZ2IrG|ryt+uoXrFZmAe#yi!j3tvvBH69&i!S-+z*# zGQ67m%U4yb0lJr~KwmL7in^-Otr(m~%R{>~h%?^_|)r1;VF())}|xGK%}meYpA zj*-^YNw03oee-#PUZLv}UR2DDuRB}lP+rOJoh=3C!euBW6H$NGVD=#-egM1wm<|;V z2bu>3Nay~NDxyvh-PkqiUT+BS=)~~E`y|SE{xHpcw4R5U;)+`bJFYmFjy-#d{dQC^{f|bPa%E-h>Gr z`K}z(t!AhGWTL=7fXnAdMN(b|z!fL~-fAL)j*yGASOApoRY|2a_#e~l>V=+|6W!_B zZK9c+1z4g6UIKYTHE!3#C1aJObwO$_9d)3!buSJ_V}+kbUO<<*-s{cp2W3&D+49$T;kvO z*?edQ`Zv4e=`~M6*e)k0(?zE^2HxSQ&A7*Xg*R*(vRg#Ca33)I@1!N$r$DJOiXfZO z+@Rb(mh2uw1j|^RlE#2clogwboH=2Pz2EnG_6YU0Ay*#xH?DK1vKXF@*U#1wF6^GZ zd27>VEm5q8`&O?y+)@|!&m$axzi$Q_up$bM=_+i%N5)UK&V^!zfy@cz5xeY>{xMx9 z=(i08LBj&Kp$3pI!GpAq>8u;!2OnDLHjxL82Qxq{^Abp!6wi@)kLfO8#EkF19~-EDx7?_! zz>S0!OwGEpzmicM6%Fv10y3}qPX~0z1HJf$E?P!3vETYbhR!9*tRx*R^r=@Y2C1bf z_fzK7F#QQO(riSvYo>5Ag1&MxlOQPw@Q#fR%%}lUI7%GQmtN#l&rJ~gn2w84jphJs z-_(RR>EB7r3h*Vs`^f=lA72jOhXpqHjDxCFK!p48FB6ghb;&EO79+db7@mzUi)V-p+-F3b-OwQ_j&UW0$?sap~%L?fHbJQOs!90xO z4$vN4G90qXZGi!J*Ehhovq?D1CbAH6Pl6J@2ubZbsUC8*ez)LrmZyW&?S-7F9(y4H zZQjq1$G*I~-9iOmft-XeS@L7qRDkThD=G#XC&NkmztX)4&mgb;WI-9-L zZ)RRYeosjciF2+-tbU(RP9C2f^pO6NRr)OK<`ql*(rdt1v%@8KF+C`8nBYLLS(@-o z1ypK47&FON+0kf>T0?>vKa6|ST=mjuq&&O|4b95x;L4FX?XHNL1-j5hTnhk7N`#bn9hR99rC|w4mSnS>aC(u*4loGKNX4)GP!gxXLWuI)T z{qREqM`;XHpwN$oUM`GBkkAw?IGoiJBytb#*v z->BjIb}s)QxHp%QJZOefDC&8o9-tFzX&>OEj(WcXa=L%^9<|k>lpk&4>uF~v4XAn_ z1GIw2uBwDn4@q7G<{SiG@HeyeV1PKD8N9*`C0`no)~=bA3HB=P5kBJJm@|d!>YegF znHxA^Hc$s5$J=G9Xd!#iy@2e1vmS^Hc`#nVVKFXHKlCWolfS4@XfUuovESahwy6HjxxW2D}e9r+Q~uTZ)kQTRE|;=aZYR%P*t7F+|6zgA*+o96t`HldnoFu ztV`eGeUs^EB%SYlgq8Q2OEZFJ+Nx4VMnN>62`Tv+jPf+i3N|p+rxH#UX$h6m$u4?7 zEb8CmR9E|P_~}h3$Ii>%EBo%s()y50f0LcMJLzlS?e0-HfeB8L`2o0!925MFYY*E3NV0F*sE1NYBE>&I254vw!GBi z#gJdV@IJUC8>8290*u~12wlM@?v%@7YiOW25X)~NAMbHi#?7`68{d}lvujViPEq4Y zU4$;A7qtsa03(&^(R!6?XZC6dAzZ#h>(Si=m$DRXAHFOXBx6gN*y+Ss`b8e;tLM5( z_wsEfvN9aml`{Em=sKQvOcV;*CZD|FguSi*utDE9t-I!Ne8=_)Om0fJ>dsT20ktZ# zjPQVCx}^dtfJoJy*`#L4{h>A_44?IY|87TZUwZw=@6rwokyj~~FxO|$jp~PEH+e0g z$Z$6@F};%7@S&nAMEG@%n#t=j{O9x_&k7*H-aB-M9wB3xlZuo!d1V`}wFb&$!JtSq z7i}+m6As6GYlT}wCfuf3w%9)Z$4dP}#e;FvkC`IIMq{<7iC=iXay13}G$c6`2WBf( zQvgm@13tl(gaE)T6>U;is?r2h06}E#^E}ljJ6=!zfr?zPVq_v}zZQ0Gx~J}7MH&0? zS$Mb7AU?LW3h4XWoJt1n5B^SIam0hYjv%TL1+s+R;{XA4F%C|rH<8cv?az9Wg?G^eAX1yL zf>#4(o zfCiXL4f%CP$5{2XX-lU%wg% zmnmzueNd-XIT<&R#V8~6sjV!Xm)YQ|4@7o4A6W{hRRVn4?fFO@XCruhV zjii8qAwFCr8I$g>4FKZxo}@0kOcT-5bR775wr*q7=kYRA*0|L%jEzx%52y8cXQN9@ z?wE_58b{^7FivXqA({$$0t}7Q!J)1Og*lF#S3U+2=MQe)wr$Tmb*Xi{J=&#bUM*H# zJ=J%$$%r~hJwlS|NeT;xIBu6gA!&HuS^{s+Y;YpM%G0=y+m7)mPqrZe`mCt$es*+F z_jv}sYhTfORuez=>fJioL*@Ay+48Ifc+9t4cddQK_nn@9?ov#g7U0)^(tL3`F74C^ zLS@g1=0=_PGzun)!i9tZQo4Vq4l46bxGYZQoxKNNqtoSx zoDZ>5kvt~{PZtmhp1C?MwfzVy6v1|+SjHPmw#7xBbh@X@w%8-iNk)`Z4Ls~oY(mm8 z=D<#1nIvu{;4sa;hbRsB0C%{P`)mj(BP+au=8(1DThC(3o;%u=Vbz~!nRjrjjQ`WKDtIC+M4>4*&$sY;#e!_oN{-SYXsTI1m7ZdGot22PpM(D5jB!-G#jQa4~ zG4)%QglhcP`7^!W@sbN?_s9#NQwKt=<2Y`=nSbf*}Pzc}XV3}Q_5#Z386jCH|GCw%&@!LlwBkM2f4b<^);o8yDqJ+(ytCzLu zpr?G@^(VdjTBYiMU}gZ2@3rJu=b(~NVdtXGpDwjuCE(}Jk&#Ee;7yJM;o^GyKm$k~K^V`Teq9!;nI!+Zc%dEo zc6f#2sPlxC)YWq^*0hwsYxKtb;I z3BKxo{tDqaQU!1eQJenMacF=XE&3GJ!+RTmat6{S1xv$&_7ghO{|qyTfT#-=LlixH z1D};;w+f_|<^n#l2ypUYHjIwxf~KLKl@v2}V2rlR^2|O5y#E;E8EO+LvbtiKHS0Bo zAes@{a=~Nr*X=?m^aJF{3&w{8k;giYyy>Y?vMvr5G0IyfK54tc|Mo%NL&5}_*QgYT z$2|$k?Lyrrj}}f%@$cmeSLB{Ksz`I>ZH9)G%}gv;e=VGBh_#hvtz=Nw5NFnMzTNQa zn9jAfk?JZye^T_7_2PM_B)SZTc>HyjjL*~J=lguVcu&s<;G`bA9nHZ5J61q{`)NNt zz4Ufkp(9P!02@F(BJ1YCJXs9HWz!cGjHe-m9X?#ejmX3dQ)#HPiM|tSzBj|A-#`@1 z?+zEF%%1w`6CWDJ&@C~~MSNvZIzJWrIp2Hx94oVBoyFhhQ|xx`N016k$9xEqx|mI7 z?o()UpLH7+dY5-3)xh1|{3<)nR_2e=TPL6Ah+X?(7J98w)%EWS2h7vo|Mb7GmjC>! z8fjvZG*(Bav=}ZOIsv~{V<40+NsHV0@aSRZZDnO;j=1M)9naDCnSRqxs5)Sfwp!x> zUN>NZj|}<%Nt2b^Ma!09z0hZuR>Uo*&U+5Fi)s4r-DNk8z2hb}G$r}Zmj6GyiM+sM z(3{#g14WvR8;%l1;ON7x0hm>#g)FuZv8MZMmy9p=C9 zPAJPiZ1kB9pf8X);Ut46r)ss@nFI!g8 z9<99&c#}$B3D+!yZ|W(UAav4yNR1n5etM%r-{aP^RLk#vM)k{m)!-A1qh=R zPyOR8`j1xhKRAM)@RCJ)*gKJwKw|EFB3ZQWV47u;>HQGYY%V{%QcPw@m~ZEaLrO$e zqKNFNx*zmfqT$2v#asaH=##SBK9S;(0JyD9*Wky)2arm&3TvpD5zNc@$UoZy=Qj}-u{^K zs5)=sSw#)W^KXO@UGQ*;=9gQsDN1R@PrzHH{oA9@SZxGKX^m9?^22M!WJiP?-9Dy^ zg|VW*L~dZJFycUIqZQ?KS@;u}%eXnUaD)ksZ}MOkwB;`#Wxmact4;0mZK}K*06O)q zFmP+N>B>}V->-zT)v9qC8H;0(7cQ0=*VP~8FZ1eMWYj&nZ^P~jMW+}gCw(SO(UkU> zzr*uD6rbi8AU$$R6PSerQ(sihFAzloz)HqV!H0Za^H}OU4G6zGq@=tCh{6Vah?`aA z?0MQFi8O%3QtL=*{RSdkF+QfVF$W-|WKMZ_4tNOl8_mc;ZdfzuL*2x1B`Bp9vxmTY zO%Q}bdU&^F?r(-mAQIvKL_}2{2 zrcl>tJnAjX@ti~Dtx+&30Hz2;(_DeHe5q+JH%Ii! zuEf03eTDA06Wzshe!DN3-dnf#o~Frp{Tr7J_-75s^~liu4|*jO-_-@JauHjs@$lxa zikklWohz64#cWshx2UbiXl!M8lJ);sD-xW|Makho+G8;5p!M5C>=c0EmJCv~{wH!g@4)cds$ z`Rdb=ElYNv{7JV55`TK|UJk!wy61wK;Eh^Xgs*mR#Z{F*Ner3P8EWM)Pct=}jLX}x z;|nreKPtas`%+^;_hW1DC79MkZS_Ju1@{g4--!Pw>nO59P zpKdiqWJJaEC}xC?IQ5Vs)9t>4)|W~*9}BNu-kz9N z`2Ms?tIf&%*`y5%EJU=ZPrQ4Py-cMTa6V)U? zD-Liiq1n6Z6~Msy)x%!9w=2CasnysE32*#y#<(Z*chNPz@0=lbKT7AK5i)v8s)_X5 zO9I{z@i3bKqn157i`F=$s^@gOOE1Z?L|MSaepA~+Z7H>LPhDlXaAhrZ#ge54v%w^Ul$@)t)u7j(X5F4 zL4JLaIko*32XPZW8xqy>Yg^~)Hbm*N(D%M~^O^L{0rn=&_Eo2!(hoc}66d?5E_)*Y z^?S)3Lp}$lW=4V}i&1x~2opny|tYCQX5euU9!Fw2u zcLbMl6mxdOZ=_ZjpiON;ts#%h6-Z^!DshH2^Y1{GGvW1ToFBa1b^P5bT~eH5M@|Q) zvIZvqSPA6z9ml7t4L0`*4u(b%j!b9#5n@&xEfTvMLjJJ#=I8|5_`X|%SPq|{0uz%R zZe$5Gi;#)-uoTJnD07?aD3fi}FL#!(wz9FZzL9eMXO=_{pD*uO(}$|3FG6FRyZV8! zN(#7;;Nw7{$wuC90An8)d-tP+Wp59sSKTxc!13At2y76klYMxY$#Uy)B)=$Miq_Jd zJGb~VvQ9MgEOaPUUyP7=6HRx*DfJ^AlhY2pK!$7zMhYmsf9@CNjK{9chuJd(K7=0B zr4=oYU$NAE&=KOvg!XjbXBm=6s{Y0 zrehhuF_#*>b37%yvSk@o^yJwcGBeO|@(n5jv-hP1NI5`&B&|l}VZYZg9a}1mN9>*5 zHG52kEgS$Ryi%3EQl1laN}HJPz$~ZM8fovG(X*>5R#tb;WsmN!IRjWLDrN9r0)TLv3v99YG}s5Q>A~ z_wt?dJyOj;p}bYa(wQz_p~MM3X_DI6qJW6Q?&zdDgMh) zPIPaq`5t-w~&1rb~ zh{$~L-NnUZmDWvq5hlkJ}O~8nK!6tdB4BV#8ciLXkJAG#+;6e-3K5@Zc~|Q|_4V z*;(;)xqLPVoRr)n@5z=;)D#+yckyYrW1&*_OAnJ#D7!qB05SlSEX+0#0IfTFN}$n@ zXY-Ut!P?{;GS%e!Zs5n3IMNh$S?^fQrXu2b7!HA}xoN=3N8)3UTGDsVh}eYr&v53pKmrhRsJ;9QG{}uxeh) zJ#nwZdt-PkCbf8W!|Yu4qMo`b3K}HJwev^byOek`FixZZ8`TztNK(71j;8n7);9#I zT_)bUzP#Rc_0yHmL!1#PVs2Y?14T}>>`Dvmz$4@+QTNDC(}=l&He}EBeFAHy|84F? zoiGOvE6vz}-lYPg=?;5zn0}9ItkmTLm4fKhnqGOYC;kVyGWkrLpV5&QJJ=RpeYvhA ze%qSJA#fRs?C7=U6=70g>t4JlJn2i%$06GiNc*a~Bj77?KWA0{k)l zsB|C%8Ue4WEM83QU;Pba_~th$-ChHT-lk0@x4Gn5A98ClK0#D_6!1&JAFoU%^a|vc zWygJ<(j4^9l?D%QWLaS}VHKWVv`ocbFX1ILOE)E4fRLO#~ak}Kao!Lnz%a$q0$Q4P6~|r ze$iJ_f=JPe(bF#+DWT1D>Rx`b-<&`Ga9n!xsx+2|auVzYtIMYVakzX~SdpDA5M;@> z`$vn4@aJ*e!I7raWX6hl)6H+n${P276AP*==53){>T}m8GS_lr7hgGqutrCmyEN*& zkQSgM9{+@_Bp%&*k1=b2p&clBqqR#Y>RFM!w8)yTDfU1#2I#4oxO2lp=v@$4WS&l* zaG>D}wjVvuJnhL()ZWA~B=&P&V7nRnzGy*=1U;0L$qQb7=29Xj7rl7>YsX3b!370l zY&0Feq=*?$pcBK_OOgqP^*|Eo04v9OdkK>@H%qgE|MUb180}N%9z~Vgt1V$DSfIA@ zPDKnw1MnK9J@&G=P+36sSSmq$a$)r@)bc`xNcjf)mD`0zE*#%q^O*tB)NjzjNX}&Q z5An>LU?}#nlZb#s!Z-}k73>qB{zz4+JG9md=#NH0kfQoPnSquDZ+1ahltz?Nd5b}N8UyLkBQ8H#?x@RaB zk2t^khs?qZTc)Qvb6P8k1R}@+^%|mJ$! z))P8~_fLfEy%AI8`k10By7AzkMt4EctZFy6o=YZ->9$K3ql%E<8wi?nyDQT1Ha?mO zpjA75U2A2W&TvXpye#zu6}BH(g&Dx~W3XTm7_&CDVEn27T=%+Uf1df#Z9+fD%7cS> zFwd9?3;$p-Vbv6e#s1DuAG}e;KhHEoPE>uG>T)OvfU<7x0oCga_bq!Iux;nnVnc&t zcbsyT&P5@v#`|6;6b-zRbH0-FP5#ptNW{StuZ@j;fWM2LWH+y7f0`qOzl)A@(}>Nx z7ahc`%ME9pK-*CL`m48prz{?n&9&|?5ttqXy5H&NGdwv4O&P#SU;#j13-C?u!d2dqy9mg%X0&f;G6nXb z@Iz_Ir7=_ogWu8L zUWb~HAyk5G=?6E!vxo(elV#Z}-g%)_11RuH=-9Azca;z_>-C$uXU~?+V|$dyd`3kx zxoH~OY^9xGsTw^9&G)1zr8i{rCVl7{)Rm$L0;U$P^3Fra=&L{#A?|uWzsm)vj%I2{ zpxeReVnvp?J^Lh3*~yYH${J;uVno4G6e$T5ZHgoDh+_bh6%PMi;SUlGx3aLVT$wMj zK^Hu383rYcCfD&Dd8`?A?HX1*RTg2^u=DG+Q66v2wMh<=>(3K*#%O3=--!H{H{2p2w=2}$z}*A!GW#a?Kbu$Sz(!)=J% z4Z0-AtQ*;3A-ZG*Auy|ihtpi#Qa+%Uz%XaTik7*1pj zI5facJn@q1QTo*CLLzH=iq4uf#*KRhO*0#P@G}y;Y4<9jczjh_Y~)$=x>9ac^y;g8 z@RcvPP(!+VTM6KE1)7T9gXLdmy6B?48C;_pyVe|4Y^Y6xfF}+M?E6!KAO|uo)#BJ| zX)+Ln$1ag=V{X<5}YS$SeasjU!45EYr$fdyG5HKgx_!8ZCz!rKm}Q_#;1J8PHL z`zX>sc8T>j7Z(r=d}6CSH<=0XKEv^$g1_^>KAehXKhO3h2JspY+^v`$r9kk?tUW4P zH9&}o1kPO%Ko6-~R0NIa8CQ5^nUkzEa6xZ3!%Osg4Aii-YH>JrXFzQ-Zv;1KNpZ!M zus3A12faVXc7rj$?UsW|p4nFn%;9R=cvpb{& zW8lA;rDC=&jl)+Z^}dcOb636wKuk~x1!%f_dGXN*dJrQ=&HmsFB!+N(mMLbx3byqIo)FteTv6twt6~E*bqkHoEZ%4>Qk7(ZLt>*zFk@SMza)s7q zWT<#gh*l`jU6dq~N=Ypg`2ZH9ZoZ@R6UAsTo*Y=R+x=-#@S_L(qtc>Qxn>D+KJN~# z>&Bmb$X7*1k%C-fE8`G1u%RWT+#>9=w9?kJ=C^z*@0q`0v|T5PpBQH!X2M6jMq|v< z|3g0Af19uW1YNZSHss3@YIX=3h9VI{as@R~nYj99`^*Ge1q_lt2G9-nv=O1oA>Gf^a(Wx~mFmCb}&y zG3a^cfP*R`XnG&A9YEX;Q_E3Iq1fRLP^qCE#C7KGbV;ZJOL75>c%RHnKxb&b=$nDP z_&%xk7HZgU_*DA*2Jc6SV#r;$YuEFko|M3_)F_h=g9auy4P5Gli4yAfG3j%^IiTQenXjWa*USyc za3wcPR%7AJD-;rw!EHwhcq7)hYTAfPM~^$@J^Yg2C1lx}#q&q)nFqDOBmVt37xxg^ zt4`7x63)qrY|d;ET&m|-toDEGOZVv>@iD)qC4B>b1CvwcfLJo&m@e-Ch-KMxCIFD^ z**WSvS0L>H5O^mfnHVqwAkoRqEd+I`o@U3)3n#V$6y;7d2+#Bdz)*oOdv_RgC}8_P z_S{}M)0}zj;10m)Ts55>XgX5^EnOXZmf+~+<6Uw(rNf;(U2~`Zv)bKZH1D{4`J-(E zp~6Uki+o${c@pRDIU6nTVj^XyIr0NA(g**}vvbVTeaT1#2xC1a6aXoU7)U#&GfbXW z8x;SON(nH~5dRqxs6)8x8BSIoDG#kIomf_RoF$@QS_OBSOsj3K1##BJ__z(lKJvLD zh?DU5YhxHp54yg1Zj=rCLOt+28!l?@TUd7zpJ&zMaD(q)`I>bnYYLGpiB<9V9$v}A z#j8!q?M(1?b!g2&St6}RSYspHThn(B?`N-vK+GmAe7sluCwvCFN-QE(Z6Ne*2*0_G zdLNHhEAmi6@hG;_UXb=4j%ARCW9Nt^ZK2 z|9>f?|Do%^^ym1e{_FSn*ufP_9HCeVkQOB(6xy7!;%5!NOLMsht3bpH)*U_hzr^I6 zd?fzh^4W>HUrc>m=t+gJ>qz?kbhh6St=#hTGXbS_Wz|2QBfaZ;fC!wui#VR=4={)a z7(K{-n&YAM#L+PqG6bJjcgS$}R_#ode1Pij8 zg#=Jso?!IdpNVw|7h6pT=QCK#ZoJ4&yOxrZ;bRvQY48#A6H>XJz>;bfXA~(u>f^1c z9us`J-z2y);z(9)o98c76nAq6P9*gF8{06n8Z`<<8I+kxr3Yb`RMGOXL6 z2DlRH>API;%H@vpP0o{#HX6KKfFG$ejuJr{3uT3wUUv^Y|4kA}pPR8Y;B%UM^0$al zOP7>^C4Ex<6;l})5)T{~b{R`lJSkMggW5GB0>1;s8)LF+r*d6}h9&|`+@RXG@RmwgJ1@G47rE2q7WXybS5)y9LevsSb z$~pzw;$IiRbu2(cgucn&jJp+e?Pt2$MTTD&q9s@y1NwWqDqi&)ahSK3t&xn$d*kGx zfpH7c9G;k2<0XVWgPo?JO%vJ59um&C@B=0LyA8<^LbK8KY?JrTUoYJ2`T;u>PcaW* zFO%$J@ZdZzDW0^jm{d8_?#t=#Iv{i6$?$5Zy#pW9ek{!ypy8v*7ihj=a3VMOZZp}7 zV&ROR6QbpeD0K^)?uD#Wl-sBC`KR1I`CeNIs!_z>?{-V{4vsr!<#htt)2r~b8ae649KWH} zZ5*Fq>?2=qb#4Zym#f7P{_*pjf>x0*X<7{13;rusJNrjH=aRuh9_f%dAn+TIv!W~x zsJM_CDfy%^12IaII%arhc_(h((WA8HpaVbe|M|6xm1tQf58`>e=Ch@df%VGA9~Zyx zmDQMw;kRmEvdDMBn9O~!%uMw6ozwimeH^*>aU>khvcIvdkn&>Dpux&CcJL)D!^Ri7pGMvBgFhIS z4jpM{l=CMhbtfXNEj~eC@uQd|^sO)Ta4Vwj)3F3;?@V_Uuuy}S_3s(ckpz<;1C0`i z82rpW(jP246K)5?>5|gR0kNz8%}ji4tPaQBs;iO_WKF!YjATcOdPx)2ra0 zHz&<&<)xBGp~O74W}|qmm;u>a>z74%qv^MM-|H5EbhEmk_nwPfF*DuqFrf4zL{{fA z^1P|dr2XXPHp1OG9{2Anwho^^d8h?ih_DP<#VOy$TTOlbnK9TWUbP506k>Aw^MJ$| zqkm1aQx9^?^NXJ6oc{dz8Gx0es$g4@_B$&2UzAJ*Ojs>y9@7siSW z5mAsLsDL0^RHQfQN{a$XC<2;Ld?952 z%k$lP$2t4#TmF0QaOgmN^Jdk#=6dEcpP7%}ej-tNEceQ*Pb06!c;km;Pdixrry6yB zzS&#dv=*qC$aJ@ER?jL8g*0!B)EPnWx4iLAS?S2I6A~)rEjqxzg^5R4`P~Ck9?)Xhq z{QmnQdeeH{24pP=EMyn>pLHhrtuF7POsYk91uqR(r6gFQKt*ug$!ocn@trZy*Xlk# z1(U{t!t_`f#xf=aj*^ajFKko8EjQ~^tn>}Of7ds3vACw}ZkUg#W=r58{IxaL2$TjR zx^*mo4`(=$t1+vF|Cb#A?i|quwXiho^t%V(!XXj(Cj)QlCfBT#1OR^F7WHe7&47z2 z>{<~yRA$pVTppSgrQdNO`pqHTsDsP=(y{;A>GtMpR9})UA%nI|>X8L5wZfZ4tkUN% z>P&6Hl_-MNSmA_2$T{twO|~Z>KGW<)p|kcvC{D>sK0%Sw89}Gh9B31br^2t^ZT}#d z*xR*HouGf)BQ1J+hQTHd^uUHhtZ0 zj?La7ym)hdOZVCd_;X63U&N@KUwvCgCkyMSd*P)cIm(i?V?Vy&$Y$=zDMvYD$y#gr zK->LuDtt7MSOpBlb+pB*9i~Apfk3}c51+^%zuMdo&9^&d0!w|EB6k4h(^maesI~ii zuk-ZVjO%>{k)~5;-ueB}u~!?Vc{}Hd3C1L+%wDw1aA!0rNNS7k?MRVUi(_2bw6#!`qD6M-JEorZ zZwsVBM(aPXD=@_WuV(*WmTy=KZAgBiQ4UV2qAj>?b>}cw*tnkUI%W4tWYGL-M5I-< z%F$m;BY$+@C16qxBY`1{Z^fDUp%#lU!%yGE^2W>SP*YPx`^MX>x2#^|KkIS3!L3vw z&HJy7@A59j^nQUsp2{0Q0@)Q`sZ^mvH|qiPU@tOeFW6w;Yu5Fzz0*J0Zx<9n9mJ<* zEasR{RgyAp?`A!6sdY6uIX&v-aOvutfz{4u325ln?g zohhL;Q)`bz-+Yj7psqHC40~!^_1De)e`9L?t#$u4s?X3)&@Ra9lQ0`4Q&Q7u_%i`| zVPo|w!Y;3PLdJ|Am6VDvdTAVb{D@2Y)GxY+rz_+|j_?7KR0A?=!=9SJ|92MW0X!X+ zhA+ZM1pX`phc#`oaQ}(gnE7UCZeh=nIvYfRTb~H0kj$$c08jGXa>f4~90ei}oD#qO z=#l*I89S2z5X8j_PD5I7BUs;II|F=O5Ul?ed1CWnL))JWnl9K+M{n|PDVX{aN>(#f zJGv@TYFR!#_hv~wCtR+}^ID6&c(grWM|M#X)Gx)JK*J$cy4O$-k0S+L5d;q9lNd6y zINdV)E?$I|8i4R}JsRb09cyPiDOWApsQ#qKM!3bZJ=#Haboy=MnuM$Clld^muA{c* zVNg_clB4L{IX{P`bjhwo2PeM!eq|1}k!%;VS(R9tFHR&|qVHh_#iraQe2mek89Vgd zi}&Q$9*_8&C)dIVd3wBKw24(9v&b3t@&~ zcN-$Lb4;r_gF3R`xqSX1mClrQG}pCztLW!}_7rL>@8P4$_33I3q-@lB%-a1Wo=M`CshcpR#P0wXEnf3ukHP0>EG`eNhMmpyf@V0b>K#{~hbV8r9wGqtB)+>XgyeC6A` zjNr_A#1bD>o^jrGd^ab#2yH{Zy_&Mq=0L^J7VU~rBqM3M&1dMw+|ZrZzCi~CtDj%{ zCdMtf?>s#JGcTT(=lhH=*_-E6fLdYF(_O>f7hd+`eTtH4<%@=zQgd4E3!A;Sz2G+` z+%-jw=S*fg-}Y>z;6(x2TZiz~8LO`vtfSh+J1lBZ*m8+Ib*s4Bt#$FX20cxYi66h> z1@06aJi3TT3(8*;toP4W{`n0=ml*l$`Aj&%J2hF)FMpE}xLA^)`SE-2g+~XEXGavH z*t=ozJX)Bt4zQL4K;gQ*Ao;tab!!(Ov(72Mkge8<;U4k46oj{Ah!a0eckt$~Bt&pS zi|^FwQEsgoeW~;h#@JZJL+>w39p#gZQP$+kWube-tj4klVR+`g?To+0QIz`eaep$z z6H;#=iG$fthdW8hK#oFLlXcJJ!dY_9>8zZWRcU#l# zqwvka{;uPe_h2NhQrUX_GiN^H` zNN`Gr8nK32bFjv!+UY+CI}<0>({Zb;+0=Y03nfA!)4hs&(GMw3#ieMpE!5SEPoDJu z?Vy>*ZE-2)HVlhKGXby z*4+%Lqn7zGSI@t{_Ne_O=jV)YNs`}->iKq#gUFx2{HX?ts>eq@ZmdegZ%d%lixTOlRj&5*<(K-wO zY|eE}EO(LTDF6ileLw+RI_3IuOo61>REb z@gjoblxSI*FE#ZZ2c`DkI2}?nW2?!*@%8x%{hE`ha+KS9wDA3voN%XHs0kl`gOO~W zVw4!zPJ&>UXz9gh`<09VQiZ!LA#HQtGsrN=aEm`ZNnKRhb+YnPvWBwheGPTa=PyP; zfKf@Yb&G@-0Ul)yRRfzE7)BnDpzICM1Mx8;dJ3{Cfv#~&Lx@w+tF~Ic-eu|>lGipD zT-JHwb;Hi-(`}*K;3We#biO_#h6_Mc-ab3MPR!<18w%KV!Byi?)gb zfZAMp|A>xwVF028)oAhh)B5_Q)aTQ7UuN{5FT7M+=C~r65chIC2n$^XfzkFp%<2m7 z7O&S!9nw}HV66Y(B>`CzFnux`@^mixyV1w#i z3POnKf3$jW#8~_q_K}e_-68!0J?+I`#S@v{fQxQoKX%8e^_?RBTl0S;DF2R2{5?Aa z4)tGDr!wnMfJg$Fn3`1&809IeLm5t44b3i%2`XkHFDnJyPrf8auLxd0Hio-qJkwZw z7S|oP2aSUU_YeI>GL)tu#z9}SA*FB~M+7LP)Kv;?n_53kNV9KES~Ag57PsGI>(#yH#Nzjwno6 zn$ZnOXPG}Jy?h8hcitk5G?xh?(@#LjZ>$t5j2h72jLiy+&9|~zX^u_y5L*~s_s;R$ zvD!(?uz1L)(-3{TktOe=nM|BWZTBC-dq{)yb(z(Z;o_H@69QZc0&tIR8?)Wi>tr%w ztI%o?E-VM~HhiW4ic~!6#J`C;X)2iJUu_t)K|67*kA{q%cWig~q zZ~INb+4&vSdIO?bcP0~!kj}m;75VU)_EQPgpYzKRG7$yk4`xD)KN^?2C@ua3a_VQ5 zFJ%wixVVRGOgKqGLS1V{gN>Y3>EQe2wh#e^v@a=B7u9WPt#4au-wca>CuiJ6`sUV` z-%PX1$)sl7sthsQ%bA{<;nZH}5+4dxboJ;|yqWa4_vUFK(md?WZiwckkDtAMa9A$1 ziLYZB$1K|w#XIaCK$BqYC4z#apV{#gm#^2D(_vkzeiYLx8p*KUm8@da?@x*(?X!d1 zPr{ttq{{KSw@Q{&@!(v8ys0wvQ{tBsEvaWU_;mQZo&gCdC;QO5M2x`doX>b5847TO zs>v8W$eQl8Le-$*Kb;N>a+}Jj>?3A#S!5bdNNiMyPtBX#>MA6gnumukijq7f%euS? z@=%o-r7IJ5$uqD6Rf@_XQ_T%%xueP}yes}Wxw*DWoXE`j$T#AbzNYMK&%vAC#wdO| zAn@_Ha9&-Wfa%4Ps9NgDUUU#`Z49Oy_#QGv5)n@ZIXxrumxreeh_g39o#M{i{KAQ1 zSFzd|xc|`o9qEt^;ry3oIoOikLVW7fP&!7X;8Sb5Gep3tV^GOp@mLWF5>61YQAi4JKMQYt#?RYV)9;1N?#} z+MCl@3{mOA(ds8e6~lmwlAEQ7BVcMRvaY4v`t-+$Zjf4MTb${4Y$B(5X6r`f9NK>c zo0j7c*~$yn_-*~5qh+l+|Hy3gEqwX1(lJ7sDPDLJ$1f6sSh#l*&L%1Ha=eZFve z6RS6f4`t=@)QmkUoB{`nDyg|E2PPjlNz!4bqKSb$-ire__yS5%R`Z0rWA|SNDT-C{ zs3R{XxnByK7ZSLX5h2`vnL^I?hWFtIkb}%J=m)El{?0kHma;j>oKjBsOjycL%|;zm zX=1gW${$cTW`~pJ51hLG@C5hs_4-fwVf}BcXuv(_c1$T-O&!Ir$7k-O!%CfA3#G5;Z5U5js zY(`2X0n1Akz{BuzG>C-1)&)5eqm>M44b<}uAy8sTSLIB41)E;v%c}`J7fT=AvC_TA z6wThHB0oj=#S@_P`64##o_BhoirKY??^z>cg`*r<;$rh?+Hjx!K^`VMn_9_lJc(Mq zBRQ6|4O%_5sHGHw_rlZtozrL?`CVRe`T3t`b@+QO=0D0#c_sRc8Lr5s9My2Or%hsS#FGO%9u;vL2>o9#XM(i@t`D$8Mc>^6-~D^2X(vGaxxs7TO6 z97@C!QMme#earBsBM7^rY6@||cBW?-PIxMb}so8%b8%~fz&oT2ZDVug2)``^u$MPt|>bt?yuwNW{)myu^SA!#sz5RZDV?Dr~ zX?`YdQ8D=KBTB;ZRt~?wHN*$sb^p(xR&qH~pbv_`W^g{t(RdC$eM1{e?BD)5_gVlk zYkDf;`+-a6W<2EvE%unF7HpK1mq@Z#l^rgg=3^!^{nEbZvIfcuEBx~N)v%%8S`BqU zL1bIF*(c?ED83L1v;361+gVloWsc%<`CyUpKnKS;&;IgTv2~gb+o?6SgwhFyy49Ilt&(iQ^ZbThV^eDygZz{y>>f)%7Nal0_ZIXq^p>QYm#c zji;QN9`zO`Tz^q*cyIcn8GU$Lu)am<18pM4kLG$zJpx3x%};6WvD!bw{W_;^664!0 zQi)Wc0t%tv;!q;MGsqWVL)&fM*VVbFFsYl7r5fW)0aay5S_xO;uZ!?KlQ`SQb^h=j zvf5|D55cv;Pm@|Yi3Xx<+DT-V_7kxePb$@^lWcE@WbOf#BfknH!#8gaPF=}OM_s1vG+`H~2*dj7{JLj7(rkFL%Pn+Ow9?Ix0Gf_rEZK zfc<|a!bRmJhb4%^uD5nM5^*Bkn-zve!geb>))SR?b0&sthPNuX%!iBKnDS-0205^{ zdh_IoR6V}Ak`tu`0tAWd1Zo`TQGD47+6m+g3CJ4t4+$7S0Z=2cJFl*}skv@+acp7$ z;hEi3AmUXr)3c&k*U}h&Z}(_nM@4S?M?vBEG9(7pkVcfQxWGLe zC|CsRZV+)l%;LslZ)>_LU9tFRF6bz zIgx`P!n^md!uWW+V1;b9Z$CCw^(NJJh~$YU$4VQp8emF|N^RXToYEcmFQ2?1VPRwQ z#_EhhHu)EqRnSRDbQuA;5jm0*KjrvDmO^+ z8y>}`cRh4Y{K5G*Au5X82-nTVi8TzdNaB|)y=iK+tY|73g^x5ZpB1<-DN_z(AeuR4?e`P(-B z>ACvif9_R^t{RfL_!oI()Qfi?my)Jw?mozSdpN+0i&RL*) z!L_RsZ({iA_azc1HE17$hZ3$VH%#W#nMw9A#l)RTs5&M#pL5TQm%YR8^VF#91YWSU z2U+Q!ib>(kszqC%o(!^6-P(|p8BW`r0!MtygRSWnuFUZB75rJIX(5mJ_lY-35w6G_ zz)q3HNI~{}6ha?wMpq9Z*#zZpB#J8THFo{vHtC-n(%Z`ZbX>f6>sx{~ic|Hzq}i_> zB?ot-SLK@7#g?F=$s|ifeH6>|bZFe!vjWHJWeE?WD}>zH%?1iqo_Sv3pE6`Pjo)b4 zd1u&jN}ZBtQ30X@KzihBQ~doLUa@6YnX@^kqZ+E8msi|6rlQvFZgg{Zwu)8<0KTL6 zNiGegRUbTMl%2*2=#~gq!aohGyWu}SXoWJ$yvR=*q7`MxomKao8g5Eg<&TmL{=+u3 z&*XKbd^1pv{pVMm`bTx@OC^W*PVl{gQ~}G_ht9ZTC0}-Q)d-K9+&>hNEpe`h$%|gUTpsbu~|>S%v-J6 z_g_+d9XJk{niVAY9|*t3EHd&Z!=+i;EQufqg#nE#f&^-adQy|t(L&J~U8{Iyoz19k z3vzW6p2qcDxw&oS*zKUcYKh|wHMc>W>s9^&Js?>I4wOU16dd&-+H4ML)AnrLa@sX5 zYIHBPo3d7SMEF{!i(l%L#GDkin9NY(-q#SG;#Xs|6G*OhPBBr3tN4PoPE=ILdqHQF>)nYD zAQ31AoS**KRORXdNPK%*_3y$+FRs&VpfZYqrctj#U8Y5a7*gN`f8zAq@u-(0eU^m>ZndC$ zb2KuoP+OCLR|O##F#|oGf!lMaCfa*yzp7{BGnplixex9*+&w?OGdh=(O)u%Po&Tky z;w5nDs@3G8M22c{6-ucGlU)pUEss5WJREJ;ll#R@>@a7(wl`OR9^@2b;gCB1I5>Q> z@9gn&2kMN2%F%i&oVMRNLA`rY7_BT@b3y<0NMNVqD58EkNSEpAEW$$|fh*nqoAOQ30w*6|?LBn{H+8>mMUE8(uZNv~byCMKFSI>y}I zeVHw&5PmiPefa0GA-?O!gqjlKcF6z@{2Rjh@NNL7a?Dv7SME)X!-)pFr=kiNYHN5o z&?W$xkw)#^1dd8peI4~-uqIgWs`b|4%GG7d#+i}00PA#FxBjK`L5eI$hfAyzZ5*pP zpiS@DYwPcP*ICx$-cx1a;FE0U(B)$;;8MOT$o))ZeD3Rm5kpl$wi#z+<5p`I&K_7uHweQF&kB48@!?SJNSlfP-~3Dy}mf z>-X|El&-r4QeSiZsOD$7I(3xKT!9;sxcPj3xl+^>(+(DH`xe2m1Zs5KecB@U6+^wa zk-8>}?TZzRjKuUa-JqC-x+03Rltd**6LVCKDRFmhbJ34kA)NzQ>z@AT6LOCw`vA02xL?;{lL&3EeWt?fqsIxEaY*rD24s@{{lHUvnDh zsGHR<5Rp>W`>7!l@+(J|;gob87#{c6)!SK0TA{c6vsBp%%-KU=TQ=t6XZd}yuJCm% z%h$#{Rn%9wamZn)yA?}+%uI0@#HPaHFc~~rhK(-;IS<>=n=HJ`8smE7Uwrzm2^h3lkB(L3$$m>IssGWFW-W&Emhd!)y6 zP4`xLOv85e$=^#!X$ZWrIJ5PW4DThB?;t#-!R{Vqzbk1zDP7Up%HJ2^ajVXzqe;3{ z*3*MskqJpV*CJB0X%!@%*)it$zC6V>>LX$$`<;=O@PaDGq0tXw-7yhQ;^SYPaz{Ro zO~#N}V2YJhPeq30meF>UR2mN1XJFl9d2=vcmd&!FQ!+Aman`Nd||8o2ZrHY?Yy62gQtyr)=ADWkv;$+p$M0zSc<5 zO-l{G8-mE_TLg7-mSkICVg}B{w7{+s1C{!))H#T48&!eGd)pKt@dN~~mzU>TPuj8$mqsQd)cj@OKZp#|GpBb85R$RO>A6hv_icH~1Qg^^lCJb_Ph4Kn|e!Pqv8mIR7h z`~;eQ|J=U_ppNygpD~;Lw^mXKfGG*TvgFW{va6LUR(^T1b-ZwS_oEjFLsLCUPe$UW zXF*BHMsS(hUq_Ga2QxUAXm4oYpJ>G-kb=)&L0c_crQ6qk@>JWn!im!$R^)C5PyW5OeDG;>3rc9dcs!X|I@(pHGlo7KHd#@( zr<0)BnlNLkEpbLF)+kx{o3*w#R)www1yDvQ`9uDM#kcF3RcMca0`Y7p;8BfMsq2pw ztK`s3FR!5fl4#L_u~%o;>gY+Zb9zE zRU>h0wY=3QD+w#RZXAcrnGp~8Zaw7Q`D&omb{ZD|il+Q?cRu`ef!6mx5|AyINY~;t zzqhQpiO{9JGrx{8+Bev#Lk{wbjpU=H z;K;8CoZQ+i7wOzJyd%X&PMNjWp({h`DqoH?$AvT?S)){XDA7=vepz;S75kTs_hfD2 zuiND$5bAbVgoy$vaDv?@<$6S+JEv!OkU(hufq2J|{?j3GR2dnEwu7%~^)Nli-pS`j zkykBJT*j(Zix!{J?atT#b#PPB-jFkeK#8OP2qJwOn>1nP$#ZMOX;NbIaI2hzY;xKb zl0z_Y?9TAJsw<`Ns1n^6(-YHYsjq026!FqUC0-e{?GmVXf)`oM@uQ6fWQMO_8Gb4u z@#S6P0K$u%#Y@ybG}rkIH-leP&583TOdC{W2U1fn{if3cf7Gg;B0+q34r@jYhC)TA z_oX_G51X6s-n)A(N|VE&w_s=~<{^i|dPN(Ei2m=kHGsQhVPeXWL@b}%Zq74eUy#Th zDa)z-$Fl{NK*h1iA^8CLwIe^T#}PGuL0T!icW5`ETs0P~;eVU-e_L{|G5tfmLw#$9 zC>ujJI%A3MdQ660YGZaI6xG0dr-u0B=k4Xq_zv8$gNpvPF2=|%Ba}3EC%D_rV=QpL zke)&D2`?JLLBR$ryA=X$8F*{YwfX<&%*;`|OlvK`N+elI1&h-x6`rqhHFOfeV$gJUg_jd+)*|K6D@EvbtaPo!&FL z-GF#NYb(;cUV2)I8-CA^fme;rH=M`v{#x3xb)J&nCJh`xKg(L^X3IiR+U5_l4r<*w zo;s}TOA24r6J{8K-78(~#d;QJf$yP_kOb@p!OX((G zWM+?xh{{rF+kSp@p`wpDPyb`8cER~fBm9gDtxX8d$d3(=$ zx{I#)a1Ulow`QlRV>Tu532?}x4*81%zf#Hk|G!~_t+++}HHfE|Y(NQp25j2NzF==} zcNcEH!MhGpJRY5`ceREy>_*puoLv_=WKoU@ch8-|J$N|r&Rd}7!oq>O=Xz>w27c?k z{WphBYZ?A?Y!pTkXNDA-nE77-0wV3HEHf05NjH=;wpQ;}UIyT?3Ec|-v4_Bq>+!xR z4lA8TpOmYEtIa#X#3W_d<(JMZk1VH{toZU+j(E@rUPYE4sk5_|kkO#3C6#HDEl#t1 zWJU*3+#9E^!S!JCiUGj5rXa1~=NQWLnf~N@J3@?G@5T zi$Z!@n|(8TCgDTfAId;<65veVl02rj|gPgoXiABam=XB*v*F__xFKDDK zs>+ZOos+w3%mu_aFaz>8XsIR!=Y)pamujYOb||#8o>=2Dcz-^q>VHB&5hU#pcSvmc zWZpq$;iWn4u`Qg@kQlPNxhRaRq>k9-)d}m9`YgM$LeW!x^6|T9R_Eo=J2MG|ZZ5cu z*8hc$i_$-?5kNZ&BMYLf4S=39t@9^Cc*E&ZW~&cjeRygTr`_9%DZ0V(E{wQc1wC_M zBx%N$KsBTK5rY;Ln~_g*lp0&~T3#N~?17yvyYRa5Gma#J>BSCIU0s2vT7^~clTSyV0Hpj~QHYjnaC$@-h{ht_mI&gZx0t6tSv|H+_2*Kot6 z@mSUX-75*w3spaAXe|IdMNo--756g&5mhtk^y0F5;+WLbzMYxyh!*#^e4dg!gys{i z1S*y8HA;;foZ`tPi-}OLu1mn62qZ{Lvb#6D`Bt24RA(z=nKWgz>yLiTP=V=c^ZVJ4 z&cD>W{OS+EGj%szbVU8D+**9KrmUE_A1$2eVLsP?-0&gCq7>U>) zh@4!d?NBN8#pCRV)^;kOfC&haB=%oRU>1jgOhmjpoeE~C6Np5-j1n^MusZ}oz9t~h z2?y5V5$!u=D2Y~Ig2MODAt-1ZasTAak$vWJ18>~)+b9F;@cU6(T>AWRsU;frxfv0r zsH!x)Fl`(fcLi^e^)C5rONYk}VEblyDLXw4{!<#t+uhBGt^Lm2E7Sd}ydu%QD+wPe z?0hudG}k>f)dDKy@HALXjvCcyF%hkW>gvAHg?+kQ$K*bk(K9MQSx>8pHKeR?;Q}V# zwA{Y4=BZl2lJ$dW>9N51plm=CLJ5*%>3Y%Dbo))hZcH$c!J7jDB|sk!IS8XA!_u(7 zmS%7`ZTcy%@R%y)AZ~NOa>20VY~@I)Lk> z%amnNhV}6jg@xz?PEPg=4EM5@gCYk`skG$wB;1mW$!FouU^xCULFY4rV#09A;rY0W zUF&FVR53+_D#Z+S;Cl=-Bw&)Vvzkb0T?v?AaU+;pjc89<*k;Mot+4TDSwi*$)mv%w zPeY|j^3#TW*!t|;s4krtzGD^INw=vy+;-uPQs2_pG?;+o7%an9bd&nyQjiwfc4k+F zmFnBan@I*G7o;tyIMl52yJlkY4v`V7oSnPEx#*8hL_Se&sJ7ZSG9E4eD%{GZk->2R zFJG2mXTV(ZHvcoen^WHk*2itfonP9H6<5BBa=Z|p{&RjH#mD302QO}A$vnnWVne5L zM58J<><=gfzPpdjnc~IDV6S5a?*YL1hGA7PJ&gheYXK>3VDBNe4gy^+PD{5xu{SWU z@Q37wnw#dDI+<2_zKxjhK?lJcTwfb;H%YA+g$mB=D*W>1=DuZm$_};;e#M4v`B?(kPoV6WFnwoiS~qqi<=Wz# zrkHuQq<5c$6@?gnv4$5juhDBYgHro~Rc%GL_$*;Z6>i_IUifJc%yL1OmF4GA^-Buj zs-<2hdqz6#u3yqVeQ~1=Tl|I^+4$eJ1^x+|`NzTjpGh#oH_YO*%?>QRI@AEDHn?WIQzXDb=I{rc#Rw6rCp-6G*InIiS9v0l*vJ~F3+7Y zq&FAtWVTYDdCIo0i9vwU?Cdn9O(R7qGMFDH;~jH;(c**qED#9in=~fl*N;2_ido#QKE6w_gP7gy)(Vj6A%flOUeK%Qc}f@*a!7D( z-6Cq|hU@i-EcptTl?hvhkGU{hG?Zyke$vh38BArgYo#*AVWeAwtK8chh8O*mAUSsxwDijvT$jUrQL~1svrqC6qP&t2t~ZzB9fSe;W;2 zKek3h?me^llVMJa(>5&zN5aZ3**Se`O|GE(plw{}kEyp>Am?85iRT29F*8-0slh=5 zQ>QEmR^td)^>5MQ{VkhXWZ1spoEb>zJ3q9)=vDZI@V^|hL*D;2X7}-_KCpCl|09cY zmQ?1{O$6WOc5}SN?6R-?6aUgOoAMDEyj>Kp@y(%|uf+VKLmA|BoZ)|YbLii8BYT&R zilrALMKCib$aIRr$oGxEc0bh_{gMV)0;SWvgq?$cfZ7@(({f!x)e`MsjS22-A@NGA zB+j6)`jNN%WLh$x*0;JcbA{i7OD3DT|1fp)&p*4PpUS@xu(fC2WGu3fwBS&^f1Z6)uIOjxzXs;>cL|MidC1!cIIDwpW zrQQpj)piCresK%FGl!7shG`?;2l7TS3PmxBxzx$ztD%m}=Sp*DYHD7WIP%@iJ^U1m zXd$YQjwmb~UE%Sf%yvA#y%vN#9qGkgb(m#b=Qn8e2N&8xNyE<-=zma`Bx!~L#y+2wqSV2({&7i+5 zA6Krw`KGH>=0uo$YhRw56%(1n6JKoY7Vj?&ciBbf7ZGj?Gm8YY*cu3gH|%M@BN@h; zh>5!pUq(e4j4f~g5f7`gN2PdfOU9i&N5S&ZEkKep-FM7m(+KM3BN@prrJR`RO57T7 zx1Ka8tp9nGD>7Pq!zM{TwNMzL=c{dWfJ0W4h(mTrbkr9XW`l~1F+t#G;S(pXix zEZ1y0P%z2z(kdSKdUlDUIAajxwYsx@;P{C-YpO(M;An|^24gZF7)kNg_m!wyx9h2P zAw`z^kEyz8t?|c@ufj}Gm(Y*2lj5!|#@r~)E%4~5!YG*NP=*p{3&4>Y)Btd;c^^D& z>Y;yEe7({2X>_Qqai_(DCd-P%JL6)rf5gmReb9*;KrsNTDK%i--{vzM7+r#PB%s|P zA?T#RFh5mbD5K)3HLg#+pv%*9V9^LsqPME~fP1WyD`CC9q%-v)-io?jMa0W2qcy4Q z!V7 z@-(y$ilQQgp;!=;(kL@Yg4`6XT*Gpf$d#l!qmO=yE;zM$?xXXKvNB> zu(FvOiZ*ClOQ0HNZil}ACH)8?{(h*UY}#9)30KACRUB8yH!fVgiQ}d0-)3t=3L4xP zm@}XTyAm?yZqoW+QwDS0i=aq7O81sy^;laYX9v?_N^=s4Ek?~O`gwIO*Zs?v*SfT6 zq|)N-)+$57`q4$q?8k2f9+Urekp1mBVMMaagmM{)Dim85+PKl%W)CSTn;PIU#}fm`yv$c#J}+=d|b26 zdi-KrY3*{;Tu12H!_m=b<+%X*LE6U(%+me9FD8Ud`6SH7kxT-y4PYpFPRx*H87Ns0 zia(7Rf(g-!nJ=U5nZiJ6vrNYQH?07Z@)O0R?8(!^(_lm=9KDyl9ZMbRg_VY&0gHGL zwt~+LJ`-4@! z@?jtJF{hW8^kVOd6$tG*=iDVOPNwQV?g};G4}_V>QL2a_#k;c2rW!tIB(*}fql~dN z_|R=yM+2MU2ArMGwx4gUonDr-8GX)R>1eKkG7lW}!6IF@ia|W*awx~+bnQXuF^jC8 z__(NAUG5&4`woj=P8mo0hac)j7neIMh+FbMto1n@f>|h z5OObjfzvBM;Rff-KY6>H@8Fcn=%o97e5s!6>C(GW4pa^u;8=J%+MD*e5nPEH4fp`v zKC^&sL)S++3=&erR4OAb4{w#OE4M#PIU_rp7``y(G`yC(xqhnVNqWlB=#1-y$CQi) zVh5(Hu7f}#g+PUCPz8xY!0;%Cfe;TL%3W>5qcgJ%!VLXHsU6<&Pt-xFbd6WgK8lMu z)~B4=IjF<=#c+nhT24HZKbPObCUTDgJs_v4l8nv@^{5vC#=ss5YjTuv4p0ngCl*E z4a(3UCT;g>Jh;rupzp8HttV(3#OVQP__z#?NxFB3$1zk)Q|GCzv?2Rr9R|yLu5lxS zx36{Di%Xg(qD@i3Ish5W!hqj_pumIoKC3O2Jr%FIv8m`ykkGDi1r-QspemrlEzer{grut zC2p%+C@>7XG@6(RS48Piu)U2=3ZwnJ88?o+kbitzkZpA6{Jh%Z>V(#e<0pFa<7PD% zDup2@rYAtPmF`P>Mh(l{tk4~V_kmB#_pn&G&Fg$#1vPfZ1t*XxI`Jx834AeJFMp-- zGRu8FWx9n~oZ+#^nWR6yu|efw64%=^>X(pVRvaSn2aiYgbz5&DCkH;4eW6F?hK=nL zUb*306mUnVjd6jwi?Mx1fRQkW@7<7c^M@tjuLQ<>BY_aKY1!w_r*Azc?_Bo+^)ts2 ziKgsUvH3?Ta#=+RI{$cQ06yi=%nZfDy z^TrdHg?M0$`nf^s1>!Z2&fln`-u}nv0wp?_Jjm-ArRDy~00$UDbHLY?oLHL=VfN*G zRB0Qb+QtovU>T&5FOsK=~nq4Zw_TmDV zr6E|)6uMUBdDTbi=Vf#D#udNj&daC*vk1lOxtr|7nDnAqGy;5DPp!&gdS+D)1Curoiux*<4oh_VzlI)RJ@tHw+Vdsv_MFPsC)$ zxwqXJ4%{K1#NI$ZoJO_x+1YzP+nC-Q*mafjuWz@u9XO$v$E5PWIi$N*ZjDpMF5C10 zoEkaV49`#=enoIYo77U;QiC972!(<)7FS!AWvhm#L`U76b}y>#ZqLnG_I{9wt*X&d zlNg`S^jGIAOQCzAo^;E>QW^kIzda?M7*LKGREMng>rY0Cv_v0$$FoE*1qc8*n>~9dw6-&hWhiqK0C|c-YNDJke^(^TfB#Ma9wkGmRBnI(=R(IY)M?`_wAoV$ihIv7)jU3S{~Fd;r5g#YLGuJ^OwifR8v;rOQjz`vjf|7qSS88$F&B)VefS@((dtxm77TOdyzKWCEjQ0<(@WzC5= z|FA?r6zBAQP!(-X_lgnIM&V2&ZK(>)w7LZN$c+M+7&$c-~LBegp<_-@YnRBw>nabhzY8mDtHdu(E*qpVu{ zXK+Uv+eF+Aet3ea6(zJ9!Vn4F?rV(QIS-_>F+CX=;{JF;vcG4Zg|oceU5j$5-T1tG zib>B+M!@+MW`#rplbs>=WW>=|w0J<57giRt0iZ59ikSq>Fv`c4Fa71g=wI ztVgCsbfoU_t*Mb9=mGSs5DMtIVHmY5m|h=NodNOQ9SOvj*?#G^+V)XRT1Iqym<$)G zSIbrLI>Ijvw1KR&_I2%i|77@J4FHFlK*YJf`8U^4w_Pj|mZm)V3L@Lm(kHyJH&B+k zDCdF3IgPhXV|7IPj}?V8DG1R^smRyur9V3-(y_FP3O;(Fgwmf3-^6g3FRF6Cm5BcO zZLVEZcWnmjkJuc!KN;}Ogcy?Z<@<0#2H2&XSF85nu<^QA&K-r}(b~mYY<4=Yc4FGX^_-hJ? zogiF!x2~Z8GJ70hP4D?xUvz*>fVuj2Qv&<)pi~1}_WoT*T4ad}XLifX;kc6LQe!kkg55+DrkdMyT__Ft+wiY zWNV7-8UT(wC$c=n+Nz!CNzb20C8>hNU|HS)@sZ^JKi=Lus>yBL7sg%?QAAJ_kluS0 zfq--nY0@E4kS-w73<6m;P246;BmS(diMXttrI!#LdNXz1`Z;Kl$d~Z;^ceZPb{Yqqo z6y#sV+ua4wL2?QfXKgLD@SgIWJSzsr^}X=z#@7t{3)FOkV@_$DoJ@H~0Z2Rcgw(kBIWbh5`a9^x_H0KfmL7onwZZNR^_j6)8+iHpQWSOPVQ7{h(r!>2L)@Bt(9`dX3(HPWS_zs-@(xK{iUl|QnoyfxB2p~Td! zF+VEGprY@3u)k@^!1)NxCteZu7r%&M9Jvm2We6-@D&0pCC;F)$53v6-Z!23J836Wj z(+1r;J;0>+q34R*H;AkdkH%DC=)^D(xAk+8vD{J{Yx+Y1s$%vg!rg_Qa1O#7IFk#1frh$JA}8AV@HqZ92Dtg%3Ce4Kf2YFs z^5Do;%TAeiZeD)sN&YieKe@1co-{iq~Z95}`k4i0lIMawEJ;cV{5Z&y@! zK1x@W>1L8h5ge4)YIZ6(1<3Ns;eTDxy`z7#SN^k=fyKDXSy5U^!$^9h;lJ_MO~yNU+SsI(-WuOExs=KXnX?a^SAwqssAS>%Akw^B?Ah6+eac$xQgxPfD`sv5=#$66AR3H4|?SN1`iWUw>UdgJgY0+Hhzc|$8? zsJtr9+O5zI>5jM(?j*6G{@anGkTnXh6z9|40fQ}2V1yNpG~wK+2avn zWP6MiEJX$#B+F_rIbA7J<`(Xxpplv3I?^j$JPX-H7)lKcYeCPaLT9@Q(KClZe!>!+ z!YPu=;xAL)+FdKSPR|7A>kf1|7x2SXqWE>UgQKK6MyG{lcQa^m{vZ%bB=fU2tY*3QYL9BEmNi3-jAwc$+!?J^maY``kLv?%8Xdp^@dL(}&I3ddI z7MHt%i>R80m(S=$CNuMkBpVC=4$KQVy8D?5B|qSNNRF=1i#oboq7QGmLa$$!yUukp zxcvDWEK}f*?nlu^;b{_Q-dN;3j(WY^XdpFDFR?-SoL=;P5gtD@g(JmgS`%Nm$!@K< zI}tZ+r_5ENhRl2?r5CKV8krdurCJq|t5S@mEq4Z~ABjYobM+xPFhM#Y}?DI;{&ZFuM`DC;gtsba8K(t;$9!&>Trzof(4eh19`87JATn}xM6HAT#f6h5mz32 zh{EZiX^>)400MKyr|fo~sW@DF^J8bE+y3Wg4*ry08y~T8hTCYM#MCv8K9^HZ2~aXn zX{LOn%uTedSFJ-*K>5&-wStn_=rA9lR1{da$TgTp=h|FjTQ!R}s>vicG{x#j%nrF4 zbFGI;27`m%s>!*Po$aQj^e9%iDJRJ!p+?haN9$4)N0ir_=Vx{vM_D6_`$9)%*o5E2 zxJLS{<9-SdsNR%Mfaxw9i?2e9$+*tuQ+<8`yLP1L)ldQ|L3;DXYx@EX9*oJN%c!ei zK|~;QawW!q7QKFvsR3zR+upER`{IOZ6*}Jf)#YnW$xGv((E=A0->COqzbN^PweaL~ zo@+aq!p+qx>JF7~hfAFBmye@+f@RMX)qUj)+vpu6(1x_WS~`mlS)UNnjmk-!xm!Sc z!dN~!1}lrcaxOVm{Ys-h64s76&+;GaydV5+P)o8wDnw>a4CDFzD=s4%SU9|g1L#b5 zn&^+2m5E?EUAqz~20g??<3%)f_nJEf*()2`#`v?4pSRmBk*(FZhHR2$-X>yv2~aEZldl| zY_#WF-)kODasuC|2didxw4>C<1v~-lpq_N(|XLpR$ zpR*5J(q|PtDfBc*Hp$fd%X+zM$16%9>08mNHM^V()ULL^ffS7|O3o)D(<`M%mhZK7 zgbZR0^;%D*WI8Wp&K@#L=vwB)v?=FrvS0;El|BE~JH>d&ZMSbN$eMCzPl7;Sf;~LD zpsDWUIR$_E2B@It!j$t|7?rh7EW( zvcWX{RPkAI(Crgi&`G5<5Z`R-zjKoel&ey6h zxokbjQj5{iLQR*r6^)cR`{m}gh%mLFps>WTK#$fOY1r&+Z~`**drN=WX8C^> zfJoBcI{>wUG|;mFGFw}84)w7cBB6yHueBBq7o}9Y<=Jkqv@cdYZY1cvuV0XR@QVMD z*5z^|m7Ddpg@Wp?Wn7eOl4tTZz_N!RXqdEX6(K{57;gsbkQKKT4XqY78fFXAJWDsW@bw619#;j(iIQ`{1Xg~_^0z)vhc#cCFD;3KdL%x zQ3`>XeGY8K)5knMrVYua9bE&dos&xHRNtSyj68=O)%nf=_e(0=0umb%^PKnN7dH+I zCdwF@rW$tYfCWO--CRxqM>}VlO538&cx8*Fo+|?V?|N@pCcMw4+0glPl7Xg%lJv_K zEIqJ+bdU%{%fE=#w|Vl(NaCR9fS6pc<=P=3IcY!D<9+@DI;X3!)4S}1zb9{zOksxC z<`8#v_twqhceGhl_zt#LzRe|ti#dP!x^6!a>A`w0kJCZ1nN#X!h;upMQ%e zJXz!A*w6KRFYx(~Hy6G>js1qVKG^!n?iQ>8&+E^0BxPj;cAi;nj6;EHSu(IQ-^DMr zO)|YVX>03C%wgWC1Vxusvr2%zuykh+TbHqElPzvdqD8nb@(y; zRnF_nZ)Io{_d5f$n5a-D9oF!NwLSG<{`nFEyxn}lU=`N(NUi)kL z)he;gEK=;E4yCb)w3;X!7)?gwSv~Yzfc3R@&tA`m@yG6W$9IF!ofY$0X3idlA#dNP zaGeXfGh<_0LMM9RQ!7L^`w?1r7T)zv#X<2h@|`^2(nr0MO5#bJg7(o9^5V70UY8tC zg>j+LLCxgcdV2B?Ps)-<8X~Ajj~wprE%LUzVa#U6Sz&0QF7ir(*r{$NPsZx%tLB=5 zzrWQvBh&?4SRgKvze}+^e|d}UX7Vye&`v_<`|Xp*FZS@k-moDasa36p0%LrLd|)EE z)gCOjJ7j;S>{<9-5_*)(u$L45AjU0z7TOkPt5>2vMJ!J;L$3`uS_%lt^?vS=`igzx z@>5LC30>=ZhGXbv=B?UeU(Tr67LE}45%_>q8K*CoeR1wHfIp)DoQk*ffQ8O2gXQV+C&TOs5Udz)u;BvP zAF~hjdNn_fAOIuc7#Ob8-6;K`i><=*u@$mK0 zD`YLK)HZaDPxek+JM_3K?TOf;Pe0X1S@sJ%yaMNI3#g z8p2F0>W|&b=9$h`^1v)Yop^L$p}~W#FXXcbtzst1BDcHNPhS;?6~IGU5_fbZ6mJ}B zIuiuA>pnsu4?PjJX(Jv8SqT5GzBLRnh$CYlXm)P0=g?u~a5X`M-Iz&Xa-Jn}r($a= z(a+aS{bd37AQOSMc*^$o09dm^vLCaHQ%^K$A--fNo>85Hf5-0q8|8QS(6>???1ej6 z#t7LCh_zG_P3FztPVA694?lqeg94x!`Q^$W4;&g;^#p5<2?q|XBmxuQ_33)&z|I#z z*+L7|ZhA8^)|R*0A<)cP?B1IagZa_3ft5pJe&5dDZWU83h=vd(o~nF_cy<_EeBl{G z&(Ed+jxSkd)9vquEusY?dv4%7vmj~P5FuA6Y=Tms?aF2`xmAx6wO!vQItTQW4=Bo+ z6^e7F-nRc?Yw4^e+NW4%8!juNsqOSWM3t+Dfxp+h>DD*?UGH4g>YpP-~h znjXkXGoyNsiv$9rD*-oUvnHZVs(ReQ0^PHXLE;i#MzvTo_9{}Z?sLfKTA4U^icyZ~ z>rM6qFuq>YC*%W?R6i~qYC^;}X8L5DP!FOvBRWh~$P{G$=DG5wU><5;t(Bxxe<#Gz zS$=018TxUEv&xw$7Uv^|KDxAasD8iy}i+f>d)C>$-HXZ`cAlrUo^N6RH> z#RTG+GkrG^B4mZ1TCLS2-c~Q~tL1(T$XJ=Sxa)*+uNdx6A2{ni5zy5kMWm+tNoRN; z$q!zp=^r#wR56?o=~=JI~a-nRmN+&<|QDn85Txqajuq%1&_vHad z3NF2cJq;yT&&?G4XjHf@f7T3D=CTv3_hP|T=6%J3`M0z_`z?VtI}v5!Rz-zn>;HDB zg|+_q+_J0UrECMgNKxO1p(%%pX*J&vi))JD2UmEjRzE#^@O$W6au$HR4dIQ}Bov-G zYZt-k7VH%^22q@ahpNV7{Ft#tJ!`Wzos2An@iJ}eS2^u?ol|IzM&fF&f=(?qhCU8t zg|;j(kuIG+`3Ftt_96R^oL`xGKlT6CqG06zNzp~v9=?$!U_ov`o0E1Ao|44|soEs^ zWZy3?GAmE6=qC*wsj`Nb*GlF!sT=l$8$GDLrck)=pV0PtMM)3+z6_2=cMZ&btmrMm z6G)~-R^v}-vgmo3``a)iO2hUU(GmxK71)ckh47mJD#d^SLeF> z7Q|q~&hdGy8J&V)RLACt%UTeD^N9`};oim5Hsx>0wgyjvlHV zeF=};AzaySn#v%uU4#&#W1yV52vLZ@x21_|^ZMQwqlONRqLcUdtj+GLw%hM5aS3%# zCkm*A$Sm-9P@>e)j<3)&sc#?K?lxyjz5S|dDoWjj z!_N}PTkR;4$qxA(I~tQ)dn#MYI5qbLe){?vg(r#e^Ns`S#<>^ z51tV5#BI!BA2P7WHy@Bj$=}9pTJ*%k<~N5JTtywDDRV=g)IAT87E0B$G#2++X8Rpc%o$LmiU)^QVvs}9x)IVtU zoB#1jfHDEc4z(eLQ{Sp9XJOC{$<4#Bbn=tF*Hpsh9<;n-v{-6ZH;m0Krt!H(Go#~# z`0G1=AF<|tL&+lE)MdCMVk`}-39oehe17`_bIOUZlQSn)nBM_9UZTc|C)r^V_3chM zV#bmF3_D4yU+l6!rBsXyfffa5lh=Yh6)o}o5oMG}+ijTjj}ICB-(R0NXf1pKi%VKG z8aWfcwVS55somB|2$IsD>|3|hz%i8~H>o83t{qfY6?l-DpYjt7+BtEY)ex6E536*9wNZO*RZ$ zyGtX6?JpQTs5R1f#?W`&n})*tE*l{Q7<+nT1VL;tOK4r$lq5&stsvJITg|$h!-IN% z;aYixrPfK56t#$QVm8a5fL%$ohpVwe#XGmsaXY}i6avm7a>XW2x zWW533x^ghFafP11Tfg$NO0<(8;t!ipoMc8;)FnH<-ZWsvq!L;mSFNdPr7Vfvbc(z4 z{_-U3A4C5F8w=oOgjgGCNM`YcRLVO?s}LCn@LOV&W!BWn}!*= zscCY3T9yG}`h8`!q=OndJyEV5r2g3gO1# zT+CVen@}Vlg>=Kt6Zy{5m)8UE^D*x;QtbRyD*HDIOT7`5`}TT;qiD;bNxPwIn7erw zVz^@r@>lwfiC)%JVNj9a_L#g$l>Bll`rXl~Z|r~Fx}@Z6+}|D*7Fq;zd{#WA0vIg9 z#Mfl~rxbb8{)HMr zo^GC5S_jFgN`z1aLP)V|)mChPrJ7V3USb8;M~TSj`1uRc@ee3&>~P&PZO#a+oF{oX;0L&x%J9G`=;NRrZvRTSD;v6-pW zjeME>+6x#N>_d_ivXGU&DL$KMeJko6gZqxmvvmSI%}uS^X4*5a|DXv-&k^DP|Jf^> zo*N!bx-m@EA4l;9E_mZ%9B7_~Dsq7vLCQaWz?bzylWfxd{%jj^Y&ieWlc96yMzoCK znx5*D4|5q#Xy!ww)ZJLJ6JdKWS{?*OvowTzR&I>j486#KZivswe6n`G`_<|W^Z7^3 zyOm5upKn^f@QISXH&*&ge?3!#QJdZ-C|1@JG&9 zn9~r%*Zc;`6i%cb75~ zjIHNzp9WmGD~8S9+ck;^a(+?6-awDJ%r>rS5saxSR67?`@?q#|BYrj6$E|2gM#Ram zW^|bi8b73_(`w$NdLoj~tRbWPla`{0`kZ36ekmYQv$5)%iI9{XU*0+j-<0iOFPQ~-r#^hoKVPBSwyRHVmS^6`^ zw2bIRHJr<$oKqb5gT}WE1KMSiPjmjkQiJM|D~`7Djnvb#1{D1dzS;p(jLOE~SS_z> zngspMfeKCtpWEWI@k)qfoeO2iE!PF95T=^X?SE+z?dP5tVe~ZfO`JliPY+B~bp?jm z7Tjb{JVd2astN3JuEA!M0U|b0x^;^kC_H5|&4}I1JMCXX7H=^PyM<50$sr$rDkw&4N356kXQ=EqUuimPP3Y%lN6Z>VEUm3)W_vjr>fUoSzB3DpEjBfR(Fla)$YlLkaYd2N zKqzTX&cvzxUuDGtGl2K-6Y5jonJ$TvL5R0?7TH(?Id0$vsrO#(i|H;Yap@R4_$+^K zV>W-Lqj*opL!@1~f4$Y(G@R|VUS1c6;2BX}*^BqmL!T$y;yQQHOo8Om$KWKu{`5ep z5dffeRpOLQFikpSa6Uev#gV0-GKThV=fi7<*_LwH?@&n*{SRJ*t_$y&_8J2R_+qcU*|rYL`ke+ZTJI7RW>;?@21)5*zDxDiT)rE9A$Bp2A zUf+sj81)UO_tqeX%k}FAy_>0Jk6`8~)rJ_4>2$xlvkr74ahmNSaLXiCF&j=eoQ|EG(g%Yw`U)>V4~7r6v~jZ)m=x*3UYw@unK zb?K-~Li^fpRGmF#ITP`i!H?z#V1h?@6Bp-(z2L@+VrU^0dUWNJXFdP!?zm?Dl#V3q zzUbIotYEds#~9AOpY@CuzeVu(A{~9#sLug_<`joov8BooTJMZfcEFjB*Go7S2MQT;@BJ9)4(>x?k~lhrIitg`jj9@7zeH3f80$Uw%71#H?Avl3i%4Z9vPo(A$u;_5Q7Zw+0HQ#$FVa)wi-TFIEG{xx}PQO!o5?v{8vi%%L78dsEB)_Cn>{7)izH-xg>Y#M^$d&j8@2L?k35~ zl4pE=62E0D=A@|n6jWJErkUYMKm7Oa8PYad+s=+C;D*{C7eY14@Qn)^4CZ8PN1Y*!{0&DK>IT8Y_oQdbmN2wSkRwy{aM zss8F(fv^9{3jY$_{RJ;D%F~%oC|%?6TJeUYDtyM9;719n**adnUJN+ho(DW7{%mCo zA88V0xca~hr11T9rG>VJlM<}vGc-2`>5ATEZ7wZ{wcDS$vJnv2!Di#u+iG5UT-NBG zHE|0eizm{@<&VGy{Q|gCSzkNvBN5P~r91W>A&f~HG*WM}?M|=KU7bn(5AzZUul(;u z{;yl%A=HjN<^8HBx@qqOKBWyUe3(o;!a!`S);% zld%}R8ck_{3sE(2V9aX_2y|xvhOHo)2f*xil=kf4*zmCp?l0&QkuTFk9A%hcxN}1*HyGsa!!kJ2NTV8yZ>5d zYoJwi@2yX&eiJ!aS!rPHpRopX~Hv;xOh^srSF=HgM*>vC;pQ87=>Sh2ZMm7gOmuKSPl*u z;?Jy1CpS;N1i9gsgm5R>Y_pk|M8vK&oTpe?F#p%fFLq&-{U>FRL_!MrCE2o=9CDjC zMF0HMp-UB$UNE%ghEGgCi!xu#vT07$J#geXbo|p?{bl<)o*<_~q#hB?D)>^dNuEA$ z|}z7s+A8AIzl9ZpHGZ!4?NiV*{*h&4t{mqkhuwEcBF!i z+6QDUt5nvq_z-~=nM*oErWmmptzJ~dSgzhx(1&>(r9pQy z42ieYF1{7{j_a1{*+*F^64WPEKy+!4EE-tB8zIfAwYm8a`D&$VGJknJMfp6jz-_ka ztai|uf4YTn_xyh%i}C-{=TvvTcR+_~sy%2@_T9%GdH+FkSzb$;Iq&hO)~kUo;MQY= zfIG-$xHm z9+Yy5J7gW;g?QVbfNVZ=sFf%!Hpo7J6^1VpOJ{9GhunA3g-B>!kZ=fpZNc(dtj0Cz z$1Y91=gy1gXwnZuhTjKHlS2tbB&S4B7Yer}k~>#WTyRg-(efkj@-^xU1HnEZ^$u_K zl8uGG70q)Nq;X){d`C za}tO8Qrvw&Mug7b!dMW?6raUQ^A|BiOZ?}{m8VI|q`^MoPwZhNq0yzhG)mu*=@$F-o#tG26)$IjUGrH+c>hFH9g;T)o~y2g%MeiIaDa1yww5* z8t_(IHBffVv_z4tf}^J#>c)4mqVw>G8$a)OFvVi!8^xvV(jWRH1U`{4j|n!wt*0VZ zm?_K1%)n;l5b7hqDqGbozzgsazlQTBB(XV>3Ui8FWyR0V7Ea8~mpAki!nJt{Ouft( z5r41rOTlIAoB1o?D0qY9Z|)pTK-o?iC7Yi~s9)zYZy#G~Nz*?3-D3XI<3`IMa{`wG z-9VXDy6K_)pHdxS)j$(hBo5XiCRV98=N6Zw6(|%o=fHqK!(0G7K!u`160@;!6 zoaETFF#It`_0}2)fMqF`kyY_Wcc*45>)D4`Pmk+N6ur~lH#h0=<7kSQ5Z}e0T@kuy zh~ZYh~-(gWEtKjx`Q`;f70NIUPw569<>uR{2N`0CuY)EC-liLy|eNZcC#sQVlw~UAIYR2|rz*y0Nen<@K@5O!nd2CBHeT z7k5nPWXqUb`ohH1AKO#DFr2$_K(v?WVYq_>INh*<%Pc;Nu$uN2*PrSiR~OAs7SbY4 zwep6)aQAmYRLwzc24s5rChgy!8dn)@4O!VJzxs)_b|k2JC?qH)1gcnTAnLLbebeE( z8e`riE;ddBn#7l;45|`WTi+L*iP(BT^P}T4R>Ir%e`1^j1G1W7dkc#V2q_Fgiv8fq z^c2>~j>jf{vkzI4No0BfNVcC7gkGKK6BNqxH9Hpa*{_uli0E3d>!{DQDwI$gF2`yvQdj@p+2MqJ|51Tdg3by*qqp~M4`KF{Q|7bD;8q$J z3<~L$rb!e*419s&wO=Ub7qwL^c~A)e9w?r@Otlc9QB!S#$u`kCRYFMZ{b0(T)66tHgj6*qI7&9X9PnJE?Q63%8;QBfTavj$W1h5U=4=R`g> zH8N3C;`AKZicgGN>eX=^V{~^p;|q+DyRFgg0fUG^zr(DQy>NYmZEZAqw%f?Y)sI$T z)|N5qs^NE$u6I9vV>C|qoNjI9;0Pi2PLl#NgX4)?1X4t5LO?(1WTxdr=JUO?6@)tL zP5f^#jlq}XCAeOjyhd(sA-u`LhYzB$; zVVsc@CnJrZlvHDPH5h<&s%5YP*#ym|Gv*_5*H)K6GDsrxrFQ8|cvPTezHX^!-m#0; z9ZBRi%e!Q(rU-hxlPl5qX~efvrHC2XUuv?-DsF^mE3hOIF`*6sTC4ZWd{E>GfxvbOBht+YSClgBF9lw27$9UuUexYpLt|!?Rs!g@^r+&67I*Pad zQ=0To9|06{f4<@c^|QjCuef)ENFoUhc36yfN=ItyYrbfz-|}-|>(iYO{c?QyL34`9 zWY|JXP3Za0S9)HuemGI1?F1pVw8c_dwvRs68~o(`Q>C;))FN)84vtSkzNX!<`q!U< z82oQuQFv+lz@<3>0^=y$1NBVY^&_S&oMlcTCmUt6O9}E~aBf$N2EC4zw>E zP|eNbAV^z){xX3^?&DAHj33)b2893=gYG%kEzTBD#`Xv#j`6w5yQ&V zM+?q!9X>?cZBX@9--=gDT1Fp!*p;to(&awSD){>IQ}a3R$O@(F2nEH6u;SRwuu>XR zmW#zSC$dj$e3~75iz6Jw*V9_3(K<|ZR0my|n>$PuEnx9Z)D`1<@w8OXUs#1zr|`sp ziX94xNL@4-ReZft!Lp%mMp)Gak@TXX!n;AbOACuPd^DXMtvy8Fgq6{~!_iep0kh%% z+4}e|R?x@59y0K#1>V9St2gAj%(bu50(vH^B<9U!Tp) zxVl{`uPEj>WqkIf8RW7_N=Bwvr20jjbgz?FB-o@_7Q3H#d5(R;u*kFy0?$>HJf67W zLcQNYi9n#~xm+6Jj*K_&wX{!$-8-KUF~^&Dr8=(MO7oekT!nYg*2!I~c#(U)%`(?l zG~Pdw-``$ZzGXIfNomO9P00K$`k?l?zpS>I!MUJ2fB82M26Ud%Pr(qYz^zA&vYzdN zEwNs74G{TiXaiffdRtIq%w#u)6#=x6W8Cq?lI)MVDvp-`Pn+k~+w+@m%3aT~FcoWd zRUbCo&=3S*?LY4qyG(x)khv4Il4J~c$gAkXqLj9>inB`iVp>7H;!!#ZB>Th+@wXV_;7 zPRrKqqyF2~^bsM2l2oi9Ab4D7&9X%E+#w|{&&F}<0dMnZS=%R!+Z~eT&&Sl6-l+a` zK}Y)nh3Z4T#cY|W~mqo6?T zTw`9RjMeX+hNLywoU7k4RP3<$iqy_U$0G1lmAo0}PM|`8v6gx{ygq zYb61kj8v`#{#zgCss$|T0frWyIaPett zt^~4&O`Yn7si|)yl?gMZ=271p&M&Q9Zjw^neAF3DS}%W@7UZE(+Wx2KyH1$gpT?v> zD{orX`NBJY!|%|e@Q^o8DSz~nn}ix&*X+H1b?7NsU*$ z6Y(MPKk^PeIX<&KDANSx*au3(ltF?#88NJ22S;O>QApWZm`lT>CxLwrIizM`XJyXK zXz-TS3Gpkkqb?1W&441%n0)@HMLTf>g-)9%9%4uxwsqTAYy5W%N=uY6KC4DG?y- zQ$A}Z+EevgED}6ZY4%*Uw6Oxk+OtcG{3)n~m(D#8Q2hu}5)AlbT7j663_yLN5!uL<;xg}2dhP?b)Lf@76*h5kPG%u7{%i8%gj#?H!(wawP*^d-(Y*4Jp z1`AZg>W@y)BPnCm#eU(9(wRlo_^&N0Audn+s-BxcOCKgig_CCw0A(zR&1J0O7HWDK zBu~fU^=@?BopSetPD|_G(AF!A2(|X>Xn%7Ly(1hrqk8MOLQ(E(>N6KeJR6iUG14~Y zwiN$C;xlfss*w|t!@fu}Fy7i-N6UzgO9<@YBdf<#~EKg;0p_@W>O|)K^&bQR-yw;m(Yg;*P&kJs@ zb7yAM#b7y0q5)BzGq8%lLAL1{>~I=Il76+86Th+>HPjjvY(kBWcnTq>9Ex@o#ns!C76hXO*;53wN_*b_*~5FX3BCY#EegWs0el-)NoQ+B8&36EKW z{h`0om^;ri2l}Gzan{$=W9$3hTIHDSf?OjMx=IGlr%!?;RLEpWx~6_ZdE@o(3>;n` z+Y>R_t*A(usLYFU7Q>J89=87`_r$*SsUaiOgt~h5xzkE0fjPqmhu$7QV2)m353yN3 z^caZr2G}5ro=9sQ&P=$dBDTx|{=%&BLmWR{I>9XDM)IrfvU7PF!)GT=gM9xJ*Xe0O zBLPQ%h7y4GN8ewSq%+pmOy(#fOX(uGL?RQWQV+?6dfb`Tn?*oafV51016fBmW-aSk_NvonR2}?``t|B^TB$lC*K2V*gu4|Aa>JtzZ zZAdDZ{Q7h~c0ChLvTZ{WHqrYvt}zdKnTF37AZdSVq#>T{^)g=z4iDlj%5zaj7%ew( zWiqruGfahz^;SMG4>;~+w)g)Bu-uK3Z<{0F1U69dTxev2AF$>L{DbBZShljAN~j9O zDRaNZ`%H^>=j}MP6RHJu*dJE~r_C&4$K5106`kXS1K^L}vK%)`&?@%*l)6}zQAbG6 zwYSx0qD&HSvg?q{tb|~ry7pR7W_78P6c2l|_5v2#_mhO73)Bx7l?aipi-OtH&$%0m-+sw4_?mP&qEh*!GTk?F3hpOz7x@l9KMX7%go= zUG!J6tFfjA%80?Qz^UFd1#-KslROQ{`WhH-FWO3gp)I9ZIlFXQ8X~+~>#Lg^8=1d< zH~xL5pedo`+-3ldnFsa=w7j4?zmUhcWi!yFYnP+uWdm>R#<%pRC||;QZQTalOV)Q> z_Z5Pp3GVmS&3W3-Io8yMXg8O}TCt4mSI+Qjg{k-_D8AMn+wx6Jx+8Lhxbb3>e{0Tc zJh!eb&P}fQtteNNk2SI1O7242Z>2F^_E~b92z+kcp-!yw5ZavM`t$5_-}}3hshdGP zEG>8LyxUhr?nEQEOs7y{qVDT_-vYNA&Lvs2-Nn)g;>LqL@X6&&%;}d)YY$!0i8^`HU~zkFS5a z|3uomN4O1|7o&agtRCMO$Bhn_)hSA<_x_`<6UstWVzY-xrO7GCA5(|srF|uO1sg`r z{wG3;?!akz9?ys`$Rol-si ztGl0uz_W8h&6bVXEZS{7w(n<|2Hig#^5C5$G`yQX$HrziTUzIEv=rI{Q=mEpo5Nod zL$SE{SeHhB=o2CnfY@&{WTgcZG-Tj|oQx*x5}Gep=7}uq1JfD(I(>utVr9ZZgV{}5 zJaZ4oKvEjX%`eF9IX%VBNPnxijh0uO25vG74Mm=`cOwe& z1kN|jR!;QTu0)f&5z-)>U{Q^90hO%4R8~M1#SU4S9(AoD?P1d*f%A^mb3-P^K{{jZ zs`d$j`fG1WUyX{-UDa>~c@A00nKt97Rd#88DU!k6mQlzmYg?}`PS9e54VsbqSjXXU zn2Y<~S=LnbjCM2bDAy34NO)Ok3f!9NWo<#MN>xO9yREj;X7N%j$v;rt>+lG!}2phBRJg%c=DDb1UNh~2vHoAY7%S@SQ#IX zyL20Bel3TbiRz5?PI`1XWp0wU;H^C4t+-N+vS3Xh&u(N-S@Z^8ub|6JG9l3p zc$2T(Lq*?fVS|oHm&FR3v*-DctMILn-x!?YJa;?9l-Q$La!gld4=77~>49kS3$hy7 zza-cm9y~=qsK+WgtxAeY$zGTtzrNo*F2?!?jkXKRE7!m+LQ~yk4Z(Z7p%W4MH? z;p1Y0n3|B)^DRQMf_pG|#4sOxdMG%6GE4HLlrOMhbt%|MN?yqV++O!EEU0| z0Ig&;F{rd$uuhWmsw#x~hG}E8Lu5?}9ln&biNM!nd-4!jiC}#LhskiFJ~PL#*^^K) z-`bG)vY+~Q?vm`aP7M{*?|nwK9A>mG_Bkg3d-yR}IeLxw*!1xF%C^uaGW(#i84;S| zB}2%-i*TYfYucNaH?gb{=Z+6aXqZasbfv|dvWO3>QT($)uq$4WG2LTTy7^42<*lv3 zQ=8-BY&tg=VdN?>5DsJxvJfF9u!Nkpsw6d#+V;+)Ttt-8T0C@f3iGx2`}~~CxT=W! zXp^>XM)HXUwP#p|SzN~S5cDZnJ?4|ULdbCRq7$iT`k{cmY{G%rs&?CRmKT;^8Z$I0 zi4GB$t;TsyDQ0EEe-R^vgM;8w#-J7at7H~gGqF}9JRr6Yx8ubs`$uOw>hV}{XDdP? z>t=79d_x?um$7MgIx8RhF?8uyu(t_`nTQyIWgXII>|q7SmD|K9&tXsW3_=7VRTnM3 z#<^)y6Rm@^w8*PD{pF$Vf@`CM&7g8MYY*y7O{%0ZKJ1b|f)oYIs96X1s^^r?#L6PX zDg=Y#34R0|aIz9B_4^<^eR=stP%Wo2t4?mDJAOe4|D5aXF}b_)v5((amu7)pt!E|& z2^A}IhkmGMOz|z*lN?WA$GD#u+;0(S#X+%z&WfSZ*$wjp1BIIRd(F9xvfsOYpILxS zH0@T}neqU9jj~jf;;#6X5XlTB^}du#+iUj*9ytw2b>hw#rB)P$57O{`w`YBH*^^t+ z#?{|B&&ZkYjo$xi>^h^G+O{i?CNP!{(sEb|GzL zRBo>Ps_!G2!-%cpd|Gz2l01&YCJ02Hp^0e&#P894xQ0R4iq;%&I$`w~s9YpD;{k_Y zVsMX^57n(FcTw)3Tn8%WDAREeDDTbid{z5=TQsF*yzvn)}-28+}U0dYR4Ks2Fq$sjaYt3t2Nz~dpY3+b8tM5;tpx#9*61ImJZ!s9QPG) zk`iakI#rN5H5#TaQGF7MC6j5H?u!N^7Q_wT?&XUPD)yfBS9OAxa3i=X|8uV1UM@KD^U`(~FF zEbSR2bXnpVk5Si&;JD2238hxw16H8_jQ3K4h*BX}X}olK;T;yX2QHJyCC3;$M4WPq&|NWICO@To>`|UeKtyc_Vf{qsV;GTssw=I87X63r9e7Zs2{pA&}K~TDF70pf8#Xjog~l?Q*;=L-K=MhKQ=(=!k>BQqq%+~#{rq+XMAeETP4CRF>Y`GY7 z3~x^UVp*ae*n4vI+4b+vJ+PhSCEN~oXghP6?DihkklYZt1bKoL?}ZT*P7@U7F>;~j zyUg)ZQGF4q-euEMPABO}Wh7tX5BeXo(y&n33?DzXB1?AoM(=R)SNVdj`?v1|40);C z-qTFTYdagaH8;4U+%BBeuPSRliah=_B;rDlmPYdoOTeDB8s~+hxlm^qQ^%leH7(K* z@1(~V@6*7QIW7?=zSvGXdK3g#5#96b!zgcR-;3ng6Xcs^vF^95@z>hc)*N%kuZTgc zG{!XQSe`v4agvC=@~*9)I7C#%BwV?tJ>rhN?NrgY9~#F>N#S{?(w#TrQl6rl?zl{b zKhh^PVh#ABwat~;IJbL2l>Y&WL83!wa=%!N{M8(bcRx3YhlIyOJP+__GT}iUKf5s%g!D5@^6RfL6T-k@5sIQ8l@a;zpD$LYnp` zN(VtEsG+*vi^7%%f7sOa-fZ(13tcdmXFz;rgMNG(?>n&2yZNN=7mI<1vjhEEI+5O6 zM28G+Hkv%nSEGz!Tb%+x5H$+UbY3;11@xk*`E*=bL<_rVuhwG>t+p%d?uw2yNf_HW zl;OYEuRg6tv&v$Q0QNO33x#|qq*bxFK_kFaXyQgxPN_?S5zPxG@}4Qqdv#KF?d&T! zhwbDlBG}iof$_&jGp8u}%1_XSA++8|xU=M_*Zvn%p;(O>lH|ZCTpG+$L83u0Djwg@ zxU4)8zX5l_I3zIrtPEz8JP?bgKsD@NOb(&N%6~FBz>C;@I9n}YOBOy)ihyPCgh4D^ z-)aCA4mKO~g+`i~4l@V`+saDuQuS$aqF2Z4 z0Zm^UirrNDB%d`>l8aj;_wc425Sys!>{)Azkf)Zv#c3;veGwX}+xU9LYD_nwxZZIk zr(`05pI7_Hqu$e1EUY{E^>BMRW>}Bj zNL1m!ci=|m4eeXL(NCP<@c1h+#<`~!06tSKFl-hNtpz>pXrtck z8|0Fjl}5D5e-wvu@x&Pk)Q3qqQ(iJa4Tpl(Er3~?4tlNL;yn~VliEl+f`rDScFeq; zf7mAOt?jiMdmUX7l~YIQoey5r%c(IIwjB&d{~dlaOy=pNTk<_`Ty{*MwiGREB}@ zcHns9YLh+%v{0iMND`0cQX1{8sBllcBnson=SWMiF^t9zRu5X9FGLYZ+hg4%8DNsr8 z&_ye~mBysxj*T7!bZJbr_SF(>R*nbi`DSclV(DUHPi9!(neE(lA2se=0|BFyq8oB> zzWl)5jMhIcx&JSrx@Pfpaw|2fIkV3i>;Em(iKKkh4I}756ABR`$-Exga*2Xju+B?f z3>$-`GO#6~NghFmYr~*z4F@|1vEykRRH+H%gR2@gBvO5+M6euWdDncLh6WX=8*rNM7e3USuPdi&F?+5!0u z1(Jo6L#QH@wjk2lXu`t5E80|@2Ei23Yv*&Ol!6c{%ft`-Pt!js7t<>8wQ@(F-6FaU zxewiF7I}I3lO+w}(R3MBhT4qW6 ze5IE!#Jj-wJ(Yi>A`vd!@hXy&&{U_85Rer(J8d^}#jHhMt7Ad4C_(4I`;xg!x01Bm zX8?)>SxoxCqv9j3Ex0p`k6)FGtIEfi^q+S28;Z7||EP~KN;^+$nfK6Gt(1KD!g~yp z^U6nlm~J1Q@Qi3$LVHdBy3f$PSYDpBME|wa=$(KTq$0`|jlb9OIm1OI?%tkbX&Oey z=BiS@bO&LbxLh6j*g8j!VGgt&c`2C)zbI+sBuiOVqIQT|L9KzC<0u0uWg z>>LE@`Qry0a}oY#`0ClHXH=j3dkh7vH23-EM^jH6xdL=&A)wF+ru?KDcK(>UH?X;( z5$Y!~h)opx2^bjKOSxCo*EuZe`!|YmSL`Y$>tq7lPaNd$c~x^_hr51)*<1uaiE3}5 zseG>TiU%wyRZ?y}u~i?$!q#m4cfL0#^YHMaXD#uAmyQ*sS?M$HhStn?f4cPCU^^i!x`Z{B(rGMcvri1Rs7sXKeRII2 zV0q33TRQ(T<_{m%kC*i2+ju&sr8IayIM7n6+e(sm((P6*0SVyG@p<9?>lnRwUm2!- zlnrf9&-61onHo72JFm%oow~2e`{sgzonf6q&3JHK<_Uu!&eRufPood4>|gol3)Vr( z6z%cVzSj*+O@55WjBgaH)CC?T52jr*z8;ygnUJgQJ$augs@l<$&3QW(fg`m*3Pgp4p!C^jqFTgno?R+)q=M900SIW!V~BCd_@@qMipf%3H^L@c>`l| zW*W&DIdzr3Q0aHNTi~fvPGR`Va}s^!YrDsk#^qZs`$;vNKq9q4+;p90QbtQQ0UYka z__LvJL12}t(2+o}BQ+Kd-Kor)+K=5W=mcIEFQEs+uT5!XZ??LM6li}hOnEHX^pj#Iv<3>rUns-Ox zrMkzqvth0Ec~=PaU*AVMj~sQjv9Cg`7ScZ+`EI>`&@*yY>$-DrYwf^f5 z@g$*;bjTi?T7 zUhimDSx1O{sc7Q4V>!+7PL?!dHP?p2!lTqGn#3II29{sS7C{DSDEt0JV;Z>>0HN7&9z5@a;ddXofmA{{CxZLMA*B)k; zvE6F_&(Q|L989z{5MDLtf%sBH5u#Q6`}^{FI_Bgu-Qo8Gg_y_6PlJk+;UUor_sOmH zAPrvWbWXz)o-@$)fvE<6*ARl?@++LXma%RGuYKqkUn64@1AbdJ{1wCeT?GE;CCnXg z$%|Yx2e%k5fKHP?Sr#o5FZ!W>mS=q5MHPz<;bK#kdtwUCjlC~lZAB1?-r}BfYU=j53pU0SiERHTo|$BKiUV literal 0 HcmV?d00001 diff --git a/address_decoder_html/fit/xml6.jpg b/address_decoder_html/fit/xml6.jpg new file mode 100644 index 0000000000000000000000000000000000000000..a2e04201656416ae186e85d3213dabb802015ed7 GIT binary patch literal 88780 zcmd42cUV)++AkagM5GA{0t!(;kS-l*QIRGp(mPQ)L_m5cR#1>4y$F$BLWp#c5*4Wd zLPxq%f;7>D;tC<0_4w?w-*>;)xA%3f^L>AOlWWadGqYyqUQ_SiZ|)p^Is6JaaYNTY z7eaT04x$hKAcvbA&+oYV1o-&7`#d*@-o+z0)me`4tV5tE;l{m&SA zFoh0c1N#5_9i=}p(*G6nr`}Uw7y@AcuRnD#u>KWfe*=1d)%_5>G{8sj{#Q}#Pa(Vz zy2JmcR-i$|bUp67oD25z2ncZTKIa&E?y9qoqszH}woTbNMX+%We?Zv(BtV?OLczkO zAx|N!$BrFiKE}$-%*x4foQ0E{gO!zooA=~N?vp2ZIa&X>f872K{7;{bjfI7co$UlW z`-xNR?Chuh^4L%PorUxNq=3T@5YA)Zmp-JY6M`J!q@(AgJDdeu<=Ek8Iv6M=Bi$bd z3jCL&2Ya7^@hH5mBK<+vC?34Dc4GRi-Cb?Pq(KAH^uR1k6e=Jz;R+mzeM zU-8$4qkjp&B&zgj7!;!Lw6l)6a)8UiKSlWW5{Uhm2!~S;R{B46azbE`4H9MkPG?Q0 za$F6)t##$__`BBeu<0zcZSBxDOn+;?I-x*~7TV=fcv^C_hF~-t=;b}>Z@V@_njadf zrj?dc<4&MP6{fw$YD?hZlC@4!)W&5w5bK%<<&Q8jQ_AYV{n;&AL4ExQgijzjI$tdnZ;@XtJZo^3{D!SrZCEFhARd)W>2+tgb@1yvaCyzxv6TlEPGf z!vw`>82NOY%Y-Lz8bd>rxZbpK96x>t$?*th0ENE2OEDJ-+uXRT643I|wX<~t^Z3Js zf#SaTrsg?3U-RT4#ADw65Hecp>TPau8QWgHk;F@RJwa#;PnZa^<(=R#n#pR_6Pl<` zyJt^bJag}@(xb`{`Ru%lAUn_67p!YiDr7KqT4paB!-p^8g_-F|vw_ zEG9_xa<>KJwj1Q;`?sjO6Z@#5JHbX>jc!w6f#&s!6dOLW+9`$Q3d;En4=ecf9cyY4W@*!m4`5|OgSuri3SKcpD>NVZ-u6IoK z4ptWiro6-5-G;(7jfTQ`3EQZz6WaFoD;mr`vSRWv3@H%zp{BWIe0653NxQkGJbp@| zI)CDL-=w+n8HpWP?6!9#aN6AMprawSEf-Pnp?BW`R<`cZ@Ik-&%MT7`g4Tq(_m1uB zlS1+}cNM>h!d12&5JY{l0!(MdTd|l=HdKUF;FBM!o4d{SRTER$m5A?k%_IHc?QsXn z&Prn{{-_3=!T3Zys`x;_R@u_BkoL@Ab8a(7d+*M#x;55jUa!sVq-n!nTjw+D+rC14 zT{b<=>{*%y%}iMTz~CsiOzc{%(p7jTDdc!rU$*z3)^AVUFQ3+&%UjnBkCa9g?i`%a zH2Udr2ytDC%=|JfKkeMqmP4|0wvn9MZ4S?Q|NOvQ!B@cHOycA%Z)~`&b|phnq&ojc z#OrZd9tGj#1y0WcHdK*`7i*yRT`xWHY3U%jaJZ5;52ZP{(Mi*OAG)oX1Jh5=QbXHJ zIkw>KuW;PuF>jjsvF#_YM$7TpNs75qJ&NyHlVhvQSmAYD>>nNpCcCWrIMJf{BPqBvns`!m7Q`DR|T8}w$zK_e`i*4)3HmsZi{|zPH znNAxMssFNXH=e;m*|~WLc>?W6KHb|NHi0*~Jf0u(j?t(Is?}eZh(ZCSXx)G7@Uyr-ALA3)y|$egy^l>wiP=jfgPI>9$f+p z#JxXq19>0S3y;j}vLOB_uiVaB!_DIW!DM#LcV3}y=O6NZ zB`tpcGLfYHdV(!T?j>?nv(G~QzRP863)@%j_owKg3F|>?gh&gg1^d8&i8j=b+Wg2N z1a=_UTX}tTGGxNmt!!^enLxDNHRKxs*vU1UOBp>-oAhmO=#4|_uf5Qk?USBfQ_9hV4yx=)+Jw{{_k>ixtp5RDpsVLQ`AYn~a_ZwHO*Q^d9 z`~KhMu19_Hl744K(0PV&dSZaEvza$S*fu*gXy)zcC-p*gEX-HuR@qb^UW)Pds%)vJ z<+SR;Z$*`sQVGA+U+L_&C7($huMt2bPP!D-@Dfj)@i@5PkaGwzq}-yFl0C$my;>z~ zUFJ~VABCS?U{&PspZ;;s89sPF_{x12$;#8JHHLvqHVzJ3ec(>yYN{eI~t>%J+} z)b?(NOzcLW+543DK@@PY)r3=?j?a8*_ph0l}hiGlfSVa!eUR@B4 zgjw2b-RPBcLL-=GW}9u)Ascn*z?hA2YaU%lFz3_!^q!V_tfV$#}6TL6#hfV za8Xh8Aq4$n@*X#>ioMJ0QfH$}%6rX9n#=s|)Hyf3O4zp2TaEDchwkU1o98ADAr~qs zo;jo-<>}knXQvtOHCI2aCUBd!O;G9^dN+f?VW~q)+4jUM{G9qE&+lD3j<9zt;#X8E5)6%YLEvdWbOZDweZL z=DhJYoc}hirBSy{=6rDj3w$@m>gy(fO+=f|Vu;l#h?Y1;felQ1sv>mb=?XtUU_t?D z2EVKqeop%U=qC!dngv3I@0Qi)KN>(bjeeZcO2O%D^S+s|Tk)#cn3}r2|9!@}MZs3; z9)&F!Kowc?HEy&=+>iF2{p@}J2ddvp@*rn7%xPk~fM68#ofbG(vembv3D2jU9Jyr* zt8?fJZ*^OWvmu{;8656x)jR)|Ez!jx1MT)(b~q{p=n%7s>aT8>Bg_|n%3yk8h1TcX zXgmAjtN`28I(a5b9>{OjY$V22) zgB#UALUDuqObPv|*1RVwTW^&x-BZ?$E)FI8$dBPC;cKmf(Coo!_WruM_9rv*rZe+_ zQS(FKhQzp9FuxkMxuZ3)y*4daTHHK}bt55PPp|tNLXJN3Dc*JMnr>Bf-q?ZV%mf<| zHz%n?!Z5{FS){}}a@=8|J;U^Q6^=M%!#-iV9v(fLNb_8#TXUZ`RSe5-w3s&x>fGGc z6n34Bk2-{mY=))HB^FcQ4Mr8p2b%i@1S0NLZPeDS+XP*oov5Kkr^c|H23=Gi1y{JE zf>Z%k#aVLQEAWvwzjY%R8Cp&&BDN!^gOH}%aXMcznt_%K3+59n{QBOrRc`O1{9D)M zwy9wl$rVX zaS*HitD~c*r)OkfWIlS7ne909aW;;>FenFzWI^|j8|&Ypf6e#L(BBx8cH}HQ9SGX~ z3xi$;5UK+nkM5J6Pln zR-^^)cS`*5psw$|G@ls(CboFdO{HY)oSdb<(F(l9v5JTqz=d?!|G&yszoz%6g1JiM zRtH$$R84)zTTgy|{=prZYQ={67j(6yV^xQJY%_O|#Q%pua90_m1cjmU7?l2F(WbL> zFN67?R#Z607`;p8`d&q!pr~QRX-w+wNp;X!#sWQCc&G}^13-}w__@%LK&TdQ`&5SU zhzX0&4Y#vx)r>_msz%Znrm7e3EpdFR+F=dhnu#>upoMUZEIA=O%f&aqyC>1e{3g zq!h9O3D!Susb-WDvG21i9W_9{nc%i4-{%&)aHe~FVSqTh8LN1|qCIO#+0J}Nuof5r zEDLB(*kzZkoJ~y2ymTKA!m&rewmmp3rQglPKjOr+w!g1HUE(P&{Tfx9itLx>Nx(Eg z%beOoIv`|Gm(eBhMYedhn#%^FdEq%Rob6s-UdLNT`h`#Ms19ZRcEqM1LRN%eD^is( zS(uPUJAeXg-U5kz{FYfvsovZDwnn%Yb&&=(`ae#Z)dfCA801UvaV-foy`lS02Ao20Y0cdWNW6kL1rr>@bzePQwq%GI?bXs9u!rIYM#hr#n3(eQ9ZLG%SuNK5^ zcQ}{=+ACWG9|8=YPF*AIHZP;ZS1G@6K6s~drYx}D%zP|49Ri35sOMbUR!VfHC z-^2e*ue&OE0T(@Q z&id+ejdXvVY>!u&t82*f7q8Z1Tm4O_J5=-#b+!mNX-2;B1wdOF%Ie)rAO9Zd5P6%g z^leRR#_;y0%#Y+bBi*SW13N7DwNYL)-7wfCm%vLPFjTs*k-R^ZMQw+siKW~b4m3Pf zH%4UW@Cw^guEt=sWVlNtii#fN=#9+LtCgl{BxM&o(pM@o84b(0yFi+{vRzraJ6Ng2XOPIO=Yw5Bc=XrZMW+IGOrMc#;Bd|-kdW7ua4HFyMixF>W zX@`q5=B1%B%P&GO;yUH!8!8{Hbr!>d(*tvbjT^?c6M;|7kz$rLsRS3aRoAjDqRi#o z6A}NXPKrl!}0lh~3 zl2#FWz!R^sbq5Qwhz)zb+r4s+BcX0}JAzYFhKAu~Ui_TC$Fkmax5ufySCDu)3|sjM zC%>2)N4w-tMY;ALtu2p=R&&jYe6t>8I)lv=JT)T7&{X}hJLAZL3Tr(bul}e}9Cui5 z40UOKDZPAU3$WPL@;@2+VrLpFX*+vxV<#Z1ew~+#xS}6p<<9stfB^$WK*uJsU&Y}@1GUc{)^8JqK^Azv7sM8?Wt)v#W}DY{%#vo~*7X^feYh)2 z3`EYK!g9@&$ys8f%xM8MIL-fy{aVzOwo&Yc^ttM0%0PaRr_BulqWrpBZBxU6u$Rwz zyQ1ffp3@ihsw6%}`~s(m7r+Sk62l1+p?CsY;oNFiTh1FqSow$&UtPSq+1R3j_2j(g z;Iw919rnb|alCx0QT*?!-)L@7vtLoet?*K@=%~ByT6f8tKT;}b7WUc*a9Ll(Qx@!ZLkC39bI$q%-ucmdRDj(}5Nl6XgajZnse8~i8CMp+3eld52JT}nk zRZMdWUGLcphGs6-J-7W>-diK3kYkbvWqeSCT_WnoSNR9eFPT%I&=v0a%)ovH zvgA$bd_Cz9vUEBLDO)$M6y@Q`;ZZtgWACsgzJo^ujB=^m1+nC^bf51}=M{HHi=8iw zrn}(Q2R7L2fVkV_ABCaBP0O1|{22iiGxB&ma=A5V!hB?V(@NL|u9c(o*uJ${s=D^Z zD|uZ3mNa6$c`TMn)uZ_`w&e@a5DHdnr)^wb+6)fv)RuMyR@%m)RmEB;iTSMYoKkq< z@+(-v3*7>&JXl#8c#V@b8<$X-)DeIMXed!MH*9n@CwMvPz^mfT?qCez>?QA@i zjXf+amHTCnR2zsKQE>^>lPY3#7y+sJ0YBt`a;Tm;c`LnTWTkmlp%0hl`%#R@o=S6= zU>%n;5_wQO!T46xFetQ8t1=?x<*P;+nW74CUqKGIA_r8WEM~u0ht`q>VYYWj%eb5c zFR0O|QrY5Q)pG0^FWpc@JR&ukFVFq6*LerKjQFd(XkjQh1)d6Dkd+0luVoJd8GRNM zubHs(q#yM=amSQ$zLk2AJj-_^R`I?MuH`pxuN_0a)po>2{rjclaQGhz$W^dGI;iQ? zF6seEC4>5G$%@+Q;pK$24vo7rq11YVIF@e6{qDJ~o|n(Pz&z5JG2OO zi`q(^r4A0^q>D&9UFp<%WQpf69!Ogb{ZX7ItBCIIJ8fa1wq_+gdgB*Y4Z~wuvrDWW zbT3PQy4oJ?0iF!&$%auEH`4}Mm(ge}(0K^aK+t@LZmL*%w%xPEW7LSfQx?i;BlrUg zomU^;ZPU2W7xc|dkaEa@6H%_vUVDz7IomO6V=ysZkL&>N_fcyrEVH+@ni@DC9uk0O z78)TY2VOjcoEjDhaG6q5;`K~iK0&5^^)hx5^G&c5=95+C^YSc6Mf_T59uyIdKZGb-0XY01 zT+UE^b(0r8HQRsIuf^&%zvmyI+5 z4!w8pw62qHV*>+=J+tjGJAHdxSCLL$ZvH%P?uLe4>5DJ6MRr!y-v z$xUzTYj;eDvrTel+2*gVvaD@v3Sqfgz)2uZ-EE^bkx!>CwUEqgs!9O@2eCefmW2z^ zJX+uM7{LeKzERU%`RiBGc~%y4%jc}4~~eDy1$xkGq4E z_S_45dBIK6Z+JDOwNk=FPI|IZ*rB~Jr6_|aJA2?v-<*?|=Kxz6 zZL8{#7cYch)|>z3tR(t^bmLziE z+EggK+omx&B4?@Jw7an9Ju0jI3W%hwR-D^DA3t>; z`^#Z`$SdH*HnE1M+X4}0;MXX{7i?wL$ZfugdvypQ$3nB(490LPvP#%Km{gPX3CJN9?2t$&6Xs@FDvYCO%4 zKzk5QCe!>_`jJ^i#i1iTt4p~~cLp`O0RK{lGZ=Y8Hq%=#Fg^>o=-ytJCY3uq#-Sp~ z`UQ&5ub(2BTXmseD@SoFLbyw%U^|YszW2c&9Q6Tu5lE??eA_PUT5w#^3=nQw`(Dnj zY4Ga~mwQ2SLY(I9ZR=eCGPm0Je8(D|Hi`?J;0a?uadwq7)-7e(Xl7 z7&Sef>pUjAy`CvmkRI?Z0sn;AQJV!;!SFGX3%vyeI+4AtNRl2&fwV#@?0df%leuFg z;(;jD!jw!KdN4e%9H`*(aCH^OzX-#s`F%}W;Eq@g1nan5vW6rl!N^ItESq#pF&+p6 zR+bq@P(4u7w50~JKu6J3-~dK^$J`E6H}Y%23^(q~6a)EzmZD<7PrXNmEoV>{Wby&N z5}?qqiP}G=(d6qCx?+E$U+LE6o%8n_(p@%7pD`raPPemiqB}c`dGfn|r$uNWS3opG zPSK`W%Ai9rz{x&AH|jpMYJ{4$qIqKvK6Ynn-6GjKF4x#uO|gWi{>t&f_NVsMx{?cw zj_hl#a56pZK{Gj}51NW1$Iy&O#1tSDq%BDt<|JA*5LeNIc9`zM7%p20Ro5g)KCjbD zhdUfwA8;0o+4B^E@<@=3`nG^QaH)X5Rzv~4K2q+WB9zfnf*iO)^Xveg6(Ll@=1NaZ z1=iP(=d0NeJhP=9Ed{nhYVbOe`{o_iF(~8;6FBdLaB>lBre!^<_{iO>R9lLLt+jVn zPoK9gskYLy0%sxjZ^AJ-2i? z`v0pB|Ie%+*iU17s1=UvmZ7CYJWLH}>~R1$AfC{|t0Pk@`hy|e3zqB^KYC7guCuxn zJDadDN*u%JUEL^k^-wpe51`n)!kO3&MoZsf;#t(`h3_zIuvW#J-VW_OA^*GvDjQ{u z9IzDv^i0W#D@>VGRGGV0NsL#?nuE4&Q0 z{UL<9gk083ccG3vu^dx6=1k2>?}WmRZHS}{M&1Z)Y&dZO8QH4~87Z|}sDu54ZAQ8e z8J;?)`|jqqrbnOU62yIxD);Kiel8VVf|4*JSR8j8&HpsbmtwnrOCz*~a@l)F((58Y zCL{RlC8J<|LwY;)bK8YUmydt;HvWFGzW+7u>#fbRzId@mTnrb~_=Ij29=ZNhhf*Z@ z;4-sbc-kfE?iuv{Nogd_uaTtPOG8Xh)3BkjhmhN3ru4uvDz5U%lnefI#&Bg{MNMaA zC9dh7LwzybTqXtjn|F7&*&xpP$tjmO8HIGQgman4aRvn(WzfJ$=JeWA&W^XgPKbc` zbHI+KYxw4S*iKb*1-L>!--*L88Ilf4UIl7ZO+CvlG-9zT$Y8u)y^Wo=Z&a*1gnYm@ zb{2{cp)7`xWP< zo(TDuFCd9GE5B5671}=^r&61YZ4iL=v~K!WM8DQ$N@N}o6$^>roh5Ws3BGRW3UL8iB2;EP-_JK~ z3DuoVd-a^5@VI=+WQ?Qw%Z0NU|H^-xZE5d^VGn9$kc)ONo8)63uF02tHli+IslGT> zB-tH-+F^6bNVw&evu1bkKXK-5uo$v7d7@t{kV+V&W~nUaWfjuk>y0*Ml0@ski`bIK z!{ft5L_xzw&uXWvlSSl15sNf#69R5U0H>&g>nDh>X?c$-%}W3&`YCssY6g{%7rP=F z6ymkk7CKiyXM9$CIAi-xSLb*y{gIgl^JKt@?2WgXfGH~GhU*}OC!?%^XjvJpX7s!j zrlDA);lx_pg~n2KdD$`Vd&$Yqe4fXe^T+a9t}s!T*fNq&l2&ezq-f@3%N&@p&ggu` zPlVvzCkDgyyk1_a!=oM%2{NJSw@gNKC$=%RW~6P9 z10ZYVl)|ru%H)Je2u`iu3zE|>)?fH4kO1N&dv&rCdA>gkMZB43+d{1zq~exfmk?^U z$QN#-Z(4Y4w9AqyzATD}6KuL+&lvbePPN+7eD}#>w$yQIYa@BCup%@VUOm2)2bcEL zvWnhiQIFFXO`phAd&HGIa`ghU`Pq}O%9?g5fq^h>d z19KT&`8&vy?cR=}+fv=ba~HL;q__oof7&d>6a#Q_Te}&GEVI~8;iWc^8kego6>SL` zi1%&jip|Yc5!S-l>gn6D{?9L^inE=&_2ts>R+&A5;Ej>nuiq!WRx~_1*3s-C>0f4h z-TT>8?`|i%ABX9&K6HAc=j$sDyQtOui2;efheOfE!YtZT&c?w| z35#V>IR7ey%3&fN+xiM7hm)@`_Hf;hH z{koyUd_!YrDc(ZU#!GfUO|Y2fNtsMal_8?c_GW|SrqJhxj0++{;xAvG(B1aFe%x%K zye$s%ea>lkqn;q^xofi!n?EGp#Jl|E%=E?hHIWxk)I}||KPS2` zzE=Fbqj6d|PW+|sfKvOp+uFSI6^nf@%--EHz3ur`sGH33upZit>ovOM0n0=#BoeTf z*0p@8AI1W59ekA9m%OfhV)3X;OB03`dTl)Q>36*w(sDOKG)K_kTj}mZLqJv?E`4L> z&c~8yeO5Pf<}B7aqb-+|=f=;`FDYB^FdidLNojq_`twh>NkwE6YB}}oc-=ff(%y%> z2p?C16XXiC8nJeOu$cj-bm&L&W-+Jj)W=hKwZ*CxohP7ELZuu=n=sGSn%#i6yWSVI zYpD*$*euji{XT!HGEFV~z#;GU8JRPz$9gQcFGYfOa!6zxCWI3U3{RB1eF(|To`Ef} zA@DZ%(%+J{NPWP2cwtDW{JG!GvyYC`4~j2NW)*=SQTMBZbMiMKCQ%Fat5Tij=$oan z`|WE5O_Ioqzx8sy^2b$*(e?cSXzF|l&6`}B*Rli-vakV^Y%Ucj0@my=Yh+=%8-_iu zZA-sN`c(WGW$!cR%NTNyV)tnS<*AOinm^)k^vT(1HsxEe)5He}=QEy6*y*C%1#?u8 zcS=k@CYZ=CsCNtM71QcjV7*AZz3k1Vk!>W1QIt*`-2)1ms(v<1_WNLETGhC zJTQUXw5IRBLt2VrEU}($o7kO4cm#%pewXVatIB;7BdZ#5M7xH3$B%U^Kn>I_M~&l* z61ZM0XeAp7kK;`F8WVf9+`z`FA8VN|0~aC(Yif4w=;?m7qU`i%0lR91Y{hpgb#-m^ zvC_&02jZU#Ty^QSC!^*5kNB9d&Td6D4e<9kv`^! zkOmh574a%V)cB5ouGyCtpp;-_g31a9&5O;SmS5@jtEZA2Y543v9-yq?hNn9FWjR>4eoFyQVas5AJjdc=@jOoOgK?LAPr3R6_pj1C+(qQ2 zh-KoY=b{z$^$7K2K9sN}6S}Vdu5Gk#GGkjXC*RtA&+;*!fyB;R;(6FfsfU54&)5f% zGKUbA`&0s;ga%J%l_z){49SNOqA0rTT41h>_PrtiYm&WqD;d(S{ar8ABL1S~P{DY4 zZ0$VIwbkpR0m$~fzc7(S#UYm0VdtaZ)1h?ryfRY8Py)+ujTZ?Qa(v;9;GDU+*YKqQXio36F zZlF%kKUX@R#Fa7YU@?f%Xmx$>kdZ$H_-Kz{F!uvAxq6%u=BB2`VYgKa63%gQ>KrLM z!+I^F50(WbC#ZFyke871JD2NuM|$VHNtkx`9-$(XIa!esGJO8MzI6h&_kyVb0oc=rZJplph{ho6_K31Iz zFKSen`82a>qH`r#(Sw_Z?k1^F7!rR1W`rRJz5K9(9qJqm{ALf09(!<8`#Q z@I#WP!=0wl((!|fS!vck-i+RQo3i`C+wDb%Ne;XRt{!C0a5F=5|-C$gfi)ar(>dadH;U#=;fY5O7?3HjP9qF5?L5Xh~_& zMKV)=Kf|2cI29+8qx{6rdBoOe#H+G&xN7H2NaU#oX(={;k>{kW>DU>eXI5CyTyKS* zn&LqOfqfzj7@s#L^As;jGhIvUbGSkQGJ2;nvbI@{)lCaTZV)~BFq=uAV=Z6&wtM)o zDb8?f#vrhsUIf<`6D@YE5vg7bdYNb1K&H=kdPa`AvAL9^bw zQ5Qjy?+_wZ#{qQOGxbuiuW_;kLoj)zruu$0;yip@aZ`4UNB*PNsr>V)vp2o-mPb!i z->FQNPnDnu<59_pUcgofFb?av+b3P+v7sb3OUzfIoCK@@1MAt zBd7>vle3KWM3NJbS!$@%Z2?(JYVL6T;?h0`K2Z{%Z&dD4B8{L5REZXsBfh=I-Ce?(kcM*tSGIBV_CVtotq&tX zdtR$`{CTo0;z=3IyEb#q}-KUm=aR4rJjgB zdW)aFAoPsRm}A?p?9TOUZSF(JM3!)pY(bBeeOYhVW6%^Hgs99UBWnSut2_B#+OkI2 z%Xcs3+c}@NMDfbM(75LCR$23yjEwXH&V|>Hmb(S8t(%vS17i6*y z*vWf2#rg~H>!G;H5G;WesxwxR6H|Y5!s?^zf_rHaipsjL%MTmKT5UNaC;!Xwy0eh8 z)7u?=OE#xP(Qw^8kZT^$j*AK3i_#j4JW2CIlj8cc+4^pl&Te~;^6tDcTb@>tqra9O6pQixXAGJ1`ny^%3HF$ zc_!Swm7a$3^(Qu54k1U_XZYI-zJ2hAI6KN8xej6Id#L)<+xX$T$*i)^aVZ>x#cvC9 zt%RGSFhwN+pWa`%WH0P?qAKG3hM@VNR0P3AN@$AqE%m_9T{!r(#j9&KH+F~BGHEi` z;%^5t)rQnf)hIl*AM-w6$knv6GkQjQ_J-)8K)1xV6HgU10F-&`b~p~#?M<*JmKf3{Z}9T8l=GGJayarSS~`2ksLqiUHl! z(xSO2PX~KXnip9TVN;b-7z)*W-@@~rJuOrz>0R@|I@{c^$K^uquM#sJZE=mbuR7^A zJ?*u^ymvdkT{9VVd8t~v{M7G3`@Inn;ZIjI?5cJfd0HMMX!f*ezX^*>q%M~(?PALS zAA><>G8CkCRlXyzKv?^Yp_2FM3rF824}LPYDVM*+f+iOsKBtKBpB!)n>6c(Hk6$kM z*Nz>mmo+YDEpu{a97FLehVb@^D)}ozo^4Diz3YKkS4Sk-Fj0wOONm)B5m|xZzHZ(brO}iT79XYF+D^W{ic{zPTkX!x%S<3Ypc?BQ^ow_K{`7rW^V07# zp&Gk+Vgk?|wV6 z3(~F|6ZKi;5QW;$Csn$G6`GL%p3uWG3+sOWEE~PwHJ&ML zetfPk4mu?YyYq{&{EELp3XRdeHBq$m?6(A1I;TQvjqW9_bMKDbFk{Y;wPfG7y*s{e z?!;}{4Vz#pXr-|)6O&8&E&Ol$!U-KKaA}nUtm_ul2uIa^tL-KT=ai9zA9Ek(BQk+%kZTAylVD3 z-}TIH!KbH1<+*rH-t2S+wY7@i{DW3Y+GGaoSCy_^md=$xK2t|Any)2Ib^bLBs8b?P zqO0*;qP6{*w7bXw1KQ0f%g`rO*_A}){vyPq?cec5Hn-6cZEcmqRVbfzYa64}7{9wo zI;Ulgf9FX&$iGBNq%JWnL-Shjg`qIKAOf)^ANu5a6PYKm_*Ur}kB?TaOUP8@Xnqx@ z;LhMop^k`ijP5BHUi9QY`Q>Z#4p&R99XwC#bYNa`+1X_-hQ8M0%J7!^T=~OY*_5LIQeMKV9QNC>-`1F23R^ zP;Kc>a~a%O#{_lV80A{q$E$SRo~Vp%@wb_wct}*f>aG|KnYHI-mno7@R_VCY=1Wd^ zv;>t|6GV`8I{HhV6*D6C(YBJU*YwA}h{3M)@)0GN(U)#qL@S*-8Cwp(30kJ)(v*P2 zKBzPzosyWMGKZels=7v2fN<%e+CmvY0w~4Pf=R?U;+BMG(t4f^ znY$=>O0?PsKit%i>X%@JhunBO!|yF;b_n@{c4KTn8!N4Sf(>E0xTNvyTnLGl;!(BE z4@tQ5b9HYR&(=b`_E<>Zo3D=Fr<{k!Dk{6U4K%ulnkRd0H0N-{GutBpXkq?-zuq5) z{X*@m#$EiiJt8$~MZN{;!RO6*&N*U2am&YdFJ@3m&dcY&q;KE)*UMskRnnV z(<;f{Eqe@MvsJj$Y2GV5!TlxLRRa}6tI2D4@B6|{7set*U&G{2B)BC=Y{TTiCV};; z%_mcvh??G-3O3Zwqb|b{Id^~cZbpVOwq*ES$MDOS@;bVr)6SUGpL49tFdhv!fEu-p z)g#s;6>bb~E;ozyHJ-E}S(;fqh~lzSx>C(c=}yLQfgyY#q~)5Qlj{LWea%tJA~EudK?670jt}R%B+6)|DWSonV3H}GGWSA`T#BlPUjQV?mZLb3S(NpT$iZS7 zVj?RUcHB*taX0ung)~X zQ7y@HnS0r*?KdAdzBtqSY_PDN$_GoQ<^nFui9MQPq}w9{T5h8O7)kRCvy?kyNSXS$ zeZ-I=F}R_5q)Kr`Hvv*brPa&pSOyx-1h3*;gDVS%E!lqfj0ikdSL(Ohf=bP*h!Yf1e?Ou2`}1kIQ% zq3si1QS=}zy-Z5vQl-U$)#r#Tt3HxSS7+wj`1-!>)Y&FK!qJ>lHC<;#oXSR0E;@>u zD=e@Ji~lr?EpU|Uq#wEeR+sl7&n>w2#8+}St{Vk(#dT0Oca)%tU1BRDt4g)D0JJat z7Z7n_vX$A5RoUY#e(kB^1DrGa)0L}n(>@+@AnX{u^eUg>%$UcL|4fAX>tcDw5T8{s zRFbD!gjga9O%d~{K2t$6p_#$IJLr=-GKfY*_7}?paljeyF(WNECM%T(%v$|Qjn_3i z6ECBGzFGd}uwuSIPrjw@*_vFHdQEJcaoH%rptvVP*@s6#tx{N22L7H;IQ6#GJ4c~& zHyxQ&yTeSSRJ-Y8uh78_3Rleg1psZp4Y&ndU4dQz@VCfaxk_l%1;A#ncYIexP?yZW z?908mJiB=NN4ku8>TJz3$YY37Z)C-8VuR+JAy36DMM{K|mOD|z^R52pPbVHeXFB&b z;*yVdM9LF(4HGVgZ0>E;XtMhuBn6tP1_QyKY)dhe$dp^!0NP@G$RHmX*lc-$Mcdom zkiJ?Mz;j)QqXOH>td5FNP>Pz^9VoP}7!#0rEpR*4<2iMXCsC;>V^D3JpXzhoT>YW!2F^XZM*v&(69ZPzCjPJ3ZGR@J)k&K(Te z53Xpmz75)|pRJvucsVey2K?eLTX-RC`S9m&(T|X&`8dp2Xq6Q2ugpB*RopktC=TcZ zPFAB8(1R{(%%@w-gXZgF)Odf)K%Nt5LYVGdxBq-$>{?Mn&GecLqQFo0L2W*=POs$n zlU#?G#vRSJz{0xQF-B}xKC8;FBHtN7=#K&beo4G~X>~-y&ZncJ*@h2wfN27_%ov5=7jUGG5`cun% z$1HW{FYcyHy#_I(0%Bi{xAw}*D>prV-COwi`Dy;e-_e)l1hq^%MMPxencE+p6;gN` zOydfrD$5Qh_TufKw?Uhas<=06*#k|*Kv0ijP9(gKXCf#&{$t%)K4L6|SM8L92F1O{yg4a1gV#OzBjnK1f|!+&K5(X_JZ^on(fXVgGfz;!}`x4Kr^25 zxtQG@_`WN6y!yxdhnsHH<<@f)&;kFw81(>Thz2KMYy4fZXR`?^pe@!wKu4qsc=&ey zXx8$kKRm~fx=Aw1RC3>zhTa)lY8>81biQkSpBu0rI?2V`@A<*9V07~t$1zY{?iz~t z2JQs|SL{3m^=rrnd8KcV0~)}t0KEe#ItSfxHqRw33Rk$gVph{2A(CzjH`7fKRxi+9 zdZBrlI~1EOi(3%~)o$X}1y80vP(v{9L<2rRWcX5U2&nnIa3t&052o}zFf8_XJH6W0 z`uP{H=q)dk^CzQkXtzmhM>64YIcoh~)D^+TWsXZxUelqtp0EKNI1E+S^Vfp;XWP;) zw+@QcLGL75zq}fH5?&X7)@4pL@1pZFCyS#x4z1ve3MDjGTU(&Zgq)E@?Wu4NYlvHR zttCxldbRYPxiNmPI$6^;ej@w#9R5Q4v8Al@aC9Lus3Yq;dMVNt{6lH3jn9hG$eJ?h zb+%Dz%O|9gBCOAg(~CM=*>d*^w0G}CWa%^ui@&cQ6Udoq7aVhPkiSVZkh9RAgHuU= zooT?q%bVtnaESD*yc1^DVskk6Y%}V50M%SW-#(< zugy;#%BKUzD|D|Sb%nV@VyIu|N`=;-c_wmhtMc>qdiThvlfbj@A?&P%Ro);$s4l<9t?Ikun{#`$hY@tzU?%>C;i#VtpE)s z-%<+{V!zO?fca2r?GGZF%hoJn3`3-QFZ9m{4TxJi1pP0{-Yc%DsM{CCh7}Q15Tr;~ zARxUHl-`TdOBAF8q&I^=tn?zi6Dgqwq=XJpks1Qh1q2jGLKR6UE{yRkzjI&i-uwRU zIS;%M!kTNYF~|6~<uss_}6b|So@!kNEDJ`R*Yc?bJ`gxp; zgC~qqzk`8X*D@>}&s4#?3fZnSwL066bP#c)!U!;GijzkJt&e(|RGaLN z2IX9*9aE=O5}ojP1@)&B597SOo%3~%AkW{F2HMZ-LCS1}7!|~Zne0ub{kVMrIzbz!@>U3k!OdZ=}1lN>aGk$10_QQ`4q2*Ws9EH!Q|#;WB;Z@3%~B z)6wVbP0M9ASVStNZddG~({(t$hzW}x<zq#zSv4nF2#R8nnqM&bBz^+5FGo>*6EvV=F_xeQ6qOJ^@jSQuY%bLWZv}f zeRSkQk4#*alMn6JRMV&e-4N&*wgV+bK!f4aWLe|V(mAG1^g}&apztYN*oKddB3M4o z$Ey9RY8N`}t3;3VS~R8+CY!)W4pjl_VGdFR3K5#PZZfXA{y>*>HF46Aq}>#E-s3^D zzb}4TFXKk!TN&p%a~gp*KpiDcKL>iyKs5FGsJa!crIEHq5iTMYxDzy;CaybC`bx@l zD>jZ?aoKE>j<)f$+hrFZ72ZpS{5Sanj#t129YdI^=czGqiFfYTY5E((DTr&g@BH{XegFw$rZz1rA?M6-I4* zy;vf_(_}=QZccYzKboVvZy?h%3w=;lt&IurQ5Sc)u9)Yw-}z;NV*O9ZK17^)FoNKo z3eN#BB;?3Hr;giT37eKv&%=!6I}0tz)Df!M!5V59V3u(M9$Ck2e6RXicuRQi^QXARI-tiGIy{Y|rqJ(GnRA0AhfOEKz&MuXjAHr& z05!rHnp2tpg5UPJRLe&8qff>c99%><-n7r>(pT^2TgGp68&W$%aJi^-@<5?GQW+?r z2TJn}JDxkUXMAUGomMAYQVx@-JaW9D9H4dM^_S?@3#ERp%s-dwFi5i4KGQt|+5))r zj<_b6dQ0Z=jNF&M3^K{--n7ABw|1t!G+BnF{-V-Y{HBHWx(u_W{c^&yYp-GXbSLC! z2rYeF7O7rNcF)eEvQu=al6ZR9cyI)i5K2YHL3UPs#ceyie8%68a#i=;Gj&q%D9hB# zn$WhDsD218+38}sBOszrxtdIB&L9~}QoJaA6O`*l4e`Tf_8-V+-ECry8L=)^vgn*GpWqv!E!vIIGiwwui#KRC;ey^s(QA78F{N>S0H4bZwk zTrOV%+$0&{EkGlYU~ND_76icvPq__vWlV{yGxmmTN1TJc;S=!Yv9gklVukW64$+mJ z!b%)gQWsFvlyXWVm3;#POzbxTr^5S=_xpH+icA_H|J7*Xz6c zkv!L`H%AAUhf&Z-C&VVTVRWS3oitk58DDV+c=#0X7cMH=XgRcS+-$$k*8QMeU*g*{ ztrVxh>kArawsU&;;}%AiNA~|!<%jz_c8~=MKT))Pz!8ukqtp?4^T|?cEVkT|oBn6N zlRF*DCvYLMfnifyNUcbS|zxtV*Af%RTyv*forSH<`%Hy)% z{dfvW7NhOR7XimgJu=%JIHOu>;ByMJ)st>sPsw9-wZS6ei=TSW2ef4BHn!&6vg0#O z8eV)^24b{HFBE^9O2Cc!d6Y(Vn~Ih{D)v20T^02|A*{&bR3z!wt32z#u;J-sKeHxj z^chN)1!dX2B&N%Eu}##71a&tYNL96wj5T$hMo1iy=Bw@~Ww%KfJUe$BJu`XctUx{p z)J8W4<%Z`F#aR_gI7V28gi=|uY;~%=2lst@BzPoRbYV$aOoyvpu5>%_=8n>esM`H$ zMNKVKpMukc#I9z_L1o3-4pVxTl^mq_fu%QaHO|#P$tUVh~NF%qvgRK zDd)RagdFI#9EPucp7nk#_l2af6lrxC3X13L=_Wu6;WS=exw*Q$*H4c5Cs@z+#n_w2 zg458bJ@kYGAXSil|Mj=?7SGs3G0AhVpO9?~Bj~1mC8&FvN72Yoo|k=X_o3!99_vpU zq>z)bn)XQ+)#~)9jzR+{`8H}~DF@UoCm-<=6PruCSCPYsp%&wvgP|lQ9z*xeIKnrr zJmWTrv4#M|)wp`shq{(?U3b8{tg*E?w5_^O`XHFo!7QIq{KZ{HXRUs5k4qj}@mKD& z4%tuf7Ov!!j9y+US-fXp&U)6eN| zT%a5nMgad?YO6WE>FEhU&D5?J9{Hs{HyheIB}Z-lcO1r?kz9r`<8-s@+*{9h>LvA zRxdTF>V2`b&SG5SU5fZ;Io(f~ubloj$hWp7-?h`<_j9!+iMJAOX^dXz)9Vq8 ztO=A=bYIoIs}$$cQ<-${?g#3;&tdaXGHv@Xf2CFPO;f6|zTmHX(dmEi_5V45Z#wdq zA$!pi%$by7j?A zrxaUNIrQP)Ysl}+!=|(jYu-b~cl7M>Iamca90-5BDHKl?u$bcXs($JgHK9FmzOQkp zzG-Kp(`6z?L7@opiAR*64S|&L{=YvyKcc#i0p-+W&i3xgl zth8Zb$5&7f!}fcsHSR%U$L_r=7G^I;W6*Z?VN#T2(v6cSLiMxL_l`Jwj$90V*_&un z<8^Wvfjh}m>iwvl;jmOVk7ZXo#k;q*pvFm$J5x=}qHs`r=egc2FmK53$5SrB%O=}A zjJzGpD?_(KMDj`_lBw3#StcmU@x4c!$SjCNzQe}hyD#<}iWjB&gbEM?L`&VU&up2X zcFxIttn(1mK;f%L362iH%aH@S0(v57b7_*l%Pn}<=VXi48BoC=+39k!?W*iKu|!B? z^3Q0J2;H$d`S(j3q!HZvyE2)~mPOo-+{7zKlTCBUQ`>TB#A#y%%8K>mw=64rSS>cO zYbq&A_407@{+m(@sdLv*4U=$h_tn}KH2sk$Zw~uX1}bN6nAdU|GEX?n>b|e6Wu2Qa zU1|HhaZ=!UkDo^Bzzq|hGW4uhROVs{^Xg*#IUt9*u%r#9^!0k3JcJbO5+cWO!RyRX z|8#_jM}2Xz?g5L&eaq`EhVb6HESz+$ubiPZt$(s+Wdfa~=vzJ(gwxs5XEk`#^6dxB ztX_vyfw=WnOou;ng89E(o#c3vFUSE0m(>+VhDg+xZm`5YmQ#U98W%2ljOr%Y0AkF3 z{^+J28Xo}aji_eh23jWDJb}=qGTm{b&9xrW*8juw z@N>kq@%e!osC3XDL$mq`432z5VhYPFtP8yMt#m+GVw%Q?mG_l5q&;Qk(4~f&7ImWS z^zj6^H`zKeh}KS9qLoq=3RS^P0QyVL4;)VDlWt)#ES}9uV z-!ut1S-QQt-;}aq__)P}R!G4SQE59(j@F|yE80}667S79Zc*y6GN}Z4))ta`R&&X7 z!qAhCwQo#Gevl*Of)|IYY;y@vKvLrwCtFf@Ns^?f`l@w52Ox~eNyYRQw_Wc(x94t_ z;eU*Bx zi*jz zW{FF=F>wInV+G+ME#l1$vn3wJ8NxBO62);gZuc{;eMVbWjL%DADP)o{p|AV|-PKbb zUj9}5($~@A^}>R=CKe;NmoGc~?(rp1&wU;1=25lHwbw!M<}~z zD%Nc^5#*oPeoHCJu+jr=-Qt(NT|0b61>6q_0NEULJMH5#YlC+P-gbJl8IrNsP`mYH zcnds$?Jt8(tG$<7>m#R2THM{Y1Rr!=v7BYewRe?91X6|M#2i&4T|K2W1HILHHmZx) zQC6>uo>)FitraBSOmFbN+oZcX^dt)I?L8e&zJ9$+`r{!L^LPhaS=usILmJ=vLhQ)B z>9nl(O{XX&^XB5+E38*-+fO9^_Zo@&2s+S#kXq;uriXu|&@KOE-QW>f;A845G+B}c zmf(pQ({}mKguvqUaG$L4BvU75;GUE4jEpe>jSO!Y)aUX-gGjaDxVJT4n_)XTFdA$ z=(M~m+}*>~=*5gZ<5-nFxof%DF}7Xq$;A;gHnAqT2IX$x#|F&|f#i&DlS+lpa<`+JfaAMPdQ>N-u?GSf)48*loo~-?zLX z+t{~U^jfV~f7K+BXYr|JoApi1Ar^HYB{u97Qm}*h`XMe5zN5Zu4YBpl-b+e<8C-+g7e9`B zHU;#QOgc~b?e+^-pSgN|ej*F=CvkR#t0AFQqrY8bUkWJ)j=z$G678wF@IOF{H}LXd zv8TH23{;w*qTb+(Env6Uf0bz+vW-4E$0F=8RdTF#f62dLYh-E0L)FM^e9a`&mM{0( z#cF0ot}nm51cjD&Q;oD@QUv0&0?#fdRD5l%i2ttg^5+vM2qvTkfgPb|yCkh*XDFEv z-(e5M&x7`%>jW?&p=KrX{HIpyxFd+6-o6LLfkQ|Nx^u^sCo^Pm=wQUyhYN*QUO1DE zHJh~3U&p2+3S=EGfb@!Hw`F^!|IkAHpA`?(@n){JZJxk)RfC4-(33M$hFDHpV( zZd~VpIKDDi=t1#rNmu>o%^ydi+y5dOw(k9K>OA$CCa1AEl~vm^hkE?mz;1YKYY-kK zRmHJOR8HX1>N~-~cpBnof*wJCM82XFa;qxpaxXEl=yv}`8Aa+LeDU4J({G#qGI0D0 zrr6WtDx=?7fks(D-8Ttls;5SJlMhFYoOlmYN+)Dz~{9VOeAA z-$caIeg;0orwQv9tm@@Aq&zwjSpPZ@cinaU+YQ7(q5TU6UeO54!bc>P|LsTkKkw%G zrjYxX@T|WK*RV^!i`Rd>7MG!#QsSwXhf&$QIZlq0IpcDK>HP)}amgaa&xf?Xn4x)< ze~E{PO&2QW2nKb(5Nm1i@z63G?0Z{zQ`@W1n)(>}=G{iiqSLg%3{r+hR>-6Me(28A zEHvRAd`D&uL(eM8-bWbS)^$HQJ?+CS0e!OdSyxiXi5UniLmZAuA)+>^Y-DO`j~S($ z$W>y1eQ7{-i+5$umc`iH*n1@^-+H|Aw7R!G^K7dhpY2n`t}*jjZ~f*%x) zv|UeBZvoJyn~RTVwbb-N1T{Ku?@vIz=Uil?b32!{0%wg?bEZWeU-+A`V#L)+`hm_f z>6#9_FCXO~u0_Fa@wp%>AC{H2j!Rem+P*c8@G|k*Pf~J54L2)CmIUM`4qMBFjq;XT z-sY=ej_Y2b`eq7HkwkY=bQ-Oy(bfrQG^Wa@`5e9Pdd*l;0U2i1Gai@ieqMNYV5~BE zqUhC?mAH435uVEcL9hR)U` zSk#G!f>R4QZdLZm252a9{?O&902etgBl9We_b?`Q!*+ z#G`k*K_ah%Dn#B4K03$mZ&<4UV-!7NA8MD(Uk0bI6k7N6zT_>$Z;MGjumBWMNjSeW zUWm;cY$1>`yxaA)@{~yiQ>Vt4C^P+}hx@PJh}JcKiUh%WjvS`Nmwy>Vse8d}qZ@~? zGMpauw+_;NgPzPgyVquYP;Z?P8A9+Y1OqJd{n(Dw8>@usNM;?AKC)e+zs;hCW;<~; zU(Ux5Vs2!rcyvAeV%9lay~|d2HK3{+PJLz9odSFZTFBc_ZCWqq>try^Mr>PFVSEoN zWsDvz3utbkUY#_Xvy)}75?61$GR|x1a!k?hO{J@C3vI~LDSXRKmO73btSI!V_)YWmD{ccj;uExuYSr5r6iR+_5uDTTkPW6%Qe zd!!vjTPf{!9>Yo3$*HLr)EkGIOg1e^A$-K{u%RpL7aa8~SiC%4?Vpq=SRKW&7qa*~ z9<3Hkutbpd>veMdJ>7MnmF&fjw0Vjq`ctsd&^A$l>1QN8RbZn1z%HQ1T8 zhpwI)&6Gt+^te9tE?E*+c>HcnAA{$e63DdoyL1|r`f^~o4uuov2jN88cN}fCEWgl_ z%Gl*qVGH!=QzUASPLY41>@yF|rrsJF#^1zP9S||Zg40!>kL?aR@hdD>gLD|5Y6q!K z3PI;p0z&#n0+yU2?aoVr&LIp|Z+knO>>nZY(Xg`rq~;#-8XZHx^6JdKwup2S)u|F2 z4h!kO zLpyZ5?zP|*UOyTEVLqin(m+!(-_rV=PEpB+2vVN6$;_ra+w(FiOZ2dvRhf%Taq5A- zKjeKlB54u2Zy3IBA|glB z1cp=WoMw%QhF9zjz3-XEP1~zzO=5nqPH(V#d6a(_nz;!}17l67L;b@FyjeUZ*p~Vk z)ZKW;F(lCg-Y&DSu+fiS!|bnpUJtJ;_oJgED_@HFSXJY%f=nq;R1CyC zIC*S2D7jXV=&TR-*-{+{`i&IX=ytHF>#p2?YO}pu|3~;Zdd{2o)Dx1W(CqzFEksGu zLS0(;zQ1Sq=3B2wt=rqfA%gr+rIR z5zGA*JdegC7W9Q^1G+X;b~0NF5TO=bkY}dQ9@0T1sCz6rs(as(JN@i@p0;(|xB2+> zox>C^^g%`j>%o!FHYVpe-U4Q=pI3ki)z% zIvn)fcTr;JVkMwfLXhTAddzfV(jdh`PS_g z_G^^i&jhIysAW1z_mOV?aC>on(s|pQb@!Lroej}XJDo+`ha_CaV+qv)5LSTR1RXKn z9e{~-GgbDajhB-Fv)p@Jt9+#(Q?0IKwP0hI`Tb7@+M<0MU0?{*ydiZVs}LeQBTk$0 ztw4!-!U1wH=0=$+Umv(zT8FgG)n^fY-S4~5@^sv-^!Em~e0Q7yYO5M{L|-UZzM3`vt>44)qUJJ*s!a@Q%`>U}($Gy!IG2S#q5Plmjo7 z$QDG}5+{qFWA)}_0%q=gHQ|_yUXeM9J7as5>x!8L&!7L8>~Mc44t!~=(S@`@Q1d26 z#;GFi+T5TDzrLC>?%MWRf}i;xm(_B!^pstt*fFQ*@Ga;!#B5o?)|6uAr2vV>&umKO;&d%;uT8Ze;K~H&;IP4Lp1tl_j6G^n)4a;bgvPXZRgCB zW0N^9llcS|8TqD-vX42u&&u3~xlA;{0D|Puw%2ejb5?R@=X!}Iso?I45rv$MRTEWt zdLAF6ERI}YM8UH&VYeNAoU?i8&gPSfyF_^JsUiI&cU@6ZF=d?$x&5mA+N!YgWup4!OInCO4#Ex~r8W1D(rQ)# z_*DzQikkyilOehkdLM+Dun23S4Hi-+@ZArlg9f(umN2$TNj8G*Nz0aktms^IkLNR! zkA78NoCY}|5wC*~wfiGR971T8^zTV-wu)}zdK!JgZJ)C)pg%r2+1$d@!8IHr5a0PY z2e?NM_)U3A==S8X!{*scKqPfa!?9#0odNAqFI|I0Vh~_qD;#p}tl2J~Z-Y92mH%&3 z(Bgo7J09t*$wlO0zI;Up;r9!7XXp&e@dII*EM}SYlP{S;6Q8+m&dO!0z7PeCX}&zHM%; z54%6}%|AU!a286>K6*xyXy;s8s2CMT+&C=|`qOX^3J_pJU5^2OTu*o4I3#?&cw=rR zD;T1yp9ISHiZMNoc0JNxl?QLKZMR$9(6dUhdkX`tLeEcot#`r}*U92aQY-l`Z*nyI zzJJRv`FJL|=CNnc*@QF2F5Hna_S_#-I|@p`1@fd@dj4g2>)6>rR^y)`a57>@%#xm* zNoid2GnK{Yo`ty&H(Om(%^-!Z^dZ zBWm5XC0DLPWI6-G?Q8=?33=ij-!&lL_cZw%+DN;8xVF~d+@Gmq!P5DaOFH)35x?{a z841o3jRwvyJe;Q|&+?v5rQ0A!eBg)RRC%BtPMf6sImoI2(nit{Uii#*<5DyDxJb!` z`1M8Dg7ziDNMv^^JpILThNX|1X%q4%*I+yrUA#41pDzXPv&|X6-&oXq;_?a|Shvu3 zNnW6fRU%*Q?FGd$rCi<@zhOg8uBHkeR&&c9bLClo5biIG#cZEHXiwZGdSuhLiE%bx zFcvnYPZmP0l~xuiXUTUe6ixIVy=7*T>17p^tVk%~8#2pxQ7k}TB7Y3OyV13kCbzZl zA5#DS#+K|%hqqF|oXyqDg13mJp#Z0o$X$_4)!)J;N9r>=U1M9`32$1^ssRHt=cU=K zVOmvV@d_VeERMFZ7;d2_yjG32CGAN=dPciZ_Br=u{jL(t1#VS1l?Gii1D-m8mGP~z z6P7Iaj*^szB=X+c99*qb9StO@cqwHOLKSj@6ALiJ*p`&H4Y`hY%Qh5p#vW#4yDU#s z9U=vQzMWxZ;T)0NrfroW^}xchIyt29{KmmtJvK&fAWdjkjJNtiY@asLIOM@KvHQ+7 z-J=#0*b{!TzkhqJz;DE@*$<^2ncP>j{$|Q*`MhZBPOnWjHt;P__I_<7S0sm4UyTng zLtsR@?xuXo9PcRZtK`Su2sRsVl8&=8u{05{#>=7xl7ap%&Zo@MHfznk(3E|tN^Oke zX6Ov+Ue|N;60aN|Um>Qo7d&#=83~Qbr`(-~y82+ZJ>(CX*&8=QF3oP#rmTksIDD#i z=q#>y*Y<$x-P)DhNKl>}fi(EIrf4%$~SC`?7K=6nv4D?l zsj|xcQ*INB-z_a>ebg%q4NS|p41cCWMrpauH6QVIWBa$z%@bHJmEZ9}`tC0lpAdue zH6FR$ch-1t=j8siD);}Y5BeWs_CE&s;_E~Z$Px9&)Pt0gKlcdTh@^2zIq(#)X??SR zesOL4rM4i3^~gZa>5MY!a$MtMOA-p4Aa_S7C_1B)<6nmM1jTcj<3InCe)f0rke!^B z86>F;63H(I>8_?y@`)rR2;@g8rMW=9vQp3w>)X~h>7uZCS#I%FoF|Qdsg7Zc?jNZ6Y8}jR^FSZ_}H+Gu>lGG%OZ!S-{ zs3&~7EPc^nCGkxEuP53pCQ|*!^(%e8E=?4_!PZX7Hon9hEav zhuBCvHs+c)j~^rN5s(5P+RQ(p`g-;JD1lir(#IC)r;s+CaFoM0Zz%`mfh9uQYf!5; zX6}-o?vG;HYtmIuOYE;jG#t|cIT=xE? zv)jL~nV9ZGfp!$@)x^h(9+|v(>%`!~1A8Q2OX^N{B`Zuk?sNQyvWTGKu<}H=x;qZU z4*R+8>1|am4)ojIsv9KQu;SqBuvS&rxzRH?ZG=J(qat@}bvw=#9cc`L=M5AqEZ|x_ z>p&0P@Q<|Em}##|+cW&jaBCyLR&)O!pTSaFh`v97j=#0~=|>1h+8lj0qz?XI+Bth` zjr)m1uCk_8zY9FMoBxv~E1)3%$H7(qoP1F6|3dH??!7)&rND z>%EhLk+WBmpX(pYZ9z+W{ye(O5n(UvS^T3@Z>cu0o3PoT`K~E9QGzS?mae&YKuTu$ z)t525x={_saQ43x+!CY*^kTgum|-smf>DFMH)at6gYDK?K{!H}rZugULeAX-Su=YF z0BWu^DG~mGdt$c>Sy5pogo?`$W%%u+GzC|y+vdvsqvNQxCl%EwQE00-xuVYHckO(i zs%B)iE#u+p7)14?2AB0EFPE8by+|RPAg#lj$cr}|B`itOeeonyku|#?vGh|gNzVy* zh*-_&leDg;ceahUQqMo@wfsd@ZJ&{kocZliS=eZOaR~qRTBB=kTHkK{g~p#QCs-7R zf}XgaYrOvb>R=R;;`iih8PBxMF*}26-MX|~S_?%X8!8^&b83_v0xF8r2P^Iny(RBa z3d;^+eJjKI^t9mRb!A26DfHuKUGC*xoIU7h`fX}l^MP*`6t6114=~_NaCWfSK)drT z%Uw&hz9H}3|KgIAdSeeByqfa2bQ8p7JdgcUcvkTS=#QvgEzmhyK3E#Gi%zZGhX{XN zC`~cK-ESXXaCN!D)aU~%r_aHbtN|pFWx>9m)YFH53wVSjM#kh_&U&FXm0sP*Y%efn%u(s2Aa=f zGl6M}P#Cb2B%RIM9l{5%&mP^QUN?S;$B9Fm0_-*2d=S3V}bWep5| zqk9s4ejhbR2=iv9#tq`g>@eFdstDO9nrtXvmwskiR*c)Nk3wuSt*Tu8Jo}aY;~O8; z_QV1CTa|<<&`2{A|<_kPqxB1wQJ#?1EWchehuI~?FTkoy0vfKt% z_mI82jS5vpH>(-CXnE8PU2?<;JS;VBjYqp3OOcz3N*3(4>rS=Z`>pb6nzQfYj(P6K zh&zv3pL6f?tqlpJnwl@%5U;Xc9Ub%~z#q_(>p@|N+TKxe%6^277shvZrrWN40#cJk zFa;gNHR3+CJVPE^wmj3uV!?&7%^7TCUUA)Tz@Z_Z;#5tpMh>Z*_rjnj-LEsFYI#)RN`lz_(t6S!IG{<6_vv*ejMz9^!0_o@7^OvBeLtmjnU`kgDM0?5%wmsZ*$ zjer^ev(STKQgm1k_!mX#4o;5i_dE|-*LNzv#*zv_JE1bKlcVNDqI%PN(ZH0?^@)}b zoIqu7z7OnHq$Z$gK&i`crIe!}!C>$+9*psy+-C`pz}DRo&Tp`dZ~Y<3_sS&KFVRUp z$U@V9L&~}m2xA^bD$#w{x)D?0_{TDT zi}_=BEU2MroNx7~AFH3x)$kT~AmVg3lzaoKE<{mCg~)j=h)`kpqnYf^ZP#kME@D?H zkIgt~swp&%w5+r}ZwBYN*~RLd@<*%9E9muk(LCTh-Tx4zZotc>t@L)0SCu{Q0V12c zloqIHgyT7?*|dl}yOn$>D;^OkyZOOa_M^uiuc2O!Qcte1C)LAE%!7o7Q0aXb->ipn zXf~+9Y?2h9oAi^AP~Do+v^CDCl+dS;{jsF=>EO8KkzoxXtW|bnALde&t&pWHDj!F_ z9*&PFM~-N{r8QC&@>G||i87-<;e}v0=4E*EoKKo^}afW;VAj2ayXIg#KRQMKV?SH(vfw-H$fY(e!R=zd**gX`EHHy3%94!nV1iS!l;3YZvrju?tkf!m1u-7s8AdV;i-#_maDts$r#rK63 zFDr^`3j`EH{{vY5k%+gxEN*+D^_j5Xq!3@Hp1_kN?Y^niOjV0?MDjF19f46(c>*cA z`A9OC;N(s>7QDj>bZbXohALo)ZD>+ZXkiOeBmB-oaNqxA`0-}NT36ESLWn7ic~1>p zyltK&hq~CT9L_48bwNP2zEAO4Hw4|rZ?Q?}8>~tfI=|}TPV_aRMAL|Np z^Df%JshVsyD>*XJ95E+foPu#hDD)}TRyK2f8Gd$*P28Rs4<^B*2vo3|{|RvK9>)>G zNB!g+MOW#`7wA@OtQ(Y#7w_%=IK+H!c^Y|oW%Wb%)RiMQ(_dE)6pjSAq)-$OE>>Q! z0pVD=#>jg)K6&a^zXvd{|*x_S2csobSJ1l zMF)hygVBjiobV1@^J}6!IN%hrXISZ<5f&8tUeEDi4f;(Nv#rko$8tW|9TkaA7_k`q za8^$@K1!NJSm4(xbL7D)9(FD8G`cVb2PPkRf?)z-o1?cx(p6U~;mE99Y!qk$m$J3_ zcpxQF`iSk&Sil6$k`Q#}o8Hu~`(u?>FG1|JygDj7;poZey`;eYvqrI~i>*ZtDk)Fj z7@hKk^yYYdzy4(_Gz2uFC{+Uf#NQ}Yak?2b@gM_uT06T|=t|`-NRYPa*(YT@XoFw) zR;3iPt8-juD=JY}^ItmA#8kg&56YBd!yhlKXz_77iSJr$0X_RK;iRM81)T` znjOk1nSqq9`O9!qe81XMif#`in~Vj+)EwYuj%!i2x#n_JO^X#)E|*Wj1)LnN6|Fm! ze=+@aMfYCyxGB~(VR_2AQ13g35Z4svcT{=Q`(>`X(yFSOF@YYFn^n@^I%1D+y4QoY zh)6hMl#)fcE2#qTnnxQ^FMBC#=Q$F*PmPBu_#06r(aOr<+KhV@+xaNk`H0-l2Zz4g zJk5+YovNut0+;=7=stN9(tYs92kzY4%M-#=4io`xL=^b`56#K_Uy|4eYXbYhoNhX; zy7ZIqWU%91Vu}`9eIx(}E|6i!q!P@M?BAywO3fYdjsS5i9n22Ck#?~!wFg@VHaF7HMUmt%fctWse+jH zi|tpKt_xRVI)7V&Hy1n6IYt`AC#no7fJql}>9`zLK$4IfSzZJ`)HU~Tnp6!?J%mGE zJurCRE@mL=nPGPE5ad^nZ?!{s>V&Hlv8G-|H3(lICEhcnt>+E3t01yBBA;|MVp~ih5^?dTx?aZ_BO)sF ztFOB0u?8)_2?%VxFT!c>B0_D%Z_i}4rdp~^anvN@j{E(2H zOo}wf|H}~47c`cw%DRfilFJ|x@0z$$;X(YdF-EY3W%tbi) z_l|TV4749%yQras*aESUqy4(9N7Sn?fFS$z&@4(Ro7)eunBszQI8Vk4CB@H~Z~xf` zR2L1XOij{X9U^@Zap#|~lolfR$1Pc|SmtEUGB6x3&4+t`JAmabI(C2|$i+}n3rRh{ z+keV=tTUr_3Gh_$mb7ax5X|H&U(!d%xLL=szftrNIxPyS*WH(L6gZ=a$jt9lofaUC z9AVJs?V>lP#p#%op;{^5h-54{*j}*t}!B>Sudc&P8se+4EUDQ$1HoZ7^kg|{I%ULCLHFZp#D#Cf$8)gS(Cb`=O zg{q#tVzpT1k8aim%*lK}Zy@>Btr~9+(Ey^g>&IPl4XgeAIInwkoJ75t zEOJl0^WaH<<1V_T9{G$0!n;}S!#Ik7!C!_3P(gmoYjsC)G_=y50K}8vnmuQ1qf)+O)1w+lhUb=JbmiOt?M7gQjN<{4(K()Zm1Yfb)hlFs;XeJ#I zFEPZb4Z%O!UcLM5gw*jD1&mjl#efw53c3vtHZhz#LozMc7ZW4+>U_4~mhLww)I!-$ z-k5lsY4Lc<<+Wg|RmzuV>}Z>3+K}Q-JaJQZYL6GcBTIMRw8M-R-ssLV{)}ak)az<$ zPd2zj@ZS_{5A6AkGrx9vBXVSg3G(h2?GN!c4!^)mmC>cGQBm2dEu?s$2`Bmiz0Q`U z994<%mHQ;E>HZ+sw@!??%4qNGpE;R7DGpbfU&d1vhQ@(tN)KKPm?g{MXiHH5EiGV9 zt)em0`*wO)Q(OC=>+ALonm6`xZ`s;U@+$}4a541A8-=cA7W&aXPy~^Ai(!-Cm<1z# zASEl#fAPqiF~8&dK3$KTY%E1k`5Ae;uXmJ@%d?!*-ZFY*T7eCj&i<3`9_c*j4Xp6@ zt}5_2y{NGptD9U*9?NKAoZ@-lw(?T|H4AP<4$2Z43@+^Q!YfO}gUL~K&|iJ@6;sMc zqeyxLM1C7{;RPafd#{MMgtxR8EqLR~(z0Ds^WLbMqcMPF%%8)N$_w_@bZs(<$7mfz z>+*JG<)P~r@2Sd%w1=lQXqBfYoW6Rc@~b7fr9cfy9^K4Q*mB6t~5dCH#xII^z@^3`_l4_p~g zt=BZ>>%FzuT`-32oG3{tPRYn{ymR4w#jobR%r8IkKpCVb=O-t7(49;*)S^O2S(Tg0 zx>>S z$3zO@EPuiT?i}L;L$>1f6!mg=^J%9UFUW&eR8CYmk5gGoK}6$G`H}9O<7MsL4N2d( zWxvGcnRMj#5ufNk!2BefsE{o}>wE&OU}^uYE`vsl|6Wya?<3toReB>htFWMvvlnHX zcmCUBi?%=@&Eg%flu1;99mQ*AT7k2mFx7dy3n9Mk8pT1jJW5*U%3`^t7S9Zp3Az8_ zYvwGA0HhgJA`uJ#(4cM1V7)KfHOC$5PeF>u3$Mo`(p3ZcKK2KLuZ0AC61ZCJEEljG7Kb&(FedvCqQNv-&9tOv}~VLrPd%)8L94B zE1VIu5PjB0PcxPq^3$Jjdr?FUJ3qo-m(dOAj(1M2Mn0TCs)rx?sa6KBj*7#8c&*G0;}b@m&$nGU z?a-a@>tQD5hSjI8zWq8gCCBq6Bp-eGMMO?Hk80rRwT74kfR9h{*9`w7#n-t~f>f2$ zXC6d5$zacOMW-T)=r(jm56*s~4i-){9kKPniO^aq5HP$yfmkl})y@;<$T}FpwqW?b zD0}aqroQlR(5{GxpnxDn=^g2vND&aEOASRpr9_A{A(X^Q?=5tscaYu!Q2`+d5IWKo zNMb-l6F>tY-@X23-`RP0XLjbD;U7vk$vx-Z=bY!$jM1(cae4_Yv*!Ds=8lXO!|OGc z6~`97LW1;_w$-|D0%tFTcf|cFi*z;$>RPPewTz3aIlRl#ySrafMz5eZC785M837t2 zRV-jQwhDK=8y49BeqHIh(!R9PdJI0^vi_`$Xc77w#@pdKbI{gmDo0e}l5q|R**J_e zHhQ54D1UmC2aRTj(Ck{*Z6EX(@wF`os{q+{3TNwGHn$2%(mwxc4pATY}isj4m ztX$9h`{vC(4*kN=S~4gNv|g;nh-@ONrlVCO(KH0fLe*iL|G^X;UFCcjUidZ>`9!T< z>baxOhkM-CAB@X8IR!Y4YKvpG~+K;coD5laIVI+BGwTb|e^?TX#kQ5Of zxP(Qbu|Yn^{OUnNC?$q6|A1OqMRMW7Aqx8qyXW)fEabgc-6lk$#~I*<_Or_g;ZD>_OPMI7S`lQy72*{y^+J@QsEgsX? zr|D(1g-vC^W#!*uQVCw+gFfATyP8Fa+vwSsPMea)hENjzfS15P#&+aN#7_Bu)Wy89+I-Ta12NWBk<}tPj+Fwll4U+&HK#py~87PrxHflh#het9^HUmOr+b zlK=DW=hsd6>T!dl$UjpKF1k`wgKK+}=`T}f%bP5I{AuS7g0$k>+7Efv6ns8kSm#y$ zWyJgZ`e*s{tFfhscs)CV4mKa$n+wSoMpFH0H+FlB(Et+ivlPgiRzt|46@#I-$)H>= z{s_wW>k6_xPYat|>1uLSsL~~`OA{|lZa?8oxB`B<203nigZ_9VW?NPyK^CgSsBb3A zI=j|eCdQl%2stO@e&vqmYm2#nR4qFdbp9$mi{?oS+ALNf9}*J?DdQhY$Qo}~%Ls0` z{070lFsM=A4Bw?r&V!NIH72JNJ0sg|liKOnofPjQi#9+3ldtL(mhr|jLk+_^`Z)Tw zuR^XcG~(B1VM^cFrsvQFlMjW#9PguA?lN(li_W@{_?z_bpKMxlg0Win--)NV;=l7k zviD2hX(ZM(Uj-T`zz=z$;{xk(j!LKAh_}{qIDg)9&$PFkLTOidIGA zBc-S@Jb*21kIAtS=CD6|6-H^F@ssA9W58OcfrK2F>^Jt?SDXb`_+qs@GS<_T*DYh` z=_$l8z}8FV$y{WHXfKge-C>1TO^ks7jB(H@;G%##w;{t#O&vsqp6eFu+ zuD)0|#TRRuiBQRpz=Z+YyrXNPF#jP`Zvb&y zK+#9OqvX80H+If8V z+X_+!DseT)(R$mVmwLI?kEktR-8tj8x&P#FO1}bZX2f!oDdoTH{On?X3I$MtkN{j* zoo^F*dl?RF2dg5);7y6ZW>RO7r~ahZ?&d?81}R+iYmQ*8ZD0cSoei|*h#u%pEo1LPQLOT9yGll z(ZIRLl^uNeNed5o5C@(}6}&)kc1^rh*6TPvY0BG9d>_D z+rb&0*RmPy;D6=A{L@hroZxS{qlq{ClFe(kX{w_vn`VaN)09qIC@x}cRI_ePist4t z72*=I7bUf)ohUp;fbbc9Uf;B+GlB^!I=_u8Kb+-r=Cvj9kg`FlTDZ-oTU)E{fP9Hm zfR>j*HPgU{OcqkyKXy^w4Z3pq8|ZA}`t>p{=oy9U#3zRz9%P<#NGNTZIcm6S)He$- ze*c)h+Hjok>^2jyO20k5Sg~HZEN#~9W@%VbGxwS!Qk7sTpzOr3VOY@eNF)5dolsoL zIIAM9Y_!NB!z2gdR=O{N!e&n|k@xn!2#2mKv3HEENe4Xf@8bYTpB62|lcq@cxVhqs zA63!dBC6&DyZD<*xLHiL<96j2VEH&udBq(JZ&AgoR=sciH-*wHBsmS;S48ADW^jr>Nl(CMyq)vdq_t7;G!b#+@T6`$|yEmEYw+YWi+4EFEzdu8&r`Xhi} zrR&`o#R8O*!J zF6~`>CZJSuAED;UlbuCE--L(g5?JLSuFfr##y&zJSa&*@>@_d*cuuY@zZVic{Z_>L z<1~-zH#_U^Lt#OWZC^6{wpX9bYXRIM;s}6+X$@bJpw+$G9xw!6*c8$f{o+OFLg}1nXZeBulqGGfcl*^0g6Lx2&`_3beOW@rY_ixDBn?Y!cO4 zzP7yORF%_K6MSsEeEnrS{$6vsYW5D{;xabN;(bYBetazr%?OCcf$5n*4@r`aZh*Vd zww*JmT-ZEeo~9hRy^pmi-#KdnpU`}wjVMf_ux!b0XObP6_@)+Ncd*`3+#n-ZH5-|sc6RYQoQrD1Hr+|4lZ%~+PA$se+9NrT&moda zx8u1hps}+MgL`c2gi+=R=CNx?(J#KC&+UrbUyt(SJ09D~SuQo~R2IX$ufMGo&$&8s z&(<+C3xy{6ft(UX;dT@#(XJTSUojpr+?Oy-kW}BHoyg7_veTPIVH?czpMFo1D}uZP z8&2F~Td5&84_WD$((C8$xmXlW>m)QF%v)MqtfiP%P@{RSg;k3S z_%MIZhoV`pj~^QtClghN9PR38kTTU`v{WRa6mCLWoHlEv_fQ+t2)zlxRt>P{hBHrp zwspqsJ_&9~^IP0p(7jpzI9u*M$9ioaFf;iWj62PR$R}h+F<>}NqcC;O80G8|pU_IP znvdFVE82i8ue*emAK913ZVU)Y4?PK6B^MD0LS2>?8z@ojsW#x_8uBTc5^p~tfbr|Vk(T;nY-6gVe7uWiV?D*-g6f!Lj+>VX&K+H(K5T7Uk4iw*#7g^~Y)W3SQx zV-m3~p?g%>80e!z*HZWBjVYgY0MgURBn$gFY`XuJ_`O}&M8B*lV7kbd@Cgp@3RoX` zJaj|8XIPzO-5~mFpsZ|@aPxZRKN$j^KNxtB$58>z4GGxN%?4C&wYb8J#ssoL;X(9~ zNxz1tgNs>XMM**MoogxAy&X`32{#0v3+ApqH+t`Md3xfYE#QNZj?B|%57RQYc>#Ba zp%+nl72T8Pa43fgFA?G?KVO5l)vH4#<;m;F8*3^XUvY*?l+RsfW?LOukY}Uq> zr}N}Ic-gsNt@z@{?q7D_2&YHnb_YQ(t%B=~K?OkEqroG2_A8=7{tB$9R|E@Zd1@TF zM`{#A&095~91j;mHbJVsc}9fK4OQfScw2$W=W+3U#habo9+YTHqK5RNK;;8<0LnXk zTvC^uPZv9*;9H`;@g}hgGwf{n#j0*qe@f?;!u%)I=ITOx-y#6T6Fbwi(4gW=Mf%j| zWn~QK?G7igTolHKrPXmzZqfGDW_G4Rj6A=5+Q{*FK34kqBYk^5W#4B;K!QVyC-9H) zX9J9~{Dx5dX}=x+0faMV?0GQ2Qc#tWf$b0Kr?ii1Wc|!4S#=;Ye5myT_Fx$m;t}%t z>gqktEjQDYT_^D`64i}M8_%Ki3rC(VCCQbdY(>TZfX6SZmG;(O_#_Z0y2mua{WQEX zoF0%B%!>~t!+@o4L?@wAk$3Yc+dPHkzF(OHYbLmfVE3Z1e>0-~4 z^>~>0o36`bEvZ<0z|1(S*0QaXvE$=eq;1E&nS4?m5M}anFNgVe#av-uigjt*Y9BOo z<_fs!X4DGc_O9^9w0TM=MTJ!z#^t~xmn#6C{4xG+?%W0-~ zE>OHaG%c*K`ah>lK?45f01)1{^~}&XUeR@r=l%bkh=6xWH?Ob9mAR+50sk68<1#_W z_W_np^p!&a0A#Tcq2-VM*7p<1>RZyPZVhCQzIDvR?Rh%a_D~UYo_@Za_W2fzIqOxO z)78U?xlGt=tgMnt@i(!5pT5`loABP7MH%x*o0G9$HKX9ogfTIflkDC*vYagCzy)xirQH7?8_(PXJltf~ zouax~fs(5HLeBP{w^Hki2vKc(9Qdf`a($xOVA|)=4?G#&vxpC9v*Ol>o8Q~7XcPu$ z83t;JO^6&d>rW@grmCu&d&rADluB-k+gv|a_V>umjPU>t`2qkfSGU7mUx!xsVEF3i zLh&J9QIJ4n0F$2ZLEs3xcaQ0%mo6-l;saXSi-;!*&o8^j$U2ON-ZZ-OO+2UQhI|ee zQ&6<=^5ec6XK@2Lk2z1z2IctwpP_z++Zt{Jvo#{9U>`lEhU$vj9MdvifMAlW(tB!?P)%wHE&wG4M1A`~k_`XA9hcFZ(XMq{bi zd5x&HLx;|!+@f!JM{(8uo)JY7bpkuKS4fv-@u!(*nghp%aI|&g zt*?IsC*nVGz8!dT#&BfMa)2Y^JiQ*s@IWfCYSTjB7R+(}fgV~Q<3s=M><+=v~p<@+Ru}r>}>Z_fBMa z%6ATp%}QWiNH#YJjWO=i%jh2_LAUnMlHb>mZpi0wYZp)K;ir`)2ZtL=4en13Gax>{ z-iBs>a}4EO`1H9RW@Z0gpM(%uc3E-&)6fP*hg6%MNk8eb8JRrC5GfA1&_}Vt($gPm z@29;SJ0bF7$c+csVyfrJzU!WCgVOBL--_jFr5l*F?8ToIqzmtC>Kw-4o%*iE4TQr? zxpM{6y27Gxh%|>S(WLeek1Nj6;H+@h?&xl$A?{I;*?YaFYojTWOTGv5Deo+ln5COn zG+J5=V^ST34K9^sNyL|(CxQsykY+DnR9t10mrd7XaF}6@&-kuQTgFsZ%gZPyjFx#A z3Sw{f z!i&@P7Q{D{a$p?T#{BxP^-UJ;ki=OEg!g#|sKSX4)Jr@iiW@v`6H*Wvg(89wz-Es%HJz zJC+0+D{IpBBgDUTWHWAQmj@Y7y)`US;J-b{WrOjRfAI6qSdrayNP`$IJS8`{4md*n z#>u{Y=j^J>vGe0uvUOj3Z&$kI3)^^!ciZtLJCVOP>$bI_mMv6x9L$|iosE!R)2#;I zyIg#AsbR5iIpLPWgAd!{vUkMwfpkw>W-+21Vb4ho6?$x5Laczsi`R2S4ha7_GbgntFc3-s%g^j}&!kUB;UEs8GeBUCJIDt5o@|+LX!h zLU6lZ<>TtaAA3V?T#i6}dII73nMMHmmeOPpvJ;8Ow`ql&fmdkbYC^rqt5c@E`7ADT zvtwC@l#aI^iDul{A?NO#b+lj9@G90St{JYa=Z8#?P!z(9Q)pg;OuLlNcy9Imb&<9n zane*K?w4fOCGbP3`1)4*XL=t%yGMJulhCqjuCQjY4D4LTVMTe1uaEC&C(ENa3B}ml z>*|U8!aP$swO$RdChV$n_hdbN05C$+MNv5>nkmPPWw5jL$Upb*ILKIg*(kkvU;0iA zo>Nyswa@yg-1KXi|IrkQ%2lPc_D%@(vMEaQNs<_%E=D0Bsp1YP=~~O{8IL9n$}n-K z7|6JeoTr7BEjq4!hl43Q(alhj6rUmUNMj>uMsDwDNzUXHBVz&M`PuyGjSP-;wVB#I z2viy7L3PZ)b-+ERexg2JQ*<^h(TAO0l~$qDUp4ld9ewGU^-6x@uE(|A`1h;|j3~GT z%tk+EG_R8=2YB$@!4Sa~;`lo7mP#vlX`3tX!ra{YgUouf>mr+%bPX>3IQ1&PF2tQA zAimWzi_hLeE0KASIrR;KGjd8dz}v7U_qGD5y8$9S>S>p zCNCzkEt3!5wk0TC{iqO=>`<;}J2~^Jp5?-_M|J)GuLf%3@FXqY{T+ngY%W+piKh90 zNLH_XiW>bte>cocdoST)m4TW z^L^CYok}xdZmXM~S2NGloxLH>;d@wAw{&?L>k;6qsd$3BtjLos^W4)^K`ZqRQO{U6 zd9OgTiyyDwRF5mHgTKarCIR`}>vvmgz&W~@fF=RG^h_NWG-Wtz&QEeE9jtA%{mQGC zSrC~T6>cta@#L>rGu2OX5qB3g>NQ3cXAcmUJEavL)n_zoMe+*X;7R$p@$ff)M6}h= zg`6Vpe-P<^C))KuSUj$)4PwTIkO8UR=X67cBC@Sq3WY2b3k45;tlra9m5lP%S6i`a(RA9V0qXa8X$H)DTTgy#4Nq+SIyhHiz!R3XYX zH4rz#A4t6nXp2p7cZ|!T3~}bFw>)Nd-hNCe8yxsXY{0#)+$Sr;mxu3_{@C3-wu^Qu z_#cS$k!O)P-!FVjLix+JH}UI<9kpfuFwzZ4VOw~XnH1%+g#ryn#X?8XIE%4D#S!>( zs$X_Hflmk*UyYnofj+lpv0G?#@h)}vn{hOx)ir|V@T@)f>fPp2ps8tJl3jI<$rB^p zabHZHIh*_0={|st^kQe|bi4d?fJtOP)>RL*>5?)Yn{v~)C}2j5Tt;K1&X0uVEjeL` zGE&&N-#!N#iP`O^OfwG$(}U9P**{7%^8W|yN7J6bg)~{rH1X^52 z8W*wgoDX}=er|w#O6Y$fr1HBe@H-4!SPvDC457$!{IgLFa%N+!PlsdlC+=XQeecS&p+@6qLE8j?k+OSzl;joFlYb`(GGh6a ztRs4L+B56WtAE#nzSs=#YE;ubDXjS*X@ts7u<`EG-8nx+qxNXhY_q+?N0?V`SSkrQ z;C4zeIFi|r83DsTD_L^OnNgQ{nMiP z?*dcY9=tXxrw*pAxrVjjPD=XzqYQ8G^>W^F2RVh;o%1igUK!k_{eH)pCrPJNqj7v= z+Go6oOMP-!mXFXu-7|W2heY;OyQ#~oxV(0g0upBEVrqR6xfu*7!-?^9s2oz9s|Xv$ zXoK+ulm#q`89llT#*MHcL9om#(*^{b+D~gXd3uh|xk0C)={g zU5r%y1Znt?Gx$r%bJ`Kf``FMs7dL5UHaklkH`h0F=`>|o#Vho z@}zITVMRs!qGP%Bqy4-}^QUFslG1!xL8S-V?R)P(ovUgUkybNjQ@^^-`=nOX}>hdFW2UYtDrX5^#> zLFeX$f@Xu!QKnb0=}C}a8pU#)zs=gDJ`@kPn$v2a4HH-uU}#F{$f9{G z2{E=K<^p6$RgAY+zM1v+i_EHZ+`6)ej0c$$s$Ss}G|oh%7$`<%OSTRHqlVI#j=2$p zx?RbJpDE|FP`S5yTIaJrL5|RJu>Pab&Ttu22gOLcJAKXauq~8#Qs2-^3L^n$!?J}hkig~p;@1@y%p?p!DDW#V zJgn{tJ&B$J_>L%oZlY>}HXE*D7eU#KjkH?|q;V$4+7iaL2DS=G? z@JnD?eEvkXakiw!z|r)PS{?r^S`48g{oe_N;0!{s^mo;w2d}BG&FG^uk+TuiQI6ST z^qwA${ffSNOPoZvU0kK~veofj4zXem2Y3b`FF{wqG$}ZX3<|RazzZ2c=l84?D$B@( z^a&-+<<{~AdYh=9)HXJ6;B^Kc?+x;61=uMQEoeh{= z3$?I*qA81s+dsv3xlDge*)m?FBB%A7O4h3I!wI=E*GKD3aKP;|G^Y`*fDqrekc8X& z@Ngm93RMl=g$4O{mIXihw!TB1qkfeY$=nd8KhJRKG0FCG1Gtr7?*XV>Fn9VjTT5M`3p)ARI zt|xz(@Fn<_@Y*qF2KZckamz**5JSav%>0M=U=`d(X%omwg@xjJRMQcX>lsE<%12S^ z?JO1;jijA}nkrrGgaMJ><%(ZPvao7Vp^+E3`2H$N6CsMwxd~{ZWuFG?(jsbLO{UaK zmOic9TzPxhTpHx=0GaW!AmNrV@rdNWXU}bY>%Cq$+Y+wAfeqzWklggat-$?K+qz9huM4b7;eerkP4$R+t${5VL_=y9@A(gX%vZAjB#Og zZSJOs6*lZTf%`OkWOlX2h!G9p)4CgyKRXVl^9{2=A@*8`P-k0_HsBugr>YdvWB`m{ zx(q}J(dd!Xo4m+U*;(mLB`a>danzE#_Z0{`#C zU1|*Sr!q*;o5zS?l&zIiwE!bI%qpAux!vQ;Gf#?g7nT>TW18_JwI&Dg+IxKS1fEHZ zwj{Ar9AU+q76iF`6oJOK2~vaw;o#2GZ4m;vVjK=6N7m3OIn&|#7>PSvsmhge?vbo# zcaAM@&2*MAAe!4I21>R%02~s4$Sr`+vnXyCzyO_sJP43-X2_!9r+?okSgJ_@46K^G zr-9103{57O7UB%TvAStEzPuSY7lIg}vI(2j0u&N1Z!Jf97a^@R5K*(;gL*LKua(B} zHoDCHO!M6Up}s}1so+T2%VZ>E@;HyfUEmKjVP#$-jLkYYB6^8w?Dw~Y|M z*tD{^uCtilL_kY66;^h?THU}gl|bx!8b*hN`2qY=cH`tjt=D&d`wgzw@O2W5h(F_K zxtqN}aE%jZ1%R~ENYv9~_D-XVd3S@V7hzM{hFemmQf|hvixU2G+)-Y(PfpH%t-08o zauZ-Q`olu+1M9@JeFh=a_P2tbe|)P7hVhsSuDXcS@ar$idvoUGmThi@RO^%+@$rzu z*C(V}R@wy|fBY;<~_SB5MdM_Z_e&5wqv^mODs zd3|@5-X2sQ-hzSZD-MT*Pb-;(el`A^+&f7+=30R(&MZ|TL{_LO37x+ZdHVjS~Zf=ovuMYu^J2VS` z2BUqR3dI*an$ya!q(N~=Pn%=DF#q~}&4m00bIR(L;~p-vBmQu1@$0847qAOXGVY9k z9h=b&3EMYtC|sZM2%-}K1OuXFGH?gsW?STb#Pp9{0}s=tQ8_t@)>c&oEq0XUvJ-Q_ z7}J9=Ci$yMiC9z$AYq^;BXaX}N-8n5D4JIlt;%|qUYZK~GcHl>vuMzHxIdp?kD3gl ze46>ovD2t_hL@v?nWt8ww5beLL5m>m0>P<3-WL?^LTkPAdIbK2{t-nVa8Y1_mdtoW z3#hJbsUD=`WGak)qK#u$4EGI%Jml@8*MJvk0Z9F%|31L86UdTQ8Utfm92geg4-b9j zxw2g!w9YgL?^T*9tN^MN@MX%i{1+V zOM(J|P&j7lptDWGMYNGOtc5$kaO8hrUtQwKFI_#T^k@kaO}4`|HJT73@CKNUqE{zS zp;WHXei(hceO^w9k43Am0Tw=t6CD5Vgmrs1#k4^u>?7dNbmd)OX;~a!5PB!&;jiat zND*$recI=;8UZt$qO`B|Z1~p6OURA=!^47)gH<{3y?x&qKnW=)wdiw8#d}JqLGs?@lo8Cp`%p#2GMS$}|xNLlzmyOduCAXhE+j^?r_uh@fiRS`z zrjWvh%BiheHN$MRLmAS#iG4zBv4RHtUWxwdR(_bq#7i&FPu-!_xgf?L!36HL)5lUq zSIw!c*;;rSq`}EsZgUB(XWD1@$8x{F>YBCQ*tPqNH*W{hugEQW>ZT3@2$l;R_I*5G zN4hJ-fsiqjawS^Lvr)m|Mdpk3*d*pOtr%sE@5xeUuIH7fP|FyBUgQ0C^ek#;z80G1 z)d&;7Gi>J?+JGlIRk;>(IhSuaiD#{R`!jP}ptjPUW0&!RE{WbJM?%2FG@R@03_f%| zM1?1*JPpJ!xo7c43yv#Z4FU+(vbWXVu`;m}cn`ac*iz%z&vlYX#56LRnlTBp7+(!Q z0UJWC;R-T9Fl(968bE9&B$zVYO0b%FIIR~eE0cMjx{L{OQfHG>`OXofXa$V+x@qET zjF;z-I$`d~phDcEGaJLwokQG3CocuW{949eAU%$q{WbDCqfNH~m21}oRGbn5LKYS@ z-jk9Cn85=YCR*pnfN}Q>TCVY@Ox~8CCfLDg?og`h^~d2qWDM(|x?J2w!xXS^W9;i^ zfePC0(DHRA?3H>M_p`*Du&&?Ob5-!%2mOU9&#Bq{@^PZVY~YC162L<7sGRW#xQ~mo zcQ;ppFhx%&kKYzGC^x?M`?BodCT(%)1GqQ#OT(bqhwO!(R~N=1i*oMN=O8biqdQ*1 z#g}0wT$knUfAg@~KRGC?zzc@*gO{Gm7-JMK-c0`U>EAIB1bh{&+S0$#k0?OW?!VZ)CPEeNwCv=x4MN%%6^`vq`&z|Gb22Bu?fyG~UaiP^cB-=1 z>lW+ZYX{L)HWkq+D%yrDmTAdh%MZ^fI;xdP7ME49+TUEGeSax*Q#Zu|A%+BU@PLP* z32fVtUV)m^LcfD$P;Br;Zq%Zz3P?83N`b26VF;mbw9+)9^-@PhShig4?26r+duo4ilC>1Sw(IDx zrj6Pql|Pode{akX2LrL}?8nPSzvBFOyP3WWbMMW>Dcvyd(K`2#{bbW{&?>O|0=9t< zfeO6W@6kSmFm$R|S^}G0F7c^dSShXTDw?BA#`jEiwe$#;y{d2L9*nsA#rlVz@iaEl z>ka!Qk@#mS07@+XaOdG5Rw2nmA@CXD_t|-JIHxz*1+cd=-vf2l{&!+Yfy7WA!DWb7 zUoMk;Y5-h9;7LAwg9@CTRieB9J7GDe9=16LD{W^TXT?Erps^GkAN~-kOGjEGEL%ol z16Sl?OhnD>U#PGD@5B_()aNPnM$vDhS3fDf_ndT!wZ_*YbkO3;+Mv;FunELXNrm7Dq1~U)~ot0Ysh!S@iU`k*IVS5i|vd zn0EZTH|#zixA(qwm$qCnR0`=(#R}yV zB8;<{fuj6U()xO);KjT=$rq|>wH*L`{YxE?Dcv~qZ;qb-uW##wlhr-LD(+@WJSb1= zx>R2;u6y3&!jRp^Gn|vcoD&r&`S~~U)pwop^2FcWD6qBvpI4qg1X&!C$gBYB4KINA zk;NKJ0;+1vT~xN?%jEw*L;UX}=KT|E4QpZ%f4O0yjIG;WC0I>A{j=$D`)}%x8%Z^5 z52^`wg#d*MU{?|SIOaFG4dW}5J5>K3}gPm=9yTMdY;S1}l3SO19L=-atBA$&=TPW_mj`-L@C^WUSN zMn8F2P|5z=;@ZcQw;@AaH4Y`+T)~@f0`J`U{6MO=YKSnuMon?cI?RB*GTp^C;h8b? zNr3tHxivH>wA{tnG_>4E>6x)tH#*ypwB4PNFE30NxdXH;qfk3fg|0+*wwB843k#kJ z{4B_Q|9;G+fX|xG1`S`7;A4MI1y8636zzov|840DqLficqj@RBqPhl#G2GY94Gwi( z^o4sAmQC8$H`U)yf`(M|Y~9)^*O&5l++wn^73OnIS%gndu1|UlENX}k-{sajMGgO^ zu^#RI%?{`nw;Q3L2Ida#LW1sc_Psw=0KJnWTFxRhl1z(2(Bx>{jSFyhmkQwK(VDEq zRJv@>&s&ZNcjme1?wd5f%)I_luMZ~0>ERe*w&;e{h=OawV|d#pzYQgy7JjBD{Ge1m z5gd?G{W@yUvg%{Z$+$O3R86<>FN`E$H|JStO6(2+VFkuhG*t`sgegDUBBuk&eeX+u z3*%q@cxy%|U0r6Z4W4lB@2MAO6*cX5I(>=P&uNExef_0S@cx&fGrUDuWKc_y+b}Y4 zgJY{#Br*6GS3qtvc=A}r6d2%f2*E-L2%iIVVC1=SYGO|WqzF1gn%q^Me{Fg}Muz&3o<~2W{av-R>ME<>kv)Iw_=qK&NsrF~Vi!G9Y{W2Rz#O|W!7 z&rQ?R&@cAtjT3Xe$}71stqR+1u9tb!F+A#Tx>~rool$}py0LFB=XZP(R8(ZkN&`e@ zV_JV`LYtsbIThIOxW+JHm{gftLpx-KxT!3BpU>0I%KE{XHo$9%JAsCK>onc?9XOCf z_*Tf}apbwkmr?eye5mfn!hrdsfPyrf`X_4zQ7dG$_~EAPP5!H9j!M5ULPFfT<8}LG zHvlK7A|vXDdzma1-0C0%Jfq5$8HwoFUwiXE=-KWXtY1!2&6BAATrG;m~C!W{<) z7V0qj2lQn6JQV^vaHyintp(xJK+ic3|(QX}ja(`UcV zZ0zuH3g7@Rb!dI#!a?E)y3*Vh#WE(s}!2=NAdmVESb3;p_P)&IqX~vH&FKED)=&-26iAoNpd7L) zj(LUFz#_2tGM`1gRYx}R^3X%&^KTTzC4`^yaM|4|6^nBTK7Kbx97y1=b6hygh&7Qf zg5D5yWum^se2cTxsUBfV7rt10+v}SFyC*mE&srLI8gY~UmLP|7x#~vQ&7j8+*F+cA zw$9tnG}7lfm_>HRK1diF76%9$H%p#i^GLcQw8LMp+i~1ozfQ+ zA--c*VS~6d)h9N>YoaSEY8z(^*mj#H{?xDYB7w)QO&{yd7W!ZmsK`ly3Y%ET)798~ zHV`!){rmGj545q8M#PMAva7mskq>JQDu#qt07|+3Dygv+jLQ%;NJv+!V7-|-v zyxLio5D|3s07jpi9SdK0C6V=;wxU0(OZ_SU~O|0d&)9 zR&da2Usvn7hV}%zp6G{`3oFM~9$h9}W$I2pZkLez@6i*f$SnFk@XYoG{vOvP{oU2F zjp_`4M8Rja$f`!G!=tk_R^w{) z%V8Pj)XuE7C2)hHRlJ;-&*EOo%Cd`oh;p7!=(9CbmY$I`H)rhqSet2sF3UPH-wle; zIFD!oVaMXmG=Rd!k)Sd(3~JiF6b8PVVL{m|>PQNGXSUyDa5o@8b+*a%!ShpzHC%rX zoRWL^5er8`xMRI0pROTv*+46&OASYhO38= z(vNOwd>ixt#eR0}za4ryI(9ApQSTjtRF9`u)c>>qm;kWgZS_lFNa|~BIFw+NLtm>zY(e~L!*VGK3OHxmVa%u5dXBvE!T>uvQX?5S=9zHuDsY-1>hm^|w zN=;2IN@(^7Gcsz)t9dH4R!ItgFM+fqDbJ;@SN)B@>}dj$GkQ+{k70dw?ykT{Wu|3ir1ZP3h>SS4PPPvC2fB^lgC3?PQci?%V7QxVs(ggvmzi*B;&XB)V%y|MI zDJ+fqjqsU3_w|j>0V8pUGqrc-hH>$M^Ra`!4PHq>g@}o0V0SV+-BwI<0<2*PTzU2T zYuIB=vpJCoYlvx$|K9Qak7Q>vk>)HJTWg|NVAP${{xHAHufQQq#ThUo%$9l16yRgdiO=x_8f2c^%OqiwZ9rrMm;Jb(R67IKD6F zfZ+J-mh9TPuY!j`1JY8LCQZ3&J~?>3QZ-~e^i{+A_T?5o^c8w7JX)?RY|7hgqAbKW z*i|NuZM-_H{#n1PTTL;nba)NwFz(X*zirb0Pds+q8Svv?NUOM-35X-KTw0xK7{@q~OAUxH3Fo7H0Gg ziX0)kqvry3f49(ov$d~R$;;^8SV@QXlmjftgBg9sYaPtP{P??5Rug^WNosIcJ5<#> z4+60}2n|=Vcl9X}3V~LK7u)1r`7@Ab@f|~M`LXPjRr2}Of^L!=MZmemv`Gwzst8XX zX!LQqdyJtfuMD1Y(KB|Do_q()dwAo`%p1XC>reCz&jZ6KP6i`r!%%q!KyzS)rQDl0 zeCfqs(#QuIo#zr9pRy7%(jrRD?QOVUO&!zyReU<>YzqagYDNq9px0CF2~YdMfN1tl z9(|>X<~h3fwz03)6=!C*BVCgHX?=?JuHO3m{+SnZnb&S^0N0}O4@MyUfZuJNJ{@2; zq1D7+B8#s1Sm$fch_n7Amr4_y3+VtNf4 zhdyj|K`h%?1135J-ZIG1)v#k3f`y8Y6AO~Q@yS=iK2K|!!9jV_9YNY&5<}lC2%^MxxUQawAQ*A>n_r+hh#!4pi2xLLor;^O(qt z`MLKe{5QDT6D7x{q;%;VFc9xdaPdg7)GDlih8yDt18LR#zrce?ohQBRMH9o7m1SD? zt9^f&Z^jgUIB`*TXr$ub;#BOO0+INh?rRI&?WOXk`PIW>w)AzU%BjkqmRI5F?CmMD z>#C^D!w;`)xURr{`75snyz6in&Iv)#hR`xJC+gTAIAqFyFW*nqWme{FaV{|%{3WvO z#$JnK4cba^vF9+b8gx3fX%JX7&3LUXfvjwS^bSM;z;4zqE($i?9%B;(&RuM436I-m zLQMU1ep=YA5+D1>7~ruw`Wt!`Z~tAxiJL(Gp}@P_lt~7)R^n__yRa1=jTCnE$(fu?}T?EFk#U&V5R*VEeC#=BA423A#ASokx;D#;HinV1 zTh25_;;WtVy;`Q$?EUoA(6DVG@WTo)f~+VI;`#kUVFe3&#!I>up2rZM9DY_&6RBcB6&J*R}CtrJswh z)*Jt1B%6?YUK?(mcgWT~4L#|qTg?t!^b0p$f@e9ouxLB))|xx3x(YBbi-|JHM$N>Y zXRpUiXe-k>2DQm1c}mL$g1YfMf-3M8YZyJv6#9%9Rnuk;Z$rSfU>1otFnfJa={myJ z^`Ew;gXF8%nJmxx{f6Q47tF`#V-0{M4ftMSr_IoZfonOA*vI%ldt-(*zdyD22xCvrNT= zQVhSt#}Po0)`PkYg-fEYY%$yx5~b`o=LDa`Je0

FfzYb=sDrBy~Id zY13AXtlmWhJT$CoP9Xnf^smyK*8P^xWq@CuFF}a0;~Pc#8(hhl6ysr(ZiPlViUENQ zs5;!1e$_a#8~nsk0sp>zBJTGm(d?W_d0>MzFA>=Zl6|6&S?TN}?{tUgrn)P$?yU7c zIOgh9*vk^-#>Tqc_2NsnjPsiQX^Pvq0-PS+V?dl|{?QQAMF4W<#U9#uZDy?5YMxhp z)C0X5vz|?Q>&m0omF3Ua%vm{ zxs0#32q9@0^1R)XWClxoSvY01LVa^AJHrb2w^o9~6990<8VNatO06zeUe?B^qvPcC z{EY{_LutP1^zn3+s5jeJEH|4IwX-1-FY|oqz5JSu_?tNTQ+t#T z=!3P%RVTPe;CrhUZ829JBTe_`@p?9=zW#pN<)+drEo*;7%}}fVUXmotHp4LJ_^0-l zfYcJeqD$f#*0Tz77qcL&5H`_)wf676QNh`fM{LoA=K(t-kTCmA*6xImU&)%lheY#@ z!UvnqYebK`_NU!|P8eV|r*oqXr!U^)-~xKw@bVUp@u#ltB5UKv59M^xjgh8690tqt zoo6un;n^0P9oF3e0JkzhLEC%cS`)&lY2kxaw+y#`I2o-dJw9uY7_)fBfud+sFv|1|@t>+M zd}*`o7SM>6Cr6I{Db>ci+21}I%$dq94y|%=}IRYVzl2Pyx@5DaLD}O(O zR4GV12Zez)8{1Sqi|sf5GV;qwc6+3Hp|q_e3gPkoSxodDEq2$jn@aIc!q5J3m*0({ zq}Q>2|4{yp<2~7C*II)Un<<(=I>t1{Uc;&blmSd@+k=rB5ZrWJP3NX_f-&Eheuzyh z)Jmv)Op@MR*~(3fAap-x6lg!tRxKYgJ0tMW;_U__O-70T3X$*h=M8CV%lI)+dZtS> z^|cMqh~IFZOX3-@1`@?+VYf9EEUP9xXgcmM_YTZ58BX&lQ+40JxabNaLh;yCIjK0>F6)AuOzN8e^ zFVgdW<`e(*IsU)o``t5YX85TTb}A9S)3{AS=GxEAdIp=OjxCZ-`OVFFY+pxuzFj=1 z(3dBHej+O$ZP`P)0cHwcW3Mx07-yO=C8gmu?5^ZroA@e4LF1aH*yGhfzi@mpxp{p4 zH{6L~@9Ns!9SAL9}3*>N~+%Z-SCEF+qtfZSj@)V@dALcKHfSx#d z*9g%p8DTK1QI{wSg6V||;`OGtCDWJw-FHcR_kqA2xkw_|PQL zZLI{gD2CVhIOSiTzWf-Q0VsPHrZv+zVpzJP(gVs!XbSTMhS3A9(MI(kVk)7DZJ`I)+jSQj7oAs4% zbP`E4(&f>yv+ok{dFUE#of0$Y;g09+W({$29busU9`Mi!AXF&@YmLfS-ymP1jP}k-Vz4eYYC;7FKL$pM$)Q6+3wqg(kUbLr}5(QB=R`X3~oBc!+ZcXF%}@_Jhe z`{q)!QQXhKp7R2y@_gzB$=s2^yyfwo-3K6PM)JS=a(y1x!;ZTV)De~*Ywarco%gZa zHxYZy)-P@YOQ-==z6|im$D!`H(&jDA%q>tjRsvEqpr7vSUCi-+$m*7~gcxTptSlFT z1`0s)TL*WqE-b91S`vHbdWpak*c-+oK>i->;dw;#z*8zXM;%7Jwn#17jd9H%<}V(u zZVVgpDE2;ODtSK-k2&;MHZJvXEdbzn3s0Ns!eg&{bJrJ93aikBcVI;zEs#fN9oL;H zg&|^)$SqIg1-(deCVpA7;PX#uyH5uZJXHgL1AdnWLxS;*<;=+0aynR%EgzU)lCTx&#Hd|kv~A4XoV%I6}TQ}+;u7GS*xov$!Zp z$4ahkVXtFsp#aQ$u`3fTre?IbjK+vTT9EbVSXf}fqZCu6L$wRm_G7I@?Yg12g(FHz zTFrn@8_u3cp(p~FL^R%HTz9C9=LqG9^*;Zy0z=-shbVEX#`|SpoFwX|#@Zc{9Ikwb z`$MXdwT=RkAtN@mFSw37pEKg622w#$k@CnecbZLL*J`+RON2>dbxZcF+iL-JdE~6Q z%zGPk`i1mIB)=HjT0q^ltDlEt4{#TSZE?F!NsAPk8P!|0C)i5z)jVaEKMmmY9&7V2Vi|^YOq4vQssA}t3Aa|Au`Ykw=>7=$CR!j&Od7f} zXWsC}y|UI4B{POlFgTi*H1^c*-+d>Fv4E|ENaz+P;yt$C1m;wbN>@_jbipRn#d_9N z?N`o*@e)WJw-IUzY~>lmRj?heJb6%}yu{r2gr?RDR|_IxE@du5-<`X|eZ@AzUmbqW zT2GcpbHvOG@JPiT7B4(eUbBkQHCNPS=RK@X9(r4mG+q?V`$FbU_p>uf{ z7YI;ssx%ifz%t8DK@qm~Ee#dJ%q@u_5P7r0*)zI2{g>htBt{@#*ZkE<1N(xA_u+m^ zb?|g}n&~1~!3opFz6L0j)uwb?V&YLl+ctu(DN`A^F|Yw2z-|#US}EE$J*q{bHUpbh zYlyk0cVMAc-{C*2bUVx(YXhsu9U*&aT7IpKI=d{i_wT+kJ7}pU82b8ZQQG4gmAhZG zEW)hdk+$O$f+)O!?M|l@ya6i{jh0Xj{ zv!1^_D;@5vp$>N@Y_<8!!QyZJI!Ke~i_|-8Xf1-^oMnpWn6k1dIhc#RjfO3S7`*)` zy8NoA_OIe{dq>!6qeAed3I5&AoQnfN8wOVrJC-^V&cU8_-%d?6^8z{uSe4CnPP>^j z@1gOm!ze|$IlX}6IV($ctFIU;L@BO35A^+%(rkO7lYIDn0x>Tf^yToMJ0x$g3|NKE z{an#7*7t*$=YOk1Mo`cRfN}N_PhV4AqNVoY>R&r@W`GTBvSOfq> z!4v}0>;BD!KocI1$NtJab0BfES@ys5@87Yl0WKX*;C3xyyU+F|sB<8;^@E`ud9bgo z>7=Q|syo9gHXj@A++Imm;l>BTf2;naX(ILv@`hI`-li3}cUxp4tHBp%2p-mj`Qclyr?m9!S?j1TVu-ArXz&^cdzYMKuZ;X7$c8Y$AoBeL` zlzUg{Qtp|f$#qw=XNtG2CTZ@TF2hT{h%-S?Mui6xL>(-yFt04kA29#Xrx@4!d0zOM zuJm}9zTKx@!$-ztQF~$raG>?(Q;>!x8?spu0J`-ACXju?OdRP1h%bUfTr&BUO)rx= zgokzVEHw*nz1erfv*zf2K+7gdGb&ziDQGev*XoqJa7Pz1CheN|LD_iFB5JRZk1je$ z($zU`J-4timX7Ur2MkGwc+op7{oF;OvLgp&H5$5CfPhBMq#VYOuf1H$i;Ou^LK943 z(agBVnl9d*7Rf2}2lmmYrnC4YS%juvj>@{h9m%Bd9g-oR8s5>^w-6y-K} z`!gWMy2}jtJy@2QLG~5rR!Cs=$-^_vgT_1iTOP{BNV(<3!`>kOM*Y-vEdDxET=ec) z_xFwi(uu}t0>^iAWV?^~HQcu#Uag&`S|zqg9ofr%d$wnejf2)Pt|c&uznSzB1kZ!j zA5{)-qa$a6r$nBNGw~rp?NTTg%O`J6d9d91JPF`s6Q95<5B>Dm$=s;&+UT7=z6cF4#TYc04e{6altarS~ zNK_|&=lB)YyZo*66Wy+VwHYVfVij0V=J7g81?+x@y8k(!EuM|q-h%JB0fVK^1_3|U z%lk)NO{0mN4)~vi@Mr(-V+>A!R|LD1gb4%cyLhG&eVty;6w3^)VvwKw0LnM5>eU3` z6g2(VGNukP)H$jBEdvR;;-2*P#+LYr#4B$iJ+DH<$H2_%Scy#|cKI?^x1(T+b6|LT z2R>R@(+D$)eUR>5-kJ~2v)mvTqTg88DRwg>_DwtAxmyw*0^>yV&7~{=I*oi$RA^V$ zuh14wluPmQjz-;@2M;z@gFG3FCGTF-!Nk4kP1*HI=`xJ@Gn#7O5yf3RO2_5oBd407 zxP0%U_~BmLs^+0BdD!$4`X-N5XqrDc;FU7h6$1W6&YqOA&-){(F#V*+)Sc(2!^)uY z;6u7yrAU0O#?(Omm6%jD05N1A@f~9$tUs!dIj6!ASxE*oR2SX-b`-wD>6JFjlRd{5hIH z7(SW5noG-ubKBW^nW9J*kS2%D71Zo5PiQ;6!v#yPwSR;O#r`vxKMM7fZvUv;>3ju| zbqDpPC4x1_#&&}<&aG5(WbBgvR$J{K1^IaUDEROReOyEOeVj46SbZ^iZaDR}(f4n5 z8*0|{=WurFToUdfAz&`Os}E4WSZ;xS1;jP{b)gT_$qtA>|HdiUx!T%`_cmJEhQhR_ zoe~%%eueIbu@<(+e z-s=+bt}@r6za0retQ`3vB&SwvZDrPPMkC+thHwNJNS&$z47fl0S>3Siq;p`NlL=|S zyU1fIl9pOiQ+VE2ggSHkM6iCugT8yt)+Hy3^C!5Ah4jnW84M98N0dc!TW_ml9owc! zK~SUcW&|Yr7fe9<$K|RjJ#zbnF7$;6=?bH*F|AB%b~yvcl5DfNbxg5==>f$RZE}1Z z5RKU%I?$S_XH&LLuAWA(R}<}}QmkRmoP!j9y?-o;kG(5g`ltvaX}!w3#a(9&;ORr$ z7)~T7H;|EVb2ZS39A8|*@xv<85Jx`zoN|q?I6L;^b?>ix`=tC8ltAXCSiv#dqHx=A z|8RJ?|8feFg4@lXOKWZsl>E#94^7lgp?eV0G0Ee<7{@+j%?H`L+D*Q7%lx!hW4$Qo z&RAzk5#|!Vk8v{!rcR?+b2R;D6Wn-(b(aYtE7sObR;h+Yr7m5PADrhbu6yPa3C(D> z2As9t&9M`)8QJat19F`^I981XVdB@jyZY*8?>ALv{ZuIQzQ(jL8d|?jaLf>og$` zZpA#V)wGCulypZvIGK9Mn046F4A?JbeU2B*z@AuqUGVAt3=S4WKP?An?c79FPp=_q z(0pJugUGbzq?*)sziG=cLdoCmbw|+KvJ_0j1Na_2fS?8V8YrB7 zALcc+WC3@|cwRI5c0@oaucp=nb@W5+x?hr-@16LSJLDyJrf3LT2@h6O_+cuG!4L3! zy}`!phv^4n0XscG%fG?@?sKdgE^{Ny3t`5Uie5;K84<3xrFx8|IuqdBMLbcrjRVVH z5eyJ@7zV5Vwml2V&>Q~{X8q~S1yHBilCFSjt(?NEzJl~!OQSMpYL@q+(&CrWvX2W@5_nLPB$4y z(r$k-XDN7+ZU7c}=)>HCA?yvTYIW5j&AK{mojdel_hM9aR;|Xe&u50a!krKM9MdBA zB_EM%E5|ZrvDY@|e*Y!&8cLv?D4M2VBra+ZqXx3Wyg{}o&dN7sxa5XetrpAH)xWVe zidN0deee3%*G7^v2D}IXz-fp&YX|h-F%0U-IBXvQpW;JSq(ye-xG2UkKtBC6t5sji zsi}}@j4RSY)#g_6m7)3zB~?V4SwHGGaO%Zy{9QhHYGyy-_J16ortWTP+vFC1MeGCz znE!Pwcd2+mx(LzUeMJ*HbT~aVT%2@@n*$VlM3z`ObYt)N8o7bNtaezs5;haEmbPw}UI8TBJ#}vos17C|)o^*4)k!^d|iJ()rmQ zhR}3UXtj4(%jWFJc2P?SNDIv?B8wLGvbmN}2g|EohG>Ee$EVGK zeHci5vdE4GWs?ZO%%o*JRDCTDIFa5WJm##Q?Y!!9_xQW7cxB(UxAWz>{{ym3`_EWn zA&U;?o@xdP3iOp{m!k52+y(xs{NM2%B4ZsFFmRJ|7RmzTDNb7r2x_K@o(!|PHM9;= zP$XzEV3A2aN{S#C^W-}<`#GYY-Y{?ftn;A%oE_{cY0DN2P5asD|F%#_ytCd}AlW#n z+!PZ>@W1u&h?Je)fHXVsomVJ(F#*JL1Sk*(`|(bXSnmh`!YNaG9q)|O7=EuY-pp`x zPuYlzR$raa4)0xa$VxA#mXB;_XS(%WyY^6FQH;;Qswnf4qC*owX1`W+nxLplVMp{V z?*T^Bd`Nvj2_P@&V3s$_l{wXz1)Eox1nSBFS+4h`t8eL;WeWI{#@w_=x4Q=hmF!WOtpfk(4nn58CZ{IK7sap1+NB2+JIWSmdmMblqjIh$`4Kv`h}Y!JS~9 znLT2(#>w%GNxIzj^3{vX76;CmIG01WkG_NnF~CqH zeVyukmKu&o=zqq$#o2+fPq)S@;k{7m11d|}(Y3>cHgRhb7m?OKmYeRK~okN7GSg{czBYYL>KJ_q4_jrPT^EO`u?Q0KpS-@A@6Vkj+&76aTsdmtQL z1mnFn*Od(z338nNiQe5n9JlBiJC2vb;JDXcFY>fdW6k7gYTmn7arZ)LfNJoIo6dLD zM<`dhd!?MHUU+&SIBndU{0&oR#x_fvWm8io9lqJwduRq=mKENgJgD3O=6gfNz0gtNH zHQ7rL<~Bnihf*9&4G{NUKD_&;+>lGFFK?1B0d#;w+>RnvSWGSEl~y|x*eR14{(GAkX6^EZE+L=PR2r3 zNpNkuSv%UH3$=x6=CpmIKEn5(1h_2omhXH-I}LEeIHnkmSsok_h@zV(8@ReUAAQGd z5q)vIc4Q%Fp1|JOQTg_*TQph6_~H-IOb-ao`a2TH^8m+lW%df3=ZP_dV6`~z?1R)= z5{i!eGdl{PQ|!Fm<^$a(giW>(B1xAHFIE?qTbut!>Bl)?*kTND7M<-z3Mt_D_rTM& z-P3G37pS(-N5QT6Knwf9ki@832({Qj=z3vY!e@0;Ojb@WyGOi=-R=bvMLO4du72y-*z)0r? zSaA#RTwM}15`+^TM+}JJgKG*}ayU^o6}z<5*_^|1{Y3e>Nhrk4UiuBoX5mzYZ@84G zc&^;}Y>{&TgV_Uul)Yu+g{%ah*G+RD1WXOA;gIZ_|z-8G?p z+&t!O*UF}WYg+K15?=-p7+KK7ZpbisS^SJBykRP5?!(vRJB&Rl{=y2MrTy3GWtzVl zkjz$J6mT|4E4h6kM&Q_=bGap1(C}Lv!0qE~-;9wh%XBAgtE~Wzp;}|*j<2U!41+pq z8kYiXZL4Y`OJf9sD=1{pgSbmWRo4xih#VnKSb4ZjxE&{?JKJUAr|v-U5-JD|9r2PL zd7P?P#%M4H6*M-x%1O_gl_2jA26UKbBLLp2SsGYfO-W}G(>igNwVl4$g6$22qFdfZ z**TmWpSnQKeyG}nDV|>I4eJ{ zJS!kW#BYwp+ha`(XtI`7sR&H&%Fq;uJB4nXlbrg-sGsZP~w zj^AjRY3uUYu)n*957aNl!7VF&t?gdN0;|8tpLdvbB#Ke4VK>7W>DCX7pxI=j1~>QO zG~`LrY;6oKOpY-OSxu3$G|o4=X(#{cos#s`)Cc5$_lbv&&#_aGoRJdF_-ROQuuZZA zi$NIF8voFcTBDxv5Vv&xBBG=*swDc{&7`Y~SpQxFdoes#ws|d=2j`(N_u2j0B8+lQ z78!%L8AN*ogrs>_kFgKbT`BXZz=u6KEE>SWk?x10B>kIA( z_aoaq6POZW7y~kxerj(pCCO#{eIRAHzW{IHIX-06F86Kv*s|2|z9EtT`r#SNd)D8F z{)Np`-Ggn0bssz;n&L{jedpgr-S|zB=J3pVh|(+JA>20Z))-htA){Lq&VCLU zK9siO!<{P{$8sWuaGgsg{JWs`k?1dK_dwQ@o%E)M6F;x6@ihv9j=Hr$lxS|Bh5z)# zAMZMkKi6{tzkc<~hVSu%poJ@0|8#Ywd``Gj*s**h0npC6gUZ47+dEPVc#mNiDr<dqN%)QQCS^gE)c{Q}*+eD%E%U1|bCx`~S0&jc_Mqj?oz?o6z1lMgmpr03tl4L;w*bm<|IADxl24zew?DDxor}nOJ$pZAu}1t( z+PvHTE%PJF>F>&3oIkHRKNT_cNGamI?k=A#VB$xMGcp7d2(R%R9bYoWg_UbLBtC&2 zAOBwK>t4nW!$2qByKO-tEreYs*7%Zc3D;x+)5iTjgNZ#ZJ!R);rLV1y1z9F8v^m_3 zjXon-)uDK?_r2FBvs^Md`fD6|JxG(G$=u1l#>NuXLNl0RT1!c{0f)vc(BsB&OPF)P z$}>-u3<%7_`-jGmW?u6G!u3e(hBw9g=fC?tP90nNNVSbHOUycg`PzA}`GH@j7W4Xj zC#lG6fnzQt9aOEp8!&LMF|a=qYiA`%YFpcoig6x zPnsz*SCk%>{HOVOYi{J$shWd+HGlkRTiD;ywA`N+MYNJvXs2VeAI8T%bzzPBT#aOo zwThl-sV4<0YX7)Cm{73t?>={b4Q>SY4_k&wSeeSi;x+(Rk14*wVvpT;%C#zyX+3({ zKW&#=Dq(qV9o-aua?jrqh8jxSnyz(KXv5vKl(X!q8B$lZK0Q$`GK-Dwbu*NB>nWjY znbdl9pTcCpC{;aM@IaSlKb*h|tcP z^6MlnNIG&1>+9y~BBZPsly@s2$_M)?s_OTO-(vj7<=;FX!5~Ase#iP4D*-9z-u`iI+kf@=LeboD zoYPeSk$!m`LwAIf$#VH21lgXWu32ya(otsd*c@w*wRd+gw1J^SGozjDGxc{86is4a zZ`^Vf3{O2qK)8+3-ktyFfM(&j*B|0+W{_YYVfya=>Ulg#BecGnpr@g$>(}<$dfru6 z`Fz{_eMh59fA(5*mp_;4p5G^6oYJ?08PTW$ZZ%@2`i*C6$AgF4eZ!Ohsg~01v8~G= z8^)YRoTwH<3-!oY4a~f<$(3D-lAV_BnIZfiRnrXKp`!+;pKk4bey#0Lzu+R!Et8;} zaB4*+8Zzc^DeNxh*lxxVN^I0?&Gxg<#n-VsfA`f^Q*I?Yl|s)0q^p>d>hh-Wz9~kI zdTT`PoSm@*1cN>a6ROoGsf3!Ha=u%v<0tP$`L6S06-_YlY-b_brGH5>TEOaw4A0k=r!|)e=2WW>?7ps`c3rEY31G#D*SO!< znNC)t*t?u?NByVlaIzq@#}}!CIHnf!9g>%*YNS~*`n}gf&p;j!u%{m!hf@M15%sn5hAgBx8!=X+YrEDm13 z`?HOSRRO>z*{y!8&ita=zKdZptW{IMl_&o;pbFFRd@7R5kCJZd4s${rcqVp$ta&Kg zj>K#^$nz8H7xiMv5Szb}NEj?F+;=dvC4{5N!In%;O@Hn3W=wYtH&dSDZw#}?8k>?_ z>NWigi@HT+$HiuQO9~00G!B(c1};N{uqLv$<}}2p1}sK89V1gc@=M)O)imWE;LyBv zGVtZFfRa*>nN;_+3)W+|?pl_XxGt{uQTpaM=3?vweV>GZDKNn&bcnGogMhB5Cr_{1 zYG+50WU@Nb=2Al0HpBTF}yCe5iFb92e)Mnq=Hod-J*cd zWDrQOe|Nes_<2T%Z;^w8u4$0slQ0vU@}*_|D#XJQW=!7juj(;Z|b-t3n3@b2y&Z>&v@rhvg5mQ-C5M-tvT?uY4(zx<*pA zCVFOjb7^MXa9N%+VlIZ+ z?;1e3l3O4bjRp3;GV-DY^xR)y|sWk|x^ zk%K$BJYDMqFr%E&iqFOTLTP#K5|gniTOjvaBb!(N;pKf{e!yTzw~dhzc4WeSxXcUp zqb#3q;%Xi&BPL1l-RtN(y>CfCGAP&F!>J*28kq*8=zO*e;4aYonL$(l>Zm|-yXm<( zD(Xmrf9`;iyw2u`iKrCLcR2^+cu;N&5WjXepaTMVNBbH9zr38I z*+8$)!&cCjZ^&_pjfoE;d(ZN&yPM|JXKUr#y^YTsm6xkH(F~~A@cgjGRaF(6z@zpQ zA$PJ76S`%(q*IMh$MjH2$mP^;gFWh-&mP(r#?8OHE;xTrfqH&3|2xTs$i~5Ob>OsC zA1=j1T?^k6VV7Xdmiuz8hF|c|S>NJ&gLek}uf#}(tC(EqlH6BOr7G?UbR3egX}l-g z&)iw=Oon+>U$7G1wl~~xEVP0y60BqJnx=kT{riN5ioIGAO=QvLcW>Wt6KP!LyUXU# zqw-!bJ9!o{7BJmD{_j2+G>`)SyH5|3(F>4n#)*Nsq?O+sK>W%44TcXa3!0HAMdE$bie26_$=b z4PU(cen0d@{v7Y?{MV*e=!x&~sr#)ZQJkKz3tcRxJ&;WBCvm&z#Ot@+^H%h&Mo~7j z`H9l4)o5}+1IV?m0jDL1aeq@Wqh@)pOw`(-_(Ua2i5D=%nP#E-z=T$F*4E#S{sd=| z$x)n7yE09BJ1zO*fj&1F!eVCMg)Zf{5mj3s%F{zLfOg<@#wu7NL7VrO<4@z`*#WYd znkk`kWQ^bWLX!dvR3~H2W_It9(M!gi4afbbV)#kw)8g;n9?P<@9TbHDuNTkKBw)4F zQ9Uz?U6%Mq!{thwwG)SYYjQmW9m2`Djq=#17~Mm=;o(Yyor zG^VveE9<%Q0P8=rp=fm$$*mdErTOL?FCVXL0c7zGB?KbnVx#FVwHD)EB%@5EPTW)M z1Md2f#sK#LZIN)(C7vs*4lmXVPYWJi=7+yWANRU;@1%rnU-6eayfXWoN<(Knv#lT3aB4q7sN1hu)3UXk5Tn&WU7^Gk0G?1)Lt3!Hosr;cT155GCfi{j1=ad()uEU$`5 zQ)geqLBzlNe$>wsJVbwuOCm6r1_b+$B_@vkNx5uHVG13ivbDfcmg`leLENOZUFqXj@)PKJF) zMN|N75pGoWRw3I#JUn<{qku7!3eDPHisztLd_ZAKK)Hp%_lU!<$w zPAQnfYVu9gRbGPxB@V;yan=XI1BL^{I9+78;TXuz2}G)D3T zNAA{ak&S|+OZ#P~L#z{(GHlxn31IKU)UfUm08YNd9_!btL+!BTD5%%-c_X=|It3Eo z7@KRLb?i;irPm7Z^ih!ide0E-Mzv%+)7ls;^WUA;>e%k<++Drv{flnB3Ow)O+L? z?!p?5D!D1=Cvi75=!qpoyKK8TqqBrdsbNQ@P~<{e7ELw#pbsN~ms?e2=FVtRA3^^h zaWd|WJtcHpd^vR-g%)tUdNBOht)invm)7@SQ(ET4cy?LNFMJU{c7?-<*nI?G+#&BdM$}m!R%aA&{T^~h0cZ80wo!Uv1N(Ur8l(Oq zx^3%GGtm`?rBCIlMHqw`wU2jhVD71%v>iZ<4?^w209@@Nj zBl^G*Rf=BMs>==-keM=Wu5PFR=%$%Y?32@uOE%%q`rAy$8y5@g9PS39P8$}R+rWaR ziBoDhYBH)Ddx7O$%39l<^6~brV&5CU&6%#pA$w&NnUQ|{F3)95FV?-r*pA)|oke^A z3OMBze0Df@8W`jN#nM&9<^ekRrYFIBC%GDCrjEevXq-dLKRxHvIM*p{V;fKEd2!vU zUSMz){5>vK%-!SJb1cG$FZ5idUq3W7`7J%=)rQMafgj>AC=2oXuW1&;7jFuD`CRfX zyspd>p6p~jhSsySZ3h06#}naAWaPG!8vyq2y8jwH4E5{7RT>F;I=dK;|M(Pl3o4p% zieAn8y7K$UYuV?W`d6Od&Ifzt{lT1i@LA?^isZ4~1k!-tw}1^P;;CP#G$z|Lk4qkw zVgnaXGXXxtNVz@d&8n5DCre&QYuTpJYiGHAm$m$HCC0O_h`Bop^PJ!{kUnEF}R!AYPsv?G+9%5(An`rxTh!`vJUKhJm7 zVOXuj){1CHd1HGz*_@I?~R!eEubVDu?HkHQIx^?S(gqr91 z%ELxiL>_V{=VRLCZs>8lR-Nb40jxco`)%y|1Q+O|X|0A9NQ zegEQ&WH<0gT1{mJ^uGr!0oN(pgnn&H__V{Uc>KZ$#qoi|q0~oy3B-%H5Ds;J1(8@i zJ>Rvr?uy2S7h5!}jvF3X2B;x(4h}^FV|#!^EY}XDm8lN0qbPUNfU8*=?0r>S~%Q8)vc|#Ev4p% z>`I|_;{lQEJI>L3QZpgEU0vrm2AyVlU4P@zpl8KYWHlH9e2B?(tz+2 z6>vGC{BD2S68^Y&+Qd1g7gq65P|#_tU3lH7=$(;;A#P62QZ3I6d)00!@#JaE-UNT| zAaC+}T^IeDyeh+QT^-xhN7*oD#`dg#(fA@w0oW_JyB&bxeblA=fe~ea6ZGDuTEC4q z(U+}<@NUHw{~z`DyKkPmeCbRv+8P+fMwh2tV_z=FK;?TTJ3x*61$N&isdqF7J~5vB z;C3iDsnRAhHPTR{8W<<`5tAs%0GGRte)OFpRv*y1WVQC6K5C8GIt`+;kK)Ncl>#Zq=KcNRXIFne#oT0{K+$RooxX3fFz6%p(PXfk`WAN?1Dx`U@vH1N3udF2 zd6Ybb;LE&JFWk75UEYm5tdde?|2NAF6D^BKfs(1=gAcg%+?-*GeDe#Aw<~9TSKsU! zCh9)hsgRK&PrKrX6l?_qI)d@%W~OIuU$XpWSmyHL!izhv3l&YtDreOI@hTKe)MKo< z9jkpN7FiC5t_D-^0c&Ou7y|uQyI)5HY-dk@38^ovj-NdcBfPrbBQ-B(g*y#3(vRTi z@a1r>qjSSi)0hx5Zi4;9?Lh^U8mh$ezAA7{D0uYgAP2J|9*yXJ%J$*@6!mBOH0|o%<-91G^B7#QIi5RbRJ@!t+2-o) z{WQiqWmqS1Y|LzUe4U%5pG+_>Qv_LL^_vRyux=9U9`e~ zQW)i{U=xe-7TBDRJJ5CtVNfn=5aW$l1PFC4Tryj~f%Ae495^>c!U_r*8pZi5&~ZT0 zkKW*Aq1JS~da=sRr+V_Q-P1SbKd-51Q-%FHHyRJdkpV5ta*irP?CNss?I^aG*^ojg zWmgU^?>XLBQ@zuOo{dz~6m%WdTW&B}tRu{7=6~s~Ja1zCS-iG-BN~wNmXxSXc!(NU zbK5g&Vr!5YL^TBPYSs3>*1%{_VD<&4cFviAhy~m2ZPKxy6`t6?>@hi`QN1Y-SK>W{ zt+aZ&a~D-H@-)`UPB4+4Hm=}2Z2GIYQnAp_?S&*IvEM!QmEX5!iz>gE^T{f4WLcg) z2g!CQ*QVjM1t38H{9Czy#(KIA5AjiH}R6{}nJS-PG#iAtkKY5Vc z$I_@y!uC?QA8N99tcI{maiwZA<+B<{QE%2b>CU4vH5-m&* z8YG2#n>+URTPO_r)Cs{_U+iq*+|3Pt;4znx$dRE!4|ohA2(MF$5h-&ZgWXOQyr^;F#smt-|oYI@~YyV*y`v7jhBMvW&~EjRf6f>f<`g zW;6d|aRtx5413&r(;?TB_MP!i8k$Mf1K*D53x|&` z3@d~#lV%*}9F~VA<_yV!u4>QMFO_bz_pYC^Xi68TDJ+JE+we^1Fgrj?A?-qVSTCU< zt`vrO$@^+XuM@=Oi)QYcj@I$3AO-@31D{tmnjCH(x)a&ZCTw~)jx4i_N}*i$(k1#Y zk`e$7YFpz_}X)ADB+9hdD|PB#Ta4M{c}b4zEF5EN&s~V3u{{T8x=JxAwqss#YoD9{7i;ew)YKY=`?BqdZbUbrAfVEu3sR&D zN)sV~)KDTKB}9lw4JFx%pn!nX&?CJBi1ZeSh)C}cq(+2<5&=mFm>A++`<}Vyo_qf| zGk50xCo?LuzV)rIyzle;9y`ZN5^deSr_M|{EJurhpXT|@O|IQ#3N!CTbWnKYG0gr$ z#E*#H)dZ=&kmvp7OdrR`Kx6^B<;6E>;FnWt)9GhkY#e|0Gr`25x>_e`AOn@*YDw3j zw3czgOw|&?5h2*&RrIt<%ib5F>Um^GdEeMw;i+mZe9EOKdDY|dX_jTDeyKHl{*P>& z#B^dNRB+sV)KT*&d1C z9UsLm)!utyDGLKx(?HpJ@)7A|7l8pP6X!+I8{7XbFryl2H{mpxQ6VoLqj+DuLhp>B zpwY`%K^d-s#f{2;14PO*S8%!w#))rY4PhD*m z;0Jj4_hJj%pxnn-g*|lN`$Cg>ZXhkY-y?0j1yO4u>zOE7?GX-KKy7ADtFyjxp>Y9? zLn`=|okA-^7PKTjyi%+%`E~qGb@erT_bRAA0p&Ww2xRYI3~FcKaMh`?h7KpQ7+FQ7 zr43M#T01OoOy~Q8L~*pWT3&T`1Tsl}x3Lt2DnVMfAb_&X5DP_VM5FhT26c{F(}?k_ zI?8m@cvCcJYWd}9v6K(06JanIPucqDCD)@q{Kp&15}5%sJsM1(wnOoYmI6F-2O*-F z=&@6(dz};3I~HSGenoAsjaF11c7{z*f>2L?8C|%<1!(&om_gOf=tGjBu zLw*f324z(+!=Gs*=(YCD;;F`YVdMKb)-l9c-)~KIF;4U0Y2NRf8WZRAY=w!czau*3 ze4%K_hTgj{T%PDCD=9A!!5)rW1wJ}4;9HZwKQYbFQMbyNa1^1K!SCzK2;C72oxOcB zjHkAzu?)?;MJuC44dffK7iobcjF(*}d&&HMw+%hsWrNp;Kg@88SP*bLmp8Mx$?M-u zv}(4AV=8u()f{v19NmC5g4IpnjpHL+ zaK!72A4Bu+eunMef9ng?%U_|5z=zv;hH*@1;_jjY!V@K1mX>i~ddR!v0Cv-$T=9drt`85qlk_qWu6_sN<|IYFVAI<$;yE zy<%tF%IpCYY#msnD{4g7{J-)bkbd}LZFI;Nd0^j3i-}(~H+Fy}@Q1hRNXr*{lM|LC zt%NerIaWS=+&4#2`-8L2I@Md3_QUl{C!w{*K#nUAB~5vIJoaDxBX<^j{*fD3eh-j{ zN3?b2VkI|mUK9I3Q5YH20i4zP=>bUV93CZ(?H{hzbK%AvZSBpIs^|Eu@|~(>t+Ge- zzz(03yysLmYAY-w=z46Pz)S5Q(-QUpzdWS$!drK_OuEC7%e6*cFO_Q_UeIPfbuOq( zepS+qN7}AJzZ0@ZbTl%Yj$Xx4LI62r;tPPEoJTe{HXR3HRE1h%YK41tqifD;x_8ML zS`K`mDfR_0E(WPk4|4%k1H@}T#LxS;Ueoj3Ec#tZ&_Q(+}i zu$qh1ngV*H-TyhxO{GODtr3(Eor4^IDHpoS)QpG|{j*-ixQ`;jE0pH!w3u^y)+=%M zOl@-4-_C+GcR~cc^YfT@-Ea3Kv-8RuBUN8Y&Wqjf$C$pDe-)`Z5QR>$77S1@j{do` zdUA~?zd`Z#R|IyXGmPFdlM<*nD6Kutd`;fb@cBRjRciNZ>4%dC9dFlnZOX}7e!2JS z&}g}j$8&#eR(EvTyQE}%qoLa>)#2v1{Ij0CJx13Z7!R+M8F~44DT27Zm${UV-WZI# zHLuF{^Gw{PozY5c{TXmUZVKj~6#qKWI5UnXl#0y3Jk$EHbU z!lZxffEtd*`=34;A2^SZU{kV=z(aO(5?$0}N@eT5js>D>@icG4HL=s1CW#extGXAK zeV}&roMQ%|v12}8p1zyTlPkJ$#s6YwgdP0Vd5=cn&!%%3iGxsa!pyW>rm~BAk9zJzBi000#SCa1O@E?{o0W$8jY8V zN{Cl73Af}GpjRR;^k#5j?mfVYKehe37QUS{`i|!x@0s(Y%*T4Uq8Olo{7!itXzz)* z(bm!e0SiBHY!Y#sIG3K@)O@aof))NW>)h)eAl>|j>t5V)u~;sAG}_Km#&Sz1ZC_}% zZ&T~xi6U+K>iGBmC*PG~#6)iAzPkd!r*W~K+zxGiq58R7w@mfU3xj*Wp$IOuAr^DC zYjW}#5jazc@CkkB68uuj3{vB)QZkErUYmaI>TOG)>>|sgJJe$6dPA;$ z6TpaRFtyC-Pk~LpVLYOUd^x5zQneC~_JdGo-Z>kK_FPJil&Dh9_`YhyXS9eKF+<+% z3Y4pE@O^0C7ByIlsCSGvr!Dr3O*RDQQ|7|4&50K()( z(RK64)OOK{PUoZ{aC7A1 zMU5D744CbAI_Rz#FMkg*Hu7)kkS4OZV%_>{cloS?v$0nM@p@=F83v18j?@2aRdPqx zCEcwP#vYr{?nkVKkBWh?2q_0(pXbSlXlAA};n;P}2p);DEI%u;Bujx{z%fi&2ph{W zOS|T*l72RdFH#h@$*~8V=Kxp=_J`|6gPn+3-SiIb>66+R+1TX?ey`;B_JwsrA2MQN z|9bJT(ck{L~C-rU!0JdG#)eVn4S^E`84W+?bT@QAo($@ag* z4=VfMq8R(ck@aD?xHsS1bn&_ymAFd6oplt$15k_=dlM+Rwloao9wZM55YW=(_7YIE z`3JN$ih4dz2EN11fAx2V(e{^*-sri^mey9m;S{r5W@T;vIHVi2XvextyQ4BlS#zUk zl!~5`9#CGuwq5e4q#?q@4@P2*Rmmj-$Y8{#ul0F;yVL>)T# z%<@04Y=L3VO=Z9E1<#l^Hnb2zCK?h#Y^LP*q|Fm^N@3Q+#~aC8^Pg*t1&2>3-oM57 z__!KUrhq*Qd>=ea58&7)>B`LR?Q2UcDvxv;*(hF5*^uQntwiu^p{G=s3nzKC4(;sT zjJ>|+37&|yIY~*P-1~_dQIT3R&e#)Yw|Cf$HOgDefX7x^+M_@@whb{Hm8NUW8H=Pz zBm_v96zWaDzgDN=fS`y z5=x}d)d_g>au=}nktJAy-0-u`C^M?_F_$F+SX^fX4McfOPNInBM2wSpq!E6*lpV1Rv%+@oci^EU5QTnL6C-yjJG^>nhLUis?Or~fqDqj~& zH+oGj4}?g$bi;jDOY7oBAJSG!=T6p}dR$xWd~Io$0&zAfe;fVqowk*YdD2=M5%Nwn zgA-0anH-p4M!!o}v}&PIV#ysRK^fos8JXFKnfG$RA#u0mB;MJ_n|@Z@9%Rc@6HzxA zkq-yKs-$;~N%B5(uYuaQTo7qUR2`8X(NpIpkBXDMqodN|*H9@vDI9$wjx zxg?^hD3_9z>S?lSZ@VM7g8}$?6cn?sTvbt$8GJBEN<-?8v)9PC<=>T%4~XxHOWU%u zuFA=FE;qynW0t9IwERT>8q&Ng*eDv=y5jd!zCUl?~8J^7| zF7dh})CyBgORL|aC9?0NzW7-D$Ts~Bm6Qht)W>_kH5mdJV&NHXbQ~GALB$PVZjBbi zOsZz8=qW;3hdn?U!~PSf>JvIw+)e!c=Dp;ykNt5qbT~zkVv)f7&E#Xkeao13!ncOn zq}z$|3Jv93*Xd8GXU~;)VnXEVB29nrCk`W6IrhQX)@hiO4ZIo=(@uI%Oe;Bl3gG-JDlAfIT}4&3IeNET%)Go1M|pPK z5@85CPtnDnK5>uB&)uch)51;L=o6b@iQ&Z8%t$X(NHt=@S2QM8b|b{<>(bmjB%K%k z(|O?96K&+xsmh9Lc6vN)fW@@bv0AR(PtE~VK{ng+Na!C8Eh?c5r$HTqiD|fkcuRd< zX6)m}reuW@Uh{{%@3lv-*uLE$i4s`va@6Ok%P|lzJJ;$!Vz8q+Hc2zgaNZsjTepLYe?!Ex+Nt9((Lk@>L(Z@4b9?qq_Hgf88ou4SwN4 zPVnjnTn}$&u;d*j7w{sr$(;GJPG`k2Wz0}zCvXBU(~B;2nv~z=6Su!b`|VNQU)hUA z!+LvqE*qVsJ$dGTXry$0`J$Mg=E~7W&^MDWE{gKFABvMK*%cEEY3*+_di3~bz3{a~ z-Fb7mU%D^lH#bbE<>`Qs7c2LIIPdUjL#1IsxwtU3HKm*3pqx`(-Sfxtv`e&~Ya#~x zZ*?m5UiEa7)wWD~%i~bIeBuvR$Y}nu#snT6RETsUajc;PdSZPSGqR#9$T$3bb6yo$ zk&haXhpZQ`ex`A8P9HQ|`q(GjRWN@t$>+(IWss%PbyRw0(YeCVJLl&|v=~iyFQ5N* zeh;9O{>4M?=;#)nKq<dpWNpldSiz@QW1qy)&mP>lKGru0=6!F*mMhPGfUYXu%t+kU?|j>lJLO+Jb?fKNU2#@XR_G?bFvMl| zKU~M(9l7@`>(ZMyBQ_?jvBHBlHdfBx=^e#Xq#&@gO+_t))F1|(?*)vL_n|ad?}kJj z!X2a=P#5PU(eOt9eB8G5g>T3$gXSGwnZIpIVZU!Rk9tz;sC$dJE{wWBtm5E<*j*8^ zo+D>_otmIQf#g#&$xMo1>pNSCy&D?3-g_=VA_To*$6o=Gc=~aU2&O zMbv~PCkyhI7u*hbR)cAkE!Y4lyR9iX^6!$8O}(tJseSeRZ4qhraM*>aD$OYL-w)2% zQ@j~iJtlyqMxa5o&T5Le)cq-`2?ld7vnX?eR2qmi@vW(`U48oom}-j%zN4jFC7Ze) z?0;#mv)Km}oaW{adRY#;AcSlVF*^KS6u+KO-7`~}f;|!LdUMy3>*%{{{kJVM06jK6 zX&+5W)3syQG|u=g;#(0S*zyIc;Ik>;TrmAahp95Q6pYN}D z@RDz@>#Y$!t>{+et2SUP?ARaWS9itC%YAfgdfy0PyXFp2-Im>Lm98I^HDJYBc?s#_V+gYvC7 zZHL%VbdNC+`Lb|TNl!uEC?U^P)fGWLCqUQV(#|2v5(ZJKo0hIfPo~q*0V-3lDN83| zSJ3wJb05WO-I5w=$a6Whai@}o(dR$De>gS%$a<4tuoHm?_E0pwi;Pq6|GT|}nW$s% zg)?`lxZZwJvBRVX`%e}o==u2`6Nja9S_NKXnw4%DtnV0oIR@rTg^! zEV9eV!8t!PZv7@Gpm5%Mt|K4k4+{{k-s4>qz)u!ANSw{}Ew8B?c+i4fcM$^A7nVT4 zFuP*y9+3JiFvu|>YR_QTb?-M%R;?s@($gkfH%(E98`+Mbi$go7-`xGA%ljeNE_piK zo2H&>oXbNwMeEGerp=+&Gw31HMTb|PA_7>=#8CPk6aJ;4My3a)gi>T+v|vCOO=lJ* z9;N8Sabi|P42OHD<-&8Uj(nk7z&z4ioDN+-EDi7OrhfkxI&R|O>PSgq_U_z#|FK|Yc(df;T`jqRU_@x)fE4Irb z|7lSJ=tD?(OQv2k@7aG@BY zEkpjj_aW{6moG-LGBOM&!hlc$oaV|ifVzeFvgXhkH!vVce;WJ@JVCX{m&MwfsYu*Y z8a#3%q1a0)QT~>O^5ZIL0Byw>t(s-xICl2aC>5S8IKCIlY??Gqkh{##k(|+QHPcas zRUDph;=a+rpMgmCI$>{W$+}(na20qTJhwS6n|kOOU1#=EB4@M@g+5B#z35Ea1H83X zatYcYM&V}jHzp_3uX2kPqJl0P1&1&U%0a;8eMA|79n20PubMGSN*Aa|BLD&Wj^qlL zy|)EG07V3v`Ny0|3x;rHjLf0O=UQRxQ)n9w%C2R%6Sbovfuxp7L?LMi%8a7?Ca8jY zPUJp4_i~{N{#4#EVY(OBC7-%dXhYKu;gZ2JqgT(tMv!joa$>`%iW3t#Pn#b;IfN!& zgqAWI6~K{8nZ87;pp(;oxcnp4dq08VUzGfVm7$>q6`8%h0eyfMA`_CWNx&4-0rgZk zNWw6LyMxiuF=hAin@_X3gx?U{L-6Cp$dEA7itzv9e{xnYfjqwq+@GjHFIqV3d=blW$G zaE|{7jYq{>#FviFFQOY26f8oORns$4S;`aPCs6Y4X=#SM256Xoh zGx@qhpYonDf9v8YnYce(1(9?=EP|Qm0l*oCy%_{4B=jpY%7V_H<@LVD3p)tMR=oVA z5#;E%{lZ*kHd{6@W=UKk+mtUav##p(eRc(a*)F07x4Sb-ihG%v@e< zX=yk-A$)y5v}%w1Av}EW*S1&6_bBVN>6VV#*F=? zlXg}&gvQAN>QL%B85mf2fViMAW2C6GvAEf}=-*hl*Tv^?AglYwmG~s4?*}O|zd*Hn zBbitP1*02@;@GLSHoV^ROMsO$m+-BsXDC*&{&t`CtYg*bAFIA1_d%r(aT(xCzg(j| z91bCNf~L0hlzpqU56Qxh|8M~y;lH!URG7KiB~>%f_`x$U)bqPR663k6v{Vb`?P?!Y z+@|?VMGr6y09OG3!>x&8a(k>%2Xk<8vm5*@P(DLTC_J{qg`dOy+b&~dwpuLEuG!?* zNxN_A!C|eo9)NHCa6vbyZf2YPTdjh;9x>{>*1mOp#Uff&7I$kNDqVO8-T9Vsg3c6EDPeknK>x{Lt_{_IWq=fPv|pFhWsT+N)V{dAmB zdYe)I)~BW@*lh12ZE_?T>CSOQD31R8s+KOAvsWH;t+YM%?1}qWLIWgt^pk?H8roXMfy_k#IZoT|9bLxWCWj2o5ZmR^uESK`f|#ED1igk0kd zJ(F!SW*J1?nF(9{{<+hW&O;f&t-?oLV0|1{cI$KmgCaWQa5cv$e~CiuHE;I4-Xor+ zsIc~YJSBy8MpdPvCeft-@kOu^>VjRU!>sYmlnp!23#_A@DYG2tcAGMM?iCYfIh@? zW|TD@m7`r-yx^_*x%)x3kd7bacAof!sEr3^RW?^yPZu(88l1rivWwaK(-HakzTtm$*+i$JxH49*zMR7PL;>s_0-)8!=*q47$#oxeH{%yP0H<4zacp(c$hA=l!$) z3XQ9?f1x;9?BQtto)f_=8WlVOoX7y&wQ()+qVtqC)7Pz<Tx34rnB}joFFEF9uzSTEHk#0YLR)DI=y#o#~Vm!&6qq<hY z=(d}0=UsL}YHdzRKUp-|NlZy$G@v=e_^$&%0j=S}SP)(p47;GiROzp3%6{nd40YF{4&n zwU>1g2wC#i+NbfYm0uTq4zKI;;fZohyWDqjSBy<3lTW!N*q3siaRQj5#x&BqhI2>G z*=cpa`$7N*!AE*&3K0+|&56Vd$4-eisMnhLDL5~kxHG2t!S2rc4<5GS>{&W0ml3Zw ztf$VD8fC4eHSCBDu7V`J(QV;QEL?bvkDv<&r@bH2bYNFQ>3&#mQo?(}dh*76Wo0T8 zOTT3S%%7QhT4(!OPW8!wxz`zjpc~A`%T{~myv#4mSLKE(-e3f^Tokd`JgaY~8l=os z3HTU?cZTv52Y_oz3Ezrk)B)P8D^V3dWER0faY3k!dz+KRFNdQNtZO$7+sQFE|f+ zZ43%8WW2G16^RM;AK~-J;u5{&-?R=d&kvl*Ii50u(F@Z4ul82ik%TQx_a{@>5SV>r9vYsO@N| zE6qcktu^ZJDbBrk*{`{!H^?FT(-CfI9jIW;8#fa}1@pJS7TgU)C8X`l-xo zRcx0%N0?u2x!M>Uyw(Edv*TEC{Fu##z@$G&!|xVRn^`D;tfZjg#G~w^nb5JIX;FkC zcW60A-K|d)f7|ct$G@y&c<+pXjR{RF+O>dr5%}=V`7+6BmHWCzGZD?jz3#9oM1V_Y z1K!O1u0^LjBbZSmFJI(1@bA_?zBkV3Gfs)|nc)e*Ka&<|Tr)QtY$l*JN$3bdDYMss zCi)9!nLl$V2A&Aq2zqv{A$m377%`aElAh&lpm?z_-F9^nH3FcehFy(b4~wEj(*&ay zotc<^wK>p6eNfq~kET>M!~5w<==RRj>LLM)ntysPr$1&`TW?3j+8%hkYJT{2b~Msk zPSNwFhN9fDmu|4dxc{$W zlSIL9;^)3wtf2!eymyT%cSXiRq=V~nH)*YK82L3@2xe=;8KDklvbBIL~Cer-#=Il7@FVy=Cn>zjb^ zYwZ5bNOhXfpr#J7F&tPuMkEo>2Udpo?c0LO-RbquU@nID7Y)yPel7lMI*xcg+9ut) z(qwp~Xx`VZ@X~T>6RpoZ%|rC3%dgsh9zPvx1a(#E=l2yZo(G@N{UU869LPaFOGwv9+}cJ8k3*P&ZJG>^;V@>|-*;)QO~ zCOfjR%38v$zssNg@CRHWt`CY-s}=zk{Qo2L=f58ZwTn3WOQDg@_T5`aMLQoK@}mfo zdkh?DhD~*jm-HvMA(MO_{-$hzj+cSpKjyM-zsD=g(6)Pr09kEYFJ@cy&=WXq(>CNE zPXsz?JaW-o^_laJSzYWEzhJUjDJ612;&xJ4fte_Kg#7}aL>r}}@3C8^8YA7U*&k^K znJOq*r0Ztez*nHV*hbfXx2b+U)Awy7!Yk!l*4*Q=lf737Ckyes47Vpf7WW7fq2fpw3j*rW$oYN1|IahVtK`dZWK_Km%mYG$PaCzuo7D`U*F*iJxPNzDRc;T9 zZb`SL>Y*WMI}AG5K7)S=20+U`zvK>ws{ZcV)-Cb!j`pqEDr}Bfx-_90up8!SU(OIm zO83~mF$8Y7(d0``!*w8L&f4!5JgE?YF_?bb(m8q7AB^byy_fOfQE6t0h*OHQl2n3; zkZ)WGCLM4zW;bO4kEX>;9Zjm#%_(Dcj)G}t;2TcXyY5J zz(taUBE^Jhv$W73^gH#uLeC`?@t(NmYShS0roBrl-Qu*~P5h2;8S?2yaF||>iixU_ z#`VOdfu$wl(X(j&ht8*Uaqx{>{j&7{onN9a$Tkg1N(Kk#P`Dw=z09aJQ&lN5W4byk zs8|~3y0Lre=}w~(+>00CW@xy~n|S$%%-}z94$4&o8jePKz%Hh#C9et#I8HY%D&7mv zsGm}8b<5w2$HpqSxSEB^kN=BsO6syytC2w?Ftj9ndPqK?YSE@@cGfFS;c-}m0ZO`8 zTe^60qix>k;L~@#yEBT{UW&#_g2Q0?`>ir`J%BYaB8SlHM;fswY2qSJ>HI*qS=IqE z2Sd7NgnLX?2jchdgX!o2&wGbL3LlPHDb!5qU(M7%)AR9W(xU|pn{@CPYE4l41^IV}%r3(v z+@X;+zp=}Nn@w$h%NVto2#i5A9E#kYSkki|nR}diHMjq2$_?iMuu@#zx}GGyo+H5~ z()laOeO%pvh??5^N&GC?*J%^2d4Jx~NvGIWTM`Co9q6=oKc5Tt7}^w80cm0a zMp2{LYx$z1y8i7^L)pJVBpU;~*ukbw;nSJJF%l=3HJ(77(@KlSlqOz*<+kOsL&2K(*=?}J8^)2(-@rFe`<4O6R(wq_}L$9*A3UR|@r^FKedTe^8@&u&?ySfPH zJnQY7>lN}QC`B9=t~X^zWUIxJw{(A-JDF>lrLg9$`R%^=Dm!+lw$?{a^@|Zc{`I`% zg>4S7DBqqThv&hDTp#BEXnaio89%V(9ixhCZ zQueO6HHXdz+$P8di0kt-&;xet2f~aBPIBF@iJxUrnjPir)6{F$9>*biwBw!P8UhTe zj!NG-dED~f8@6x1{`X-+KpliI@P;RAz!G$i-3q7)2y#T2uh2QsziQ)d`u8K&>$sM*BEDW2YNNkL;GBoZF$u?JI`3t(#UtzBc9PV54j8SpYla zJ8#TczW%j=S29%?dZLIuTylvijHj=e?gh1u3k@a)ncT}hE8^S{^M~s}!{iYsIjgD* zcZ#Oc#q-e12ylFlJ;4z?zmcJ4$G>&82~c&y+_fh_*G#6a2V{F9#2<5EwfNmz#lM&1 zZEFlld^EUDyYA`mEXOtfFDHTj4Zd%h6&!}iKc@P>{O$kQbpFrt|9@7k9KF)?mZ$_# z?AFSD@8khcp3>do%)DNlWN$o(mkc5gaFTpxey>p>a8cCOQY&zL;IXDfA7l|!*}D;K zjHSHy>vMs`PWN$nua+jwIG;I9eeSR(+UGvv7xgD@yV79=4?C}!EkpE9%b@_o?Aob_ zu4<$km3Q4v#C5EZbW^ny=N~{h_cw<=7*@T<@lBClLj;{lX5Ommd0F)!xmU5=?eXiS zi<@mV3BV~_m>H!+WC$%@7aeeswQHhH(NoLjo#!{R3$;Jod4C*{Ze#ML%AmabNG2dk zkw?%++nQ4%zH)r>o&uW)N*7@Kv!#-wH+0T?&rB=mLvH+|z!lkn;bSHf zy@ttIGgCbe;TlYv4M9;hMLmOEK{1VJ_9z39gicFjyFCv2 zOKcwNboKefnG-5KR<6cV3&2vXh8j_GM!1h4y_%8?+1)fXbOjXskfnap=wP3y4nDUU z=K+iNKK5C0$LXC7w(Vt!of+j2WDgqNxy3Bif!oB}(r{}6$q`8fy~2zEN5l72uu+0P z%9|C|CoiGgAE^~qcMssKM9;+S+D7&GujOEq`ryMju_b4W4(BPeY$X3_8*O#wf*}n1 z)$IMES?Rj{c-T)cI&RXUt|@MVSleJ=kszh&K%g97(Di300W-dDCIU;LcIX7b)feU# z5pZW3Qn@XzHogQe|5=*j) zepHxQ3DEF!MS!+PE0gR?h74|0KhBf`^2BXkp zrPP5BLlga*y6-23nw94p%minpEu7!JEjN=X0~U5hB{SZc{e!&>oYj3BusR{b`FGeY zL@Sl}`HF8T6W<|9jjgQ4`PQb;q9<{Nce`dIeWcUMZ4;P6bay((#GTf|DOw$NAMOkW zgrB`=c2?ygm=tfW+dBwOteTYgVdX5I62({84ev^;jO%m3+PY#XNux>1VBmRgBkbwD z{T%bUX$I=nJkxbkPi7MwHFsAKcF+`@NN`L%ZK`w#gGVjw71$=YaQr#pUy)uLC^V2R z9tHu#lig$9A);AxOK;tq>f-d2To=2Kf(Ofin7306FTV~-JjxwF{=-{;qsLW%iDr(k zVTLgMdc)>N={y5qg%?GLnSM-mQ?^8YRHdsq0vfq`T46cG4BN=xRh0YrU!!u}!2r1w zmJgtY00E_Hr*S<^6~eVauhPwYn<<7PzEx<0D2SioZ+j)EO009GJMNuGzLG0{`P`d# z3pWDO@+C7X!Ft*RH9+0cPhf(xlhU;b)n;o>da|xadvCCKDt80wHU#W23-&qhGJN6w zK4o7WaUs;&`Z8Di)_0uYIDVN~U5zwG5QcPt8209Odba|HZ-LFY%~H=cksKQ0?m|O< zf?Zu%rq|9aCAWlFP3`wUE+fq7JakCE;B+sA(|96^l3+)r-RcA4?WPE~NXCqK!ztC0 zm~*<*OZQ?ny^H0=|E;<CVmBg55V%!9^5?u;jcZc+t@ zhxcec-~?PI9JjaD+|+Ecl6d-plfl6DHr{gDG%d^r4@?443?VCT|6l(0UkG_~@^p?hY6R9( zim0PZnBwUb-I7sQ*i3B=_Hq9G`YG~w!-i+}UD!Z)Ma?U_fr4L`-t3Kj!hV$tl1v41 zx?%;E9O<|$@&uYL87X90OUM~-$++|*XkB8Q;VOC8pQQ}*_p9D)Yd5I7EIB(+4n^IJ zm?=j_n&J={r!al459y3Ga&PKhyUTsroC)JNZIBw^gT84n?le;M(&UWu={vu~-x>|{ zOalry^xVB2$_}8f&{%|_S$;TX4p|S#t&|V8#kV!rv6eoxnGeU49K`#f{*oAIn0&V> zpYGpxq)YfhXI6*%C$*!1ZIqWhkI|({jR<0Lew=;huz7J)GbCFf$i-r0WYTi7kuOW~ zg(@@Calk!;M<0^=L`Loh7FdfE)A6H<B*>**K!|E ztIC>n+@EC+`+Jmo3p77l3~N@N`&tes5m@)+AW=H_k;;0Ugb|>l&7EWej5_wGDU21} zGno&a!R{@D$S+2(O8Zj^1BI}j%02EuCDpA@WO=b*Et5?;-dZNhCoG~ZC}AXztay_d z4G_0~18zx-j6)-_vE0Q4cHT^7#bw%8^>Uf|JN*cn@za=-2|UwaSir{6<#Zcj!;Z!# zBn?_^MmY@!%D5;={5&%*;x}0%NXKpzJl&utPYp}Y$b!MDW*2&%iwqD+DXcoByzvY6 zzp_46By87~6DVn}fpx^jP+TEen>8F_khz-zZ5V4qSbd-aDlymHWZV%$@FmL8X zeF?6=>}Mfpq|0!&hp=WxpHqqPV+`fnf{n(&9n3cXXY5OnY{rd->2C9W;% zGx9d}|JOgt(cS=OTs#SmjRVeUx#180df<4d^ZWNN3|y36ZDg3EP6MtYje4ZvWj1zs zixWta;+G%`SbOiY*4FB&Kb;|nFkgj1wlQCF8i+4tqYl7Ww0TqH#%Z$a7dZd;JjUfP zs2Jv_iilcZgZeht5jBsn<8CQtrx>eKG^!(IOA@tqtc~A6@n@@aJMHG*P&cOdr}r+9 zkXERHUqoyX;7}R>D%~fHSukQa&X|3Rfr&y_=mCMzpc(Syck(E^%GW`ZTn%U?1U446 zIJTU(DBzOwruSDkl_!K68NTOPw$4d7yr%cNhoM-*614|>d}S3I5e{?j+1)^k?RL*~ zz?4?nUIMu*7S9Ka!N1wTQ`{w8&2D8XBE>_xAFdZPv)(A9LO$A0ewjXj1`;NCktRqB zLzXgUjh3Mkat|`76(2lh_&NJ$`DFe&cmRz7EBhfE-m}suM|ZzWry|`Ts1bGGIeLLL zQgpqS?aR6jpS-fPwyFDi3MiC@cjEM>bekFXS+312P+9lHuSjj*ha@Eu(&P_UJYh}r z510A+%pb1rVa~vR63wb#3bSFQgmEy_UVC~=_wA{A+np}0?mihDKfqt7H_QxRF7i<9 zvWfYYXv-(;uWc_fmkcYL5}xpV≠Um z1Tn$DpxYOXG8C&KZNgC7f4Ig8#kv(1s(-ld?@5CeCxvX12JA`n1QM+(;t(Lnw*n-y zFf2#s@a6%jLT4iuMBta{O+xAc2~GNzqsO$>R3-g#qF$sk zggaXqoe%=+VB<2UmAXe}BrW-`{MPnwjG(R!0{NbLyKsusuX&?sy&lqc7algkct!^@ z2`N(4GyKV_Vxv~_7}+e*lLezwzmysyFRx*D29ua}>ZtFFmrw%@tZ3Xc=lyONGTNP$ zv`!kIWG&$oL7ODo!!=!zq~2a3`=PMN1NOIGVg#1OZ8V1Eh!Ii7oYx3l91D`4!-DMf zPD|}ojBdI%Z}2SA_NX07jbR<>z}&>Kt8pq}U=>kXtu8K-6;1^@{DsUg(QUIa7QlEY z*Z0Ux(7>NMK4Qv$TLk#d#b9&^oWU{NZ{_xZ7F43SgUUf*3d~ zG7+=)`frru8UwOmPX(rYh`Y}od>FRVhhbW`g|Mssd!^Rt`PdJ zDErq~pojJg@oeXTuMM6a$vg*?vef$tE53qorh_wZS2GAp@@JrSKChYt^k1l0NrRkXcEt%8JBB&_ifJ`u7A?;G4XSN6XB;kq2Q6TW%q1bkI@ z4lgpY4pG=h_rw3As;iA@s|v$4niyGPBa7*>YWu@QGQ-DQWSfljCMaWUk|s<#$GTn( zBy(HpMNp7J?;#j%CacZnN{~%%7+`~!awUB11KVqKqh^&M{eZCy>Q=kkj|rvRYuDS} zThHPzf1Y0_@B2P^p5)DWp7TJEr>R;(BZT1Ck|9SjWXh1cvJ%OMUU19Fu7OI!Z6l7# z7Qq1{4n_@vQ9XhuQ`2>)Qn{PfQa`KXi@osQ7PYt~D|zN55|j=*=?M4|cSwDz$%q88g5gZ7%+~ADKbXHhNf4M@_7&pF1PkIN~j0QDqmu5g2OS&~w)@ zp17R;4em)x?NCAo_JjDdKFF$3>cu^c*kM0^L!|A|M$Un)@RuBFOZP6Sx1IU)3Hp%p z2(zsp`gOsH(6veTh0Mlu!SKbN$%Uzgwfe0`F5I(E1*18j$yFzN!A!p4!vOPAMK{7I zzgVy|uV@wTk1Nm3)-U=>IHh-|P~8B%8Wb57S{{U8kdurw{~(={1va|qAW;Io{-hoj zBzF413^>|G%ma;}fYF}JFJL}^@}@#9;@y|y{d2}2R$}Q(h-G$5BWTqsQYm)vtNp*m zz%e3*Us-TYy8S{{Qj!oZ>8mL>9IT5w{mO}AH7{e>qyu!h6SD#7+Q)KD&c719n&w3o$@#x`6q~iPDu6Ar&$3&+qRwUPU z5#$*0mHT(;O1HjRnil}KUk06-v?!mSMB@eB0ydwfk+@)k(xuAUL~4(QKx>Cb-wEH`q`K#T*Uj4<*$CP0A&z}1~~regXY4Y#q+H|_GjNufdjY`6>v5HexA<* zae$~!|Btvp0f}mRJ#xDi65#dZiJR{=XV|qnu71vL*Z#?y@@sMcb53_b7yqgNxdJx@ zZngk=4!Use+&TJl7wG9PFf*KIU}j~yaDj=HQ-J|0 z&raRG2`>p`hICs?=<$n(mnVk@?CS^4DL`-$I2rl zCbO)ie-?kl3_5cLpqAz@n&@cH%Kt?IzcdRCK!Lx&<+C!+Qm_0;!AG<4AF@Kus{v7I zn&zx7fpR~EY5ydEPDK9G1VBVFyQ`Lk!V|Z(f0FRuQy}^uB%IEJE>Qo~Co>2P+6ibM zHSPb<)OzIF%w@Y}dm+=XX?dx7u1WLo$W(5R1Lj!NI3X6up>%682DQ|1b@*s+?i56K z3OW}>CeN%uExvZ}XMEvU;B>Lu;jalSn2iaa>)5#7@E(`zQ?Z=urrj@J@9R?RpSZw* z8S&xXGyBbXv;X2O@mo8o+fhTv`qA91Y{lb?y+d%k@|$TpUXV|s(_tiIcctC-bPHmm zkdi7NiI6{1D2~m-d~)!qcv#)N3YjGDhMe%>y0-cQsA!Ucu1?$+Zi!CKc86vx;&NU< zgYI+^Z1FZb-eWec;7Ny55X;K(+r~7rG(Dl$6QiRYEb|=01_3ty{Cg!PW!##IiX>me z9{>HBtARZxCc`Cc?3p%pw=b=jPpXQCcj-j(`Qa;(b7@lVGiI*dPlrD5`@*|aeQcMv z&Q%}i%QudpwbLkCq6WITUGlCvGEn4@-F$*DujShS-C^bQsq`yRpMht5k3`B+gtb?y z*k}4j^)W$J)yWcWP8i7#zEFt|;1xH-VX;SjEw(b)9cKwqGI{Ki$61F7#wCxEmy!^; zxIr}72Ok`r_0}A2n`tz*#v+5ji}wM)VrZ4l%|h39s7c*Xu)G?*B-8SOlQWp zg;_?~*(?R}Ao4=WAQYNncMY+D-^RSuwlisT^GBDix~o<%>_@WsHl2cwFOmKB;~b2B z9lEbiN4Ac9*@OnW#4N6OOn7vL?Hol)nVekJeSkCNJyf8iaC7U{rU@4;aBiRQkw5xq zCP&fudvWAn^gmWtx91~r93vk*Au|M?Xi|x$D?8QBlKV>W@2wUk8IHbt=%-%y)>SB{plxAr;cs-YQCC#| zoQ>~KH;XzlBn-XWYYE z8Cyj=Z5P|x`&j&9NckSdyF%=fsDAsyctr(Xe0a%;;xTl8scyyOXj&Na?(2eeuu`q? z!A|IJ&3d;<7bD|pr1geDU!!pzUBN|FUM!!*dEUIt3LM1~%_4{04q#A--o$h`rBO-<1dq-kld> zf55fkfp3@tL}pY^?|Xl<|Kg3o`o5;=l!fiHiK^QtlCz5N8Jq8?pnK5}Bd>Xh-)`)e zzB%{}3#B_I_yk)Y{+`$qOYdJ1aD zW3+S}a_g=CikCi^ZP?FHb=Rx~G(}owCAC63L$I6jjC^%#9&-2Ox=%nbI55~IVQIvV zLYuPLLe=Q9OfZPfBUg`lv34VWFXB~~914%6wMXk0tg8=JRUE}@>bB&<<8LlPq3{zD zx7aaXNwut4F9$xz+NgR&A>7X8dD{F5&hu1?9Rn+!9saZo->dSEZS`*t|m^I~u^w0rwzn^{}yf|dehIM0LaQDn^gEPGSp zt3xoN98)nFmQquxE&PSC0%^d#b!kj{>=a~L*FDr_bt3nA>wCiq|L>S1(el=YMc1f% zWP0!7_}uiMw}n<*XfYV4cvVNBHSJtgc-L}E%W|#7KGQ+|Dd@ra>r>F__`>k0o6YP@ zU?g3!H;vZ{7EZr=Yd5y5pC!_S+6w6BFA8&w3<+S1>1nUd!{_hhd0K z18b|!4&O;eoPpIkZB${qW=O$mA2k)g`jxMPyLz_!rKMKUCi}r|>9Hk{3KS)oWL_td6CQ z*SZo@7UM99F56VS9gi+;GS^BTZdKrpDi2aYkd0S5ry#u=*6|NRkJ=Z+-v8$HcDg9| zcmmTu4@Yj?i&So6*(P#PzY}?P5e%C^$Qw`}`=HkB0H#?~bt+)x)K)o@|Rkit35XJG>bIlQLIHsNCC>a9 z9?u|ws1x?R@N~+@K3crOw(a+4&9hYYskLM#!eUzXcnoqu(e=yX8>;z9J5DZi@Xp1M zhT~Jv7t6zZ@VzA(Mjc)rfs(Y;OW$P84Tct&J9c5mk?Lw0%6=kO&#Sg6)LwP}#bliw>_?7~@Zt=O+Hvzf{ZEQFHb>V5v`3n==JW$dTvC%kx@+O+_B3)N5L|U zWFgD~sYrv|EJ-W;cc;_2zcB6Jh?nj!YA*?{92+=AVfg_(e?vEk& z!r!HTZTHX8-`I5R%x!8a0L=XdHq`~N>05wIy8jGKK{RKn&(KnV{((&aTK{wHzhcuf z0BHa=rKO_=vNM5HXQ-KJKxYAPdgngvWhVffUXe*HqGSCV8?MT-IsXs}i25n4DQBMc zw%#T1ll(o=^x_5u_6M#{dM4J+iA2+%=iq$)T1&g6(Q?w_Iv3#^@lSl}7W5+HZ;bli zKvX4NYtPVES>>$*Up9W-P}Z~Yg2iUPZyTK3B;8cexAhK-%c*D|n%_G98_QCk1=vYT zbB>xC`cF80=j?reVp{)asWL@%KdyWnUlqK|YUUj9Q&vb$Gm0(ET==a^{U^G>3Hh~q z(d-Y>0p!lXDWagoCF=UbLXlA1&~yF9+rv%;apnHFDT!)xezJS)`u z|Lh>2jvog-V=6?bkWWFR22x}x36Ci-8&j(dT3@*a6DJiM5*?4X?Y$L0G`~5rjjWy8 z6=V*(W&20(`d#`w(*p4`RUrgbVhGWSC^!VkT*+RBj;rMt!|g~b=;Q8|3W!&|;~oDu zl*IJs2#11WY>{WFHO@WA^pddkv$%Sb;gwN!Zf$ZtxsNd3&(ociJ~dk! zW_IcRY?=P$Jwiy4!x`o7maCn;$~T;=1!%waW%OEu$=}$?>t5tta&k9$oj6?+LxOpf zZil_heLw8S*q!YIbw^gUTwa3cvS}CvenviSDhrJ3&Ye791Y3Qmn|_jbch+*ENi}qL zA7k0)xeN7$;!J-U(TvLqz1q?gyKybr)GMweowP8hukuxDG87B)ragJZfmp-3APNR8P^Hb3OfcmW2XCeaM{HzA<;JNHa;6pb3 zmQwNlgdTSEBD|hmn??VWc+uNaifLM@6z{~xnyEhByu@mC`+J7PHZyE;Fq79Sa{x&R z7!xH^uv`Hbw&wt>+oilg}6rjr=Xary#Ky0%E+Y4V>Gp%{C8R zwB*X@|CO%4zf*(1%u!v2sG3lAWt;TZ=Fgo>d-}usWzWEQ{(3Weo9^TtJZgyiON zONQLfN?4_S`}Cut_KAIhpc4#1lPKp+42B!pSxv_x^fh5aX@4f>|oiy-HcnFPk`+Bfqcuv#O6MPtFk z>FOpiw62+*zfXy^{g#!V@UYCiXA(OusT&Lr>ZopSdEdVFPAd6;NeVF{=G`5TOT2ua z979Z8DU_6Ft~9{m2>2Y!-`f?dGj17fjy$?l7Pl=-Ssz?yNGV?aa$H97uN_7%L&Y~3|>Ef*nIo?_YX@toNnvqE;L7A#m*F$lt*{};eTcC4u_$?6qfI0Rt8Q+deE)bRL-_qQq+2s z2F=Z}oSd~<6LJfnDPw$$1Z}5JLHKK(-$>APBQByfa*}`=x#YcFvPp5NZ;E9jSU;Tc zWqFb|kIysX=Qh1$V`E)$fFeO4sfBCYsOzVoAv{6!SIs9JZU|Ud_}0{A_Y>F^;AJxp z(`L7MEA*I(MaxUQJMB_exe&)UymwUKx8U-1PuZUZRprf_TuVX zA_wLjyk;n<_kmZ)+>@ah85^PLh87vHT#SY_!7?Tihfszk8xS&)jdh$;SmH+5*YktRtt#m?+onHZf_^ z+R>6=Am3@Fy?&3N{ajX{jz2^ot5Sw=RH&AS+tC?T(aBK57r_cG35f#@M90jMErr<@ zE{7huSNvUZ^jE(Ij16?Up7%}wyROwHM)aP7lwlQLPC+A1a3lC_m_tM<9*nNV#9y`b zASPvo2xG7>aIv&+rNrmH<`@L0N{WZdjLBGJ#PHi&C;>wbEdP1&+=?i| zj!OE#4Z3HX$JL1Mw+rngigRZyn!1_i_3I5-QdYm+^AJsDk$#Z0LIEV7!4POUN-!aR z)5&>)64S#n4Wq;sNvHuw-w8of_(F$VOD9q+JgY3jr8y;1-(8aCS;m92mgz^{T_yvN z{Nr}7M0muO7!BtJ&7-Bzx0=3giI(D!!G?Dc(^7rA*zR;q$CwCX0=RD6Ll;-?L zm}kQ1?UEOc@+82dsVpCewHqDCLW#;tp+|?gAsB@0g4Ypoh18(eAW^IrbTx0b{Wg+9 z9kDHY?DX)!L`9UPFROB{2w=~1a#U+G@^FRN1FSSk@(fUs@K!RqR89{O+R)WhtkibR zNM^y%Oa^uovIsIRb$6l3>c0$*^c*DzHl zM9y%FQ@0}Sf%E%Eg$1Xe)F1OC<3qm@h@h4mel`s(=zz*6oo=FgEoNA^-E7&*_|moJB>KkRuXZ8>9KK#s9TYRzYBQKP1>HCW0pxaOkcvqB zwVLZE=0h5egvmko;H<8vNjWEIctm+SkXuM6%XGC(6)Uiw|n+Rean3#&nQmIXe=q)DkjfM#~ zXJR4p%U%4u$gQ4r1N8GcBky^iT>7#k)lGU`*W|79w}Xns)S2Y(rCPO+?1;b`V>kZu{l7mH<(KevT&yGtqF0DXe8iNQb}$lg z(^qiDg-D49h+qgIC04?N^n@oiFK$a-dW;eGPr)P6W>$l!P4m45l@#M&H*n%b{XmOO6{1gvq=Q(^J!5+~{z z;e}Wfb`R%~0cdC;6rK+yCv^(C+1VS8jfV`XGYqN>DAe@|zicS8({X|EzHMlace|S? z&{;x%Ik{}$0|zS}g+vgOy2A;I*=qP8QfUe05vgI6VnR$p;UI#rhM|Cuf!HPZKx$dblQ@wi2$v?8Wb6#mi^K8&9v+}3*@ zg4=1(Xz{=?B-w;(0TwvLzMUHtsqoDdZ~5|ZkE#!PyQf3O@&f96i1%C?&0wGU3V zjJxNYrsEen-k!ti*7Q2++{T@cLeD)mcLFK3R;Qr-bSd(5hRvgQ(j+N=|33wamGn)1 zm|(BJY)UY>;pY4u0jmlU*F(DuCKNt&&HDG-YLpN#LTV9-I4}l68Ym=v-mC@-caDj|EyQKcn#CC`pt&SQde2 z%O#i)(g}PcVntE7b`l%%+x%g41phnKmulmxx zHtcv5d2RHyBv=C=g%ygB0OPruTF5WC3TkmE%n7YJ%a()81VlO4x+HPO!{fN&UUTKh z@>#9M^QA26bq^4DUjkCG@>?~RtuqyXB@lRp&f0Q{4ot@m zoIVT10y5wM)5NIwER&oiq=v%ICa5U16?=zV+?xDSA_iqnrL2M2fwo+=V{|d}t%4z@hK$c#l8}U{0(FW{=dr10F1V z4S#g}{8*!~bgL4(1G?iQW?LT4RGG`^1U~n5doyw4e3b`5mEwOy?6?c)=V;*IBl^Ja zZrd7_t+%Asbas%cajK0U>R23(=aY~G@lhp32A`|@0XpVG*7p-zAG1}U9Km?OQ_yv} zD4yviFwi)a~a{PT}uCO zZbo&nJxg$3qc76C!q%JuzEnxLJ4^8|CK3U=5HZQohMYc&5KvpGf#nWCh^ex$Z;<9_ zU6q&Ff!Nmljz|p~=T^|dB7Mn=O_r(lz5e(M7Ka`HI>U1T{vk2oDS{9ql3OSwD#dmR zkpj*PySlYymMDPRGn|xP3N~`4Oa!sN)V||`D7PE7fpc5h)|PFITSUOtO$L%jcjyS_ z!1iZQC3D@R(0mwz ze%&mslbL`lsJ5uH0+wPzZ8rE8phxgr2vr20-H*IPs>Yt^3^|$+GV|n_l)QSZ341x@ zYG}Dbq<5qv#X`%$z@%X5U5DM9q)EAe+xNzY{7=gD->cpZ*3g(j1fH++ z87a0FmEDw0{`k&&^3K(+^>*H-$kNh3o-=bBU9Bpw*(!VGEM`<^RZNl{T!s+nkd31^ zV2AZqtWJD)7}KUydHvr#>*>`0&$#hFS$_eq z%Ji{UV4$BHG%y^dVmmjdDcp;lDogO!WXVj^aT&L`BlOF)?i*6?)$PkSE`fLkMV|7y ze&A@_P`s>%c3PudzEJw(8RLX&$4dn=T_^Uy&9X z74G4)u<}D?r~C5++M@EaU=po>g_2=U^5(Y$J!zU9SBn&t)?ZDb1cR5NA*ifUs=>sk z$zJqytE68ZjGt9WZ4$?qsWlm*n-@*sh470?N0pARGyFH3Tqr+G$zbpWHBD4b+uIB( zkHm}z<5^K44USmqfl4UNkg}X)CAn9Z`|IV{t(sfL%9iXr8cPp$Rw6>bEwg&*oUgeb zr7*Pq2(H5zUuyH>zu3tC@08q5zL7#=8ldcN6Sa%iS7d!MXDCh+E-g8fzFGY#sYs>W z;sbu?!x@6aRUf0{C{-Q{WpWpJpWH#7n3mi}h%9ee%_0?6?Am>*MNR8sopFMEUF}gq zLUmuL9g<((+`=rFxmPLt+uDY0%cY$62r@bnn&zD<7-Z^ z{2FqDw2l5WPoK8zlRObzkYjW$rR33aHTA8Rcc~VC`eBtS#b0{*I>oImKWJ@f)k>rP z_HbiktzziYn<&OR92GS;k-e3h4c&k5AS5~agAEz!j4*IipRyW-coFAe) zj$t*dv*gC_fng8=8qMDAPt5r3RREbR;MkKg%5P^-vSHF zwMw>Cv#qT1I?VVq<0)#tog0t&52|Gt1=NF&3F=}7p@OYxd!_=@&U&}SwvHDgWq*P+ zO`}`vEvSoO{X-fqt;k9Ave)>*Lb>39NAqND^=^so7JII^@#pg?H~lSj{s*59>U8GV zs#0g$i>nh{eA(`xO0xKbPAHZI68K_vt6He=41MJ$68geB7~NREilOdboq8Mkhft6I zHpn+rqk=odOMA<>WY106AB=t-a{PLlKaih0qn+$wr z3?PzQne=q>;qRKXvdO| z&0tP&6QPUeIrlX7r;=vra~YciL4MxLbsI(}qAQM%dI)hV#As7;AE0fN99#zYvf63W z*Nwz1Cc(FYpy4KME%iw3J5;uCUiQ@tB@CCCgOJ;+U(}$B-jVMIzqEG9wscAurwbii z?pKrUR~mAbPZE*-!q7U9`f4O*TE{(R0?bp&OT>CFO;Ix5Jl=85HjOL~4>cZEDlkWbG#zk7;TE^O>7m^{q%Tklopf4=`^ z2e|~rB&_kmT5~nN|@Khxt zW%UQXSN7)c?Y>aL0|BK~Caa5|60#n?eCX_L)w=!Th(975D>afYJG$~(Wj0go1*r{- zQ84nkKS};6mE}BDQ>w7HQSx&4tA3rD)RpgScbvRmi$B}tRZM-f1E2b?c9LJyQ$Dp3 zFzoWYE>wp{=hB*Bl>BEAVP=1FA1oj>>H5emK^__U&(HX~j}XZpB5X1`7978B6+2w6L?Wp^Y&rrkK+UwCRv_+^pM{Sx0KUr3r_75_Qbc&eO&vBSdp zCf+Z+7;0MM(P@_-2_IhZE#qE2cMWi1Nlg*#Nn~El%e(iK!S&X#*RLa%*sk9(sif|D zK=d9!AtQLA0rAMX0B6UCsg*V^%(Tq(;R%>0`RHftNRYH>2)*3;E)P;Yx$}h4N{{=| zsIR$S5B=7EGo3qQtESm6T`ycdM1$=t=49p-aE2G~L|wbr_tG~B-wOaC=7mKtpUZY0 zpBQ4-l!;8EmVOEnl}~8r$V#~Tm+C%ctbVQRPc6v4+EERvHb9$e4;$Xv|~JR4q#MTAR$Oi>pKcZ!;pf4fKw1F+#BxUxCD#Ga5+>WTJx!o6p%kW zk8KGL1zHk8?zBndoa)0bK?Z<@7Az?hQA--dF@a&)5`regBP1AqHR9*{4abM54+cF~ z+Jj3=F-+w}Yr*%e&MS`vs9v(MF2bR53Ovapv#4tb{B^Z-JRi9Ky|)*MwTR*@f^vFi zGgrlklbd~u8sCA=x$&9bc>6*-qoft$sJZ<>>!a1%ZAu_{kf4|oF?lw*zytMxTt}qC z9%fWqV@kc4ndAoX`IfWBon2GQ^FyLZcAhnN;%V3ZmWhK<8f(ell)pCgz<%*wc?1BUK+1|(u+$RCWvDP)@t8HKs2YBs)Nz}5 zHK{Db=gNB@58fiy?jrf&;TPYUo0?jYyV@7yUb1FNq^Ki~&SDp! za|P#?iC0L*qC~f>tQ-wz$#azyd#@I&Fok5g^e2(pJ?M61nct-()nA<-14H~Y3b}^7 zSo2cl;x7vxKVo%W)*~j9*9&tRnMj|P?j8|qjT`ugX&Sc47DQL%vUMH1iYAkIqM2+l z=hd}~__fzd{g2;yH+u2NwVW?uMvQ2|5wLXK@g{YKVT#2Vc6J{%kgvVWozG&>`%LXO zF3}3zJ~0Nl=Nf{X=cKl<;AdnJ7=qWuHE;vlF_U3W5J969e-hBgCk2}wUbdb_Ns}9} z9SCVy{ehgFkpd1AlevE)^GhjZWkAxsWwJ}DRcqk0E6=n$UtUXhgmT97OyXP)q%e9q(N@@=;8bTA0VF^ zY-ITxp;nhn%DvasL4Gq$Zo=w8*C03My=<>8*Rr0xHg8OQ7XIk#qP=~mMq_h4I`5}# zT1=Z~E$KS~e@zlBegGMX4_~>y;b<`)u@@r>VKxgPj}qIL!f_k%x z#B@`u*!=ssO7uU;eW|lJJ2zit;oAD&#+o2bXOFdTLNE3ieYRDm@yH$L`WzqBEo%uxFB~ zR)jAc;sb9VYFH2;WNcv`xfiEyFr>n1cRy?TYM5QSY*4tl(r&NF%;fCbL?Q_3BPCUbg!3c_xX`%gh7 z)zp+9HUp=iw`vf=vyxMgK_O*`Cz<9Hqyq8S3L#fAngDCL4i0=G?8$c&)qldgb_#mU zD0&RoM-NTA=_yaTN2m5kmRyAt$K+wevHlo%?`-n1AmI7B&SkO>M8AA5invVPj4XV= zLa34lle_q`0E1-48^kgL-@Z;f#Z<0v69|8qyZcL&H1Qj3KI+~^FhUjhBE<23Zor6( zj);aPpM~0+jq~6cvnBCUkZ$7(R8M#Q+1kBeM8jUQUr{nd9kj!7sGYT0D#@Hy|0CP~ zmjy+(_XJ;@36_|zQH$W^U)x<+StLS~Ji)|da31e{f^Q)nOd9Z0g7pk8hY`=!6SO*y z6il_seS)9coqKx=2B+8T^E*R!Gyk?X^+Y|R`Y?U&#QklUG5TY)Mj<RHsK<7j zzqc@##?@nA$HIK`B%Zo6=0cZSP*~hCgz$}kG9=ebO2}QM9$tPp718$2`dwi$Dda(< z+}xaY0$-j0+N2?6ps#aTCjgtBQ`6fpa`NUdSqh3pM*Q;E5np$^@rUcTY=H_#5yR-` zhzl+pKb{J5y;=?U>BP}{#%J|7dZM8K>g*Uy+)GDD?91Z(G+-}R&|ILlxY7O63B#W! z&JTBZo*J~%V+<&yMDitiTYNKA~J*np|ka0GliaOn9aY2>ms%_;h~7H{Og4z zM`USp zOn9~TynN!$;=CQwy)F&58sZb+5c{ftCcXKWg-|Aejv(-)Bgt>jgx}~YCDW^DMVn$b z@x|z!*B(?jKjG;D+0?EnqeF-J*QFk2Q+2tw@0()QfjJ_Q0mDk@7?7(8Nx9{)GxX4i z*hrtZ)|v{jH00qruX-W=>iZ`;>vgPjskP>D4L;RUhFv+T@k=T*yK5ZF9A!CIQfHJo zImd0D@!O>8U8Se~!?{v${7Gnup7X?`HKYO~Pb`i1P|xE#45r%W>6@TB#*+t;8o%|X ztDpx)Vh>u7ljf6>YkE7o5_nCX511>@GRCLl>OWkI)_ieZP-o)oNm997rS$vF;K0pe z_u>tm?S*;!Mke)6nV;*aLo;IyZ&RvV?&|!}Xn`+yM2;mV6L%ZHS!5zfaotau^b*Ih zvm3M%f^PRM4i~x=r^CHyzc9%EfX|if%}!h;)1cJgix_n9Hc4x}w1w~}B!zUB>W$Y& zx$*-x_bvn0{Tse57rwhO|GcP&wOy-nmLm0zlKQNLlB@ybgAjK@vf(epVy|-AaQnsT zHkPY0_T2=uf-DNp*7*!?pal^lg$#C^wYJSj28~K@wouGJ%si3srTm~0KCCWbYf9Sv zc}|2o+rBemy);rYuFH2H_13(gX=1u=$Ss49j4$$C4O9)R zGA9~Zw4I=5w9ZR2N5?gQ$5i-EY^o5Oyi%mHvo!NbUD!fNSea%Qp+B39dPhn|hb0)$ z7GZbHCb-d@YuXpyOEeDsY>#^L6s`a41(Q@GpoF}EBk)7xbd@;b8bVUMDriHnX;%CV zp(d5b>VuY=)&1UpcyG1`HmgadHRmqI^{koRty(c6w~#x=JNpVAPpG}XV#F|YoBkWA zq<5qGjnVfVWSF`7oh84;x?Q>!d!`rtbghy5f(3sEIg$K&No8gRKN8J3&~K!hLpms>|jC*_B{bP@S-4^ zAEY`~kHqPxZH^MQ&(3WZTKtC2sTg@cdo+wL$haUQ<=o0uYOZJHWvyOEYwN|*I0`yf z9=#aftk+lC(2dsdi2b2M*-iUY4>w=VA`$c zPuk&}Kj5}BJx?FM;#K;Uo|k}CHh#Q+)v(?rHTlX@>Pg7wh`E;6HK!m|G6{g$H^SFf zhJiqqo`K-|a9hu?mF$R0Y%WP0h~Ltd%l55a+aLb4I6SiE;w2R5C**TeOeJ5@+xa^$ z`p{9Od-P-UeZeYpGOyu>%Y&^bnsj9FlSrvcL=qf^2{2VY6d znq9}^LJB&&NG-I9q!>Iz3GU`qD}t)sf(MS*21zp}<-3Y1h9R=l3d@&b;<@H~ zFQl?DeA3z{7jOBq;*moklmO*pap^3o-K{Ip23&vli#K zRdTxG^#9}tb6%7ZZ3p;F(^M{US)Ue`^ml7$=hDogcK#W_=a_U zCKg%l(Q7}j(i|7T%T{zHf>xZLq*L%+zp+cZP8z1ewdn#w84cpw-UnX4Iz7i{A#Hgy3FY&Wy#YQFVyk!C&;?8Bdo}eNn3p2EQ^Lg%5kbUuE#RrxwS*&eV3d zxR)f88bQZx^5#3|Z@a4RlW)fp71Y76m}2-W@7|)?5_DKVk3X1rT{v^y zZuMmNd`dOjs~a(2C!7)3Y$k%go)-UpU@d)nOJq`GMDrblU)CEbz)QYZs4%&kgLh9!E>%( zpRN_M8GZg$H>51cd{LSsOZ&Dv<~3*f4aOHCmMigd8L&d4AkhjvKbscuF&x0Yilha7 zB2$K1;sr;oF?l2NJ4D{XNJN?KV!m15gl@^?!Bl~hzz|XAv2e((A;GoUoMp@*qFz2! zo`e70$EZ-^RxBo+SM4|=<)vQ#$H80Lk^Ij?4F&0)ZY5|Nx(7Rtzyj_?e>Qscot`dJ zdII8ekjCczlFeVBL%Z~IMddZ2^F#{}?N4fNJemm7oetbYudeTA$ov_XR1t#R`?V!S z{M>~NRZKZrfU?h zEikg4M2()Opu*X*-+3t#z0+;HCPqDI(!38d$9v8j@ZC^XYHR(aS!SQk-s2cR9s$}|c1QSWf_f3=$@JM@GlWGTXuBEEGlRBF6)x)+4BG*r( zs(z!ojrgU8*qG{ zbSO<=M*E2Zfgw14mX|hN$~t9nC4c&fL*Wh%b}(KaKEO|_x|L2E9S!TpzHa7!{r%M=cZ2$YLTk2h%4K z)pyq>R?~-S@i*=Tv(3%~c#DMuiF*$b6IHm2xKhoBDp(_#NgbpXFOp8lrb_;3I^g10 z%(vY&@H5adP=bD6dr2=T(mo|GVYbz8((r;!g|-08P{+U#TzIfQ!!LLjta;2JT3S{qP|b-$D4Eey3tn_VdxEkRltQ_m8113A?mZ5ARkH9QL>)S*zdxk?*`j!2nssoJZC_XnQg?X+8Lf@OZ3 z{rTVAUS-rm< z`Qdm3rh0Q(isTJThLbv!R`9L+H*3o@qI1uE?o8#|3(4es(p=-6%}~5zVRt zY<88Wv1KMh@py6)c`_Qkk{_cNPgofa@XC1@bH8my9NQ5^({dtu@WE>A3G9MKT9Ry_ z!bGoZMKY0{j2BH&dk?ExAVm{?k8(Mbr9SG+4}`pmQio-d{ucc~T=%F|x0aZ>vD90_eRU`eG!>P2h;Be|V-i)I2-NJylxd2Bp zk+u@Bs^*gXNV%lyDl{H33{m!hJB>AZy1eW+X`At@I>rR zdD3+~tNg8s5F^}_$FP243!#5_*_XJqo8gaRkkWwV-c!iA!Q|rM^KQA3ZRUrr%S*lc zE~b`xrmE2c&rFLfsl5PmEQnm6hMVs(CxCOvT@`#Xu-T#Zcj@J$*!8xCsm>U;tGg91 zl@4MVKc$M6UA8?gD&YxUf05zJ07yyGdM;Rz0wHN)z?mAs1jCi=u%%37XYKp#`(D!h z8nxv%!i%?bpchN!*FSIy+4WGkD<&Z- zyu+jl4}=@XW+X&__cuGDhCF#aX4u4{Chcz)HNox_`~7HQy-fk4NnAHu;O0bV?Q2(J zKh`5hEgFv!_H=yQRfrBVE{b$}N2&!BiNoT2a9O$C&4sOCLYR2d@lK_*tZm<>p+&ye z3NddblU*aoPsp31O>9B>N&v89m0U0-zwld3S?-G(Ch|hsW?iK7#NoGTgPzORZ^d}R z+1jmHbmyiary$L?b#yIwlUHjD(615OIPS=BBGY|x-GtgVZ#nBHb4@#(G&yg>Lc*%p z<5?>R;yb?7KQ%JT^$8YiIF&+x8Xtst4y=6>Xvl+7B}EOjw0yxWt=4l=?DY zo6d_Jm)9nEAmx8K$J>u}_F_L@cC;QsGGnM0mgdq`bdO~2kGHlZS!Y;83gVe$@2szg z!J;uCDvp&kcd)-XYYVlz}m*^LyW|jSm8oeo0~9C1aT| zeDdCWnahFf;I!lZOdzgvRBT=$8WH|Z3-{E@xbxsq6KqFR^RY&)kg4$z^I*fL3Wl>b zm=!V(fvrYHEuyi;?fm#zbtFyPCEq^Ao8s=fVDR7%9PqDDKippBt{9CL{ogb ziK7`o>WcST>Lx@do;;b};nqqwoUHPlOo($9*j-!a_%eHx=X$;iPfQ0^2{UpHnKU71 z@IGSF9~&tOOuT}?Zh}T;$%gE_&vr?tiQ2e#OIUu_Qq`gKgIBh~aSs=CZSJns$`cV} z{9*iixH;SfP?4hp%N`$yhnXZW6Aqu!xFWlGI5W1q5fZ2#za`8_;M4!Kc`oB>D*m=` z1G}_}>djs-px*G(QivOBO;wKYP*VITI0x-i1k)TT2%Cl6CG_Q~y(lF$-tq8)hCQjQ z)O;V4*VL~nX5-)-r0wW!#Tq_R4X`g8cn`vhyhaF0UXQ_9Wi^csEZt@BQx4Xql+dZI0;=?p1(C1v4^;WKQvBlWuOA;%@JiI`TZ&4TfAz-&=N;h6+s!GOfV zz2eb@S@X@&Z%LX&HXl1X2N}xVaPa$}hft{GZqc+Pzkv0(w9rQd9P7Rpw=8~Dkcw@9 zky{}55v9_>@&_J(O9v&=ILc26)gt*o@m(ULXdw9DwWVU1Tgf3;Y5rjwwO$}hZK5h| z{_c;DJgKir^1pdGSWSjyl5u|6zHCw=g(edXchA&^4980iDWjxaqR{(l@PH`^#-(ng zlTYuIiJ)%6+SngFd!pxCEyT$kK>y3+Er0&mlVr$?K;gJq6XQ&fV zGMcG2@S%HMcZZF^%`V~SsaX99ox9^ii$nPTK3W}kpU$W{KDv0zeb%1Wxa~(U4{dLR?pE4GKDNK@O7j~9zVtlYcVOV52o=UnAFVxYw;5F9} z_TyqT9&*)fNG*_RS?spf`jHRu!7?A1>{r4TXYS`NCJF1EY*9^o^iuO!{?pGrRcl1w zTLc#!x#(-JGF4=+4IR1sRC6N!aqZdkxrDWoL!brl;M!N20lWLq68BUFuW*aI9|xWY zBsh@?u6O=D(DQU|Q~xIcV1FL}iv$d)XCQ6n)=0Pr94GUK{^lEuFWf%+4Vl<|`thMLlOOYynQ{QWwnBtL~04H>R43a_WIjn_((U`rDzq1^np=g6a! zE9YTdJ4cE&8z2y;zN7&mf$i6?PTvv}@sBbYR=`T5e$>}ws8%#?>R)!g@%pgwoLob* zg7uXyf#TXQ$>*H!m>$#6kdV2xJIaC>h1;s_p2OiTjVWeB z^(1Kzp4oa$yF7UtT|vJ)EBD7f+*1F}6|~ff5Peg&mT)W}?6#bTY;XK{haeZ9;7XhT zE#X3Emq^03^Q1o{dbJXsZP8x5@^N7p8|ANYFZld+$W9-ECf!}eHhP2YsKa*3{p^8o ztB*=K=B#mn3~g|uG#+;9e!K19PPOx)IZ~tCx^%Y^(xroK0Wlsi_NNE~{~WqY+t|cr zO2(JdWWb(pz=Lde`5)Ox?O|dQ_K2xqKKz)c+md#Gg^78?fl|TOF5V=j^@+dMRoyDZ z*F-u}ZseW2mSQrWekAr-f&@qNyWj%p+cMLKJK_Jaq$Tbr(D@`BzyFNl5{buRT&Ql@w1Js05XD=JXSRGJ@-(4+J zY^K#E;O{P+bCxHOmv$-g5Hpjur;+CSk!n@+RU z^tRWb$X|Vh(h#6n90BF0#OM8cpigRJUyJGX zjsF&*T<&Rz?js~ZB#(e6M03SV&asxb+5pV%Kn6G6N%ld@qp>|~y1(A*V?JnMqpN+q z#)p!pra9tY51#UJhqtpzS(!vUGm;Lur|x&@5|}BJT5x#ys&v<#+Pb!poJ*A#6tmlE z?;bJmh=UzB^XMNwXaC;IT^7L8ELe-hkPue|(!qCfFnFR1J=#MXhAQEVVv1MZN~A+x zmk3eL(r$$Z7%0~JqbC&D=v-=st(S&OSlPV@ypN zGrsRl*cZrYRr)xD)K2v|GWtcG8RwsO_j<-vYJ{>Nnl}r}!n5+nNy6K9&>O$Z4rRo8 zBk(w?$NJ)0$j!LQFsj=0%HXuo1x|^*;oIV)W&XBa?m5e(RLColA;aK+$T-Cbq&E(ESDh|B@S@bSeA=c5>vbe~DxYZFdx7O~^U9(p@*eb`Z&AHiup2>+ zGiA1H06XNK2y1|EPr!Zu(q8zt-Nr9C?brH&$sx8*+TQxj%T#{r5Dr|}KImG65t!?l z^bs*%Md8=C`Rzn4k|J8_W;;BRXM4w1e-+?Qbsm)FP(3qA zm1t$vu?m|9v5d?95T%(#u!PEC1}~$5(3>LeerhlJ<7+Fc*x83kdKJxg!ZqOKE3kKm z<0{42?rbBdaZ_cxV+H`uK0GOBIqw%LONz$BnqmeNQewYU5JaZ;9S!IG#1%UW?>tHw z3|KlE_~e07eGe-ivXJZ_{;z6{CD^l|mMS{tQA$l$=8Pk3Zq{HS-O6ZMDGjfQ)v zcj}zq`aX#e2w2kpK9-{_Lkmj8DnOPlX_6305v%53dDw`RMNR3+GrF?u_hWU(+OmdE ziS&5a%g@gt7>8Ru-;y?aJ7-1loaVB=kf-5qH)45ZgmZ*gyQ^q-?4 zDd5+WkbI0bsq)~${FAo($%LS5LujP+C8?;KebN&ZZ|jCz=ylY9Lb85;c(Y#&nZ9PkeM z*c*?ta+hFz>KE4_x|?%7Vvio$8jzAY#a@|yb}b}u^Jui%CjUlUCA^~_mV^Le+tC1w zE|cz!P_EzC0055ub`;PYGiju9BRwcz`+|j!)%mN&0mf&PBZ-9wk57}s`V2%jteYSQ zLL_#7iwxw*{cB=C>I)YF%sG$a_1fLSx^gG^)%fgYIz&2td`=K8_83^!rMx_PENybQ zfRuzv9!DiYu~DQ%3rN0>4xr6Z&5(4!2xVlokP^U9-5 zmC0c%1{Un9?iql4BGULqcUiP|W2S8PX^ez1FcSFZ*BkE!NJwcjxjgOzJl}&aJ*Nn} z|4Ht=bMnCVu}nPMXM^dz<`JX}`2$j!GoFy0C$mMF;oM#!IOM3rb|1c;b71x4moFdE z^v}9YoKe62Egoq;mUERxMyp{g*uJV*l^-7M_eZ?ufb*7P4&zl@;Rovrha2Lwbd!C` ztJBZ1)!q!xT$k6)KVeu?A+@628|dZ!dy*G2V-2v%kn+%tl1D|L-9k8Mk|iF5PLwn{;<2a58gRwuS2bd#24y+gc=Rv)fr-_q?ZJ9ogQNRZd07Bd$TO zgw&`a7#R(A%1GExV9r~O2E6^PXy<7=Rw-1AoBwg+u~cQn=wL<6YqH4Mdp@NuW9jVI zY+ZnxwHQ9WR1MA9eMs*d#UHP;4XyP6=0P=k}pp{3RFVG6R&X;g7( zYg_mE4`ExAXXm1aR9NcJiqQcz4+t^H8OeGv-9;M=r0OI!o>x$S9F}|By zt(IHAwp*QRva3YvV{UuLOo_#X-yLBhF3L6(kb&xM1O$&}Vbh*PJpT8k}7 zq>VixN`yI+@E)PC=8$4|moA0q88`4LJ}+8i-LF+r(>+GJd(isW9P}bkCnS-?E5g3~ zwqMwg&S(!Xqv1d1O){{zh4+;?HPqRzY4{|(Gc8OpV zu9xyNwWgt9Z}mSf4gDYB*j&FdfzTrziI7*A>zCLNmfQ=mK{C|k$Sa9+;clX1CW1c= z2POW{{;ah+dg{xhVxbyJB&-HYlY$gB{l-e=6&NIdghX5~_X38`Aj>?BFEx0&3&mVC zKH6Jn`j+cz#37~cV+0$v9r*77CZk8dgi36vj0o2R-<13?!br|O9Y5mx@CZ-LUA<&-FDVQC0g=Lrr6~^) zqg``td@Tt^)S=>>RpS?ZU)oGCclr(%^~#%0XnYIQb#pmC@(YgSH!V3wk*uZ5Il*Nk z#ZI4`rzIX9@cn@|O+NIx?D-@yDg?4+`lbL8tLCik8Iz!U}wSE!E8-joyL{q+s@~1Es}H2 zW<3{5c&Rd9e}ro-Bn9~jWvaOd&D|fU#5kLwmtFi(U$>j)GVWou{S(((Z@#4v= z$7X*S(K+fr=BT;t>yd35wyI3C3Kt*J%nmC!dkkYguhty9qI)_PxVQ8APs&2C;&TIG zLkeG7$Jx$~)0cD37?v37ku8!bDKV&E@)K{{`Y`J5wm&;zswbmmG}v`CRc5T zlKR9XEAnH_M{{!)W{*4V3l<%J*mc3=(_3G(X@-n|L|R+szXuM_x#pLzb93|3`>)=6 zd~4G%%krJ0m|n1Myl#x?>)^Q4r!Nagq=>E5{`oq<726JM8W9Je8GR8fF9iuq3$5|6 ze+nY(K*xT8d!qpeStW-Oj|^Aj$Or=V6KKSviI(SH7)nJPs8) zmQpYOnCdh_|5Dm@TnhuglIElLBq=HH$!WxSk*t?m_7|Uh+dML0me_kB@gPUx%bYGJNR!fSp|SNIBBJCj?n-n|+r#wC1f zG2U?Glx)JeFkiKo!9v#s#k$s}hvGH(x2Sh z)*y)uw1OOq#}R(Us&SSi&u*;Vd>J^;FF)*}c=F-sjFtBep3SlUrf6;EQKZaaf#VK1 zg4x352w%Twxu}EiLo}_Q$CmU$o;=aHYHsyF?T4~Yb>RyT#`X;b@-3TLc)~;0k8`W` zeyp(sEuc6tMXRYPT+K+?+@}Iz<2LZ+CQkbV(kghQ+b?Je^+#n*9UiKsvZa`C?Cnx# z8tr|gVU|4HmbV`+djmDo`%AN0p!)iJv$yj-i|b8`Z6`o8a1l%@W+Oo@6~%CQCb^gG z$(k6c$EvZ>%Mtd}$Blztf;GeT(&jvYAFb;sE8T|4*L_Pn@IMw^>)XEvx_D3aJI9Aq zO!(i*kx~Gh-q&>x+(HnuXo<)Fe9yo9?3J`Q!|`;U2`mPNbKTnz?}-x&?7uC&FV?PYHe9I7XE z(NdjxD=qZcVx**4(1ky|XQoLo6Xl0)!5I!K@$dNAzK4OJ0aViLQ&uChgY9GaE5djb z9$hiFqTxEu%2tM=6(|;De2aQ+GHOUU!>lDdP~BGT>=EPde=@voKDrt54htlPSR@9h zA$vFaR#&SPBfQ;0t~RzYFhl}vU%P(7zcn*@eqZcMo8y(UlGh83h2tCYqB_mCu>U!S zH)JsoN1cF&w=$%H41wqMXu&D#U&*m;81JW(dlpnLn%ksVL)@hY-(TEcv%Dx`_TTh9 z4+b#W?68u=qzbTTgQDTeWG6xK!8w-0hD>)=*L3sXwe5ro`zeY`toP6%`n@Exn8>(g z!Lrg~*5Y^;v9p?O4c=v0gqLCJ>{>_QREGfia%JTpJVc-w<8au%p}_o)uH?sW@8{2z zUwm@mNjY(zj_9jah;Uq)!@+PGPlm!`{UDv6^s;~pAd{g;5w!ESEo$>Cv-)8*`9nLr z_{~rM(gK`CCVHkU-#1X(vapyzuLLnc#TZVY=|Q*w;Ma$&UTJ^C98XecozYR-KWspX zpXGe*QdU8-NOwuK8-EKG?AKIFHKL%aJ$N-L2cg*DWz)C8NVw*~qoF;55UEGWEccFE z=+kZZmxpHu{kElP%{)cVes>>wdNvprU=_q#jH2tN6G1SDC%+m+q0e|jxC>P3{lJv^ zcMaFm8wTM&!V8!G7@VMqlq-IEt9#|e()^V-Ke8=rOJL*;c{V1(D*_pT!r=ACiG@pO zC66u>^zD^l_n;6;f$tA{iW_c>=f+BB_LcA7D8efpG3;M^QB*Uau>m?|F|d_JkT5F8 zyaEpQsH&%?R_BEEV-Lc)}@g3COw zdI-uRsjLFAT4S?wD+v|LJ53Z%GNHg*(CWT#-gttz`KybLgfw$l+8!>Yc#>^}Nx91` z`Z)Pc*K90cM#;-snEK6kul#6%vbi(OUHum4{W9L9>A@$}uZcroG<0@IM-axqQkD@a zaJ*vyhPN-rdl=r~<+?Xt{6J;4X^cCP2%%{tkBVOa@8Uz^%d5x>?W5RxQ(0Kc(gX-^5#BY-n_jI&hm;f$h9Rq<+DEcG{PxM!e&M%_!p{W>Y(K% zipkSjiIM)!WKi0*Mgr8a7B#hl+i_PbQcUbb$;DR9p6NFtoq0;#1Fn8UUnrr7A1Qs5 z0XMte4jntdN*bz^+JKV-=-iUhd3#SYE-3Gbtm>C6@3k$Nn_Cx_P3a;e^b6CD1}<1f z#o#VJRru*9G@U>(3MIFU60*YbOEKKc4r5j#bF*6{_<@MxT~`=wFH=kR{kXDp0Tgvr+eP@|axFkFtqCuVh4acx{)LvxOr zr#xG(ehq5eAocOADHk|c`$m(Fl4ZE#H9@h5}Y<5i1(t@=R#o%C|=;^HaPr&O*o??x- z3WK9~{H)S&6|u5BB99e9%mIm2fv3QD_IkbX#ZtEgydG7ms@|%qF!>T~#0BSKxpA2JF&ML% znr;@h!QckFs|O|!5HZT&4kJ5Pf}xsYSk?6~QWf~BkLqqDkPwcoDBv}pwbF3 zUYxam)|zXI$=>v$sSR1%eQ6%eJ-Ym*Sy8-9Ge07j?SkQ?z~0wHc)$Ml04Lj#jq()m z2O9FNw4qmUO2B2s8MVRs=H?h@n@odC4Zr;9&Q0gI%lBP$1oaPz1OFbNzy=5d)zFpq ztFVJHvvan?Fcfn<8@@rSl<&{*ekIsRn~^7vh2Te|i))jAuX~HMl4@TL>UBPOQ-!k< z3Z8cku_H9prIpDeO^q7fqv&w=D*tTN zeRSnwO)`IQzHDp3SJ%`b+h-{CK05VlEw9U_mx!?3YK^ zA$b|%NKU+s|MjCksr3w}4teV0J?tPg!t5>sCCx^8G%4?KWDr05w_@ts9^CYzQv zR0=*)tLNp@^PAt>Y8@(*dnNd?V_~7p3(CEmfg)UVD}GQIDEU=By@D&RC4~`cdq*VTBOuM&sA%*{CnU45Y-~S%k%3O!iJ4B&8ls}i4{~p-Cw~}@! zL|ymfRmlB|g(4VC%ezVp3Rli9E1N5+#(w=eykXiHI;AqzoHMBwtDB@UR(1oqUAr$? z(^*j%|0QLkQrg8=DMiI|uq22>y4Qq*a`37Sq!LF# z=Vu~KdPj=8TT+-!7sOyf^!!H`j>tSnw_HEn71?q6qcLf-!f|v2Ix5_bk@06~BVo_< z-vbwz;oNMWxq%E`dRGos`6uf$)0y7D*cTko9woLxoYju1^K0CiJa&hjIA)nFRaPF0bS7iTATXww? z8K%`;W#bPp&0ev6AlUFUtRH!c9f+XIr2K|}Rg{b-t#$v{Vo%esJEyzXHqvPLFdb=; zrsPA2F==zl_t1)%-g(-3p4Tx>+9#IB^u3|SW4TR(_%C=`a4;4l{g4C4TIX~B8zp+C zRsuKoo0wDWLmTMl{N&Ko3b@iLXWH5{s?ms~hTG>M5~tEm1jP6U0;Q56|=>PnY)aGJVi()wsk5sYVQPE$iu(sz`=jY!1CQE zF&Y$A?o&djiT1KD<=cM$9yr;xvit9Wm*|1&kpFdWn;s04lubr?^?(6do+T4)^fU}# zS^+0{yp&P$sg75TLpok&?;k@<@}*3{I}q00`yqrFBQ_$>D9eOZiHQn zz#MH-nF_3S6D)snaLspZCijQqP|E41h~&|`zg6!YAISZGpJoCy$9#0vJ4fu%tWPhQ z>sH=q2c}N>6(+~ECNWcr14Zvx!^*+c_gAq`(%xqA)m>t8n7#cLb~?WO+y6Ri5X*kO z2ncLYa;yL(#KtfLU4ZxY562PTR5@?~GrSL5sq^ips^YPX$2NFzV~rbGtv*+N>{b_G zdw#6-g}^7h5YDGKln^H|vb3%%kZOG}*f0L%hh)0`qrNK)$f}ZC}QAoQfI_B&m0^_(wBSlhTwe_)dBbo-n%4Sed*)|)Du34*DPo0$@EK|1oJ^K9 z6t^QmWE6nPl$QP^XBtEv%A{@GW_1>!miL@?gUpXuPkChA`!nDrwcU039OIR6Rc)t` zq|CYM13*Fwqy+Y#>!0hMIp53n1FpD4om;Qgss`;lPmW2$-h0t z;Bm#%%Q#<8`MYJ4Re5zCp|Yu7i*@jQxr#$_-Q_<>q9k$n3}}=Kr6gtow~5>C<*RIO zKyo~zKh6ssaB(+X(Xs4KVq>;Qh1MkjPh7UW5~dLU-o(0t&t{<lfF_}6P8|vGM=W3161=YX9Io*X1-4qUTGqKz`q3TG9-yoDmxC{8UQfpR zA=&d{oLcWB6ikP%7d9LA^0!>u4n13~HTmi;+5s(TQqcHC`Y?I9R<4t;;xLr5_!gBR zV8F!X7i)sqG_;C62HP{M2Q3TI^=@G`m=D@}NalbYp)bNFTx)&1%_5c9q%3zgIO*%V z&G%b5JUVjXJ15`?C767O;etBFxRGs0^dRjPVKp=x%!+28$w1tm+_#=xkXXMFx~di% zjrJ0GzgINIuixgL7inNMtD%usZNSQ5es3i8dFsn(l97N+CMtfr+?L3vQxTP zS2t9jsl1i(i1+NWsvTkckdXq`R{7Wo>%$i>29m%ax)%z_??$CJ=6F$|3Q8J8kOdwy zXCNX$c=$%f-XF|MPtIuX>!ZBJF)F7_md%M~mBN3+9~(L8L|ep1=wkbCV-}fBkUTo# z**NLe8jC_l$O2SWYDJGTyjm*5ebTOgm+h$YDXtnFyIkUYi+obuIY4an^@~TlTCC?l z5R{gI5Dd#MWV6Q3?*{aV}W8Lhok*6tN` z%cZj)Uyc2}msZ{x2C1DjnKxQ@Qp@}`t<*01^k>}u6FWD=&uHHrdnpYjj@;JlSdp6nvBm|wONcVF-B1O z7I7FV+s6h4G1{a)QqKlCp-*{O5v8yq;5XubIlwqTI#WU5Y5hn=e?mE-!YIJ!h^)uG zu3NrC0~g!A#F)LEx?ir*%z6hHlJGmE)HCeI%VTWK@x`$ez+~D@PN}d|CJpT^)4*>h z@M|R^9`Et}w@vV3^6YQD%HA@gl@FO0ohRpL@of<0?)C?h(6?txN)<30QGn_ZfxFbL z*jPKml@N)$>aiZGBQ2?4r!~2zwP;UX4$qK<^@VHi)#<8M-v@NwvYnaZX~brMhEj|d z6rpCfTuPVXac&x^;F^{>-cp-BtQ{YW@r#T3XntUDs}pq`6U2VjIv`*PJW}0x1;&AB znwQ8n)CXc-nKJ)t3Zw(LRL87X<%(;Gmq4&(ksA7@-9NQEJXzzK&*R?qm=vakStDy? z9KM^2Dc7ggBUDzc(P_lF;u$cIe?NH69miwst6AhJZx_N7n4(#Hr%q=_-e}WSLHP_Z zN#SHo0@yv!&8->{WZ((IBjgm2LhfBXY!7B&F}f7v!LZG-!75E@yJFyS9hL5}=Q}S* zEFX*e?15X-I8&~|)TKe79SO{*C-kA9L_w|bb>h&{oFv9RoYRoG-H+R}1%0Yrm1F&s zY9dY|eV~mO@}87kf1_^UmZLu!8u`DgJ28P!E0hv70Q-9igJtIh7#>s?$ba)+L>>u> zhxUBZzB|!TJt2m4mDtVTA4PpubQr&QmzS{SOAD^(jZC6NX?v8Y=2*lD%;`2c}=f$+)4O1 zN73%aZ^HmI*oUCB+1zF2Hx8~VphQ;K&zQ~|X$=TP4`a(rF0H*WI=x3qiez9vVWU;J z{;K<4f3JPa8;j_Ch_O8s|DDPhH&Cc`?TRwKV%&9D1ODv2V`6{AX?U}+N5I)HXYK_h z-uMDt*4eTnv846uiU5p7L(!#c=-A#3QH|Us1UZ&l1w3y)9=2ZQ5ww%hh?g3z$SBOW z)-1KL+q+q(lxBYYO5rGdYr3vbo>voM5T*O5%K;fRS09vF2B>T{FWZQD-f+IO&VM8ag43fWx2wV3adsUFk6kBKV0*UuQS8%@xX1b5 z18*GvJ>YB8`agVL4Ahxjz$!qEBD|V5*MlU($oqG7sCleW2$@Qk+?L3G@@-c4O0;W! zfn3<>Lz+2`*FOn`lnc2Y*3Ixa z^V)M%XyE6T9Y5Po9!TDqW5!PzkHK^EY%x&)%!V11Nu-onRq&xl)=t0MwCgvliFJw# zxP0VYJn7_1y=z&FZNeYnHA9mzvH%=BrhY^6@8(xqD;37#tK)mf%SjyqXEe9Zi8p%1 zw_cyGJ=*;;#DCvyMuP1p3Ou|^(^Cu&>ZN`rWO^+P$bsKEEd^_cLl-MS+kAz{OOJ%q zqRmRby?ij*+kp5ZvKB8n+?jAo^zP!~Hz&jS%+X(JK86!-e+sQ!IJT8k)zgr)ZLDF4 z0x|&xAPUGco7leb>S~m|r}mFn4Xm$J1+>fxpP+af`xPd%bn08poQ>YSmzwCq=?BG^ zyS-sb_T8c9e}u6Uh0ueTtD?EQjEV#c6ojwZGPhWBTQ#xxFPed$kqI7 zO221TG@kqiu(SG@8mTm^tqm0n+L~3tWF#vXpcOE?J&k*=X}=X_LRLm`Bc6P2E$1db z1KQi+F^B&ovU6o~(3)#7=fE7>jvJ>2$DBuKDt{O_Be?J5cWn{J>`z}wIg5{G>88p4 zyz*pu#r43=S7(kU=_I86+UFSzP-&>-&>H*D+DLk3O?|q3TxKoVZQa$N#S?fH%a4U8I?33vwdqz7n4TnvYb`)XkVX9!UX~WR8<*!-P`5BwJxmo)M zn|H{?ZCQE2>$6tYuWcZoMp@ZcsB!*JR9IDn6hvVjVd{Ez`MBvasS7|*a@Cf@o{c?o zBdxvlSjHdg$P72fcHB(5(0lD?kxDitm0gl%NnjM)m&~jt_riZv73V07Gv|_tqt%$$ z-oQ!7+A<~ms>(g%M!A>&Q*8=yd`!7t5ZWB=Q}WFx?yflP7YpgBA&O0m*@W<7Po^cv z+254oJQ%=lb9m{<@PeI7z=&XICTA75Z{yC#X`AoE2~`7!yO8(&V|+iR+H2FX5Z)kx zzU3a`gn-m|`0kd3MB)@3rUI7VZ>rBUW$y2$HiU+Ao7F1~*~622il!BYrup3%SN26; z6i1Etn=SKUSxYi0*IJo7u@FFEXK@exXEwDm;xYMR{={+yAw92dpVPS%R#8Zuwr|+a zV>UM8MBE2k^P*3AlwhDlVmuQVhC62;(>*Cj>SdvRNj*7KL6hD|{iOzvoKfd5i)j3N?vsV!g?7-EG(c)C8ur@Ru*^8C`g-D0tB^cZ{J$G<0yAfg? zy%M`+C@oyy+#YjNx*&2MOSJmZ6c9Xg)hgAA0HIJpfGbXlbQ8*Q3YVZX)v%p0lr8m; zjUE&CkE^niQ*IeWB_Ag!r872NSB5E0?<_~dFQn^RxOIrX2f5k4#mx9|n>H3=jew?7Sr$6m(0U z|8x-NDZ^yB>g=!t5CGG8>4A8S;&28G?zWeZNs_C#L6JG__$J5AGwd|fPp&>_NEHnY z_9E%(s`uF!jGs9C?}6YOev-Ru4qhVqtJyVLaFUNt&k?yZ9-`9jl+Y67; z&3Dgu(9li@2;8l+L5fz0f0wXy#a+Lv;1exKFRPf#{0LS*0+7kX!U8bUD0s?}2|1#g z1v0%bxl@=Z}3Oy>FC6ga&r z>ux}Ty(lsTq5pCG=~f%oewg=83MImqL|w0Z3A$=FD;eOT;%|G?X^y`gB}|&L25^ZPeTQ zl{lyWYuk_U*NW5qzNIvXwTu6CheIsRZBAzpQh7t6f9rHuzvx(4GZ^KwbkzXjXorZE z-uOC1deO(gntI$sk3{Ep`aOxytpc!f)o`;d-b^U`6msvtlW5h_tL;uU6qqS+EWg~{ zh{pBcAI)L((`tnij!mN&LaYH1>YeyM?B;)TMOztrAML z-=@*emi0hw%fQvcnn1%qGPSq;DR6xFFt-vWQ**e#&@ta=PkPZZhO_%|Qgu3`q45Ty ze6qJvsFPd9{GL`hWor)Xg^?-edvbI}1?vDpI;&DNiPb=_mc@+Oc-oZ53F!kzE(}XI zlqAnf7k_G#)jMfvGp$@wX=bnAW3Iad1wmm?DQT9dq+kMA~ zrFkH#kC5*lIhxzV`jXKvGAL8Ebyd?p+oXSXUKEoY>N#g}E~SIF-o;&ym4LOoiX_bZ7Oe%he{H*y71u1ftD zOjI6!`)vMUU8I>=UjE3YVok5yusJ+y+1w1V!IE^DSUu)cJ`Lx|3~bK;YJR#K98E2d>2~9!j&DnbJSno>{Ih zY|blC@^i?R_;C7D+(_a`^eQ#(w38bn{_?zJa+cun8xIfkD}K6l?BSI=Ljs?6r%qV$ z!=FO(p#$7y3BBAsE4sM^seMcP71-&>ffc3nE|zjbu4DI?{h6Re+f}4@V9+SI9s$B_ z=DYT{En=}5?48CSowxHb98ZRgCg@r16o z10z?T|21F8AEdUH#XRD8wP_i|&&jyb*Rqve7Y8c4@JJjcEcji7WWQ-5Ii}%|7R%9h z5q}ZA?PchneEC#&^pS%$W23aC*iX=V-=Edf%%TGbdOL|WN9IE71E|!e#7YD$r!b(@ zs7RzOy2ed}CLQ@}-ulbQ+~-FXa{ua>CQSYAi+bX))^|A|jS&T}JM|}CHnBHC^g{UU zFFbOI&RtG^0(mKg>D?~(^aRU8mT61dah^Q_fxr!Xp<9F_tB{oe9E<*trvy1No?)3K5 zIc<=XmL14rAXW-zIWzi8z%dE#%Z@3tSh~3UmtLW z2Q$R4L|teQ9$ap5y!`Ev;~90vw(PzPbFpvl%A|UKG$;d#IpxC5iyhMU2yO~3X-o0mgX@>y)tpUx?{HluwxsW>@xt2$1(C;A z8$XD5`}}KyDn{#}OeQmA2r^r_GrxjHBoV9#GKB|NEv2RSh3-k(KTNQ-#zwZm z%wNabVnP|x>bXN~G=S>8nhVAYz|Kbs$Z!DAv{&rvp!v_t8$?A#UYw!s_Y&>(fi|Ls zOXI8mo**Y$wc8oUCI;=UWDwtE$F7`&Hy$PPBvG7~JSYpk=hown6h@ki?YvMq`UHN4 zc-O%)fldm%$(`4H`X}ME&7LDkBlMqru~Ty?ySuk6ZEV?h*jm#z?#A`jcEq@6n^QVW zg}%g6=9jaPNk6)+4}Hripg&bF?>ceccwK{f%w0zo?M^ zyu0IX@aK0cq!`)vpJze6b$f=mvJId^6Z;=7_WwNJ2hLhy+M?1T9y1eC3Q1l!S8#l9 zI*STwqT4vzuTFMLiCG_-7vhg%!81TVaA{r zCx;Cvr$haSD3dIMm$F~fZy7A8=M%Or2tuEzBWB$1DN`U=`-vj%h?KpDNB+b1;po%7 z%lg9no&Z)BPq-n{V5vbcoQ2N}#>1w(99unSKRf3kA?NW&f_GmmI7xf?1?;8)JSQbO z)j4F2wOCDncQK@}10mJ)oXE-TbyA9SSPPDA{waQpY{d*3D~wrLP#VAb+p9`+#QR6( z=t6)_^pT}+8*&w}egyDVAP5k^Yh)Hi__$5VEJN|L7(f%qqJsBB2P&o`oIS=SyV9g9 z9(fLioAG$6m=BAcqKhzA^lv-gESCl#qo{Hwe7T(M=rQZa>SX>d<;a29ycN)Vwb#_v z&DYjVN$v-nub-gQAh;4}nm!rO*Ie$67tDf($JWVPr ziY>H{aGIFIczAAztj(nU%(lfSbv+shOD*+O6@@@_DcJp&zp;X=yw?5M z@UL@yFRT|9Y#QTNTug>_lHP3fj;4mIft{cy1KGzpKvp;Hi3lEsr<6w6VB*p8*5qL#VF(KG+z+{^;(Jf>GG=|Sux|+8iDZ?8H6k*!Wi=vsbnkq zUQ*$0<+cwZTe@0i8&TycKj5FDd$B)XZAy{{xAux^Wgl_c$;^TE9_TM$QmeiR)&W{w z=EPxiSREF`C=qBw4n@l;;9cWa=EJnMdM_>d3P@{ij7F=>eooekNffDw)GsiLaw7om z+5?%5#TbSWCy=WM>nkV7t!g}uvIbzdpTwb`s9TekHY?^e%Nbt3@vU!DoxZz&qV7wJ>{mi9iZPB9H zyi(pIk|c}5Na*M8H*&RW9*0*i#mNAX9sPW|_yD6*Q|#1FLt!L{FAv&tDHyoS!?c~4 zJ~6bIITCj**m_!Cp|>rRS;usw5b) zU9B)>uyNnc^u2>9iGDWqCDmHpwYd%-1FEl;(=QMryC4Q|lSk=2!Ui&@kYhI~+EOe)6U=*#=e3b%8%=+K(W=ExkEta^e z#?8Gi{zR?ZN>H1f@Cb=}hm)w%6|UMVYyuzB?qHfK$qq0#f^dcar^o|gTiZl@skX|An zCA3HzJyHTvqtXcwI!cw2&;&H0_+iLCYyWrW<~--W$!(IathMHxW4t43 zdwlYT)wl5Ck_-;(W;tgOq;PDW+_h__4~f^{60mW|)~N{Xut7h~j{!=)-YG>p1fz}C z*%r(HszX^eSnpU<>z|p~*3B`a+a-7}Y@&d#!Y4}J&Lus@03=6k^`(1a6e}2i3f5{? zn>;?CZ_KvT#gi%}Y?_-SiU#7qCfR{p)gG+3I z#kd9UQal^(URY1GY75e8hrgC#!DCKXL<)NC`A2PUXnQ6l>osT> zn-_==V}$0O(C)v+l(?%rhwNO2#fx9j!lb4k{*3<_3JA6=yJjxRXNAZ|3z>^7JlQ$5PD<6q zTI)~9gw9f~`L|win&8cHQ`1P~-;Mfe_YLFg^o(vO`pEPua@i##_4a?fM+r3X(T6vB zh{3fw34To*nt2vuK_i~BVcJZj3nrh4MV+0XxvB8H44CklUQLi~kkb>Mc`jiC;0+^M zCZHLS?J$PN(G?!iIjL+pgN-Y(#_eV!+lmMot$eG>!RX4O#{8L$fP~qerpoc=&&Mm$ z{;Hg_w&*|{dpQu*_KKzvOFiV(&4t6DFY|-B_N~n!S}(4#%M0{w=1~EF^D4`sZTRnNZE zxz|Fpj->QWM9SusN1aHIPkaj@3e01-VoL||hjSk0fpSK!BL};}HgdmVh9YYfH=7PW3B4J{A)g z48V7<22=g@mUR~&k5xR;epeoOI?i-wUZyrIcVutBW;W|v64yeeow9wBuj&?;5+C>b zwG+nTCoB_3U@8rhTx7{==j?qEdmAXWZ74C^g878$6|RR49B4BgNh5*UqP`L;4J+EP ziqFPpcHDLt-C4<%Fc9EKsrh|AVN2Qkbg`|s(!drundOl|cEx!{l&a^$_D(_ zT4sC_EL8>Q0RgZ}L2+AT-NeeERIgyxYF?-8L=ZPc535TVnMzhNP3+#fl(xtN|NZx# zc2#g_`YzA&7e@KHc`!{b68G)JsmEs@Jn)UbJ#$}tzd+yF_I;92#PLXTtBnJqqX>9b zR+NAoO9DYAvBRJXw_8BQuXCfzd-PMtZEMR@IwbaiEw68g)MT+l_bGxiTG*n$IL7^= zO{>ep*5BKqd!yXp~b@Z681r^q=S5y>TlH+xifE5et(J)b@?RijFyNn#3$(y%-wai@w-C%XE{?D^S{1E zaz8#xXuQJ8t>aBfKe^I`fUZyt)!pamKyj5`x#BYFNw^8xD}K$*v*Nc~o0^A5kRr4{ zte&q%v{x>SPR)(zG3v^xtAuzNhV(tjlW^#gn*Ztn}n8ZfUNC+ORGmf}Km&@@0PW zbvdw*>2HE}%?{C{>cE@MS_B8*dHcPNp)^DF8g(|>4gvh3JX*?2gUyhf?r}?q>6*GFrtOq6o zy%Bwt4rzL9jn$M(jv;T~6p{W=%nOD%5r&TW|FS%nescoLvB|HE;1sJvihS1=J38^i zNrt1)_*`0r;B1D@KKh;^-_@$b#P3I51~o7+s33G2i02WHnNL3ur-Eeymh8HZpcSJ+ zyUV)Y&#cS!4V=jLcf3^D!l^PcCF)^xrsro%)EQZ++Zn@q@r=KNLS)39kV+g242HK( zN1VJ$_u1DzDRSLc5^9ZNmRN%wZr6&HxE(t92)2hHFm1SpOug_BrXzZ^Ki2|0pK^7A zq88KP4rt@)fn2>tT0KE<(sLnIETfXZFK*m?uoL4D)kdh0;gG!&ePr`wc8KE-bLRlB zEM+fpZ%N{)3zjcmS>&_uS;x+3sMdiuFW0Ux_;t zIb(RX|MWDzf*Q$>zUVm4FHp+4(por~MzGaxr%euKzRBE?k&{W?C6aWXjGm};D$%n) zf#+&!Nj5eR;F^Ef6hV2K{OIxFqV?oP?5@W(`vdR_+C{s#FNbVPGL6B4YX232q#TE3 z{CLAIa}jlIZD%ZVWR>ydYjdsrY)Hl0Xq4%dhS;qF|9TVY8(9#Z>EqrPuG2z)V27k3 zvg>kWNPAYZbBR^TIL{j!c*?5i$FV1)e!soujg2GE#w5*7-8t6NRw3Verdy;e5nT?V zz4^_1el)2h6Xil{E1;#j6aEPCIjgl`kIYVDTHPP_E56j8y0t1V(H+mL<5G{U^t`5C zG>7tpmQ~#?LG)tCMdL66bYXd#C2 zp%6xQW}W5A?Y^D@J|2TtJ9~5avxm+!FJ+QW)Flg4D*V-;T z%zTzL$PA!)#%B}erB=_asUXaUDGl|=-DX$qYSCoNmfDdst}#u~Y_Y{^N6Ov+MVOj1 zjYv&f!KAcMWU?5&dANaC5uKryC4Z;WNDV}E|C|-AKT|nwfEl&9;l^3h5$So1rrGOE zl#NV6n;I|(V2de)_^FEqX`~z{#}N%K7SGcwoO!=PNed6p>MECHd}a(Z(4??O9^DODrRI@$y<{OpXfcCe+!F-w&+?SB#T# zOY^}$(3xIDdT#h+XfGaJSqV$?KFbqcmw*m@^m^31MHa$68ofL+F}FyYQ{5~`Qvc`b zZS0J(p@DflluYL&G0kWfm=12T>zZ21^?}Ou?2fmp@+C8)JK1t-E$1p*uylRNUtMO) zT5EELP^4*J8RTfr*ri`hqfSzaGq(WTHrbIvmJhdOJ^?%Da%v|wr9ruYX->cV0wq?f zSZ$jn^I*ylmPi(RH`L2sAa&EwMNkj#Z_Ut%`5%({q?ZvMck^s=D z8_a9c_w4K@bNo!a-*3#_GtlPUu+C@OrLmrE^6R=THR0M<8TQyAy-W`zYR;C;x?)l@ zPo{3eW*tjincYM6;{kA+S+E8X@s#|HQ z(@lwcQ#{sT%!!bDlsC+W*A0Kw&Jyg#+hvdpS-=M1BzE8$-yj7|Mls{dBs48 zGYkdCD#c4mbskhq%1+zfA`mv)gA?cV&n8|!c%QgjP}cgQA5KpIhhdNlFiYXZU4yXn z0S#-=ND+B7kcM@MY*pR<{utU?fG223A>P2; z2yHE4BB6L|x<&()sK#{G%Cm7FUE?`XbO29-&0?N9H`#`7+^vt@yn>yODeX8uxbu3f zz&O~g6=*Y$l6@_h4*?!krcc9pqOMg48l|lmXWH^~q47!v>>$)98Rh@fL#v`;P+H1s zdjFhu!{1|3vAuczCaXk^&8<3#=2mqY5dbf;c5=`y1S&g8Kd3H#B-lBx{+XLb&fI&k zl1yO<%h}KJAII(!ORBG{{Iy_e(S-+>-yqK#CuB%L^x@$^G!E2zW@<|FsiB|WO|K4F z&FEM5`hED;)znp4M27ACvLyKi)c?}0pJ?B>P@`#nx*QF#8~MF+_g)N6wt3PFbLu=5 z`mDqn@W?=X(KIkvyHiHF#)vu=nt@k$>>@K22AOjc%CW8fzFs_!%DEX+;It8u0(zEZ zWL+ALDxSm`r?N|+<1J{|_kRNwdkSG_r`g?`c}-c~X2y`MiHz~UnPF$#t_`BUc7OhM z$t4YweE_FmmfuiZaH0DsDt`z=k3B`va{l%R$m;GM3+ zJ3y=XenHFmBWI~ntL3K|z2KMs(4wvjIz@aNNb&V_n`SvG9wg3#L658{D z^0AHUDAALq+>&O^U_q68XU&s+q+n*$q3N#g)lL%UzK=a!{B(ZJ3WxMr_k;IBAt=Q< zs_==E4+H<9T#(j~SI+l=X(BB|RUJ=pEOB-InV4moenYqddE4v?V=*_<`#rkW3Lyt2 z8=znx^;=DkJ@@+d@~`oKGWN7hf47kzsJ0gMMr%mjQ+F5YeIxjaHTi!Ezqd zgx_Yoq~R%tuj5xNTIN^yueWqxq7;326lb>hZS$<$bvw87kM3Ri_;c}kPGBwZ=be#N zjI94`VV24DwZXH-KOZ;&>3H;8!zk_5Ul+O^e&YjTnk?^sd~%#uoo>tkosMibx_Bld zEgw<<+0(pz^VrJ)ZQgZs=O)7pkv9E*S<0pjJy+rC#ybV`r?ESei+M+WJZJ?*;Rt~= z&8pt=P3e(=^2AZnS-6k==gw=Sw~se|2P$>T7?4J;4;0A1jS&)QrH5csAvEe8GTd)S z5u~6JC6Q_;sjSHiL_s&TIUsINyWUL2m|UX*t;@>4mri}+TT;5!3S6Zcp@$8b zXut6V-8ITHk&@DX^e7_IBB}?@PW;(#7Z;A5b%?+9FN=d-VYtDnn%Enl)Lg^R-!RSU zheN0Ncl$?r<&vrBm zxhR`>p?peSPHaxuMPgk+M$AF~!kekXMh5;Lg*TEGwwo#Yz-O@CITxZ%@gcX;LoxghV z0+Hu86(^_-dQqG}P`h4LrpkaMn5V)T&c0OUIIs#KMpN_e)16*{P6jc~F zl&WS>2Ha#skV8lT6YF@@N>53tj|a+tDPiTBzo=T#`KcVEPV*BObsEx#)g3lqPZ}w} zQj0Vi`+gU<9LlRSzF{|{c4gRely|ZD%q|geh$O*WT-Kb+NBW6q$Pn_*KXf5&zbhOh z__J-jcTKV;xecIhwLI$R6v2L|OQ`)a6DEJm``5;;w|k@y>PK~DohQ~;EJN>iUpVU{ zeAoY4Pv2dN;M}Qx9icYapkhBaKgg%A!$G<7UlzfyJE%T*A3`?V+%;U^5+k&OlY^%F z+N{$^Dt3NZ1J&1?Ynrj>t;&HctJcO>nUs;Zmnq*OOS#E^Og6P~_4IX#y5eZVEZIIe zwwpVH!g4P^c69vy^wJ=bTeipK=8Q{(-)hTvuJ1pN`|1-eMP zClw)U0Ts#=>pxCt8`k%*$*ZP1#17p&V>_<8dRVyG8!*VC6jqsR>}}c2`b3t`)TX1X zXFBe#wov9lVSs)20`37zTS!|n`IgTtXpui;wEoM&uLX((>-mFMX~!Bxuh6h#`83Uc zS*&>J?SMGQ4!qsH1CG*f#5kV9>51^PrebPtQd4tmRsOOA)Cisqtt? z$xsTd?2fvI+z?B2s+c)b-c=)L`8`RY;EukCu%z6LclmTvoxyqfOWY!ebW9!q4Xs;g zSm~Ay=2K3d_}^w-`_@U*0|BGcZkHP}M+f)^#^kP&D)aPyPUp4rytV+*3Chh7d)bDX z45i~TI1HN~2xc-8Dc0Mf>$yH3J~Ui#;}NTB!mKI1o-+|OYvAZDw1)Yzn~W^Bzc~5L z?06w&P|S@-G54ObVAfQW9^|xa0T{lv9;;J=;BEwhuU6Q3GlpoB zRAhtai8&KceF@bx5UQzO7kiS#l8fnKnbmstgS#Ut%1M|c4R;#A*W#sJ;n_U7$rSfi z8LMhW>qy1Uv{u@k#)+puU*EuyVX#wQ3s=2ab|d0*`Be> zQ;BjX6Hq{9p;SJLF$@^FGM+@;+t6kp}t=IXLNF(cBHP%#A z-S1r9*H^~>GA>TNi+|TLzZ|RIkHJpP-TgrKpUc4n7JV+lDbG($tta8+R0AF7|`V(6taQJ+`ob&iRvy{+V$ByM0!N)POJ3$ z!(F-gl)jEoZrrU;SD2;ajB*y{;CdE9rF_30dxEs<#qjr~mfw(`PGUhV_^t>Z;Z1sLuP(7X#E961T*NAI2WId+TAXNz;v@&)?d~OWMCF;!ZUOj=m-5 zhLz~q8-^O;o(L%UA&{?h_sscL+e%MO7(65oei0mDLn(TN7YVzoBkq_YBD(teneU&J zf%E^}#;TbO4M%!cHAF7kfM@WGlhX(WD%QCBwFVLfYu>41%CDLCTywui z=!KgWUousML!ASTy+dpJZ4Vi%lb)gTF6GeRCSwxN=hTv!arB8&_X@Xq*=gaaPF~(- zuB&?S!h++!gL*Dq3MNvClz?g|dYPZIH`p+D3s#NJcek^f_?M+)Us-lNjq?JSfy|rM z?K%cT$lGlVawhz9lckS^@~FI<@!wWo>`Tpn%Zg9H4xK6mZ$dlJI!SkeK|q$d?$w0H zzR4r(Lu4D5w2fLt9%XUk~g`fVl;GVS&(?2 ziX3EU$jRds3;ST;hpqCuFIh{dY*6vD|MNB|Z^Y2(aq=LB{z8HZ&PHVGVWNB`tOB-K z1Qp*K#|zUcV+U(}i_o8sxGC>Xkp>%7UJI#C)e&djJ^m|V5&w+wh4FF>Ksi8pEt!Fl zNBOZUd_}99fb<#0Xz|(}v#7Yx-Fu2(e<;E%X!9y|@eB9)?$XbiLMEi7vbS{jvU6!U z^C8oYY2u1Y-*3`~PW!oh|ITNP61+}~n^ep)rnMXl!Q39QgHX1dRi*NdzE;^W} zNH_RG$-XP|5={G9NMo}9*_s(MY}oV1lg2dT=zB>>$&tA&=gN1&fqF<@y5^lv42yCW zuzP1)zj;Kri!3EUX;oH`49V^p{bY6>Lg?G{RQCm2sD{ndzh_%|Rut=$>uDDd{Z{j= z$FDdo>^;+XBtR`lp}eK`3Zcd-x3pjk7S>FO7q^U-Q1v^MgXL6qRzW_L%@od$Nh2Xa zFS84e*sqUWOE@*|(nMSi%puJGyo@hl`nwsdU_>{9<35BAUruzW?#X{` z{T=tfs%QP`pU}7AW1V(5C?2w`fSUW;tm(xmuzeMN1_iYT>@Jh*2U@GlFS!Fy|6+Kd%C z!0?8myxqaV+cf=5Q|D9HC0K7?T!?BFxzEU-s1xk>3>*j+r1DeKK)+jY1F?vbM_-oU z;@;YgFTGP-Tc7u1uzrB8?%t>B7X#?v6j29&fMI7WXfbQ^EmxH>xmH{81?a$DD?Ft; zS@Gq_59hjST3fL)&;gp|h#pb~zKCU(O$y|3+Fy8v(8HQq)(O&MavceDY$UZAGbqus z=@;K0$mqsM@n0UU6m4l5T^Yr{Heg_18&p!zZf=?kJnT($1Y`L`K2%$R z*4XZALZ#G|G6+xn9XTfmvG?bIf@aq}Xp@@iSpRy2N>$ZQphaV4c(^9YYT+>EV-Ok8 z&n|=pAhdZjIuuv95iEc&_@;S=nL6szwoOyT6U}Tr=+-*FR}$u?;TC zvVOR^o7}oN7^Mu^=on`Zo_m3%%d$G+@9!^EBTlWK`ue)%bzWHaR=AlvE(1Iv zk1&Jhfm~rwuph42POWsIU#;6T8Hg^oi~dt?7u<8uG8v997sWIhK2MK-74Ta-OfG*9 zRpYd|;_5n>#@fy%&gcF6+4q?8lV!41T%>WCN1W@oD5E5>l1Q<7^UAM;@3*0onZ6kF zp-RRf^*UT!0CO!I!L)}b5jNe}yk=yNuj~}O{E zD#&; zp|?3W%wAv(>*l)m1U=kk^$k^B{g(8&pYz?dRCuu5dw#XMo%_LCl(dBCCl-&NF={dC ziyqzr(uc3xThqYem7@}PV3vG?`M7TCkW4*hr!`jR?&-`e%&r!HKSaMcLNPTXbu#(@ zxo22<_x?H^$Pui#Y9E9LRI^EAy{JJxxyKV+B=1pLeCN8`yRWeF;wI0t1c~bHp6Xf_m%^MByy)67l0#-t z!TWxj@r5o99&$;%;gT^qtyE6umno)jmEK5mRxfxzW|(*`3z?1(aIf zZ!6u(FC1MXBx3n*r}i0rC&ersOO)i(wtFXitmo)NE9~~hZ+l_Kk5K3kJb6BSKEn

;^+vsk=W{IOc=5PX>LCvi$ zo(Z$=ho>0k>y?LF6jKdTPEBlXy`nVMsfgV?Je9S+%1rspMN4{qrjy&}!V&mSq0gBx z3#Azc9&+E)!?FC&($Z(*&+|R?zTM-=Mrlv75$Z$WM6%sSJ5=0cpaBEtDU3{UI_BEd zVIew>QV*a>%Nx%tre_X4{mRcwPez$W3EM|$7WYSO{^|QAb?Vp*hn3j%3;=8zcLR0W zghY-G{g3|mcHJLVC5)Dom)~@BxvOWGGvV}NV8f%Qup>T|?EJgI%4Eb9HA?y=Ao#-ldl1)^1l;X+fIN^&$(R>DsRsJF4aq)4(~q? znG9+e(k1ITgUei-q>0`K%AJ}s4}+|41ozpyd_=CY z-oi7ef5*d9-qHQZ6hv0tAAPnX+k{jf+-s>vW@M4V5ze@jvX-``0S(`le_3$eAWDG}czD zcsS(-E&C@ejmq`(TsA%1B_4G*;6iSV?IRtXF8&m`CA}paG?jS2R6$t20%Z=?m&)oFec^g^R4S;8TP9aX2-ShI zwfV=xE5k3Q+HC*_W}9udtsrrZi)M(%af@lo;^oWG{~@9txT=ZgvM2e^ zUqweh{Fo{6d#7~BV%8SnrskFETQOr{jl4W*?B-=ai0zF+m7fxG{n9CMQ0;3Pw??EV z4?*M}rqN^_iiCi>f@G0;58)O@^Eu4|eut$8X~6oqX*V&lxCl&BGd}lEMFo*&WKB?P z{T?@Obb(i6MM(NP1N{GNd)n*5_kd8wJ{TSd+C1TvfZP-e3?NPJMq#%8XNY)PP2Zp} zeKe&}xm4kH^!mf|Ph)CIi<805B8@7xc&#{P6?v^6m|S*l_I;87Zmv(IkP# z?w-=ttJ<}J$m7+>r!fQE>98cd0pUf#D7*%cp06-pR4`rbpaQpW3>}jQR}yB_HZ$^v z@(#LE1#sTw@d^jh6AN{q7W<7Xy^7*yei-TC&Xv&;G0kOyi$C}X-8}$!L#YRC4Uh!h zF5Ho6zq+5fiBIvf&f!sP9Nr;}g1XS6J|xF7UzcO)al@-8SX8Bgoc(z%si;B_troVD zf>9$XRknOSnbXp}JmB`HN6IlQ49u(IQ~ zQq9osz}H)a+W_IT;DBHnwqC6;v|6LtNsP$x*`IGR+!DDoZhw$kQH#)A({oo7A$vt$ zzq6+B-TAZhAVEU4Nk{|LC2I8~&bRIDdb^^Xms* zaU@Y6iEd$u>s)1l5Lk|#AZsXuiqk+?cLyn+c{HIGI(ld(QN9t$G1WJvk9%n3m25-e z^HjKQ(awo^_3*||xHR~oI#XyJ8YfvJl)gEzLKUcmcuVvt#C|EmzJQ^1dA9~YHk`3` zd!+T`@;5C?>~7_9DQ|E;ahMI=l zUtTLC;uAY-Ue0mfr>%9+?&s_`?pLx|%t-2=9pJ%B(LsKfDfJEX1_|X9uQ9#pI;mF} z4U^x&Z4vjNj^?R_H@-)=8xASmL@Ms>jp(~SqiaeI_)3O~P`u`{nsv+961}m)? zy2?F6QFoq;2y9cGnu5DZ^4iGM`lRucPVkq{m0nZ_;iw>VhAB(n!roGXFg^zM-?@nm z0>*bGrXHGX#%QC*w$7(>(s}O~_VHg16IoZQJ5YXqEMT&8@)1@wb4oRr)v2v1?)){4 z2}A3;=I)IYE1N55p?yZV zu2L=mm71X7+zr~p`?W-Na%lJ2npsmJ46tv3utEe`e|s>;cMt^d z+(*c}NZ z<&ue?T)SfOEj5ykqjoT>JT1$(=J!l5+yJ~6Pr6QA5rIt?78OD+)G(8QDe9|y^g~u9 z0|Y5JEzQ%RO?KT%py&hd%ZZ|0$G30S6NBASlE+N1fY_BzMqe$>FuNt~Bu=J<0AxkT z71R~iG-7%aKQ{HscpXN0!%QvIhlDKsIybH9yKCKe%PCIq!GmZ~Er);xEz3!&PEP17 z_!paE))=MrKmol`%(YO;3Y$4?&0%DzmN*vL5Ll>%5}DJ$N$qIs?y8*Sc$OL`%n@-% zxQyo{D3vu}0~GR=aQjtE?!H7Am4uhvXmh1$6z91T-%0IAL{F<9nzieMg#12LV zY7x7>wR(+uc zZy@LF>f&^#_PPymMmt_!TUR5d=Errpu3)t;vWr}bx^8<3y`4#1k!(q$pst|<$;klR z)J($`7ujwI*iTsgkjx*E+*uQi0>In0<_7n*$`}r8!w1d_=icSXCP}*$D+|&S>zmq| zp~6BWJasOhd6alf$w2cS9hJUZ6EXD-|KrD|j8N2wdgM~Bf0OkG{_d&rwQ>psAAqC4#;J)y9yXajXni@@UQI+wR-`@lzn_vV5zH$r%2j<=edx{?8BVGE`{@zIuHxuAa_bB>`*Wp_IWw_){TKMUSH`9(0?$jk^j}&RJ|bjVJ`R?4HbbDL7I

_)!sLr zLOa)b1)jeYMN@tH%sN8wzTN3IEI=BzH0y$)VEalu;{=TOFN={{5le2o(U&)X)P(>M z*XCujdQL_2ww=H@AEE1T)lXff?9gG0$QmUVqC{vEBv0WUxb&(^P=<72 z8B~K&Bb80NG0p3n-dHrnsTO1BTw8`JIaFWE8yVabP8?MTk(u(uICq`IqNy zg?N)|*+!xr_r>*$MWQs{?j-VCkk7g_zHFSABOfZX!xiBx{9C&xXdduX1aPXNM*Jv@ z&u<0=)3IuEcuJRk`sL?~wF`hIbgW$UwB?DVrR^MCZTMXKxxo;_x}Ogbsj9MkLA#vX zehRIMYHzbj1y?_Qt81`12RF?U*)3tB0OHopE&P5pZE_iS&%w^Gm^BTSZVR9h4}O#3 zA1|#h_2V*j@>wv(0wFuA+i5_$Xw33^hF01eBC*Mk-6$;C^cwxF+HC++eJvUM&z0-< zIobqCcZGi+PjneQ-(IsaGULw2uCA=J5z>jH$WZEY3d8MP&4BPM8kerp%8pva!-H;Urde{ z0`WwKwC;hl@Icxd#!>^-vp9WwN0&hi0TeE@-S&8G%(P&nGhP444^PLdP00wp&ds^G ziGYbXwOa@`*THMcH*a(8%9iFz+o;`Hy|*`0G9>KALH1RKfJn zb}9~ZDF@ZVoxw(f9CDz|)henLr#{gcUC88psdbO8diwKs%^uWrn|^icyNLkptjk#j zQ<-w{f16;1md1KwUfvh|HsWL#lgM~ws!<3v3nW?+&h!X0Rp-~amq|FTez zr{&h+NL`E;n)LgU^*Qs)W(Pj)qUW#n7|QioMM}I7KY{X?4N>2Gx|8{liK^SF88tMA zD!!=h!hCuijAdID-Gidy&#|GOvFePnBG^yOU^)RX6|{mxV67B>_P)S5;L6TM((4;n zX5oD(U{iXKS&Xf!tJg0PnA%_eK&HC>j$BL}P8S|iXNX{}H{tb}IpLu{M*`|`0-2Uw zuHoB8d=1>JOG_z!MZZObZ!e~Db%!Osc_{oBR^Gr|4zZP?lLEhrk%2{5K??nCHsIU5 z86yo7EiYjE0+uZ}YuZ3}!RTaCjUF|r@Q!HN5R2X|y7g4s*MKzS+AdF+j@xS7E#0F+ zT!iy&f^r2P(3V?&Wh?%Ce(bK?{&=DV%sN#6WdTuBA)Cg#giC7h zbVjSorJT|JWNwee_yK!ic=P!kc=J^?K7WnLfY7FwO zvZ*R>{C2*}W0vz>&7<*qB*Lz3d6q!f!`i=#jN*@JU!(lf%|)A*<`coJX7H|9-{cAP z{zvFud{}sd-9olw!vDfrvnH6;W>ihz&S)uJp1r>qqAnxrIyJ*FJ1xu6F`T8h_>Ak) zGtpRm?S&+*3Lgm(`Q&kaE^*MGSmE%q73qVg=Nb{|dzEEVz|kA8Lk}jM$jDpM1M>(; z}GUX^Y;|HoGzp9RDM(43*0XO7Yh`|IZ%=$tuJ zdg1GG)QPN%nU>9?!b4j>q*SMl%jp+Qw|j{uDE2(MpCnnBUY0Hn#=i0Y?PcG5!N+g` zwB0`uZreQUOk=cpHDTu;O=!ILzoH^{vgNhKKS4PQh*{<)`{$0bgfc_m0+Z>a8km#S zCK@4J)p{V)FYR_qthA&eT1pb{cjl&K5~h@p7_sIOhdk5im(;=kB2Pkx+teaF8hlk) z720c5w`K^wA`F`?m_`R|^qVs+8ybc~s}k4Ja*@p+TgIv?5|A+al-8NG3)c?EjA2H< zG#{8MP7qhl3$@a*$tyYRboYJ%%Sk^2V^5!Mqj>!x)b_(dbdfDmC5eP7K~8cS>%de- zad!%2yZYObgzlX#SFQoChEL6C=qu>fax0vEv|m{*ZMiMGNf6tz=~ZvEZj3xJE9qR9 zAVqvvq^~<7W2HLr_=c#C<&91=DGC|t2;(Fv6H}YCim9k9dx+vCANjT@0;G3ZBe@RWAp5 zZ4#c+{dp3ezoS_7lVmd$@ zbQK#KKD}}qRvRLdu(QD~b4Jw@mg5^V#YP~H|0vMi;+gw31$jEV9`8u1tb0ADddf7{ z6{K*t_gM)h{|GIG8mR-k2%1(~Y5uC6hwBchae>CHJW!t;UaW7dO`1@BJ|g*5H<1)@2{X5DZkw34q}vq+x))nBQ{njo5qJ0Y z0){?&-Kc)Jjp9Q|R?`!+#?ms&h*|LDeMIK|oWTJZw~LTLqxz;Q+bT;TwGq;TrVkSZ z4Z#&wZzd~U0^a7Uag9gh+LR8MM&NP8Tf`MUrQfhZXrUzB$e?1Ly&r$AlM;gIAxc}L zeRW4NMkLaOnxhuiJkHANNHH4ZNxO+6ukQVxP+jbN)E35wq9@HUjpxx%(fbJc2?CUX zq;6|Khp3j;6O|M|wU%~M6U*9tnY2DPx3R9hcRa&B?)yw99}{0;Yi4Rm*k3*a5Y^KI z2OLl|-2w4)u9X7xQN4q&+#M^(D^gZ~i7~Fko7LIiYP@)WFniqKQ{8pidK$j(Q9c6~ z!^k{kR59vk4aqXn-^U2CYO_UjF}znyWLTMP&m`xhAL?yJapFvJqgWlkoO%4JAU!%6 zjp)xjnZ5%bG*p@vl+>fH3@c`M)0zV9_HY;Ss)U7+3tOibQE%jYMiX24Oh zbQH~NNYl=uY!7TJTeMT+Z>)l}tm7SWYY8*%nVi_-<}@urZqVkX&CCh)wfFKj5ZVZ4040fRvwAbAmX?IkhT=8p!t0rjFPmS`^QQdy?QhNX4fXD0e8imf z9SNg5UVN@qnqyr;PTj8Qh3W{EDu$Bev@Qu=k!(O?~0IFLn?R5vBJ~rAcpr zsB{nzP&$MvO?nM2DoTe)?;ySR-c>rG_l}eV0ci=Kfsp@M?s4uNd+)Qy9(&($&-rri z2R~#8Yc1xQne(0R`@Fvg=Y*Xe&4$k7lTf43rwK+Eu@P{IVgR#$ATD72vg6|Hjx&HK*g)y7D9+nE%YUhV#pGwoi+I!B zx(Y}?MomDndQA<&4ljvibPN;Xvx51E zdAz(}uhZvNFVNVLOybk#*A`3D&=9*Asm@poLS|6+=>Sbo!RpxNjKCq_?VMNwSeOwX zpJ07#%wcU642x_w1`D8m!h70^;+()Wj?FraQ&-lyF-x>Rvdn4G2F8hMudRcFfqC!* zXaefUD8>o0h&wKkN1K~;VY;e)&oo6}B_B@f#&0^M<(cVD?ebSKo3!T4H5le>zOJU- zx?3&{Y*Wngg{bP$BSBm~mT!dF$8}6oUBKFW*5%-InWXY4ueM?O<7dGvCuchS(C;f^ zE)_9fLv@*Y9)AtY0iHR8I{`nRhs#4^Q=r1T%$|sGSJZwG1=2V;N4}!%2FyzN$)bbX zg@a(~0TsWk3TQ7x;-!KI?5w z`swFWXF<Kbd75H*kScfCU-6*&iz?Lm%qaj>Dv$9`=2sZk6ff=390?#~3Mqpkmtu zOM^(`*F8A#0{|F6gsl0qgQQ;LT!iKA6jwX(-X=|s+Q!DLwjoe$+LHVmEf-XhKLVA4 zx@A%$)#Iaqz z_?PM-I0eDD;eZ3jH3YDj&1jXrPPxZpOSc35gNiS(m6PVmZOHG{=DjY-HoNOhCA^gw@kLXA zU2=j3f=vy6)mV$K>2~FE9A`m?C3=rb?hXoCkUO~MsswS=XdL5Rxo2p3jjs(FQW2=xCKDBp;e4C zb1s3Aj+pCB!X(?p70C4eGuZ#_x>?Xj^B@$Pu%v=!ZZ8HrFHLcc zfiY;l z&6%Lx*Aq{fDsq}e$yfx+bYBD|pK^lzYd;@Qsl@GGvao6Y-9m6VWiSx-2#S^59cLZb z9+!47miQEuXS_SDUBrhBV%SYk@gCyH>a{>}I$UHCD4WE}iS4^);L4;H%*B${M(|C|!b8ID`Jmd5|1h8#ltjfip43-j44wo)1K# zx{-^gSrDuW_U_>GCV$SuV$tOa-LH7tw74ycwa>X0!<{!+B>!r89`2tl1sVkcO-*~s zY7WQ4f3OO)4{F(V!&xIY*FS!MO(3(|ggJCrUuW;DmpMLjAwV6Ti@i z)@H_p74?Q+SIi)CJ8RH}(x$yq+qe>Xjo-xgv^N7Qwlg*io%7k7_jErB!2^)EhmFg7 zKiKDYQe&Tilvv{w{B;it=o!&L3BPEymjap6s@{F3Lq&1CoqVzx9dB==sCgs7IjoU?UI z2=D70&uPH;1F6lSN%Q#!;9-Jp#MPrIMwr<5p;Lji`DMnd3PnbwpIXyBJ+5P8O^ot{ zbFGXeb6JJtJv9K=kApz1g7Z*Qf3We}xIX?gK^l1<%?-E^ z*{HTLjnn?s%x0Fsc>!x!)xDG@bS)s88zv7JqK|Fdf%5L9o0vcu{ut=T@^FN1KFZWM z3ulXwdJ>b$i~5>8*1mZ3hb{(gaURNJJ&eNXq%$~`Ad;Lqx~$kJ-QE%3q)#|qYB^pxfl7>WgR6|+&|O$&TJ9r$F~ zQ$~RHP~E#heB0~7%^CRWJXq3cK-SHD38d$R;GUr%{gc^TwF7h2H!)vPjNvP0cUuY_ zvVE7XvSlF#30fj#nYUZsCAzNDg%67`7SfSMzmM2PZRIdND{InBN4o&2=>Lu{1S@1m z_>9~@3E1V)Of&{_rx`~AtBa0|fgO7(^Aj;}rO+Tb*xkC70X{Y*FG2fH->Gi`0u9@8 zATOq-k&9y)WwxB{h)m~B11F$cz=i088%Fb|qoSJAE>#r*;!X}OjYyy^C)P>!(naiM z8p@Ct8g=)9Y&C8;$l%p~T*wtR*;%Yd5t zUw}O>&M8N)(>ZUqu&OaA&)ilreXTtT&k1&#!$&7mLz9^uG3%+2C-~-Sr#0gc%^9)D zswJ3-X2W#yj_D^(BMXxSyE%a=KFRHoS;v!ykBgRQ01xM6I5raKs!Ef$nDjmxVd&ID z20yEr$(vGNbEKgOwm@jtT_8JWN^Atg2RZ7KeOReZsPBI10HQ|e*WTMl;yCLeW?Ovm z+z+hg)u-gr9VU_lk5eKkQ6FL}PuyRJ1J(?luyPap z3O*O`e*=P7C^Fj^-vgML`7Q5BG^In+#pM`=g6-pXG`p0c##H17nNWQ@E}EST78->o2b!VP`mab;~q_RYh z)%%+zaB08_-pk&!|<*JrAdU2~-YK-5i%vWe_R&*4w%8TYV#; zhZ+$=-1;@G5CgTx9gl9jta?293$nwN+kyW&htKuIIak{+QKWPbAOejP((6NS z?HmG%GXh@0IO7=-TTy$=V5(^=2u$(y&u$>On%35wD89~zQy30bZ2^kcnH`gsW&8RU zj0O`9rOXL=8rH6G4;R5_%3c|-8WqD`@Qd%S-Ftju<^;5DYhlK-8qH{4;0v)`mFQ02 zk!&cY!vAfva6clT3>Um(RF^Q((&p#P_WDz9+Lq2qMeMgu;UR-O2T10c>s%n<3b)KM z%y*d@STB*2hr4_g_Nw8t1G9Da6n`V4g!Uadm{Or+`A!>R<5qgOAAK1H@;A9`=-`So zV@dNq0)h@<$&|oVoC4YvVs7+vDNm*38+C}Mb@ASkzqS)+M@44;1UXov4dvX7V zvRuo*1C9Sa5xBT>Knff)6J%oBEh*3|ImzoOzDu_bGSWDL1eY!*p!{`g;p$ayL{620 zZ^4vdkB6ZI54&-F-}LOTGJ=A+A+A{yo0E*s23K`i)}*7iVUbfBjk5wD`sqzHY@lnZ zWh#e5^HU>>J}4GV$v#KmVBd@#8@+QN%{J5ujN&izkhUeG&?}SD<`F0Sy^wI2sfh z8U@4Nim_ln`=?@xVk&;p^ZSRTG$KtOTihH2=l8rc^!NWJ_qBPep)T! zKrqDr>YnOo41$%xgfLhg%y{Y{xHWfeZ8(0&y?B8TrLUs@^wkh(;@!G4Wip^qO=xNd ztWe}%tY*=nqAgRzJa@NBMu~4wGNyrbiCK?&Xctjz&4HF#$o`u6xJ?>>of{Dgzbg9Y0gGu7< zYD}w*Ss4cgF7{qE-+*zHwxXi-7?&rpn;u}juG0gd&%b~ByH4^fm0PqGCzuw+S9&y} zlW%s(x3`{@lSp4j@Khui;J0g<@yD7HLZVAXFiHJLKHAgr)WgdBX{uAU`z7{Cs_>WY ziw^T_Mduk!HNj8+tmc5eY)OqYN=2k0sYo)52hQl@B$+An(Nk_)U=xj5gWBEI`e|{a zKtx6GONt?lYF@%y?xZ`(zd9<<{&b{N#VG9gP%4mV?=>Y(`WIT5t4wVfPpY{1YA^-o zG<7F~aul}wrbbot!g{vS62q$*qC&Ur-PZ+>Z(Fn=_X=N|BKG{06L7b1vc=44)(q3oFK}GFG ze}E9N^hQZeSO!_3X$y)j;Sqz1DnF$3WQPRmst z-6&0}7gKP#j#3!PRcIBbuPtsbZ#d82sA-_o31c>4(t4IJrq>&!Z%mwA=GUma7!|Ta zSPIRTc$@HRWfGYz-2qNJ9%tsCARr;nx+{u5V4*AL>CvZbW$7vH6fR-wpaXhX*cgGjK#QZsyaWbsvv$#Cv;0SUTsHY zwWFgq>g1Eya)9N5UBk=iy;>Ec{OCrb+iEuS6~f3H%tW9_oe>bR+ZN@`z=`ju{VSw=*Mw2I{2YoLo`&h^&hQJoZR8RXNR(JYq#Wk) zGGx0Av3H!v8A~VDCqFokYOt%K$HgLL5YMD<=QB$W`<>Hqf{jzv2T#X@h5O=Vwdt;l z{dq~baW?PG+;wsZ)c|yC@ZqkP__oQwob67~NIE11Utv?%7&hudv*eR6+8*@ADKwTq z>g&#tAiNz8LJi&LG3VO}Uf4ei%3Xqq`wwkon>K=ejL`|dlM@b?LOmf6Z?Q#zrmkBOu4%YX~-KzzZ ztsC=KEH~T0$pBV1vDAJj=+WqP{?hMTi6aZf{G{r@$h%<#KRLMC=EKJ<36{I=3qRY! zQclw;`BvcJ_*gd_S4 z0bD5p%bnQJ-ku}8`V7@ce>5!Q{FdONku-nPfIc=XAQ0&@U*AmUVybBV*D*l zkKH>@|HyxomY^2HKfs?r0XTr+`#4#eL4MJ<+J!Wb;Gmg^kgT7qO><~NWe@pCmo#cpmYJ$%v&YD`CyER!n57y=QM z4Db)Kq;WHW;Ox|v>93vi1!I@^Ra=34I&8Lam1|kA6|k%pY~U3rwX?ld znblg`?nPR>y!-^dj=ktX@&lLnE&$nKfp9r@G=ZzRcbYn3#e4p8zmvgOj<&GmIj9KC z^JRN`XO2?$lU?D8`!wBc*G+CUwvzHun3qz<)HLWVuMZ0$oerQTa~!}l2%X=|FvAbm z;3w19WXJjZU+$$l7wAiz$9YVqb(IKIeu*xxS65W;H+Kf9E*J}`VF_v5EMUhjb1ZWX zt_OlT$_Q+BDB1O4cEYR@V&^pr9giF&a*I3s8;kOtcgF>DoY>+ljV5~Yq;-s6$JI2L zYkT9^aF!4>R|YPE0>AB=UTHhkSV?2rh(S7bnReDXG%(3K3(j)2c2Bu9@+MUZ+Qk|q zpA>q6RBg_#%QOM=a6APfXoWp5-HDOJCcK>F$M=*O@2@#xxUwo7<}Q@WD~yax944S< z>H_}|sogbRSXP`nG3TuKz_#}kg%$y(K`(w3$Yk{4DA6X;r)Yp6=Mq&sfa(^;Nj{tQ z8W-7}Glv2u{wU#_lX@<5)~o#dqVjAlJ7JJ%aYkfG#g*bK@w0y68pg6&s|90T z#Gl`TfPawW&-K%+JNS8E1|6Lo0hGi&*U9c(846rA1F6#b);b7C*skZpr@UCO=D-+r z4|J*gLsZ(W{0~vVPYAl>tpE)i5s3JQNG~`B7}g2+DDag8G}p{H7DCY#10ewril?8{ zG?)?OFpw+F3&|_)XF$XMmZg0=K42Suk#XvJp%p@K>i<6J`~N@C|Dh40ra=Yiy#SJ# z5*;Vrg^m(SNzH%ko=BggFi?7R)5a@i=WGpBlcalEKouM1S0%r za7p>33W7s$5H48M*|Kua+!kNii1{?)i*zbnTwS3{(QA6wbF6e{PQA38=X7^)S`NG1 z{fFkq1j)v)vC&}{!(7BmZ{a7KNGX+%8<{Q5i5;@C*Zl~e_fD6l1$Knz`g~zm)&A4) z9dS61w=il8;uvkHBIjI;G zJh7}DMbzb}SO?wKfFNU$qPAE*aR}u-p2^G?9nWrj_siqhjtLeuVfqGcPooAZThi7z z?UJQnojnqoRDlY(jLpmzCTq4Y`kHfpoR_m;&P&6?0{a;$&LB2kz6F*raU9UWXSqyk zSM^>hx`F);>w}c?J_O@!*>?}bYd4<|omDQ9zY*;jpY=XIQpMK-*U!a4(3PG;7ve>6 zJI*5W;};ce+zElRr9aI>5hd%2o0N|_hfP(-j16Mt^8e5)o&||-BQutu$Lt4{x5;pJ za^S+^cS9+RA}6*3*QKgn3KP9jEIjl7X#1_-p0)=Xt9Vy7{dQB}AE4M^{&pUmi*o@J2e6ZhcH;>QhXvZ#Ncm2uV#6z$y zOnbyv-eA_ndsO@MhC|aD44a))n9+F$`P(=lbu&!ABNloJyTOYtLG;c_#&IZOWA5O| zWUZk^^qC5bfm)(b4>4{#R*R1q(yuVgnBC3VdEynhnYweY~Q-tdi%|D8^{nm{U`?bAsts6VfWd9+`Ex}iJ zVBcoH!1Sf01)h~H|4fIcRzZ;$Ub?rYy?HF#LhUPE4l7-&(wwV6*{TQ5g>lx7C;WAf zfh@{U!-1*4aQO`KUiKZ16AEgZU@ToCCzaH5zuFKf4My7(L8&x~vO+4}V2|6T>_g?t z?MJvflap;odi5k;_OR!}gEN*ouiPq%7vF(es$lr{5&6?w=P`&LJ6@k#f04?6D{Me0 z``^pV%2&^HbboQue%RI@R=hW5QfkQ2(G=;UWJPkz^5j7NGHmCqmAwCb|zF z%=`mISZe~xW1V9b$Xgk>iJ}{ zQ(v3#%&M(P0<9f)%?o4zjgr{?^0P{j9P-4 zuk`xN{_QRP*YP)izYhfVa$TRWe~8>JT`!6w+y6iN6tDRZT(Em{B!_mG*f2)wlZJZ8 z&wbCn`_$IY=wz=gGw6%|yP1Tb)%-s=+cd>StuaSRqD=IBn&u8zaFtff#_QvIA9LRx1&(R!VRkvc!N9bM9Tg831jQ?MNu*GLRQL&X^Pl;1RX07C z16-TVt_mxe@|g$v5GHh6nV!LpqWO_Jtc-zf?{7c(0gho{X#3^2MSSe{aUiHAV2#al zTSyQVfK|beBFT4-L}bu;t&11b&*p)HcmN`ODi+XhWiAfGN7}HqhR9C$0#b z`u3sw7?Q@t(K{$%$v+2aIkhi>>Pe=>+iy!3M;f9A*gc3Re%uHJF;9Yrni;n!GjF9S zUMDFl?-rUn6M7u18T=CFc!Y`7K;Q<)MfPQUw-576)?iLRZIc9QRHjfek|LsT^LQyO zFJbPCL!@1!UFhM{92W7V;JNd;I{FRf;T{qvOXBSmG@Go{xA4S#-s52~SolZyz_6)q z3Qf^ZivcPYBQUth%nm1uQwFc&;5*I$!c}&p%Wb|}sAKX@>f19p=rB$E`r7i6wv$}v zCv@={v}$zs%CKyRzpA8CH8-3WEgE37%&k&3`QulGl>44rrt*`Sr_UcG+R@pB3PSEO zn)+B1D-K3wt1WCOlLhL;rExH<1f`6h4Dn;bw(U?XX;3V6k0x;1i^twc>Bz;Upp~%Z zttbi1>jO-T(u*p@S^doiNh&QV;V<)}Zn-GI7_*1Yr<=SKG!nRNHivRF!oG!Sk9=oo zU!VlAj*ItW68c(dzf+LX?VcdR$v%=WR8hZ1R}Z@mvWURKMpKfZnZ`gD7d``*1S@oe zq<1}Q#H`-;5>x#*P@033G%52 zgG{tSf3kh*;NXpy7tx*I=jRI?o4tNl(AQqFx;Mt)Ni6XMpXtD~0w}?Ib$J=br-4DI z6*%eO=&!_=Ut_Ln8Vh49UCBG*lsxW~Shi@yJuK*OzGNp1p655qN(u(n{Q8rS4J+o! zdIcu&!)#rl>D1j5Yp=k5W6V;o-pJ&0F?tfwVX8MIo87N`uBawmE5rp@G-kxwTdPl( z+YJk9x>}6%9rAdj)L7F%2?^xO@ge44>^?X>+3rbqLsHW9E8c(nzVqt_mzazWXmm;O z13womI^|yifp!4Z0>lOXb^pX)Zxd*M-!weRfTI}Z;Ap09!$D-cisYD)hV>YL7ZCP3 zLTW&{_Kd$Zhp1v%(pfQt;!-npD-EgQ=`+$vkx%*}(gB=bVaD`{MbIB-dlJ)c#}qH311!7Auu}VF|3ccvO9EH zPvHv#&>1{|-zqBZXx1?o#7VdPLj;Sf+EQ+c*(o{IReN2yot^5cEB`!603(SO%s{V7 zs>PpFo>m5!%z!7Ii++-TH2IU)jOUKTB3yiQ`WW_xdmA5$9_#j678>TH6J9dIvCSyd z?C$iLHY?2a1s0Mj&d?CgAoc5v*JZ1?>SyiTqb3#Wareic%2jxjlj3mNW5EX4u`I4~ zl$@D(01u#Fy4mCIBqs8Xf~2x)Gt_VB<|A~-=T%3^uhkG>$=caL6}4}B;zGFU1rt!% z>OF#K$Ue1iwYT_OLXcbr?c2>}r?i_9#F=j4$0`MxW~RJ_O)4e9&IH3<-zBZI64<_4 zbJx58exOh=On@?|^XMJIUh<-pr(!Ea2{YIHuV=*n6)FDD3G(kgmg%4={`}a7W3K^nd9I9W z+i{~<`Iigd0oznS*v-D;aGvdol`9H?mG{W?C)tW1IerTp54k?->3JwTc*y2d5}n9$ zy*93tUY1Wi#fc@2piwhZh&iavIOW31H7xPAP3>gsziOOwed}CVDCG38=Ze=g-$b8q zXk=uN`V7QYsMeS>?mB$Ht&Z)_y_0_6osq-pkls~TwM)$>mVlXLws#e{AO1(Y*YoM% z$#pa8__}bwgP;j{0RD}Nz=t{ElDtcclD2WDidgcoO8>g&$#djHt*|!+PxmMv8<(54 zSrlrhpa)R(_Vi)tdSenI_A+KiKlhP8=j>c7g~9BhtJb39dptsvp^`=*at_;keG7+I zXWFBH@h_FqWXC``ZtKOgmq4)eo2fJDC8g*-mx6JQtuQ{@cd-u|HiaK1Mrw(C{#2-} zhD+NfZtSE2ppQk9-qpg(%Vzd-ncTb_z7c!I5!{rimav)9Na-wQEmcajxEo>>JJ)NW z$fGOAb_jmvBIjz}3*W?;s&+o683kBnLC`_^ThB1v>Eio}YJ#T%3@>LYK`C)Dh4qc` zUIuqxwpuYBop9a4-OA)&Z&p;3s0 zW6f?GAp;lWbgz1QTo@KQuUB&=rl;6GY0;GpnSNRDJHA1SpTzzPb8f7CfePxf>4JNS zbBd$U+656fs&nNcUY(J<5q=v=!=`+ppsbf@mCK$LF4I4T>mJF&J(F$g100f;@w!s;p7B2I&-Y2dJ#F*>EbjGxX623$8y1 z=uj{uN1gqR2j6bwgq`hyK`p)f(0LIP zBCKyHQm=>E4{yDBd_p`JZuMa8i_OhvRUm!SK>9SBuO9Swf-ja26mbxON5W8wygo)T z+Zn4=Rl5Thvp49nXr0C*3Y;!JmDbj`wnl1{RA$dJdOp~L@lr!;0i9n@Tl&T z?w=L_;ua{viK%YRoWi9Rmdw{+i_GZPB74btD-KP`H3e*{iH+rr10^SAviXneQeITB z91F|<$Kg?fw{N)Uo~Y~4mVw^tMSF~Sh;(b(hWGW*r74Ttei}Z^Z49>GVnjgULX++L z2xHgZ`~MJqW5lcx|CX*g1H#+jZan3{2f5)QHI8^kZCwxbxqp3-{cloogJR;X{vLyw zu&(%g|8aaz^FAG&hbkI}K#wN{j-mq~Y-Tuhq|&T7oC7w79A(M#zFa)rmo2Gm zxKbV|o~x|z=8jbg=#8V8T?k})HL-Em{24lDh-G9HIyit#S4lq*D_`~3mR-X|E{z+_ ziG>RY;dd)c_n!gH?`pAY*jLT97b{IgbFSqI-mlx@to`E2SigjNqgdErmqY&T%e#{} zYhBFmw0ukj!Ewg*(3N~F2a@9DEUB7KN-iNQ9(goxCI*&#V78pf{1m-rd8b(F6$I#^ zq;AJqS2_9@@0vI(FOShMTef0@3Q`OV$jRo-ExKpDU}C>pVxrv(8+RiE46kTE7Va?D zAL=?keGSM{P|!5+eg(m$=h1nFC2D+R9qG(4oZOZ$D!aD0moA@MW%}X#`8BF#By;`M zkJfuJbWpVr%&SLs%o-CNlN6}{+2Cl0R;*Lh4Bs;&c3Ildoxi@~IG3!mn@nk_O!Km> zZrUcTkP^$f^hLXl^r}J^J4%JQ#IhhE8|0o^fMTx_EreW`$6-fgxZ*r3phiY}#?Z{_ zGugq>(F+Gj-NhA?7}d}Sfhx*K=O;VIJbqt+!u?18lr#v}X^?_qTU`e}41w3!6-YsCw=tLpSyD>} zNoIKs857TLn``B+I*u?H>vZE0SkCceelgh~1(l}OFdh7AS7$1CgOOknTE2T2P$X$XAGp5CIAJbN%H=#HWjgL zGJ_H4a|5eMHqf~;C_P)_tQx63f*b;0Rt6_ddX0-JN11iz;9MPXcEz}iF?pjdtWauTGcFh9 z(=nf8AVD92El!<>zOUSAzgTH*OW=3!Z=#|3V3byvXf`acT9_i{;ja~kqd`Y_11u${ zW=-~=>50M5<~jxn0B$REIy9pMrl37#WdaMVx2j!m^z>gcmRT_~RkZkA&BLu>$+$VK zUG2eZ)t$0_G3x`zm7YN^t9Eg3rJp1mWMg0-r5no(i|C+zJ{RrbE{REdkK7_up)@*)24ddOT53>4n> znsnm$;ZNRQOsz{<-Am?ERtK)zt8P6ALH-bufz)^IL=?n;G$=`92837XXrbMRq}7s_ zTD)l%TR|}dP0C5i*6m6@=-%Ol^B*Qdhvk6Q*LXQkt>}v-0CUzM-X$I*XZdQ+VO}z* z_CV6f=_LGK|J>bq>)E3ywq?2qprYM=fuNWjgmQKGSyEkX2PZIFSN9!35@i5qJyh7X zei}{|i2bBVaGn)ryB98v7E8a(EvND#V#_^YZdL3~{T3jar<7*9N0};(hO$mg?ZH8n z%*fgABH6rdjM8dXGnVvSch^7QoL!V}AeaU3No5DAK%>6n-=j=iIS58hED3f5Pb!SZ zZ_IDQ$-tf>O{->Ot2GkucG=W;9j~SLF2bfS>L@j5)qju8H_Pgp6))8sz_$egyyPR^V8%^m1}2!Y`dJgq~CVzkKV~KMgeJMgSaaFjHRyGF#A1kyYt-w$R>{>uGabr+S5iMR-I0|A;Ny!j zha~b|l+qS< zOXjMugGR59*1}9z_TA*{yFyj5KB)9RL=*M6OTcGAdldTnF3?xvg#5f0t)J8;MdM{@!E;{5bnm+KQRVFR?$1v)J(7n-8 zC%vJLIf(4C1Hvvl>~BJEPI~v@BVevA%HN}0$!1@_BGu0MlL-885*B)0;nCHj{E4`i zh2CuAH!847p0!`YxLi-cI^`_%3n7~+DrkWCYN z5G8guvHoKIPvRf1Rz}^VhpMV6JG|3LjsDR3|xk?C^ zIB_>`APQEp2y+@wrqNknG3iX2Xo}S|?-#p0B~9$uEK$hU7HYX`J`+Ha_X}*~hHPN> zUI3BU^zfuAo4Rj;?mdUYcn%tsan9`5dU{HepHom2U?3G<0X|u{QXI4#*N?^g*1(QM z!FQr=rwM_p$u_Fu4hDGR6E{a`FV!nlbC)+9-P;gv0!*~?V!H)1IJ~CH22~19g1Ea7 z&y_rm?mZp7A$VWuH>W6|rB+|sOOK84#-*W_&7i6?>&mlYi&e?e&PQ8kt;>TIx9+BL z+`Rg%pHym$Qdk&U&E|O%o51_=hluiX3C`QV?W{ zJIoBiAFD%6Jnw|Q?g{uHosXq0VPlz5VG)?TX*EoCw>K^5>~NyT`nZBS&Q!$V1Vu+xd2^o!sj zpZ*t%$0{11x2NBE^s3Afe^%x2l(<&K(6-pI(@z9`j^I$P#pP~~f-v)X_+?GCMg(X# z-6p+m&p+@j8oefY`t7|bn~UplsTfP6xh%?G`_0)>>`a&~t(zmK@I5;EjX0V;_Px-Z zYOMhNdPN09YGC8GD{h41iKDq4zI+M5Mlu0QM1%XzATi0%pb=$57tY6_T|hhP7cswLUW zv#~W##S_v0v6W^LAPTN;7pI<)ld4U0i*WBNnT>vHx0 zEK586ZH3_J!QIUh*wDpOp0JQ<#2rsXyT`OTT|-rFv~mdtn&#le)3&#*ZX1bPyy3sV zkg@^U8++G(Ucg#a!0b=sh!P>GaKN;a3tw2e5A>)2quwBQ#}G3Tr&PUbkRawuT`fgU z-w`PN;Mtvx+gZEG_Ht1Nox%LDhNTy2!l;|yb!g~_vH9N3Sup-a+D%mvqL0drxM`dQ zYI))GzzIvCRM(lH9j1RjB6`E?GDOv7+mBi?mb)as_4+4mWnHJqOSWbDLB>?CR!&M$ z(lQ{LE4ju_RshMkuhr{$%QlBmlkQGo{=j37TGa%l$6=B73o{@s4}-}qP!Ag#13chA$iA}W7gd>nPP ztl0f+9CZ3~IQH}E_hZ$;F*=1?p3k2>`sE2y$@UNYgZXNjD>Zn}A~lZ9yVGzjRn?I7 zEYJWa2gtNKaqa=zDNi2!cJ80v^p*z#}~W*##zCfVfyq=nP?Um(#3>LwvBCyRUh zdjcPye!cO~%3(NU>yM;aCyp zEBUgGywAcnV`($GH|0-5MpyuQE6+LbGA<1L2+I=BEF?j2U4mgXMb}K}5>z6C^o=Tk z&Gfkir+Wa+*9vP+O{i^^O9{&sgnL!Yesfa=I#PN&$Ig+{I7h) z>FjN6LDn#64_rtx7Fbt(M<7Yez_B1RXwsX>V0h`%dL|rvCg-bgKcbObf;0e`bzO?; zWs_|k|Ciz;kjk~>FRC)J^|e72(>{k`4Or_?`++-edKeFDw-a-jp2ZCat?8^!gB~b0 zKasN^dbgQ)4FpU0?YVs(#6WQ8#$G3lp)s8WFK^p>m%=5#0NqH`2}LWb1I5dR-wC_{3=cx%&PMKVSI`VLH zjUk}LE_&esf>`Wk_2X`ic0J$?b>4Oke(tr{Es&!|Mg_hvoeTCr@_n@B-Ad%#ocTCd zcdc5R?A^qmq6@z|E`9gp=OCbq%hbUt#s$9w|ISHS(gAL!nC*_uj6);nnkxy`FiYsoW0mel*?kJWud1U>6^ zcJD!hQ4C`zL~E+P6?4=*aT$fB8D293K#(0+1JvkRBXvv>EJxj$Z{Nq6#OW2|3uUQ@ zyRYx^Y}9NWf9O<+KELCz7XWIgIsfRMHvaI>p242%YPQeYqpr)0?O!5aZQjSshV?T4 z1uePZms)1wT+3J|EX`1}JfKj>^sH~q8Jt@)7P?SA-{iGQUUYqNK9N^U>ZdbY&n$fF z#!MV~+~$Dd533JY*y$gl_|vxcB?r=qw$e^LA63~^SjY<0T1R^COJ*f}Bi`~pp4x6X z8GI#euyu0YAw+P`!Pt$$fwdIi4aL)=nwqx{D27>5;Hka@%bCMBAN=rr{D2~D=%?qs ziE*ln$5e6Q{TeK5UI3PvGN@|uoZG=Cmd<*{2B7x$JbWrFWfg_FVOUP5kSNAudf+&KMt*pF3MT2v)^4 z=f&G#w3Y0h>;ITNUh{ z=5-~L8d{}atUYXww2Kv2iI-yMWY@P)RRX^Z1X*U^D*2pj^qK5g9P0y{r(9n}WC!2Z z#;uu-OWI3=L=yiWW}E-_?SKF-fpf=)1Vte%jByOMeE((^BNpW{R2HZ~i2iT8rp$PWGw1Ukq%2f8#{aNiP)a#e0xAmfJW^lgt?u&L7J0s z0R?8&*1hL)pHl}M9PgJW=zSKjLS|$c{|0n_VG>opE33@|ocqKbDXLV8aT=I}mkGnW z{9X33^IDi+2Sq9*?tJUSo7Qh@Q&)sj!p#MYU+!e14zwMG$?Uj-yp(ls%VvM^`#4MH z6J)cH%h3L4MEW_c=0xnuIRo!F3XbdN(`3=YG93X~cJ7M6#_|#8(;QJVL!1kf#NKPc zN=6z4ZK$#y)d$K5Mh2WF=e?=(axRD6jT9(<4Gq7QaY#JK8AarmNAb}9vwX?#Pfxzy znz27y3<=iW1E_>CkSxfS!nW&hHD{2?uyOjX!G|s6(t~jivd4gZtcjo1=L#~^R?Nbb z7MY5hr2OozT*4@>&%?h&$ z;9U+X%$wY^TTXM!a!7Q4t~aButh3p&;_>?UE7G5dUc_#IMAh%8V*xPZa1Bp$vZ1`p(hx<4^){E+k+ouwvKqWtNeYj)I z-Wk22qj;KKaayQC6jxweLXIy!jFZoBFgeWQo-e`n!!^b3k%Dl;`++WiM%;g$1 zjjWxy0UPjf!6l>Bidz4ZjDUzuRTKry(ZhWD>Rx%KlWCvkhKq{2o)GzVE>-A5HaSHA zzQY|U%%xN6ulZhgIenPcia-4QA!#EE7ko_e=Wq9gJ%T2_c=BkwHsMudKVTz#)^K2X zU5&pvim{fD7NlC>lX&lL37`|0usDD_G~O5O%Q2IRAU3tj*4g5?Mj8Q%PE#ZfQXFO_ zWzzzKtk~tRp|dpr?)T;n+5ipBfC%6#ajx4;cCRp>J{qL>Fa6AhrcYgFe6pQRr$tHf z(%01wHr&;w_Z^FByp&xU>;Kiklv_HxmrFJ!eE-BV;&~WO2gGtumo>ci(D5i=OZvx< z=(YP!gFy&j15J{}0TR^YkinckFLoj48kz75zJqF<7Ep*A#&@5%sJutu^0%1)Hoq^% z7x2Bg69+j?n}YvJ`5%>Ce@q*76u$&B{xR!j;@pB+V{o7`0flU9BkM(-X>@TFqM#e@ zRLXD?guzAwLcd!UqZ@N5V|F;`Z6RHmlBg>z!64@(P)EZ$T4Ki-t5R9{v2neYws+TW zzvt^;Mwcw%ugm4W_wv5)-TUU<`}I+;luR?>E{MOJkwm~q{#gdI znA6c%7w!U3!k7Lw;H*PzVv95Rc+7|GAEP-lBld@0fWFnHV_I+D%y#{ceV)GNZC<^n zH*@_v2kO`9D=Qy)zwNP&Prbc!&*{rY^55v0ET1%fQ|f3BX6`S_D*Bplw;9>Iy%hE4 z)TVaZ>g!Zi@hkB_boAlxGKyC|d{i|K^-Q>fiwLa9BWE!qy~+g!Vo|qv7ewMtEaC4e zZdfPLXvt-6ra3LaFE<=eQpjMH1g%uTO#DbhtXsMkN#W$tWVh;fTAW2=n|c9^X+WW1 z9?hK&ZtlfcC?;Pq(V-%RI=RnFBDm}c(KoT;B>9|yJsJ3j8~i*+zW}GTxcnjXU(*s@ z05#*!II(>*Qm9jI0zF}I>oh53MF%NQ8n&hI;jZsEm*9n}>^Kr^(OtM&rg^|?8BULP zTeNjDJ&sK}aIV-Ig&IU%Ot=M&y`sVgfg|3Lo75mzuC(t;gCF;$`=%7ij}Do+ZhI1v z_{HJS?I9P@mC9zVNe9~RJO@p3zeW6ss}TKO(*l^LtQY{QF-Gu$_f{R}rLAu8AdkB7 zUyaq@CwjRV*m~4RmXZ#M_1M!&$n(tsHm4I?;fT?u0Tuo%JqNz;wTz3%`6($x4yZD2 z8zx3H!j)b}HyPnkCO``|dRd`H8(d^7w-8*RbEYrJt-0sx0D1#jn)D+l%to+_!(a$c zR^zYg)shAU>~PbA?x+%bkSkp($S=Pa#}%zS9oUU#=@eVJJUyR5ikif;Jhia zfBOb>_--c$T}0!To@)w%2K1y*EiNpw!l**^+hK%dv@{c&`q3b4-iwYa)R)NA0nw*W@8CoYBBNK`*qJgEggp?PhiLFfo$li9Mkq3PEBnSav;Z}Bd_Fv&=t!8hFV xZq2T?m(|Z!y-q((M=x*%bZA}JfII)lo3H&K`{qze*KIN3De*nVgcYOc= literal 0 HcmV?d00001 diff --git a/address_decoder_html/fit/xml8.jpg b/address_decoder_html/fit/xml8.jpg new file mode 100644 index 0000000000000000000000000000000000000000..ffea08c390bc1f8089c81aa61160b2c7bfd11deb GIT binary patch literal 41810 zcmbrl2V7IZx-S|8DM}ToA|O?z_f7!mAP6YENN>`6jRirZ_f7;vK$>)BAc89dAWh&0 z!XI3Bc;w>c@8##><;^Z8C=8NT(RxUD0Q!@t|Fi%R0Kfk@|E)v)tt0)L1GRsy5ncOR zA^`opo0s};=^Aj~Khl-I_nrPNXo7^CoPe5VKu-Mk3c-I|Day(H=M_<)h5%#^CcrO(qCn)Nq@-k| z-o)b`9zfM6(K}|_XeUp-s^5&n6 z^5#Eoq4|IF0Q?sa4Jq)ZVuS=7per;4gfs;BC16yD@I3@zfXb@`e-l9PpF{}EKG9WT z5>m2j*MYhH_euiL6~h0lqy`ZX5fEM>x^k6-nDiWYIL-EZ0{#QUtIr+M>XxSgW9(ee@TXkCu@$#hN$kz zfOV8QZ~FV!jTt>t8z-erz0-(OW2eCA%n!|dGn;2p8Ya#`F=&<4$HJ)d!&}QUA1eaw6S5Nq1)QPT9wswmhkfTJ$@$v#^#m) z-QUjTd$)G+pcgnVtkC<})9|XGXx6-}Y<9WXzKRiBSIWTjWvgWPtp=|$=L>zzQs$5T zu`feDo`Mf$C|$U}l{IaE%xC51^jrMq5_9eB+>~C;ZD%o$!lsxsy|>NATBl+5s*Ps# z?Fu)3PT)aXf+te@co0F#&f#2>OY4$JxmyHD*y7H@o$vi!ALbY5!_4+3@gPEbnj6a6 zT3o3{`0v}Iy?9WQpwO2OyDf(I2g2qpN<2L34*eXy^02j)gHBHt#BotnPTotud{ z84|HPIG_xeHs_O*nsv;WRSVk=s5!|WIyi-))?$odAEu{8DLS=rP)Lj4y!~7sTo~pX z2P<^=1wZ|Anhke(Y zIH*rv^@Bc*h<7s9=`;$Oe^!?mXwa1>g$F4Z9Y^S&xLNU*pJ3hh+J1Fl`%NvaB+Yl< z_%Ke3&Y0;Gbk>FihPL2A?^{kJO61vdMlE4X#LrhZTg$rF`|oxmek#ei<3VcMb%OO+ zCkqd|yxXmc?~b2dt?^T6Zj=hMYJq%L*K^WE%A~{ZCTp=j;#C4`d`$tS%_xKdmt^)G2jP&JHPeI45xZYkL)L` zTL~YqZ18R;{X!(lKtgQyHkXDgYnP%iIWdX-pZ9I;N1tT0-~QHzFg{!8YuUlbLDmIu zZ9#IipPxshu}$65DSQUMv-nVXc}3?WZ1=ZLkQk&44voD`vpD! z?Gen;r|~&+$pfN1f(MDX-ABv3QGL_L@ieqk#rrfeJu<{6yn=v|`?KUEj60!b>F}0C zo8&7DZ)Dce=V1&4uCB%XD39xf$+&N-7S~NY2tTpo^*aoc`9OqCZBgOIuJy#ZiFJQy zUS-K-U2XH>gh@aP#;f)u@^xfPg~#>Vr!n5eaAA>r8woin^Q_10 zKjJ}DHpQ{iP@T54-X$qs_D`QoBA#T`je2;T^f&iO+25M-bq_m>kc>>4X*mg~Ntu)d z8|+jEFxHeXW!@O7t{%CAD=2VY)8!z*778~dzo2%ByDHgs1M0@GaJ)j{N%yX6G6EG3zqdk0atLywTJe%?j*P?Penfm?;5>N$hgOq`d3aF0?pHb z$(z~}l^tajIGarAd|CGR%UL7L@0V+HvuN8n7!~LlbYY`cdsL*Qd~3CIy%hblIIYEfRw8BpNy&x$53fz zQS1)}CNr9D(PnSqK_9$O;B7-At)(C$(L8hh2$LB4R`JG!q!YGX!;7Zs6&x+@A_fnV z!N~ZNm|HZa9;zWp>E?HGn=$Q6PZn`W4d`~Th}A#?YuEdsx?1htb*$Ryampvxi&O{kc@ zWDBr>CJ#Zh(72Y0`rJ!3=j)R3`#ve^c#v-D%7nR*OIFM z_~P2%{cGmeAJ%xEs>KcPiq=2&wE4fd^dG2|s z5&=1Yhlu{9tHf9TCSqa|5>gTpVluL8*8m*)?}>nrknk$eRWf2?GKyAf~ki0On26lp+$kf8e`SF?zcrF5j2O z+z-T!Qj6>D{k}=4@}`wENHRQj@b8{jBjtHTc8!rKDqT(eeWUT@le^5wb<`h>=@{@j z;~zNlzk!+3nx-znZ?el<2j;df?#XDGxrW5%RD2$s-$CD()i!qveVhBSZD?T^^A9{q zcoi5UFH%H{>W*%H9be^Cp)<7eJr?5MMrFX#o0WWa5!jW9D`6eg7i|2m(D>hI{(m6( z-ytj};00x`)!TNu!2A18<|^w<>}vk|BK|wjoJthck_#3-fr*5;!kAe~&%G3eus6YMwS z`9^esJ3`Jz)^%BbmR(=mYT2DS!gpQoZP>-LV&uhJQxCf-RU|`eVk}Ek#&yHWU-3_n zFzos)b|bn3y|e`$#e+C^ajMNor)o53wQ`YL7JX>{FEr`nn3 zpFF;$s8lu`q6aB7;4oB%wH6+f@7jsvZfsr-A9tMfPm=#yB_|(vv|uwlsF*)A)IsG!YW0w8Y4S^33D&pr3U~)|1#s;jE#^Ne^kiZJI@$8(Zv0?T^EFkSJII5Bdhljx@vOB27{Q*oJLJk|cKE z)r;H5gBY;J#EDO!fa6qC!jm=V?)OB|>pCF3{j7kTn4yRy!h zX;VlM9Rz1}!orZzrG8cr6rVxoWJgn3-w~!71J?UI^{d8ODuqk9_x7iR0=m{g{`+4@ zHt3v!|Nq_l4@QLH#{rfjlgbVsM6BH1J}=dK-v4eOJ)DWEphao?7AP`iO3qcnRSl9ABwpB>=1B}K=(Dnhx${zGF zVi%)W`|DCqJ|tYCX0CGmx|b9>up1?X^BEh#nNFUv!7GK}u+a!3I3x$>iL(6Ezh#Dl zeVku@2-)(myV>{{bI^F+yyGPsPUaE(VQn=+nMONc6Cz~g>H+ZiSgn?G`HpoYN`JGt za0QhpN+wucE0JK{J;so{qL0WX+3xLLO4g{_332k@Y~k#=JYh2gJ!-bozh$S=L$d$glDqwhm8(d+Yp-TGB;Nbv;*P*}VwEiZ$hzgxyn zBdBa9R>rq>BiCoX)TTCp$023lEifMR6}q~Dxv7sf(Kun)9vg@L#Dk7!w;%EWqYl$Q zXR|s*`(kQvu%cbu`R>J&(^~BBIIJ8Vq*Acq^&MIQ(~3-)!Gi!r(BLEjG68;Hc7p5N z!CJRWbye#dt(`>N4`&hP8*8$XGQhqD*46>4Y^!DS_UjSo#`z{5lp!DwE5q3>sG@d4 zZ)0##4XP-j6N9IzBMvm&p?wjiIAMCfYqiw@W5Xw?!!)q)0fb#5&Pxtm3?IsE>@r71 zzxF9xahX|uifI?jd3s(yG*j!3{FK~#T%}o5)5)E?GV=tjf#$;r<3TqeqYRKCK<64n z;5bmTmlR&pxLO>?B^8dR8FPNoKYNJ>owHmD)nFrQsUDo;3U7AY$8{rT&Ieae$7YRM z;%4g;^0P%Nk;XfifMJN?LwW!c3$dwC%1H2b9%jkv{zSzER zQEVxqwt3Xo`q8guyD)SXw#5gL<;0F*0j!_FfKEWbL|m8cv9D2Ae?4Cqtu9A&h4j0KM8OswVAF7diB6m2n z1jvJ=U9D26)DQEfvm9r_ORH4Aus82?-mEL88)c_V$iM+d)(0Wa{b(dqQcF`vbu_xS;ucLl;-Lwx%=Br zD6;Ib4>B4WlKKS?ih;<9uSkc)jYQf_%Hu)qExF;b5v9r@@2z$gidbsx>SIk^kGlOM zEAG0x$Ell8u-=Vq{P~{}X5xRg2TuOanos@Dnok4GsRmX!-SD8?E@kX4GAk3N0FwlZ zceJ46s&Tg2{_Ue|r4T`E@B85t;)bRdrBUkVhCklSXcjp%-cTE2bTG8TWHtMDrYv;E zKn>>F1n8{<6$A!sUe`_{h%j6CGva+>XyP}yq0s?pTn0h1_={Oxd5z`^v|-Oj(B^U!oP7<`)E9XX0)0 z@_(}XFj4!&_fOurpTbEdAwKv=kAclZ%apy&3SHrW6eC2gm507g|8Z zzIqAnpE$Q*-@?)!p-9r3z!I+Xu(j(V_Lvvd=Eu8tvwhsO7+#AIye-x z%!7Y2koygAFNo0e7cx48GtJ4^#;>0o8>H7e^ycQq8?jP`^<23$z2U07XPDUxC(8;5&3gQ zM8nslMC02bBJm|xly5&In1l+omxbLPhixf(G#&6EGtYIfC9uvZuxQ631aWJLS;20} zp|PzYLTu7qi|6ItnogG{%>i18PbS9do@|}Nmo``;?$VL!=!gFHw*^U^Z%88yxIX(u6gOnJYkqegr3?OPAmHH7)GqhPZ|5|p zEG~YXF;OIbXzMHg2csL&{PP?NH&&m=Rt&2(pnk}!*u^VJ1dXk367HCXM%0e3Ba24hoh-Th(C zdRK}}KJ$!P%TGSA8D%v(cq7Y}uCq;xzeef8P~6GB{-q|96S?R}s`R?jz;pgLeS_5q z4o;etdo<`^$J^A>cBLPrL8{ux05wO=5_(o?o*M?5f+T;CY*+q$`U5> zMJwiJ=9wNu9QC5#|GH9Kv4-WRDy{)eKo>G2&O20$iFL#M86z^ zH{&c&_NJzmRYpq23kwh-9a`VFPag2Yxv=(XH=aA3iX8#qfn?#0+ntK^FiEqLxNl-I zjU9doebyEIMoibzbz9kO-)?dEtdNlFWswnu?jEMYOb(nC!?D%a*Vt~9^QKpRKpA$m zX$lXLXw0LyH7slv!Z++nB9MazhcdB%yAuic?U0@lodssg)6Rd*v&}==a;be8W5~%Eb!7pj^$N(c|EHYs`1)rYA>8rew`v?ZK*M|IG)_k$tP!^ zJq=x?aurK(@TDBhmv9nI=Z5M?t9VEG#C{W-(xf##9;&}cUVqNPIYq`C&Kcc$sc3k9 z9&+-YHxqUT0Gqe5m3Ywo^OK1FE!`Dlb@(DUzfq_vL~NL1cV!GCmL4Q8U!PyZR$Tl} zPv98b+jiX27y-PV6}px>Fc>>S@G_v{Ya{2{c*1Ui&N=GGF-6JF+*~x2JlH*H!Yc)d zOG+C9Z?RXOdX2)+18m&l;B?mT2^fE4Z3SR}&sGeJU>K?E-UJJ3__$YgK?UbIZJ@{8Yid6-UfSLv>EziUNNmQ5t}(mBYNY%8P-cb z3dfYBO}4cGHabh1bD>kPPP0ZfL}2mcN3O%UFdg zgrc)2;J)S-y6ilZY@piH06kBiv?DYo1)3>i zsW$y(Uj!qaM6;S+@(Nod$Vh8(D3JJVcNva=qT#otRAJb~DTrK0H=>potpSH*ht{Tm z1xqHYo9;Ea_mAf+|8j2+?rOH8zgG*R?CZ^w3~#dx zjmH}Hi6}XNRD@HGwsSOuid6@C2x$eGrjKSo4!+c&6vBdo3o2E8G<*!*uO(mRw-pQ+ zXx^p4GeaAhZzG0wFe!z6i`m?tUvM?h!^|G1N^{@4lQe0USoHZGp$g=u$-&(j3Z@A* z`bRRL_5N?ROr)Bz$49hG+ET27!rV9PRq0noS6A287WOJ@0Ldr>-nz{%GztwvXI!mp zWSBJ$s&~bt&RcygYwGcXJBE5hR{KZmXxS&cOX;Z>Dr1nkqZ5a_8aaXJUwfdbcT7aK zz8+OinjN2gadBM8lAIp3PD{!vbJTN#w)z`|PsF~?unj5=Ls?ykQy)W{pkHjXAKu3~ zqBA2%OsssztUR<=f3|;manN|u(7f*MP5%qFvnCSGF*^blt|lAe0ny&!U+AXzNa z*s891;rn&9M_Y!AH+j?~?KZF|?)2a3PUW2exo1|RiVvEa^o{SDlfHjRb|a)DtZ)!MWl}} z(vsc;M-|H}-npl)!j+xNYw{*wCp>l$(eOk3Ql;DYQ~k7f`<#S;nBhygYB__Z6sMPK zWa;vTz4vd_B)%7|PbHOL>f8F7MyzEAr)BC%xtpw7!tU~t^5?5M5^u}r%JeiLhW~2d z{f80v?;g=hH3}KJetB)>F>+-Ck|z#_h%W5OCgnECDF7av;bw16i&zt#_AqH<-dI~v zrCW~anYv`PAO5M(^R(OzHKgx^8_LV2^m7A0HLp>TA3Sx;8(x(-)6?}h;Y`Kvi;m4`^;NSFm=B{d&d24 zsHv7xo$yl7k4c6m@Xw0*&|3GCh$ixoXmUn98C5{QrZC04_sO0;v>$!CABgY;bhWSi zf&6*DJ30zu&@TYEG_pA+5@`b&m1@aqy5t!{8R2|JDd-wqnrP(-Jwh4|pCv~QrCj3o zT*1N4o>p9?qy{XHYJ>Er0L&@{8KuBEprOd$qYs60I~pMpU@@5R8mcVc&P<3MdHJ6H zcz5r1=c_!kUj@UtB{HJrEH1Bt(eU{|6D(7^klKPYAEnoztA81>KoLJGJ@HniazD#c zV-P5o=hCLPn>^$!96{K+mR@xpfIvk6a8TwR@Q#<8R3isA8nf~ZAJA?BVX6}jG4M$L zJcFqdHer|CMkyaFGpp_hdx{g2z}+V=S~8bdCHaqjaB6BFL5>v8_Vni%eQl@4M0!)7 zj!{R;Ux?X>2}QOf|^9A|s+L%wi^6Ei$A`_w-p15nJ=+^e>?Y*pJv& z>{sL-n&UT0YLq%;)*5M(ia=szPZZx3?xKvlbgFgsoE}#V5}8Lt8KZ90YpeC7)!w5` zj9Ktj`4WIK9c*8m`2l=>XN~s`Y8}-65?=vS-i6 zcWGKz3Y^CD?>Q$vEWh>}53)c&*a3-875Oa01ot>; zhe{Z&?S(dmFopRT^`{&V|UmZdnBf>j608^BT0=f>!7Xhsz z2>SpbbS#Cff*ohgcC|oGsmdc`F4=Z4&_|~cUM8WT;lYPP?e=&OZ(2Qg9E@yv4gHFR zzOWQtUq24FsHKgGiE&?R$1yKK(vZRO^g?ej=O%tz(6O)rFmlTrkY=Be9%Y+~uR;Ke zn2B}Wv`~0SP*KRs6&nU)%#fSUVlV&9k?DhL+&?BF>}Rs|nbqx{oPI3Wsxw6fc2V|B z!?iNO>AlkADe#x3(vDGUq8y@`uA2GYNikpJ*YZE`-U|-k_fTdW)jXy$K&Ycv+Sfa~ z@~0tN^k6ahB?KGXMhqSD=b7-g74SF7=IBrn-`0b2IcN3srjeKO`}P{L zsFU>f%E@qkmHFX2n&=y^>!KZfrhLmQ{BU1^?S1am!pbrib3tg$9^0CLXWzGl6;l++ z^u*-etbuBU!t8W0H^-?Z!_Nqm7%h9a+Xj#N|aDLFe@)hIbX zR|-zBKPQkhde-akFBXwMo*$cX*E8m(*T1qpnkiSf=BD2;-<1uRau;Wy)-C0JYno5z zAHZ#9O08T37j_5U1r$SuH3K<$F5%?fY#s>oHTUkrW3mCB2;sC&7RAGfPr^~$Gka#11S0OojB zlh?poJ0_o-`!ik4jXNpl#%F4(U;VWL>XwWHDVuwB8*v&><)x)FV?;5v3GsS~zvUanK%(Zs8?ulOO;8$Zg(2KE!|9-fzJoy{E zAS2G4I6lUo-TJIs(TL5`UPK*;jeLz!KR@*9CYO22#eNq}QVbb-g?)<$!38$JSYGk5 z-@GGK7%9M%kGbmxK?Lewd{}|o;at!p|3|z2%Ufr7(B(}DFtVK&YlyR8Kxquf;U2SX znBdI309|}l<^yD25xl(ucr~_*Dgf`mJa{b&IS0#CR9->8!-F_rNO%aa1Cfo0;RcWK zAoWWa5LHH@kF5a|r<<_MUhFuMiWv(B3=jF%*h-W$!Yi$#8+{#7Iiip9GnSRg+?wpD zF4iKVjXe-RTQwHbt0ID<%WGV2c|Jew8bzGdwupz0SW`}nFuJU+>FOxjaw4Pu|4GT)-=H;D}XgC>O{mC*Y7sHA+zo$w}rQ8KIP6Ns3lG8(nY zXh(?7uMM|cSyH*$@>J8#O?^o-A_0D2(_}vADqc{UD;7_w;_E!j`Hh&)#_w zR`c%ZSJrz!*tc)rW7u#$jG~(D(x*V9D1*dS%%DzBDB; zRwRGC+nE*vkPAIm=$U@fzfDRhwpnO1DOpJOGHOPA(6S>GF9eYY`ml|D{zlM%V{Iiu*Tz2($AJ{XeT(4A1s)lFr2&}_1Hcmmo6O}CZA=NjpX2NijL4~Jrm03%UB(D1VUr1+)r%J)J| z@Pt6;JwW+Fm-V>CSFeb+yD#Xi?2lNUtPFkj^ERoaFX7QOY>f?dw%fgMY@Q(Uw@;$H z*LMLrfAOs4|KJtCQStBNAsP8U;;QXX`WHnZHrh?!I~t1TLV{H5woV1uberE!%&{4KfMfT>DL#AbFW%`CQrxJm03fFfK1sZgVlZHm=(ZdG1 zw10_V_!DCH*P$XbL^V)592Nn_`M}{VvJ`kwmV5y^>$DcbNX56y`q+#!4NJFq{nAVO zQcPf2dHs?-t@NYc>f5EMS%#_R)uCk+0 zx=*x&?{|V)tZk>3`byvN?Jx*;)Qvpb+|^HPtzUj&W+lBf2bK$Z;}dJ2 z*E2BcmY)*Im4eN4r&Lr#6Ae~1w92!ySTu<0`+TaNroB&H$8 z6wpE1z*>BaJcNeVZ7#|FT12^6SDdb$tXj>F+Do6luZnS49i5xu#R14d;?;X$d^27onIF=vxafV<{p_-qKj*s^NgxWJy0i@CDxtvg4(IV3cW^jF27t8pH(e~#6 zJEimkrv5}?b1zz=x-Y}_B1iS!jGujavy$%gkv|JKT&y{tg(%u4MXKT~BAvI9?w=y9 z(e5ZAAGhI@F?fNp$R?3qk~ z9+WjIWRx=^YT+f*cF_$it9SC9N0P_}X;TS_Z;LDUc=uU)!_#y}n+4>51uwt(MO6?;9~5$7j)5T%tRw-s-e5vDWmaL&Q(1sNwh0 zi{c&NcYRjK<&}G_`9)dTid9NsipR*sfrzA5AV>lC2<2P==8iCF{FMM^?;nRP)UcYgfu5O zJHhe|gHrC6(zzVvWK>x^>C2##c9sU9DHjN1+^tbLER?hVv;2oqSuJnO9ZII(LhawpN$ zH~7=nTpkuCF%KF7b(I?&Dq^RFtd!CT>bg0{G^7J2a&-2Cs9qQXvU#$s0j!%6{lO%5 z^dxc-={0PwmqV2fxgRj@=UQXA`)IJVxmu#BdU%$$Udx&8hwtmWOKyimIGt8m zi9qpy%fv7nqq1rD<56dx5;pRqekP`H#!;Vmc5+`hd6D=MClV{?LMiRSmvF=kk57;&=Gm zmP_hctDydtIyuwM!Bi<(>=ZWSGdSNiqGx4HKG*O1GJ?$10m4;(8xTr1vMoS-&tw*bfs`QkkC2~k{JdLnslCEKN2E2=0U#wn??w>3kAFgcoW7n2r zHlc#JhiETdlwL+{2xM|&*$TOp)8rzNV4^qimUp_khUeA8Nd-Z1yJT6pZ?Em0trg=H zui`;O1)gapiy^+QWpUh>e!YpNbq;XnL_lb4u64H7tMAsE8&!4gwCY8=>@oP!$>b zT6b%+@d&R;R=ZDG1GAF!P~$iH;95WW=i?eXJzp2oDDOYG-Ow`aP?%*vA?exMOFmh{ zsOfGm*Eos{2J)>9sNq4XOHvpQlgsqx3qu^ByV+v^wekMeC>RZq zN8>@7k&p18K0h|ZCBr4H|H@92&6#1>O;{mh4);N>Y8#361)ea0?FQylYtsstUU59= z339oh9LlvYR<6e^ep1bm1>cZ!seZ<1FQ} z{w$`}0i7pL@u1m<)M~M+ub5P`2dFh|6=bqS(ql<5u!;>>77XY zh$o3Geidk{sgSyey!@&4#f+RUtXQwEUEon0=!Xt>a0B5~;5lF`({B;)*QuU#-FlO( ztC0lK4e<#MS#uy*iArN-=Qg2MlWtdJ9J{Fni`fl}F4{;Eag}6f`v9mhGIU!HrF18s zrtTR`c~)Z!$mx$%N)ef6Ht-??8f7OZcF=vlgs+Rt&(NH4430Yp8EQ`I04Ku~aS)-A zL)s@oU&~kVFPxk&lXjgIjggym2t24ZG81*yxs_Cnu`#)nqD-Nhj!+EmWE(g4gxVM- zBX<3Mb0)FHW#%=uKcK9Q`8H`cIlU6?TgX>i*$29< z4>|NFbchPzv4KmW5y_RTE+uTygn+~z^v_DS!9YqS;12_@3yrg_R)?OkiCwCXmpqM# zpugoKR1OI_gM@=#M-H69jow3D-NH=x>&Tbl!i6*M>Gxv$$bBb*(?~8P3uNeG(|{7 zr0tHPO+*li$^^NR9}b667jxlluo$7dL-{ zUl}bt>Mt$Sr_xCh~U`%^byUi0<9^%SD-$Su^4 zrUC@#yM;n&AbB_6Geb5DVsZkgI3gW)LMdOPc2O9jjGIoh28fjcdIy^Q@h^WTXT^W;1BW0;DaWVE@b+#L=;krL!Q-0Qz-ERCmaM6u}wS zOHC+f6wS#-DXorz1c8-2nTJ!-dt;yTn{>Co>%9E&9s0*n0ytF*B?EK&Dr(T(aGRV3 z$8*GH*`|);RBd=gnVY$K(+5|n+;=Y(cppr2cXqTu)a=uBZi-z)-SgVf00BS$6o z&?4EYkQc2dU}%Wzk8u=oZnTPhfA&hhczjI%jjIx^X#Vk*BBg7N{qfaTgonK{|Ke5yK&yrA7 zV{Q6b;R#!8+`A@SkzwWjzpwMfE#4Xv-Z`?;36dp#vFV}sqrU&Xy2CuplU65^M#z!C z{*T*P3;oI;3a#`gR5_y)wMK>W#pk&RNOQB_z*FN(?!EE4cSAbIZf1CtMHQsnWo>^# zG6Ks5=h);z@*${L3_}4#SpE>>b)vC3JrgULgOTi8oJAC=`8i8fy53|_thUHlyNN06 zG{x-@hf*~}js@yBEYEI?Z~NoeSfgc#TDJt*Rv1Sm#Q2WE{+^eL^xd<(43d^P-ej69 zA3ujcyhctnHl4S|Hn%bY+N+)QvCFSUVX4d3$eFBCx0P&YCQXQqNoNC!Zn~kLwY0`H z$ldR&pqFiOsKJOA$%k=%zt~rt=(2{co-@gIA|HqE`L~PG#J5VsrM^kHv;|nf|LHm^XWLEy3mwsEz(-S%xRUU47>#lJ!WluAyk`4f0qR-q|dn3Ou%JSu34XN#P5d1WNXce%54E3&o->uzzzH++G z1Q|6o-Wa`^U6SelHZXyyoN0#lz7**aMK-ZmD(5|s*NGgU^e8W14qeqZEHBcP<$Nfg zJ)9XM0s{ZHIytd&7+xeTGD`>eO!CqX%YCXZvZRa=I(Z%W`IJq6i0>3q<*Qg*)?W~L zf6EKn7zQ?2yXY*ffhKgX`PjxDhl5LcI-P4@T}ao)luCcQLH8)}M+*YiOU9PkF@ICh ztR)WTvw{qjgK&>=&!)YziuBIaMGm*z(0N)ibh9NWgI;Cahx@sGYmJ5A-1v~$`!FMG zJ#S*8Rdr+!CABCdI`m7d$Q5IvhrTwH7NMLi0_d<#Au1H$SXqZ`>gQnR(L$SSyph(C z#yGPbXnLe0M05<%dCROLk-|8Hce2#e#ss-k--GZ+Jh-DpM&xk>3KImj;g=+i+l`@E z=1$|5Ckjq9Z}fSjne5&qn&Lt9ADvWCOy0+;R(OzXpW;J)#NF&ZkyR-r)SqavNFYRD zR0`*SbBnZa1?+>%de{yiV69}59}8#5ah8>A2!2`^yua1pX$%C&HCTH#2sm)%vYY#t z?0aFU<%B-{Hnb|LoOTaL%cOl1oW0t^;mmaDJMAD&uK(fVhJNRit8*#~O}u^QK4iV< zL^9z$;EDwBsl~K>Cz=y&QREis1l_ZCnDRdqw>JNSPBl(F5phG>06Mk$EW=aP|9OAB*eW9uzotd~rVDU=_Zvd_(R?F? zIL^;y&VcgKxYOV}PtT^MI>9$YGVf@z!DRjj#!d4pf9KVK`? zKJ6;UZ0$%Y7%omd5}|pLcniSz{bmd~jnHAJtf^Wlx*w^5Y#GUQ?AQw+E~r8mBXL9p zbSaLeHS+IwS@`OsuQYN6$-J0fsDbbA#|Wl1O0+tuG1-45)$IFPnfQtM6NT?5xg0&Q z>n<* zFr4H_f(xv}uwzpYAuwM6>@Io|ZmejYge=}N>yY)xXAX7M@lwHA1Q&41n%_*?-K8&% z`AN6mWOJmn-_aTKVgE;HwI|@_)e+hxmJUwKe2^8FS+2>wr* zOZ3F19iylp)`pxdJcN8(vBXAUOWOgUfr0$2wHOXEjEp&n5Yj(^2;GHNdY^Ev@A#Bm zEt|i&CUTbKynfs&r(+2gi4?GXbL@H8iwBWkB965$YA#BbEnkr_pJ;emAkRY|WiD%@ z9HChfRJ>-u;*i7Xo1-<13p|_j?|0XZ=6Ve8+Vk&(kpSd92 z>?@&e?xVri5+A(f<+AS@hi*wfKh=5aJ{2|;?@Ji-Qep{mJXO4ftid5~D=wpOK7L5W z-4(4D)&8wK(>W6h#JM`rZ|S^vsoyx}YF(=);dR>W*)#^b`mCpyQqCPvJ9(#mUvGcmz_{9nAiXH=8zw)cw_c|;IUx}bEW zNpFEDNN)nto6?JP5kg5+kPe}Dh)9(pB25vx}cRckJ_i;DciX;$5zJ&1=rz|36Vje$da*#rkpdLG6j)KDrJLuEs}eM~?WQ%|dNT zp5#6#+~6gnNnB(e;g{5zMSuTL6fqzG=D#0^$V3D$`R`YwMYptINNP}&?I?=eW~e|? zj|?)Pq>#mI*m8r*$yb}(&(m$c-CKR@qAMO53=@8FJ5BS-mn8g((ZzN18SpB&K382U zmVO%7rwV6DXWDVS8E`@4<}<#Cvs%nqBZ0lXQcTewkf(AynELK_ve;4S8^PFH__^Tz z)K5q&AOq;uz#nf6tbFoQ1xj_iSD(c1&C4Inj*({BM_;!oGzfY+; zfY6jw&kLKB>1hq^L^AXEa?U9XcixSgUjl^|7oC^-t_x?xdJLLfM$%>C-!@t;xp-mq zP9lyVV9KOH4P+tv8I!?4=-P^(&Tihkh(YIC9^`~LSWiZZGn!8|UyQUEQhWY1$N$9H zcrW3#t_VWw$p;n8AL;YF6vmV2pAl_pQ`u;FvAidvnOZsykF5eQS2oPf(D}P9ebl>M z&%*r*0ko69Vq_oVehM_2gvIVPAsQgdL zHYnrBLd!vKz8c%-+Qwv0J?eO|Z8)*@Ld>E#(5Sb{ob&L!?d@l*o zb{KuE0@ai12)BVDNni(AxQSL{m7xFf)v0mqCm$B+tl>Y2nIu?Cmv*`R3@nAQp zohd9@`}rJhM>5v^z^JC_$1{En0%gtHuaxRP<5BD|w%_4KkW^aB z_OA54Bo_8b3nS*Km~d|IM1!~fEX72x!O!MvxXibiV?SNG)h8?1yJ8vHkN{oz=twW?ZIs2F&$m0%UBIIPr%=NzPicl8hm7Mdz>(J>3+0K_m_anU=o~`Df7&AafhS7SFKGN zNgHRt{do2*{cf6oc5Du|bPCiR4{iLW5rdnAxb-(fzk0cYjB;xY z&Ha5tEsQ}?=?6E0W|;*^hgk`BHDCT&;F07Z)AH0oXJe!P23>ag>3iJj9D$%Ln1S0` zx?7)}o4+?(M&f7jqdepmOHZ}U&{%oDw}})8yWFevPE3A8IbHt9PQ(?YJIUT>tNB8ZV;Z^AHQ5o z|4uqCEylpClS2#m0=prSJ18T6XQ(T6M8T2Y`G-SnKI`<~$;Lql{eMWmGJs0^3OAvG z&HekNkc_(DG_uVg202+i<&Lr@DdYuV0RtEGy6I-lXGF#y~9HxaC_-L~B8%pWg$_ClBzk!&gp!ut>gFhyvk22^jTPqx>c z`$g<6!WU^fINr7fp5id@$KDq&W+iz1F=uOxUvu&`(W`RJb3r9}yuMFgT&|508q#P;+jQpKgMF9b7M_Tj!h$%Uu zRDZDkb5Q453-nA6I5;!i~r#JPsp+&>N9O zbk03gmdZC;p}qV|h?l;hP8Sw`aNCQzb;UBV@YGv3uBrZNEvF^;4Kn^!_`W^oLFcMK zG|rH_Bs_Q@#%~RBmv~9pZ}#3ta?S0m4WDblmDj#&M_oT>@meH6)4xCMmhH^KWVmKo zwwcrnunZxZu)9upR&sNc-!#C`9_nPOnhJy==^8mRD_n%5uGDOBS^T*LIdB0}(@t+f*l8Ro|0$~92AN4IAZ&19SZ-+NBsF^EoGR9JN^#4|9CC4hm)jVH zUQ3+o9DC|pXIQMP}P6_++dL`ypJ?5UkY!LHBh5b1$L`fg1fe^QC$ zM0b zR)+^<*`sDWzKqTPUOGlNhm$W0U99D&Kj9wMlytgkUFtYwQ(oLn+<<>(l>HrsUMg9u zSh0jok6GZ<8)o#pa~yXBQ&QyZv*{knkA(zyREOuee$xaIFcF)83HX|jiAZ_xPWKPZ zltt2JPNDnzHjrkuc#elESb4ymihG0n)7LHDl(2?8m2*qE2dUxP%`4WLJb*S)$DX<& zdGdwtW?P+&;r=McX-)Xd(HEU$rkp^-pl*^{{k+}jHmgflOrY?DWbWw)k;ievqMX%!ji}^sJw{yJ@GRhHjoy#KOcf3oiJg(P@beP+G zzZlZ6_*P81TacwoaqxDxR6}11-}BsS6W;JlXABMz{vAr>qT~VIK)?!^PzX@1XMh%Q zwXu_CRmc0}r^p&lOUn?;(sAaS6ScC3Wy@FFv^3kF+^@C^f*bdOZ19=pW3v{yhN-7X z?>N|Z?mZkx6nn((=h!xoZSv7zkfgU_5N%BFrNn9H-^au5*v<6o`N2Q-j2-_Ds2>D_ z>HjIw6`2|&Ne#&E+H0)`>cMVXiQlwg`%y4$9V_-$7Z-W$<@>(5zJ zUDsIv%*)D^6AGt0K@45m%yOi>zE0A=;QXpt$k&od+T!vL)3TQ(!*^UJ=5t*cxT3`%D$~$iyw@1!r)ZXa|3ukP2rFJ3oQimge5( zvTqyNw>2u)EseyQMKpU#hgzoI=kn;}xiDdR@6^^lIByzs4E;;nvazi6XpC8E#A-mM zkEt(dXGl9CV3{dZY6;YLJwI)6Nz3NDR85XlWLSF_Ih-04(yyjIfe_hjuMc`eNM<4P zuZOiX5JoJut_k0X)DrdFg4bRB2&-^O*j2s0A;8>o+@DL`Y=gQYeyGfkHd zWOM()L0%#LX_~tj{UKDym4Qw_h1)%uxV}pN>1g?#N~z@ zu@>PSrLjQ)gj`AAh#J9ztZL6xuQwI%^hYbdd$DHk+C$D#cW*p(Jr#e&f}gELHD)uV zzb`|m^1>OqxPh(=9$hD;bIZH@DM8s%(8bJdCtVsYK0MM4d4q;0qJ?jxZ2?o55&n0W z;6Gr;|F?wl|C~GqNF@Iv@sowA0msyUEk9vc?2v=aG$a`Yl>1c!zLClcJ6_{hwTwu= z_4%f^skX0POftSsl#etkPPE%qgQ(g*zg#q&Xs zpq#hb?2B-V5fZuCgn9nuur?I|(Fow_O$8NR(c%vOgO5rjYeuE~&93e@yJ zJrV?=gbEa1+zat$er>ik>}7T=L;fx`V~nq{eL`F?f0B`FZQ(Lh*1(D%E3;X|%&+&V%U0xL3AOYXzZc z8DkE2i_;}f4TG8VIfwp%*`oRkHL(4jwaIO@81Q4an}I z+0T}}@w-0x$BpEoad*g}e+HCltl+vTr&`3%j~-fg}mb*k-8N%ppy)w8zE`6`;+-}9{r4m`-} zQ5|*j&3dr8BHS8&%St#UEcqd7Yo9~P>2n4T6aNjmLYdsbJDDZw> ze0{=ud%aWl>$G8p>FHax9Ca=qobYdNnDsC+TF7U-;L%u>m^qM{OBot>$4X2pK`w-5 zM9W#X-$f!E>ATN85YkNR`Fw-nCIg!}(xf8q%!}ITFN)}cBG>urbtc67F z>jPp?4FEHs?3RU)th{1+wEKAtGswCh8uRvN?adb0uO`*zxs&9o6kdG1mjs`km7KNo zpVs`*gUhDe@|uBCs&?&GyDn^t)1B8)+`6Q|#gj1Z{g`JR%XeT19mRi!wQmd!kdU zyssWJgAKwE*h-PKq=W<6)@@I0pV8o`k+Jq`{V5IZ0*cBHFBR|BodY*<1gX1xNvH2~ zO3jT4^$#6peem2Pd5HFUEIBVJG-)V8%|28!V#XH@fL>UN8~DhJHe~rvz}*#&f|;IUalEpr5BLA*FPG> zbzA429^gu+g}IBdJJCs9fkg38K9D3S%L;_bOxbN!m^R6KAcV(ml8BDgZ~I8kz6ZO;B?u&6~!LHr!uNdheeRJ4^ozn|_Dmi;|*WLVR2* za}Dw`L`Xe8MJzN>J3;E|7X9)+JvPsOP(S=9?a#LdR%9gyl7*<42VezLgpyOS9`<;5 z-g7qj?ej_v)%Kltq2&n=o`KXDeQnugO)YcO9K#$o#p?Y=GjsHF=6FnMuL)n=^}#rP zCx&j3@#XW~+Wo33O1;FtD=ht;J>g%FCb&%x8UtO7K#!u|UNS!xSD@@z1nD3^G26;^ zj(~puY<*pYXZzUL7prC#chxo{yOBgo-K#Ep{Mz(YgYW`y{~}UYToYyYRC83-3~Y)Z z&KY8&LVD8u3=)d{Up~-P4GNj;x{BoHotB-wbnW@gZ`Xe+h4>wAMeG88fGAM*7)s=B z+H@yjNAg`eVu=iIU<6$@gGpK7r|jHIQgvjYeq8!ZpZjq_i;7Q5k_X?3=xnRLIzYdV zFq#}lw5-g_j^ci_(W8hJFsj|T&_AfeSk0DF?i9cr&&-ybXsrB93To1U+=Cl`f)eQ| zu>?O1N)_C>i~zS~OxQ0l@sq5h%(1|2XS@O&`W}f_+k5%v{dD|o}DJOSepV*7I z_8#0*32HB!@PzgN#E^WtjF5k4?j9Q-}5-+!1A>>nYQ1fg5r}|wfO_KyE9*>J^kJL@?nD9Go?v!yF~5|)3b+Zd`qWNehqF2Sv5~p@Urj>IR@z~A!JUNUeIox8N=ynm}3yLe z*F~q#V;yE?%w3ryP<@B}mmft1-VnKn%XZA@^qp$20~>pQaU`IkXBp>vo>twr)6lA9GV{<3f>ficAmkN`rq-&kHS?=P8p73kvfOr>F>NpFIW24>wd0 z9yzFw5?DuPclwaP{&WE#2bJ^UaUS;-6A!kn)3Pp&(LWPNL5(&wzfii9e7aaD$*$oC z*kG6`R$lnVIb_q$nIsbzBb5+P*r3fGpE~eGB*3Lu_fDQpn$w?gXM`JL8Pr&q8Ev^w zaJ1h!mVH0}-6HxIz~TQK_k-N5b))@=KMZ!_Q0yxg_8~$ea-rZj5A-tpM}Tz_ zTm!4<)dG-vGOPx*S=rb>9I?=!2s89QQoqg=^y}X=uYC(n#tg%YtB&DH>8h--Tdz5kzh@-HRrn-ykzZTSUHd@zKNlh(_CA=1o_H%1E&10(y zBJ}MKd?e}_dE>uHA!B)zzRPvJpiQV0od|PYMOA&>!yt6MnB%K4SP+`s`uDig9IjdW zl32^GMb8G$_3bVtPa??G+cy}mqQoa3wW*7+@-MxW|e9W2M*HsfTx zEqLrl#X=)PtR}Xcnwn!LgA<^puskN1N_9ffz?nBgb*!-_bwjt;b}C?N3mNB7jnsF;hCH!1`>vuTcX_DTBXR{>rbVqHuC_Nj9-{^#s zgtIo^)Epk*hD@JoF4BV=??sYXStOz}=+>ggH+1 zNl+sa|8E-bxbszVe|rSX=|?i$z4vK98mrDYV!ZvnkPy{&bKXMi^=H3F!%MkdDE5w< zq8!76DmQ=5mrxtewximv<+6papDR+R+ffl1DVofvY8$`fVhIPG6A@O{(} ziY2sl80^9qIFd8bh05AR2uOX?He?N&Ef30?lv|LX?!FA5Q8={HPc-GyCpMFkpQ|q8*ayKo17i8PMgb}5CeJb-buoxp2#5A1 z`1K^!G96dV69belcR!tjXNg_n?AHR>q`+GVtDHLaui-s5xMlv6Mht`l8k>7Lok z<9%Y%dZ$CnbIwa$=f9~D;~-Gxwc^ajpDr8|P+V%BX+Yz(7;Nu>8a?JQ#3KQWB+zf| zCrj7tr()$LUmQj$C%fm?{>;#7jM{T3!EdMrvP&$bnI|>s2b9pQFkA5A1~~t$ZrEK{ z(Wjp08SdrK5{HzEt9>$#L$L<#N)e0c^v*g~NX682A;Fju!oeM#XMcH$(BNLEiyy3~ z3eTej=K4X|7_^AZW}31zIZ^_2yaM{D7Ee3Wo)f{qaM)C*%vEy%bTdz6_mx~}Mpz5w zbG_Q}*MCxW^FjXmrreH?AQ)rR6~pi?Nmj`pZh3hiR%#%%*ZuRZAh(lqbgy(QgT9h0 zOwhD%l_^`*O+DcI^CekL1}gg5j`4M%)RgQBCY?(brPa2c@~xMtpXlGv*6MbSfwyz-T~K*n&bKF8^*RB4i}pCJEV|$Ec+fO?jB`%U!HTnYk2C*IA_%LQ?2W##4r}A z-BUH?Sv!Ou=;AI^bO|gBS>P6wN}Q~MSXTMner~RZdC=1HawLi zVO+U(iR|0vsiC6rIsf{e$FQT6iB`9_gJPLK)N)V-$Z1HDjazq<;w(A?V zcyoBc+E@|KV+xMaKxvC2x85adRuXXdlFs&y@hA35pgMW>cy&66!Q`_{p6}*cv25$o zyeav8d(C$JwVmb2R|j10_O2z@PGcHLOW8>4FK0zP$Q9V414fSZhZ-+I5a1aNJr7RuP`#mC{#J!Y@OMr4u!5sWZW0^-= z_rW{O*LD{>tbLjleRIpZAeUqJo+WjgJN|kv0p4%V12Gki*$;Z5L0U|sI^2gOw;m21 z0`feMnCY_*r_v)JE<-NoO-{b+Kc_Ebzr?g3c%7&4S7a8@4DO-&Kvx-Tnml-HL>|ci zoc#d)8jqupY^d6~joQI~BcK!7WT4dtQlVd>gJ;)Hr|FqYN zZ7j(!RyCRK5_s?RNU2v@SL?J%EWKibRnaofO*E_YJMFE~qJIp8ulI3S9MJD51$Tvq ztj_Wlpz)S#RDDW$Gv#wLDW#-jW?jL?e!|8m`OUoNl5JdFISYrc>&xOrmp?exXyE6S zn;g2170P~gVuuT833!yfxYPi5V;^^#QqWS2O?+W!=p&A}<=fJH&B>CgId# z)x4$n^ZLGl3n+3Lj+|bt%h-l1pVuRbz2F&!CRtNh03E_+f^|FzJ?O{wIPSmPlsYq?m2zz(sK?u}4) z6`3-KiBO|^hEbD}0&07ivNp97Y7&d=xsD+K^bVS@9SnV<7fBiY3w~;DziHU@YrgT; z0?AG2ukY=K{lv0KM+c|FqZ3?02ldx_Wg;n=KnK?of5mg@dE1Sd|VoLjuhX|JwS0c-ku`*Gi)SqbL79sx4(H_{?8D~Ah-wHrkGK| zHIYRJ=u^SX^GzQ`JNU2U6HF32(2Kmb$Ef)ebi>X`QDZCB$! z*>{2?D#Gh6C2J;!dw=Axv7Pnn1PQsoO;L&h1$C_1z6c1LmSBtPUfxgF1318%)P zS?^~i=iWmhD8W%c4Jmdwe>-y@E$M+yMQ4C+@Y|5)8UXb2cX>Zm$+C9YYsX$waxxNn z^GknWz2MX2YiDx8P{}F2;WD#zJUP}#HQ%vmLUfQbMp6GtXdvcF$&J3Sfz#fgoI#|& zTMIgT>TRf?Na_uy2DXTL3Qo3^WJz)+3zLw8JldmvP*JpK1dg!H_Zi_`PIWS@cd+%+ zJ-5G!pVOpI#wW%DrSzOa{0MY0CoZRsdW#nJz^FElbl@>3oL*?u?$e1@` z2hY#7zuu(0a%H@nd|KgFJ(J#jSF3Pm?N~#=Ao5Lp%IOO`y&_2w(w#T{lq-}Ig{W7} zbb2bT7&Artf%L@ko0k6#e0#{=q2~R5?(PzHmh*dR_bsOE3wod>?1Qt-(f1xW-I5hy z_>tQiAP{%Y5v(69S9Lt)9Y)G}TH3JXp@q@nke=6${zqHLH^6)9)|8*_l+}rj5UHRv z;EBamuFH%jSss-$oMK(_DEr!;bOqCx;>)S;?!M8sy6{d%g(M*4An=mC8wvYO6CXNF z@LTC47#Bf>+vmY@*Y@D{AZ~C|W;kPJ-Z-e#dpiEKv3H1f;8=4JI$PXAJI%*Jy61Vh z^6nXBoO|TkpPeI{wbyEDro4lLz6j6^Byej-lflZce&F zmE1gI%b!ye8=m%g^fG~fH#<|(omVuRvox2UanV%L35_U5fRCa!(E^05_cud8vGXSY z3|4ip0?j>y29N-{uZ37D9H1;Rv9u*Yl9@JECrVaryN`O}A9X(T{QnLqt|EF`Lwq`* z<{_mGAr9LjnokGMq56#nZIk_mSsBY=1y&hDA;!T~-*nbY`auNgu9nC$*GXte7OV*mql(B^sf0KUG>l?>d*j!p3J&;TQ6-ZE#8GNkS!> z=e6}l_rx`*0hJ`1<9np-DQMS`YHzbxOAFLCKpZ>y5FwnIIO)%Ec&dNPD@c^hZ*7M# zkbkXimT4gS!-~|Yn>&9Xxno-JU*wZBG#*C9rJm~hg9ouY{Q-auSbXCpx zgzXDf`)m70gha`sOVLbPskda?p60Cul-%X)HaE9OMqgbHcM6NhkIGc{6^9I1Ba0gu5bB)@l=^n%4$t;a`bN3O^ZzyY1ccUf>(`)_P2%3_C&Gx=)ckiJ z-81ubs7q3lq~SRl-qnSo*b%kplt zNmCRdc)GjN73b^-s#!n_97h+~$Yuu2S8jmJ9T-2}*TqD8doK}$TeJ)Nzi$pUU+%l< zo~@>IpA9>Iv{3Yy1rJI1u##%x2%LjfqVFOGKc7f>|Fz|rfhF!mF#QGdULtjqYP0~x z$RHHy(Xj>zg+s&~ItWKCV!j;JbW!FH)x;6BaOx@U@A_j* z0Tl=C)Uz|HWut5Rk*GJ!(G>wimgmt;q@;UD#%hh*rE80~1u(pqlUH_Xhu^ZhI7^N7 zq1GudN2w_^1WLRCHqvn@W%S@a@w@hNf zP>l3H#>KV#hSUY_Z=vD8@bfDpe|cK?k=@h5)C-Q<}Y2J09cuVhJlO8gw%`rX|UWf$569F>JT z8k>kB&gTV^kHQl{A<+$}k{nt9)=1vQc0M8_2t3WeDF9K{;|ePSA95iuUMdpy2$qjxEaW`^3tF44D_5WY;&g8C(P`(m#z^^LpV&Rj7_qSqov+FdPM#`}OP=pZI=j}&lhxp76bgu3TEC@Gkxn|P2M0PrW;GH* z<%EU!t77QiXLCHtmPz;T<4#S{h5x4Ez4GT$p9Hga^dKbg<>B!?y`LsET=V<&0*+Zw zqJp1Xhc-!JkGL`n_FWjQT1W1WO-wAs&_De5^m!8`Tyo>tlM1C`<5Z=V3+el#7`_U8Sys0P zeV)0&C80525*b7>1J8gUUj{ZZ4qGW)U}BrGu^WbSJVH&K7=KkmPMz>TufYQMIwjOv zw?F|-hG^9fN-Lq1QvL&!ZDs$E``q{0F?VU_uzuX!E<7L<(cy?^3h7#HTRJHOulBqx z9t#X?Lt)z^js=~%pb=Z*ZyIJV-ptwkk+kqCV?wy?MccT|k#cU|UT-t8AUljxLl>tu zpM|L6V%`t!Jx`HfvL;i zZ2LIp1mE{vDXV^&R_Scyr1khW&7s_}%#3~1+p)!;J5mcQJxO%TwA@L7`U3GXGD7!X z8yIXlyhVb8+pYP`PV%hkrSQHa7f2!T7I#g7DM-6zrQX#+w<&MzjPQGpe;9 z&M8|)4k?n&ZSpZQD(GJTQ9l2B**c&R;VZF{wMW4DMg9F*gVZqmqa4L@U8fqm6kMus)jWQ0Tz70 zCY_}0HA@S7_xqHOq~e!ERbC9q=!=wcU2{v!``(PWXOFlC&Ef1xUR5=n1Dm|QUb3S- zH9a$7h3{fxxq?DLBeS2vx;jGS4g)l$=tzNN*-wpo!^h$vCdm=d&-%45j zYhcSKB2EVWa0c!J8@DAlzwpdvYs*QejDxB{e649-2~s_UQSwVRwP05+%|%>m|6=@G zRj*H?e?fr!#uekMt|gz5XFv4ER2ov5_!M1&&)dXveCv4q@LUQLFC$wFmQ^e|FMv5B zWnmM|%N$L11TU4hV4D(8xTZbAi#mYANaxd!c#44JO0Y=F+J>J@^EA*62`si-!g6A7 zg{8gL<@*%2DH>tz=N7Ipr;0+FMe#6TvI|V4(yathhGJ4h7jMT;d}EeM`rvv~{XBnH z(s>NTif~ux={fN5h`#0!3oO%u0O_wxupKB2>&21v4aa5YZN%N^_Wbx^uQ`TxKC8CX z$n`$=FaP7a)O8WLGw@fdk;$mX$D*~_^*T>X3tt7KWtdk;ElMY$V@=M4oSLdWcsxN5 zTkevO2x&52ckXm1focqJI0{;df(6oQ0R&lsu#Hu|K-w;jcu&GRQWMa;~(#qYpmKlJtQ@ zr!6K;r!DaM>EdG2?o#GZfA&(`qAVO6B0(&+2WSTtOeyW;tqeR=5iz0xENw0Khv9M{ z1uFL1PQsT>2B}qM@`?V;WJIDR$OLhVv%W}VY-Fi0^9tm|=3SqNNG8Nze-WTM-^Znyc;~OV1B#`M`R8zN zAjBrK&EoH)t9yAP382cQ>v>9zfq-+@g`>k}=Cf0ZCwzR{zUIC+klf`rde-G5h4*2f zr~%8QRuY=DF-8B-zG`m+N!(I{X<3}OO&+nOwx#sJSncE%zg4<`d$Dek zUCl_+=L7Pr(d1?%&yCsJqk8^QJn|fi164Wnu1yrNnG3xJOG&pOHA#{NmodQW&DJ??U&`=yg_MBDg2cp@hLItUA>ZMkoB$7a>Bytf*jB zHsJm#Dv6l#=H|x9?Z|N5I$+juz}9~BlVt<)x?+rG`1bn;VigC{%P z29J1d8Z)SI^ae09FJZ3x2GBf@NbVAPhyJSrgk5cAFV`lIP?aN>gzqbr$DtJ<0{3_rtfrRr$V7Rx|N9^s#~VdBzZhIDuN4D{_V-0 zPge5-pDe|6qE7?Klwu%H%iWJL-RU)Eu*LF_Qq@qaJrjM6abKLgjudr4;A!`LSv@HRPeLy~6P|<(nTkxn-=kXS#^B_cl^%v93vz_l0 zRqHIEx(kHrE_UPn_x_+&wvt{BbJ48LA(xh z;xk*HZTaMWdTv4D!#MKxaDZx4f`h*2n64Nfors5lH~*9p{lfv&Ca$F;=Udp;+!(!e zdCimtkF+ljBjYoEj^yYc&fM=mSls2mF!4hml)qveD;6-=N3W{}p4iv=Bt z5cl=tyh~flW$j+=-|(vM5#}fucQm&!CZK@90ZIYTPzrb0px$kX)t!a_mB1gfM_9)f zpwmTbfklh8?55st8ol=|#Z$dgTc(j`+a~xwWnYDjO-2XuRRb9#U`qv8l;5|4py1i^ zD9fd`o(p!APn6*gq@=c-GzdNzzkS>DX2rr9YrJ>4okGsrmtP=bLD@?o$t%`wCiFV| zNwGkRxt*fb{Dq_TCgEyzxiSlba7(2-O)`ORfJVIuH$ZE0cc<>>9e-9-)%xSCd z=dGH_%r(lr`=M2M6yk32DX9!<1wI1Giue3o;2eNOJbJUPEMHy|Dzeq>Y#a9z z-c>NPw^3>drC6C<%Ke;=7&8ygvdH!N%d(&6n$Z*?@Gv|hz z5}sqLozq1I16>^?X^A7HKoNifb5c#9W@QyJUA_j*w~-{=CPVSTE$@EQSWftY(+~`9 zG#}NrK0SK!wzasv6jH3RamexYP^$%x(^yHUPxSN#Y(J}b zmBTr@N3i|tj?B!hy;U?=$cJhZKz0DHowHYu!AbzrcPPm5Caz?zL5sHG&5BZpWmx1^ zMZMRfuiIrE9q1%JcE)mUgR~xw%sk;>2e8tibPD`oBVdQHK(8MZw$b14n;g}$!MIhf^FbFh6@2qhO# z?I~sCX@X>yZ~MnehZ#6ncZostc!v`%JlPB}1&!9<>wtyGjvIAu_S)+fV`YtDIr4h~ z$(eK&dBIk^BeNHN***|h`)rhoBsdE(ZoaU4{2}DSa8A3OtjXkT6WNag(&=YSh*nTkfS%jFMLxBHzPyEG! zKI?zeq8pNZ=-ahsrI`gaC8_jI- zxRJkfGfV5Q^9_GLJai&rI-I~L2fPjU8!GS%QRft?FOKN>s34G&fuZ@5^2sAb=dmHX{B;Miek^Xi1c7?^~yQ|J@))}Mj`l;zj!H!h@(~%Wjd0` zD=+saX=N=EQDgo6+j^SatZs>1QBU2^5Sjr$Sw_xw6No;SXrTErk2iR;{mHzU(Ax;& z<@S0&t^`)E3l23&Yaz>WZWH7SBJnfzo4mtJVvLHhcIhTEdC?j6=iZAnVDukIuqsZ5 zJwcJdffZRkmGB3vx4o&}jU+UlC$ZMUMj@N;Q-l1kDXOck6Zs5oSTJ#(ZDOftIkQVv z{kpf8__y;t_jSQHWd99Z&)yT257rpNVvC?k?I$Zx!EaOtz>Gw+n1nQ!S>s^7TvZ>e zFrjVYXvS*G4bhtj^I0h_7167=I=ra?+oXCUN+n6FzH$cUHaGA~Er9HRCTwbBTHd}C z>#aiwWV6O7^5}awj2qe7dh$0r(}Wg`dtNz8sn#drfL>!Ea3nM_v>7zDcng()yKUGw zGy<_JHMVoXWBX>McPl=7`kLBW3pL-7?Uq(8d}k~v#B9)?Dt7DB2sFD9U>jD8qyV5l zac46THB>J%=P^)CwYp2VAZsXT*EX)<`OX0w5X9vVmOnqfVbR?W`GH*J%1UuHCmv~2 zmMk;DwrM|77VL1#A0hE6i9i<7&~l{Miv->d!FRf*q8%pNvK{iqHVf1#+in!e?{&pi z9v$mH8ch7IlUlRTMB0c&n6qaY;72|^c1hbjN4<4g?h|zAgi*)df~#*l|BH{UxxPNT z?-mc24Fa4O^vI)^7F_k|dUKV0+L}h-wOuhZZbJTc4Z>mxT3UvNdxk*$pY=T zfjxtD?kcATQp+}h^tZ}-Egr;9g$-S{nYWp>*9>c6UHPydS-LircbF$XOKj$@+-YhOdST&g2z;P!=#!q?|KksK^I2|W5T z<1`h_bxp;fWnzJgz-Ox}u|(ynAzGC)+17m0I_AGpj?19Be+6~mXeHwn6iNVW6riHW zDucxiZ6MI~VU)5afd-H9;^p;OXTIBSv~a5anvzptDxbBQ>*K~KtZuwfqU$ibRnJJW zETkk8{Dgs2aQ1ef{5V;Ac$pkE;_#S)24mX&cN6UmA>Q>1U|7tZ&Hh-bO}jcLFkO>Q-gEZT_$3 zt~9EtYi+kxp(-|_6)Xx-8LW&-85A+8;(#IqQ3wJO5mYc`hSD&lS5#Dt$QS_ul}QN@ zMMD@OaRLk^1O$Qt0f7vJ2|{BOv52rmkPYVxCMUj3m|{O z&(R%@0xh1v{9MSXugNby7+H$fnaW(ZmpMIYzWeGfx54N5lmPODCQY8>XzrG~BrFIp zW`k0$M0faY2i5+q0MG0~VVpe{4t2n9HEuZhRV0!!`}lkbHC9OWV zGA`y)kbA6}F+2h`pd2ocg#uobsf~f3fX1Ro;Q^6aiXT2w7#z2^XKK2C=M}Hk?>usg zJ=`oPiy1$!3J=;CdL897zR%2dUv+`E7}J(QZDlH6f(h0HjGL?%1PCl?_oA|Ed%>(S z(w=b@Gy@&nxQcN~6r`&BYGkpie)zsMry#5Jx+`^C1Pl6Xj0wWanU|c)LX}R+%?g)k7=ttJG=F5-_!GhE^ge&u#Zg|fwnPeS;yRO{=W3- zg&~NpiU7dh#X@G|$S9{CBARF#VvYHc;P2QOZIXi7sR*7J9K}>$?en-;++B3)Vv(Vf zac=aYQX5tQv16FnZb=l*!F3>2u(u64o7vnmG`$@syH==31pc!RG^j->+(wI0ey0tO zZdTz^+X`W`c)$q>hl5XmVA@5Xwm^l+qYy}gS&lO$4jx^H{XhS0y!SnT5Btx>&?7L~ zVkA7ec;%AoCV@Da9q)kWoqgB0PJW{nV!UJJ?bWr5N)KGHtXV1^;;buVBS0xEt3Fj+ z2#=a&72XWi;o%!X*==YKyBn>o>sJOIJEmFtL3){F);Nr_RNy%u-YSw>QU0$P{lbMd zr@#uDa@EYxkq7w`cbo_>Z9S+4Zm|)~V*{R@nb&U%k`{3)T)*hi`_ws82n&d+M=fHm z3Qq;6$u|;zgUlVuR=Gm6c_9@<7l-u)ZZ%!SJ+P#F dLL!OfbvaY)9QMW51hEhL# zv6}b&bbLzV3j0FOn1n%H)W-Ab=k51?mz0m#$Uht6kljA2rQxrESp3^%U3EJ>hk9-U zD^C#zz1UL+k8~2v;Ew_I1%*__d9&4S-BCH4b`!pPWX3)+u0_|jd1Tt*V@|Pt{%GI9 zk=l%C`CI7i$>-a0nl<0xUfV@2n6xtT43o7lfxabv@yRZQXP+}N&}>ZW#BxW7-6s?a zr*hC1(aTBBrY`>ydt4n4KBv21*Uh^v&)4hB&4J)PQ9$jSp+;z`QEIoJRrty0r+v1f z#z=K>DrApB!!vv}`1;O9I5iiSlUx7*5p5RFyyGld2hybx_6|bo*0GwOB)-v4daU|W zO!BepdfmzUE0{fk&S28Oo;c~N5Z@g&$2XrT;z$_V-nHoO+5TS7XV=$@m?3)_b=e@% zMBiuY147vJ;_sAo%jWEhxFC(fxsc2>pPJ_AydT$#hLj?Th}=DCM%|bjWNn5!oa09_ zeHU>2I5hy!U&s2irPgV}20gN-rJClO35o7g6*VJdmyKnBhW^9;*E|2E#BDCXlm*S= zJm)zVpS19lp_TIw_2-$M^Ww_(+mIaXcp`$@Cl^s?sjZzwPtoVm8-?@9uWVFD5i)%U zc|A!WnVvvNrO4wC=(Y1hm2#$s0TC-j`pE0L^?b`D%d6ZMe8HeLxkCTI@5IH44sCM8 z4P2|ADj?C}V@G^GD!+`M;4ih&nnilc8|mXOQ^%G5(gM0&Qd_rjV{|JM-Yqq$vo2Oz zasudrfQ9HnUP)Z>IK4hqWRYt&Jy)vScvn)-yJD1^VKuA8-8EBLVc5dc4X)bD&ZuU6 zyDxWNTG>ve%?>BMoqB1S-APe9f0ya*fX1e*=7grdO-VF$9qp5EfS=$WCYU0GkUba! zm%zfh19D9mQYPgt!x3G}#cyx=R23Clv+X|N-{XK`T@>oYsUP;)_7Q|k7$-8xQ zICII7`T_Y=|6pSCi|g^0m&Du~IX$SmPcxoY`Tcv>te)ziGFXNyCEL z(4)FrQdJ;)x5t9$s7q{*Eg@B<-0inWud>2>o1u?%+c(@bBKsLmG&R;k2AEA+L>ILC zrgX=V=reN5+7}zvIOGf}jz8+W;CEx$9rjd$Xd#_tjb=RwJp?~`Btzbe7voikPOMY! zFOT`^F2su1`jW}AFte&sp7uo)qf?);VrK6}l*M5k)@tKLk%Mu+PeRTCYRA;XjM=aG zf{)()m#e5DleeprozIJc43k}IEFas9y+3~HGS{Fw>*wF@$DFx6cQaqMVcCk&0GmIU z)9esD?DOd>z>RN3KnYwPiY_PNIj@zWy!jRim!orEEKP`XmGAIiG}6%KjgOhZwF9T_ z?i#wDG^r=fOnwbMP1U0AvA#UY`cv1Jq)G2t6~TmMM-+!9s(&$B&C;v%PDD_%XRiMG1H0#_Kr2AG)$p9^ z8AM3VnT&r@2F4Ob;JIb0uqo)Q4}=jA=Q74)TO{8eE(lVtSTs0Z01UMY#g|Z9$7Azp zO`G#B-KGDE`S7@R*|l(8^%174V#$Zm0W|Ur3XCD^O=n~XpWq>jJ+)wSeBfeU2=KJT zKSO83G6SZE<8(?{Cyf-LoLCCIPd-Yj>~eQKt##?ZP4#2m_xlX|FOQ+u0KBpI^8x*4 z`W6Gn2T?ScT1sr!QXN(#w*ji;i_dH8n|MB6L#Z79z?W>5mO7cCq^)Vkt(%(2IR-~p zv=?dYAHiQ96zrV{8*9<*NHqBjL*MOs@lly1cx4aP>cl^XPMZ*X?pWIjYKYIuUT|W` zSv1aBkB9WmDXaUpyJ#HDk<~%ffmkP2tkFy^KO$RXPZ?U!;b?#FGj;;w$tk3V_G6 zkoPO{|DdZP^6;PF%p3R%wzJlb*ddxeqw8=>Z6f+Xd_G!$6u9^DP>&R50w*xmVaQt^ zUssBz$WyCg2c#i?1=#;O_Z!5`m6h+|C*pNGx9ig$)8I~+dx^(evvhrUSpltQ?_Sq$ z%76drD_4yhU;XmK*WT*oBUN9DZV*3pe@XI@KB`1TXuB6PBZ_IMIv$t}nD%R!DpM;2 zWOu_Do&3V(`izs?Om59Bx@D8SChLA+Z_JD)%l?-%hSbbIkQdnMsV=e=VsaiM6(9wT z4RYQwTY_eZIn0~BcnF(g)lm0dRZ_yLA{230QGHcao#WaM`uYxp-y2^&$XdpIZeXTc zZ@YHH_<<4!*|G+Y!B2s3`^tKSz~H@}CEz?6r^%Os1tOFM7%8lBL#b+k{@@U zK0mKkI~-H~!ZhveuGTg5tjvbXTBnbzXUVCYq0Oq3#P*+QWO$UGEB_6>jktAF4impY{vs@)$5CgBBvbF)%$<6+VbG zCLq|zTh)r4G7}E-X5r1gWU{a$isntVlq8D-vC zBW3O&iIt2x1HY7==H}9XKg*Y|!j5J4{>nqSKW|I#qxQ_mOA zIbc8)+y)G@qD1F`L@!0v4)|Hgx!A!pK)R1Q*nQ8_vGZImxo7Qvk6IFbzu!9?zk#C< zxpq+4CFT%XG|)(p4T;d{8)2FZM}7xa_XKoWGk2V;4m^9PmZ?>vb75tlVRmJ7{E+9v z?%Q>wV%olM4>|hh9QLHV*@jJ3P-GS-AhJkZn4A&HNRxB)Wk)%&$>hg{wteT0to_CB z0mK<(RO7szzK>j zF^6b-8oH%_1c&jJUDG=y8}M=_35!MZY;XR!X8&6ZK5W(o4lcPQ5d;d|WiT-lNe)9Q znz?5Egh*Ku2S<)nh2gR3LAl~Axfx=A%Kk{>Vtx85cpq4LWo6zu z?D}i$>Nh9&&UW{cvnrzs4HIKM6__?88Fy0$oAsEgU>%QsV&o3t@&YMCud5Ove`jv8*pr)M8U&lsfs3JPSg!p)VF|plM zb*403vt+2jt?^C(6sXQ_kU&cPL2z0%yIXFm`O6i4Ef z;uRPdD7s8=f)8|H!Ys<-)d_WH8J29dRR2+ZnjB~O%pVNlYW0|%&B&vybILi@RTAg< zuEwK&^tXpga4~;i*grb!-g$%~O^8r_YK`stei;bA0I7KTFu4QK76jL-V92{2J00V#B4h(o|ZHNLA24{d>OR6#f zZ(1E-XoBE_un7j$KHP*V;wb)VKeL%B%LHZq;Y4GmYzSXC*Edo_9`Bol1=&oXUs?@f zG)sx!%d0ecz_W@2rVQznh&Ra-Y8-uLze>@W?kL!AJofa`;`3Xs_H*GZp+!4@-Eq3k zWQyX7pc3ny+xdPI&%Wy}LCnE=)KoJfxJxqUKWF^io=I!}@UqKb$>Qc858q*L3-cJf zqp}79Sq5&BqHqV}R~7(`YVKV_Cz6O_pfu2k%AF`~>_va1H3bj-edXca2bmV})N;jv zwqCDa8!Gzn^Me!-VjCgDv&YmF=S+*EZj)l`yCqAC=~(Lb;kzWHMJ}SNOV8a}#`%c- myY78{1u_5VU-u8f)&K9J+yCI%Ki75tgUdhnV*dx1zx_8B8lGJq? zU}9uuW&*k&=mDVWTA(}wiy*6zqM;+3a9|?4QlW@Z(iUwW$pkka<)Wpdp zCN3cY31zV>gMj@=@lFj8WtWA8I_!pnwFlCnN?g;T2@|BS=HRq z+ScCD*)?hMl&RCE&zL!D(c&dbmn~nha@D5ITefc7zGLUELx+zXJ$C%W$y1juU%7hi z`i+~n9zJ^f1 b_qOEaNAap@a5plPST0%A#h`%)|Gx8LWU+dQ-U%VP4aw@gElY?R<%{S&zIw7+^b#ey&0@8UUP3G)vbre2 z5!y?5^1J9For_L(zt=ghhOe7z31rLV204Im;S0_ff>!1XfV z0f3Bzl$4Z&?B*aNBO|AvrKGqK1{xYFS|9@>Bai_IWMbiBWn$(40fB6MY#iL&yu7?j zto(xfJc3+2ygdInA-XZ8Ag7?Gq@?Fz1~T*fpXGW00Hg#!h`x~!@dJo~L?l3>>-PX& z0Dy?>Cfk1!{x2aSCLz7al9Gy==0*X13qVXnLPC6#{|&&6cJ$3VfD}l^$S12w&Sd69 z!SBy37n@m5DWKK-4rD%s7rg5n5JyGL!pg?ZAtWp!Dkd(ka8FT5S>?e)h_;Tdp1y^p zl{M7H7UtsW=KjRP(mDVeo0%bVX(3EVZugPa4V zs96N%aYBTD(EdgCzXOZ=f06wg?7z8|0JJ1TH^n0X0>FS@KV~LR>Y8G@ufh<{6dkBR zm(EkeP6{)q^Nx6RKdciJG6gI6*p#ah1fmU=kBWr0KX6HRt;oyt^qxt=IKyF@2G+V3 z46tbV>6c{kGZz+gZhWs5m@I25ytXqvQfGLod{5cyUCo$+>abq9h%{SWSGr$sL=X;@ zUV{+OYI#JFek@X%`FYi@Rh63ManPG-9to6t0Q@{G~!%$cqa z-LpXg)V`v`{L0n*WpK)k*jq|f!@3*U%(RJ#+T+$XmabNUs<|I#*u}>{oYa!?P`A1d zR;d=cwNAP4NcRHs`rI0z5&c(A@M10LX0<`;Os-fJE5FS-1;~r7llf~#H7rR3;>osB zC^u0-2J5^9vIZ?f8N15Ma|+#sK8ep799xBHjt;|84xxs?Y_|@GeZo`mVs-H!>SUqj zcQSpYD;kH>#dFjl4$0_Mu9mNQtMuqEbs=*F&&WTj+zkyww!3-T zw%x4;y>Q{oyIhcqecBNc0@B$dDpRSD*04K1?vD1|;?lY8wKkb}owR3c-y>9He(R-< z`|R@{^*2&%I?9JpY=ofRT++Thv#nK)88q{c`IGTi!pkwzLLuyHvtDCwBwa!<;<&cq z^ypZP-Lh3Uwf`eq0^u9JxI3$-m|&L&WfH0E^Ctgg2QsS#(aG2vi!B-&HAk8F(TwLNXYnL zWSTD0z4e^@8&Fz~=_6=P?mgZIiZY+;k-_J`2h$bks+su2{Nxs111pEyR1zJevi-amY)NWg)>DPeu<$@M6##d(lb>s zxvfBQqLA=Y6s2ID)nG9FX3R#n4Vv{jyTM3EQwBDXlO)UO#jov&HB=C~BWO-6%AfVO z7Eha^K4OJ)K{MQ` zi_Ws~))whrEu~(rYVcLbiF_wDs}8$ZODEw5tuWAnUV-6c!U?h|r2b5A;!(S=juOf| z{!ZpI4Ya4Km2Mg=mEh3JM8(2{)-UlAR3@%Aj_ckn9L<7jLVVrB3kA5v9}2)z{37yX zr~7H`$}GqIL3{DV!KhbYZ}rHbh0uE{k}L!)a$tGYP3g$=8bBq`7_E+6Rr3}`Q6%}f zP2%*>WQnPi+km%9+m|QX4N)?|k_#%!6ODTQeFmvtI_*CwpZ8g;p(u78ZHEdV%3Twe z>a3^DJ6lS@=E%CWp+C_hO;@A8d7mFddHtSCe4p0^dBfc6tYJ``E&wQ=MR02_KyWDEns6IX)-erX>^yPs?2!`Z`aG`b_~%0%`PCX>PGTlBNjXeYw#S))nvueT0#Gc~<)2eX>Dv@MU? z@zad&9}|^fdh$0N2VN|%k0hU%P%ggeeWE44<1*OuV{I>71o4-mBM!8)_32h}&y|!q z193&SkpS;|5%AlIOzsm5*yM}CU(W`v0Y}nd*sCG%g%lLu9;+eb6~n0p-fV~&3RoO0 zx*vCCD_t(+OX_AaDkwD`I4}Z+A@O&0&!+Hi7VMhiaWX$wBGL#QjNH%WS6*WP7&{cj zTZu-L~mgd$4x++%N3|85EcLlkp=l)sJ*r zN8?}id5T^G@GP4t%QxCkSye}fl~*4~v$%{a_&JSdaPsqZ!+cOR7`EnV#+?5!^CnkCiF$X@w*fMpkL5TNSZUB+=q z-JSh2s13iL+tf`^qg46NS#ZTK@@}K_ zE|A09;xn~NaHA&&@Qy}D-DloI%Z&Mgxg7XIQm&%dcUW_cT&J$0#69-Z`hnxIOD_rw zixu6M@26GCtiEPDaMndVA>>uQ`7U=RGFW7^8e3yLsi%IPKhf=Wv1+RYqNsTg()fei z!tPGMPanaCkS}@NGS(1Sb8Y93EE$l)eea1&H$q~)8nFEA)ldYpVmk+V@cz7FR?Z#e zmHLE?4MxCSjE?&5D)6il@m)h$gM$#7xPM}|>r>UymN$>|4g}yRCUUaF-@JU)KXI-IHcg1^3RG_N(W6a&N!8K@Bv<8hp&38H5+MKPPVf$Mn z>Qq?$fwOpm01SWlBIpmref)kpN_|5X>`^$0FxA7XRst5d)iRhY*zgfzLZJ;=)_qq= zC?LqBB1Xw(>)8%?Gzc8A-Z64QQ8d@Tos+?RU-%(|=X=Fi827tx7}< zTCj9!N8oOrIq0!i_vTa=KGL14MR#RSBG$L*&5 z!b7<+!r8(4Gu$sX@L8!a^KZSKu)O8{&qX$M#)saaym0TJ+Lh>{B{%rN)u-7} zf8G)mkpll>X%n@;VTiXv+Ml|v=~D@A{guwt3Acb&{-*DpTH4XbPhtAs=_^y(BRMW~ zR~LZI^Dl#{lD73b`tuFZ3-ZV`<6DMr%^WZbloa+DdYn<#wn3ucg+p`AQjexV{V#va z2R2*)8zFn(bQgNcrInQ-&<$Pu!86U$J0e>*PCYcE=2rP#{RbBZcct5X~>(hTeya?AaEcC#M{K~I`x^tW^XxUWxgqbEvCAON(VLIlXSUTf|VQaH7 zB&CXV=4VKPU@RO>c^lrq%7%PdT-1TgrCsvIvhlaar~8E!C6FMALitx}bBL*J?m#2ooRb|1{QGD-DGUj5 zZTb4Kga4*XtOFy#x>vZ_|E9OW-g)2e8e|pt{eeKBLngy2GLs}-V)~u4xYO2zv9+sq z+pa+o{l{Z0{Urx}wXj03!lcgYcWJ@!qLfT^TBVLt+;<@J_KY%#;AvxUj60ZI^4;cq z&jTKMOR4t)T!i>7XuJ0Pjr!Zekted~U)w7qdmYaeJ$pm>rhZH$<@>lVD6;y{I;ma6 z(Plk%k-Sd=PPFG1WriBsMYJYIL-sW<>4@{{v>1Nr;Pi}Zit>nJca8jNJZEQYM?Fl2 zm`7^S+H+WbOljN5uCZ6z9y_!49H+(7&EHcn#T0xg&WeClD5S!buK^qsKEzutg0c@r ze?zk_8D^totD~gn_Jc3>3~c2iFADvfvLLq9vMcq>o2tnqvxK6bduNPc9EumU4dJC| ze8%AKz7_>K?I~gL#oHMA=+@MQ*4)jfFNbD_HV!Id^7K7 z@*lE^c+m!79UZ++j9lJz3D@MAt}MrTyN>?Ej~t0J3;5nq!cgch%)Vx2Y0=UhqUEyw zoX)p3s6&^SA?^$9GFmcm6KPzM)uB5)HW@w2SUlP@fn$gLzj%e*%lOmDx2QfS07Qoq zrI`^pmqkWUG(ErWO%1qoXn2nz*~x|9eJOrC|K;%A8nwf!`ow9Li9mKITzKGa z9YcGQTOYXWtX!r&=xDD2 zpPv{MLjzOq%l3FFnZU{i_;OAi^CC#XC}eiYQOrB!Vu!)Z!OC!({#YNhUe;RuA@@qS za$#<-yro>mO|*9r7xDF(gaI!VD)qE|F32g|ovb(nKfta_L=^OBA~l_}!G7U~%;f_D z^wDupuY|Q-rXj7eRa@(U25U89KU#|Nae=eEA$EE7^ALR;^zXUmnaVhYw+MTUcCNn z;#~`aFqB=Pa{-d%PnCFK3&8hm9_zDJ%Yom11-)(UT`S2etw?9_4SA$;nIZ5BGTj5l zuMIkgO@B4nPf4j`7ttnm?~=Kg#jdU=kwPB?;{K=(J8~Xk8rXy0PS5X}Dg#CZt<0mJ zvNxYLw(Fny;g+SM_Ke(UzDW34ALWa$R;8bIKfztlJaYDN0;Qcy7O;<95o?Zod%Yli z7cQ#tMB6;TzohDyv5~4&h;>YbbSXV7P-q6Pc03qig*fYMG~sUZ^LMMED$mVfNh>m{ zUp##`vS}Z@UtL<%!oU!J2PekHvTcYIf`*!|E_PclImC= zs`mw4vL8Jo9HyUTt>?S88Y;yt$q7YEcMr9m(HH%=0L0&7m;X)+0&b zVZ;m;=Hh}TVZXGk65+CFElv%oONp>JPxDd=MpUX>X)UA zoK)1GmMj#|5<$w!ZXmP<8{UUC7PN}7y7=Zgslcn5HsNT_pl@A|KQ2b_FQ>+%N{ zVvWDu19()-^yxsI6a}ZIUq&bNSoK9w6MXr&<#}-7;qixY^Ak`2&&}k-VgNTY4KB>t zlHRHUsRUKPPdy&*Ec*=?SpJm>kru7!c1fsSCb%0l`(OEMgFlH@G~mQSE^Qizc(<7g zD&T%p+RM)*rH8m;P7zfx#byv!d`|Z>Nzdkn`Jy4XXgv>O+RsZ{y%qtZXD4R1J=~o8 zXDtV#1+2;=JSMX=A_m-Q@@M z)TSUpapf_|#~j%Qe5RbC5f31se_0gFmigCY%bV%Udi*P52S&KkUEa*#yCpdPnEuHM zTd@TVhiK)$u44DJKl+Ss literal 0 HcmV?d00001 diff --git a/address_decoder_html/images/cr2s_logo.jpg b/address_decoder_html/images/cr2s_logo.jpg new file mode 100644 index 0000000000000000000000000000000000000000..ca81eb85ee4c6fbd4d29a3edc31150db667b8d1d GIT binary patch literal 2884 zcmb`Idpy(s9>>2kGqKc~X^543DbidcLM((L&w;%u+!Gs z#u@;DK)^}i0JgpYmH-4SDk=(w2qy>xA_j$tLxtKQDY*>>-?3{Kd^R7zZ2N>Lgvt@vMS>oouu2dsb$Fh~gy zfrG$s&{ive1^^I5nD%Gje+nc578Rxx-zFg`EU4ZIh=9Og5n+BIfUr7NxDJTIA-neJ zn2RCK_(7GzrH|Z9%Mn+$sCa{P`ovYi_(zbpNyzL*$;zp!scY;%psS~EU}$vI@`RN& z_N0xi^H~>Hw{z|u0T%)<1_g&)y7mh`GKz4Wc z6Bp?p@kv5PMR!z{_Y>{!WPc8f{6CTX0roF0761c-gv|rP0W;vcR-(pVME-=u>~&8+ zTc@978oqxHUU_C26@vL|{DiE08RG$B!|elGCZF2wTjld~)JT;Qs{fe~nuUyzhFzzk z1CoG|~yu@;8msG)e4`Hb`fkXq$tS1U`)~9*^tCpEQV;sCZG&RTm zt;-EVjwCk@du9i;{M+T7?dQ>MP4tcNA6@4-N(I+OHp=zf8eWfnH|LUYJ0){+yD~31 z>(y($c^ofShg&VcYsZf#VhSZSLhZkNXe^Tt1JDMjO}Y1o^s{u0BI58+`jhE;I;D&NW>2_5m7jdnuklzL z>}LJp1Ju`Ul60>@y{c>a1*oCD-Jr;ML7D$?ggnQW%E=u^&k=){y&8rv!#Kxv5?8GYONtV*~0-g81~ z?;)%&S1qrAA3R{E%c}0wme}W%47j`pzX*#xLX;p4^pBQs3X10X>Kb3zdRTaDU>Luo zIJLOeQeuyehbg7H-Wf1Q;SK$|>0ae#+}hS1@m+zjL8HeUIyc)x4lQT&oZzLT6%MkG z-2B1fk;opa&o9T|IQE_{4E35mUFP97Jw}RLmzM2~d`>cO%)rG`JCiY95*jMk&-SyE zMb(uq#Mbm|j z=F8aQ4+_#?caYG_9BMXksbb#nlv}^^1Z^0w%|SYOlb)M&T+ zM#Tp@`6LQUtN`0d`Kus*sCw>wI!>U$H!BTR z+{?r}B8Ee1vsoF=o}@es1y)K^k4VkyeN~z0+@*s^N{ZeBo;Djw=uko~$6Vt+!7{3E zj%@+)pVunfy?u}0IW_R5*VDmZfBW8@j;8(WS(13%USEMpuRvKVZ!{XGpcVAUTP0JM zUK^O1-Y$RN-0h_u*Rqv{-ibGpCXiZJS&pnSIl?J_^MiryACKhYji$f!&t8ddLgspx z@+=}17c@y2pVF9L0#%)!o~VfoS-{0%x5MOZn)7{KqL*KF2qd{_84_(-bn z=EiEt^rk`vuQ9I-Tg2Bq_dN3ADaOw~x8JNXUHHP>U6$f{+uAh95@OLo~j63x?RvjYb#UHI^Kl8nfe{-k0SW zv`p(=kxaoj)N!Tmn$XC&TLA}WDAoxl&S!{HY1h6;9i6-%#$`03`(A1m1Yi8_m?4id zoEtD{3k)p+%`)~7T|W?g9-#;O=5|j@Yyo#1GCp^%9UAk^1-bXPaf;il^Ya4Bx&@u_ zXsE5jX(whEDXlfevZn;!1ex(LiJ1J*AhzurN4Q5RE>M&O2S0Ox`IxZHRHgsp^uCp)Zo!p}|8BEP<5 zwMO{oN$nohCexa;cTk%fGHYrLPA%F+p7(c2s(2#{ECWI4mUCH$xy%F;42;E&U%2pC zL;B^t7rSz$91E|Sb?7w}hYVw?cvY;`2fDNGW&O{2d~|2&2cF5C3;|VoW)VyU#y%tk zT*KK7@6@z_oF8qxmq8#yfyGQ3IR^L literal 0 HcmV?d00001 diff --git a/address_decoder_html/images/fitterRpt.jpg b/address_decoder_html/images/fitterRpt.jpg new file mode 100644 index 0000000000000000000000000000000000000000..42de6fff46e7a1fbae781b4944ea0f4471075e2a GIT binary patch literal 1341 zcmex=^(PF6}rMnOeST|r4lSw=>~TvNxu(8R<gTWM0TY@5u?V53ptdXHXalWy7)oG zIH{I3zSIJR&kGIVCkMJtH%#xTLhK zyrQzIxuvzOy`!^h(&Q;qr%j(RbJn88OO`HMzGCI7O`ErD-L`$l&RvHNA31vL_=%IJ zE?vHI_1g6tH*Yuqec!9r-=(U9^_Ou4*DRPRCJL`OvU7(>PL{* zz&<0+V@+iF4DK<6ziu(`Ff#%pk6Dnxp5X(}{3xT^jCG%buBC1IQUCC_h3Vgyn6DvNsN_F_@hAG^f z{QU^Od4Y;L`?C|`76NaqFSoq2vi$S9_-NR#(%z%3OMCk#9QNNbuQDpFSZC60m$wZS zp(oX4=4>wRUA6be)jz9$Ti8ETzOE9jD|YJb7kT}^eD5sYJeuTDF1c~*1Z%SwAA1k; z6i94{o#&BO{3reMlF#wKkAGhGJnX_2ZF}BR6ZbCM-|Vw_Z@g;Wtk*S%#1aj)pKvtH z+z`&*(Wo+wJvit?UvJ@~+jHe?wQBXG5YJofF>dCk=iqt6TZFF4T461*t#_8p%M)BCa&)_GgoD?L5T zdsvI@?%K~`_WgNEJFmZu(zehI|E=%;Q!!n6cEpU@Z^;J~-EBqE)m5fB@3x$8xPMQ* zmFAWJ?TuQQdTKKjJypv+0*(u977{EMJM?_PX~P5Wj(lI##julY_v+j1zwK7niv4F$ zub*DM(%;U`lc`;9d4A^k^K;K$x3Bm$ zOJf1o3HDBiqtP z+$Y!NuZx}dPHjiu9gkxgQsH|4q9&C!9k=`Y*;Ry5_Sx>$uZm9U{##vJt}>BB_W6!V zZZ`=Fr-(T#5~O8~jIXV}d;jiz4!i56w#O$59ps;@Sg&XLd&SNdi{~&N0D6D5t4IL* G|C<21w=%2% literal 0 HcmV?d00001 diff --git a/address_decoder_html/images/logo.jpg b/address_decoder_html/images/logo.jpg new file mode 100644 index 0000000000000000000000000000000000000000..dbc01d5124f366a2fb387abf7e62447f44e0dbd0 GIT binary patch literal 2922 zcmbW2c{tSH9>>2kW2ZC>N-;lM$xh1DkEBpY^J8Lc5rr5=#x`a`DLY9;HFhRzNCsJ= z#?sGJDq=K32H9gQ!yt2~yZr9+yU)GPbMJkh=Y9S-=X1_^zn;$@hdaRi3hc5pw=f4l zAP{hdHvsM+a0=ju@bU3M_<0jQKfi#Wn2;b(;-aF$Vo-4j38**}Dk;5BMiM3~1%>Wb z*exq32ZzHYWfYYa<(2oz!{vWo0^%JN6cF4gB(zf=28GH0&*HuWphAE-kO2WH0$?Zz z0tIo~0XP7F_<3o62L8K1U# zZQKPF17UhM((;9rPFBB?vi-QIe8>YAEi57}vwM%M%KihY2i5csA2C22J$A~}%>48j z3ro~_JA1T)qtm6!o?hNpe0+m~Lqfyw;e?yFVq)Xs6B6&wk`qs+1KiEoor(=)84<&{gfw(tr5oBus1s-2){R^==5kPNwBozYBDR`mnH%p0!^qIYt^=NpE0PK07Qr!T~#U z#Vf!=nKoOe`_QY0Q;5opZB0!xu7-Nd$z~#3nbpZ zAjKr?wWsR{YMmY@*5R?g*p6ZBW#pE*{e&ngtOlJZsxqKI+iu-)t~)XI&HGJ_C?7k= zz-3kc0rvZ-3Trph?2pXD-WLlV=~tiHYo(vC&sXp58~{%!HlJ2h@1#w&snKvkt3}c? znlPIa(SJhap=WBOb?miJFH>ByK!B@5+@#lotTX3&XOF-!nzjBhv~cobMf(1%q+ z{ow2GquKY4(K!%MZPuci+9Uyi7h$?Py`cHuUjRn38k4zrO->^B;0dE!GGzP%=f>xaEQ9zEG3Rn!Dpe+H=S%4m!1TT83vM^G(e2yDtt?~$ z*2#Y_HT1ZP2kMT5P6V+Uo|$Um8Y|o;y3i41F+UjmAVO{>B7H8DPWxp#sG*)e5`$%g z+9WL#T!+NbAGVJ;uHT~$D>Z-A$7Dvhnb#82yot$Y%7hI|XRqUo%DX4lCz!1;y!pt< zkNL6Uuo<*9Jo`$rGy{lS?2h!%IW#3an>cYzk{P$S5En8}u)6X+gbTpBlT65Pqkw#p=iKEwR&nhZsrJiS1rhMJ3aP`6N@r!wjO?xdn z`Wt(DS8>S|s@6O}RM%?31=J3; z%CxGdLRQ zj`<1HYX0cS19^M8*p<=Ez3c=QHa!n7uzaQ=-qrWmCv6{ZFY&h%u|1O?v`p3zQrV76 zCN%c1dUMA8y62~tmM@f7X|<3-5JSYVcf-Y_a%$Sb)1@+rhkYDv8K)*SDem#Z1aHLH z@muW4tiG+&EWu9FiksMz)z1)W;1f4$!I3ayf)0AO+4#jv`R^fFZl8Vo8E=>s{SV4N zcLo&3-16KgEFF*1rsXdRG%?{ZTwvE~;S;>EZJ+k{%U6#G*n4Z7TO2&&dGvr%e~a&9 z!fJql?MG{M&aX!{ePoJBO)UwLF+Xx+sePqZqSi+{g3Q@XID%tKn%nK-$G#)r6}Z^C z0je)pReMz&`s&ibdiVWSzoYkQ2hn$bYgTq0sk-rGODso@XjNgkZb(O!N?1xg7a@C| zIB++rDb;C1)R`65VeR~i9dw1^l}q;R`Etmm<0u!f+o@A#5MmgOltW0}7}W-kq+pQ} z>rJ-XJ^h!hyDmPXq4Q2x}-W56?pKfxXX`-*~%V2 zY%?;{kFtjGSCoAAZ5p9>Y1{Gj4xHbG0UbKzW+FrHSXIrt4(xBfeKJ`@dMG7VE8)D)i7ofD?n}3~0n{KU{Ot|=> zxu?<)CA2v7?tQj~PAvMN04;88;Ob62!Ty%`UcZXqS=A?V`3@K3-ED|=i5sM&MhI$e zB%4&Bk_jTh0>4Rpd7eLQDrgQ;Q{ zdo+;~sFuuc2LYWf<^rxw#f$7)8#TV~3I{UTowN7AoRr%F=k%^3Dvqf)WJi!*<+d=w7 zASZ?nIz3Uj6s@~vheMvtA&%!1y|7K3Y!=`e$fSZYPh~=6^ zZ&hVM!;9M66HG2(ufj=3mY_N#Sfs?~0Yfx>e;Lh@)%Bf-2exF-3k@noTmZLX_?^LA zLs@9)4~ix|f2l#&+y1WfCHvi*NZ?a-c#iF(I>AoofFVIvWqqeX!Co_py@=bl$N?KJ zK;O3Om|{fGlPXDwJzqbJ`5|!#O!Tn=B7wx&XYP`t;9la}KewhzpCr$`!?o*|i&jZr zcu`x^ENf_8_iY+~NS;-^dU%=?kzE^;WgmK#tYg|_1G~zvnO^ZCoot_RhqsV#1G}hN zT0XOgki{RnRy|T~c-B?VA~NtMu>a(@7{>we*O!3Gh_w; literal 0 HcmV?d00001 diff --git a/address_decoder_html/images/spacer.jpg b/address_decoder_html/images/spacer.jpg new file mode 100644 index 0000000000000000000000000000000000000000..d9a9aa5f130d286466afa0c53da1daeff068843c GIT binary patch literal 444 zcmex=iF;o{=v;^GnD0RsUZK7IjyJ|1CV5fNcw z8EI*08F@HhWM^mR<>8eO5Ri}(6%>_%OAyQWe}F-dgF%8pftgW|fk}{&S&;Gn5r#Ad z1}0`kpex~kk(q&oje`*g;6h*qBa;9FBQrBI6FXd-nURTwK~Tt0m{l<-aH7$cQZ{oz z42_IJg8y$Z@Bl4g5@Z%+uxEH$d;G_hC$WKd)Ju(dgQo=F6e*T5GEc$SPW{t%dq2;)zvWSe(H5qrfM|71 ri#;KAnosv|wA-wBcywjwQUhh^(PF6}rMnOeST|r4lSw=>~TvNxu(8R<gTWM0TY@5u?V53ptdXHXalWy7)oG zIH{I3zSIJR&kGIVCkMJtH%#xTLhK zyrQzIxuvzOy`!^h(&Q;qr%j(RbJn88OO`HMzGCI7O`ErD-L`$l&RvHNA31vL_=%IJ zE?vHI_1g6tH*Yuqec!9r-=(U9^_Ou4*DRPRCJL`OvU7(>PL{* zz&<0+V@+iF4DK<6ziu(`Ff#%pk6Dnxp5cS;+rN*0Udqo8{&^{6+jrrpJMP7~+J|lY zrVlQ*wxwk&b+t&wC%&|rt$F-KWFh*?J zam-+qqYmrMLwWh}*Lu&s{&ju%%PO(SD{f{OOUolZczoQs~? z>gO%SIy;l$(WbXs-U>ZFEc|1lNye4PB`>B0#duvjBjjxoHnmw>{OIjT0gEPew=yd< zmKDsNef{fFA%lO)Kd;xa&CFf=C+)-2xof9<3tW3`y5hDSMVH*(P1xP8!auuM=7Z%M zc805^{o8&R{d>5_eO*P9`7~>P?a=;&O%o<6`RttKvsowTnAwAdcoimQpwUg0;)~~> zo2U0w{#d=$m(%;Xex%j}r!P68n=bZGY1?Jh==iPgCW%#w{=4*vp(*%jPo=BlnHDAn zW!;io)e`Q#dA{6lKE3?UklDE9b>~v8w<6mLo6WWUrRmKtJJh{XoNIA`)(O3H@^6d& zGt~Smsa+TUe*U@L-e;{{E}6Uy4yu*1-p;>t?;fETuZ^zXW=E<`^t;A)f`hRx;c(W| zjyuxwk9a!ukHiaZa+XoZ3g-&tRG6s9x>M!J#L2f+9xyiWg~*&a@;hb!J^Q($;UnJ4v}+OXmV4@a{FW{xka$>Jn*YO}BikjOOulkq`}fSJxx4062J8`h z9d;$5kEJ_cm&^8&iqNzK!w3l-aZv`rGtzSV(9r;LtDaKD{@x)U1f;Og61Qm#*~Z@yQb;w`f+;I7XncdI73xv$@Q%j@80GMhwk%U z?a?B3S@&>zn*j$$@0?W+CN>^dzt*L}wCDQua`}wU>y}S{E7bY?zGA+a77Udlai8>hRMsoB&8rH2bV`EC@CQn5C~;eO*LgCN(F&X-=K~{ zqqVfOl-0Dc+8C@RMho-%6OiO7Od7UUMrJJri9llh=Mp~w5HbKB$bo>g0WbmtL4d?v zfEECNq$Fv72mW_~z!0b;r3_qFPI7^v2!KHl2w0L|0+8H}k(>iigp|?-qutWVF2`Wn z;mB>Y%n})$J+&Pwu52OJ`1t8KxU8z0x&~_FAG&(_2HQ<`nC>()x3RUu6YL!v-P}Dq zy}W&V{rpb^1O|~#o{2bnE|PLSD*jSJ;^m}dde+rz**Uq_^YTk?mEFEmez)RYU3~+i zv8lPGwUgQPw43$p`HTKH18)b1-VJlc_=1n)pC%@!rsuwWpI=y968-r3+XVt3|6=_m z`!5$l;sQ&?0)_o{fxwZHh9IC)8;qotcDulig)3`sqsbumWR}!+z;%pWg(}BSvt?DW z+s8J}{igj>_V-|M|Cj79*neCcKpp~;3=e_;tbpY$biHEm-|9Injv;@hmKQ^0GFR6% zF{o1|%eLS5Cv@r`zeg`l->;y{Y1&S8P7j#wj&br4UVis7lQG~xUY|k8I0VL<`r(GF z`zrRid0_jUgY%Z{UiR;Dq(37gDJQ%uDJk|)O+~VwV4>pU(01=Hf=5@q-}H0#c$(#p zgU!&^A-thKu@BWEf?RygjcN;5UQUritEbNF+rY_WMXoc}vIae`(IKbTz2f&NO>UhG zCy;OLj?r&dv!E%0kzcr1@)lDAABQ^0c~<@K?0ONNKGE!Q#N^jD(dD@mR!&saU{M7n zpclQxgqpmiN>hJCofoQG?7Bau(wV2${il+srYmioPDLHZWuJ?$?Oh+9SjUvKw-n^d7JpzSff9iiCJ3X4OkFq{NP!miuuhq)-v2F zS^K zeJ$FLxE)p+3y05)Ezutxi7D|J?kL4W4eIOR<2n`&IN%hU(~YY^AEd}B@L6R>}MQ-QJg#;Q{Ou?&3BFWto$giPkY~1mYN^h9v6)!2Zsm4 z5*oaDEwZ|4A-Ck#yJe3U^(tsT))3rvUp(a!JKoUGQNQQvRX_3rHQLjWf8opL@bl(D zLpZ&Gv%rHjlS7_t7-VK;Tpe#Ev8pdU2A1FSP_a0)ksE{HCk}G|S;-hscM&!~6Oq9t;hw9evKKPd^c<{&ci(yh{ zS!S2Wx862QO-wh!4o@AS&pbA{5_OQ0P4> zA_=S%_cOoxR=sM>Tc`Yd^warT5x)IqOhG8`iZs{8a>uF)`&jyzWlQ#^TQ1rY76&1j zEJu=1H!kfi1EU+IKDMXK@{oo_ahegDgT$gaatDXlHq>aP5Yg~(V+$>v1@w65(YH%6vG{xO1&^08kz`!%onPnY)-p;s2fe2_VB&}k z`hjfx^Q7)#ztrssu`LxiRSI>_k$8;RTw+t%@aQXW%0XDOb*Gu5;ItTEwA%iv9GL&0 zDpH!l_>1tCl^M2mPyLiDt8LI-e_CD)i;>z_AxdG^k=EQM;gk<=ds_D0|3NGJIF*Fe z>at#+$U77N+RG%Gj}!#ti=sZCSPhu3o34*KUu3?|a#2e*^uBHCgOi+wT=|Q_9x|#} z46w?`%OAb`Dk!qfib1WIykp@)u5+RKpz-F$>N}t2Zs=i-b~^<=m$8t-U*-ZH1*lgYuzd3G|)iElYX#dXAjTu0@7XQ|V02}d= z<4-GPSG>vH7CKq8G#$}{t=vcbMkj^{Yrqu0y>qjy<@Z~DU<8i!4mwwRGAby=b~Nd+ zUbq}_mMW|k15R}fZ(=c&w%Jbe2s|PG{f1@h9K!mUo<=;tB3`dblj~f{H{lXVL@i-q z!Rcw2@kWN;xC1oK-9MG~!^=)dbamC&>GqX&-{sKN4L%ob!`b{ zv%``6V8MBVpWSO`Ki0;co$sw0t2}%vXnZK*Cd`#W^XBlMIl4F>FjY<`Efp%iuKD`4 zY5@(iZKbmvXi@2`gKx`{~W@H*l)2 z^PSIRXvyO+>4ggYu>KKu=GYKz_cLMjHH8%_eLCpQ^Z@nwiolVpfwD@BSc5uZ>eyd( zzexQLso|n(^Mzf(M7rUQ8MWrrB&Ix_&|HEza15!psD8UNJ7+Acl&*i##SRJl4-FCz F{{y?PCS3pk literal 0 HcmV?d00001 diff --git a/address_decoder_html/images/xc9500_logo.jpg b/address_decoder_html/images/xc9500_logo.jpg new file mode 100644 index 0000000000000000000000000000000000000000..86638a7b64d533da73cea86e03c5a98875618767 GIT binary patch literal 1979 zcmbW!c{tSj9tZH>n4Q7cx3LY=a16p^9punt-0aI#4j#M7#j!7S(m6V4a+QgSW6M@# zFMEYB6i=aq8PZTfcQiyM+g#4Q&pFR?|G3Y+_x(JdzdoPm^L$?4uV6~>4nRAQDP#b2 z5CVt*00K5(3m{-HI1Gw_!{JCILKr12iV_h)DM(6*ODij?swgXBFlw55cr`3R1B1~r z(Iy-UeSma=!1q}#+z+fQ=7z%|z4yJ!P=mQW5sHD1q z4NS__AC8TdHvBo~4npJmrd}DhF`lMTK+G>lVOcqO1x4Huyq5NN#AC)Lre@~0b|=Uu z?I{lK9-dy*(`U{G1_g(NhSAQ&#>FQjCMBn&UH&yaBl8NKaU(bH=B?ZL1!d(Gl~s4| zRo65>c-Yd~*51+iw6A}FIXLv}`S`2X6K^J`rdgc%h4&v8KQ1k=tgV09*!;T1|F(VT z0s-KEvi^|$n@i%rB?N(hA@D;NNGS23z!DIsx&chm#ue@#Erm7w86o|B&Yh-Sq=u0j zPbMH{OjuTv$ib~0(telycd%dnFWDckKV2*U1qK~_9#{gf2DY}foALQlcS3x6vZ{Mk zZKo!)2IsrceCxr0LBH4dj>fr`th6+#xa-ku_9*>|BYD!C*G>sY&Q+=Qo!t`;D|_T+ zEgQbCyVloRu_9;83fY$>q3ldwIzO5l+41V`_fuIh_goK4u}wC?9y1z&EkZklJHCGY z%qnM-xe4ah&G*mSk9T%aH#RMu=)CGG{?#%~lR=lxl33_^e{mwdP)03{AJQ2m!xsP^ zO}vCWk}Nl{dPV7t#*e6H7kIxMkFuwXv#_(@Et6XB*Nl!0(25 znh!A5Y2J&yu3t=t-fwW<=0>A$(8Q`d-K4UlZ$wOOoYQ%#x2dYQ)Tx`1>Fv{TQ-@(i z#^oi7_Mnr@z`XkI{yB8L?k=?ITtQ4Nwcw_Qu?d3Cq~>gT4<}<$)I2`W zJrLt1bUI1reR-F^N0m;8st51LA9aTxo(JToG1aifKVlGCeb{g!ybl6h5 zeeqQ|0XhrGbZItzqagq8tJUUEn6=o$S#fu{9I^;-eC7jB+&^b~FTA)bFE^k*k(DowS%s}LWQN5u?tGNrz3=YLtGt#OcTYE7T5?#J6HG`1 zXGwK6JPsY!qpJAv@hKx=1iNU}kvbfEw0}*m-v{hCI?rH+|KvashPGy(LU%mV-Fpj< zE?l4wt&s}!ukONws~xdR+{LD}7r=)`8(#}dsAUqygLgrFe7?{^0p}9iviL3a1guL$ z0HA2B?6kd{Xkj8oWo#LYA};ySIo2C(14@<~c`emt6eK&Skb zY|QO}#AzrSaGeT6YbflI*pX$MKB{rW>~7ISx75f5|NEzZ*u{;OrZ3`)So*U%Lc=Zc z#wVK=*6LGzn~SUz_yGxC>k9=OXOif9!+m;qR|ZEcPx)zAGDFRbwO*(?1m2#cC31E| zxFS2)dC2*QQYiZp;^4I}N-ro3|D82d!bMq%op5aJC@gvLX_M5)G;jI!<1N?g#AT0hH(1Vhq)bf@z;|gxgMyB|a*=vQ$R@MEbFet_A#u0t=@90f&TY A^#A|> literal 0 HcmV?d00001 diff --git a/address_decoder_html/images/xc9500xl_logo.jpg b/address_decoder_html/images/xc9500xl_logo.jpg new file mode 100644 index 0000000000000000000000000000000000000000..dbc01d5124f366a2fb387abf7e62447f44e0dbd0 GIT binary patch literal 2922 zcmbW2c{tSH9>>2kW2ZC>N-;lM$xh1DkEBpY^J8Lc5rr5=#x`a`DLY9;HFhRzNCsJ= z#?sGJDq=K32H9gQ!yt2~yZr9+yU)GPbMJkh=Y9S-=X1_^zn;$@hdaRi3hc5pw=f4l zAP{hdHvsM+a0=ju@bU3M_<0jQKfi#Wn2;b(;-aF$Vo-4j38**}Dk;5BMiM3~1%>Wb z*exq32ZzHYWfYYa<(2oz!{vWo0^%JN6cF4gB(zf=28GH0&*HuWphAE-kO2WH0$?Zz z0tIo~0XP7F_<3o62L8K1U# zZQKPF17UhM((;9rPFBB?vi-QIe8>YAEi57}vwM%M%KihY2i5csA2C22J$A~}%>48j z3ro~_JA1T)qtm6!o?hNpe0+m~Lqfyw;e?yFVq)Xs6B6&wk`qs+1KiEoor(=)84<&{gfw(tr5oBus1s-2){R^==5kPNwBozYBDR`mnH%p0!^qIYt^=NpE0PK07Qr!T~#U z#Vf!=nKoOe`_QY0Q;5opZB0!xu7-Nd$z~#3nbpZ zAjKr?wWsR{YMmY@*5R?g*p6ZBW#pE*{e&ngtOlJZsxqKI+iu-)t~)XI&HGJ_C?7k= zz-3kc0rvZ-3Trph?2pXD-WLlV=~tiHYo(vC&sXp58~{%!HlJ2h@1#w&snKvkt3}c? znlPIa(SJhap=WBOb?miJFH>ByK!B@5+@#lotTX3&XOF-!nzjBhv~cobMf(1%q+ z{ow2GquKY4(K!%MZPuci+9Uyi7h$?Py`cHuUjRn38k4zrO->^B;0dE!GGzP%=f>xaEQ9zEG3Rn!Dpe+H=S%4m!1TT83vM^G(e2yDtt?~$ z*2#Y_HT1ZP2kMT5P6V+Uo|$Um8Y|o;y3i41F+UjmAVO{>B7H8DPWxp#sG*)e5`$%g z+9WL#T!+NbAGVJ;uHT~$D>Z-A$7Dvhnb#82yot$Y%7hI|XRqUo%DX4lCz!1;y!pt< zkNL6Uuo<*9Jo`$rGy{lS?2h!%IW#3an>cYzk{P$S5En8}u)6X+gbTpBlT65Pqkw#p=iKEwR&nhZsrJiS1rhMJ3aP`6N@r!wjO?xdn z`Wt(DS8>S|s@6O}RM%?31=J3; z%CxGdLRQ zj`<1HYX0cS19^M8*p<=Ez3c=QHa!n7uzaQ=-qrWmCv6{ZFY&h%u|1O?v`p3zQrV76 zCN%c1dUMA8y62~tmM@f7X|<3-5JSYVcf-Y_a%$Sb)1@+rhkYDv8K)*SDem#Z1aHLH z@muW4tiG+&EWu9FiksMz)z1)W;1f4$!I3ayf)0AO+4#jv`R^fFZl8Vo8E=>s{SV4N zcLo&3-16KgEFF*1rsXdRG%?{ZTwvE~;S;>EZJ+k{%U6#G*n4Z7TO2&&dGvr%e~a&9 z!fJql?MG{M&aX!{ePoJBO)UwLF+Xx+sePqZqSi+{g3Q@XID%tKn%nK-$G#)r6}Z^C z0je)pReMz&`s&ibdiVWSzoYkQ2hn$bYgTq0sk-rGODso@XjNgkZb(O!N?1xg7a@C| zIB++rDb;C1)R`65VeR~i9dw1^l}q;R`Etmm<0u!f+o@A#5MmgOltW0}7}W-kq+pQ} z>rJ-XJ^h!hyDmPXq4Q2x}-W56?pKfxXX`-*~%V2 zY%?;{kFtjGSCoAAZ5p9>Y1{Gj4xHbG0UbKzW+FrHSXIrt4(xBfeKJ`@dMG7VE8)D)i7ofD?n}3~0n{KU{Ot|=> zxu?<)CA2v7?tQj~PAvMN04;88;Ob62!Ty%`UcZXqS=A?V`3@K3-ED|=i5sM&MhI$e zB%4&Bk_jTh0>4Rpd7eLQDrgQ;Q{ zdo+;~sFuuc2LYWf<^rxw#f$7)8#TV~3I{UTowN7AoRr%F=k%^3Dvqf)WJi!*<+d=w7 zASZ?nIz3Uj6s@~vheMvtA&%!1y|7K3Y!=`e$fSZYPh~=6^ zZ&hVM!;9M66HG2(ufj=3mY_N#Sfs?~0Yfx>e;Lh@)%Bf-2exF-3k@noTmZLX_?^LA zLs@9)4~ix|f2l#&+y1WfCHvi*NZ?a-c#iF(I>AoofFVIvWqqeX!Co_py@=bl$N?KJ zK;O3Om|{fGlPXDwJzqbJ`5|!#O!Tn=B7wx&XYP`t;9la}KewhzpCr$`!?o*|i&jZr zcu`x^ENf_8_iY+~NS;-^dU%=?kzE^;WgmK#tYg|_1G~zvnO^ZCoot_RhqsV#1G}hN zT0XOgki{RnRy|T~c-B?VA~NtMu>a(@7{>we*O!3Gh_w; literal 0 HcmV?d00001 diff --git a/address_decoder_html/images/xc9500xv_logo.jpg b/address_decoder_html/images/xc9500xv_logo.jpg new file mode 100644 index 0000000000000000000000000000000000000000..ba2110dd57eb412650bcefacecb2ec953c0520fe GIT binary patch literal 2499 zcmbW12{hDeAIJYQV^+d2c4l72HRw{tHkWW!40_8Y*_XF$l@OAVx!K9Sla$ahXc%bH1Nv`SC~jQ-HXQrL`ph z0)YT4K?C@2fCB&&A|xaPfeJb(6bgfjAmD-!6Bb5_pv5F4&|+w`q_m=pBt~8ejh0oG zl~+>2;c${NDyk}2RYfcg`)w1DU@0617eydMu^2Q4`#%T26+j~ZOP~+}QUSnd5Cje4 zcK|p506_(AzZw2#fWQzTflCAuB`ip25C^~@2m~zfF8~l^|0vhQcB>YfOVL!zW*WaZ?4P$Q^oXzJ-37?OT6I&jd!l5AydbJXFO zqm#3XtGAD@pa02Ir^C*LpO2tKUWiXfOiI3#lA4>BUr<*^mg zJZyZ_^theb(b?7A^X&P+;Lz*gkDK5Mmk^~$DUr{TThWfSh?okqVNJ}|it#VP22Bov=FvbZsF5oS{o=Kq{y zf}GXp7L)OPvMoj^Y^S`GH^3!&2dw9P7_QU`bX~T4Th%W2vD$O0msGq;8Mnl^#e zCr27oXy(2c2jKR$W!P;^+S2T_D#t5LjeDn(HEYxG_SE#{oA!n=HocMY6Pd?s%S>NS zxGCP)WoYW8J?-My(Rp|)E&9vG4w*(paHC|HbchAbR&yf2Ff(W^pk>8E&C7U#FS*~U4e{QSx@4C?)oI^CGf=;8y3 zo@yK-CHNqxAaR(6&rkZ%2zmLur7x;`5MDZVbEJjD)97oqBP2C=$)Eblx@sS3-*up_ zgAYtZppMzzw^ic&>>){YADJJx$UIXj$I*UJ_xY?|32q-u5m-1Y{PrGE7^I5sVP7up z)3t2%Mas2xhYS?YGSa}$<#6W5-N6Q#^Fq_fCMr_onGJ8~QgZXTN6HrMYD(#t!; z2Lg2;j&}UTw-HO~Ji1*K@`nS|6WRUd{1vHRDmWsN^k^@mt+VrW)gu<5sMEEV59+ zWFZIe5rOv!;SP9Fc#&-V^^UBh3(4_I`jpke7D{t(@}(XFeDdxXvVB=NoiJeLJG#0o z;WBA4W0iD`ki@82bMtA?TsJP)c~JePhGr}a7Tf*G^<*K1)*cO`M7goa?HNH^T`WT4 z@=|zIQKmvA$DquDB`y^!*9Bf#bocK#=tYB;DQi?~IrqPUM6KK zOHS3P)bZ**H~A9%OHg896KmsB45C$OJMn@WYZRBtkh|;e5XamRAM??Wk15a5@<1q= za&gz9^vvqc>GF0DQ%$P4cYfoDR#mH2vJ%dZCjI0H;e7+Au{>oO)^#v^c@a7!*IEm+VL7Y!O`uDf67+;>vMrEk3|g zmdQSKawn#W#jyPtU^wmbVTO+3DYMH%nYORzRxsxsn_O(?LG-&k;VshPX&jDnw1X9W z=~KF^W7c*_)f^1Tfdky8 zqGPprgc}}T$Z2_S&7=9*qC0Ht0L^S#XYW4{B+A!+3R literal 0 HcmV?d00001 diff --git a/address_decoder_html/images/xpla3_logo.jpg b/address_decoder_html/images/xpla3_logo.jpg new file mode 100644 index 0000000000000000000000000000000000000000..3089121f6ff00840427e35eadbac7a5434cbb504 GIT binary patch literal 4130 zcmbW1cQhQ_y2eNJ5{xct7$pQjM2Qj-J;-PiZSW}*L?(JCNC;8F1c~|~Oq3yduhC_Q z9y8i#6B%vP3Br|g?>cv_d;dA-yn8+SpZ)H4J-_{|wJ*M3ECHAebo6xqWMpIjy~_o- zzyj_AD9I@(D99-dRuHr>A8AGO@4#nSel64qi@HwrlJ_AlG%SYkYhH z0s^d@LLec25HG&~|DPdbmshE&s2OQ!82Q1l}rDZ0GHiim*)TqASKInSxqWd zup_mQ7n@vUNDVqv{x9z+S~?C+F79h0qGIAVByKC*QB+b^x&J_0M^{hZ zz|7pj67tx}+R53)752o<-N)C@KOitDIQr$QnAq2G@u`S+Y3Uj7KV%jZek>|Rekv)g zuBol7Z)j|4?*7u#+t)uZI5a*nIW;}=eHMdTURhmR$N$*)N!;5%I6OKg{W|%>MFt@M zZ>)ck{U0viCD)b9SSYCfaFJaJxD+{%g7Ug76^kaA+R=+uNG_6wO)Dk8s*6@w-gKA! zFYhrr4$y6!2=NcvKgj+yu&Dot?4Mx&%Y^|jkds}8M-BvN08XXj#s9wY4|%9aO4I;s zahhtz^8HI!9Rv4!GhxvOHx$&gyWlMpWG{m9P78k_zFSNnB~l;7x48PbcfaFZ-%MXW z*YQfpZ7gUS1@wMGf4l&A5|po{Bja+_qZTxN!B~>-F{urTDU6Mo@}_#VZAvJpm9uSm zykY}Ca%Tf;1OA^ZnUX>wMWp)=JWb489c-MzVvA|NsYx>lMyC(vwM%I7bSBaj;q%?c z4-AG650{S4E#_l}y|~`*PbB39tOo_o;i;oCk(SV7=d6j5{%^Gqnca>)3_STv=674M z&74hNgB)ggHbf)dYqEr@=#R1qcojz3rD{q^i3tC3>>gts~d{vVguHl&bH~ zlTosweB#=cfeW0dCHl_XGIS{=Kun=*nYZ%4rGkYW_v$D60eDd60rCUxR`%qE;bL{- z*&=K)ta+A~-8;B3CdVRhF>@j3EIl?@iHi*q6F9L#Aer?9q;t0O*_7FY-QNtsHDd5` zPc6H+e(JdAB2P8v-S&qvR7CTxfr@YK*|9PBL{9ptr!fzCnfZf%S^5ok6!M&cKnSuI}I?WSCoyCh!?b6gM{%m_a<2` z_eQrp3rkr3%RX?Q*-@>F?`7H!zOQE`?kOoP&AcHkE(g3hptfhUesa{XAev{EG}Osj zEt&dDFy%~8{HDpVZiUz8zI?gy81g+%-(o~j;Ni@uit8)l^_|0rI0(jXsja?4XTsO) zTF{{=dlZq}h;%!>Q2EJ&jQYf< z2$R@HbqKDvH_JPP8DjyA;dKLU_E!suc|AM8c@p-^N#zl_4c5pBt~T6DG2Su^icD4H z8Mw;w*(;%hkT6-56VesISvPMz+Ig~$atna-m1{UesutZ_>$1E_c1prVCL(jw24j+> z-{DkHwb(tX5CV??M< zSrr}-mgCrp5sU7kSx5_5Hc3!5!tuF>Y1t$*_TvTwt!ze{CB2P}acf(B-^lJBGd6bh z25l$qV*Ado$M%F@pJuRzVPUBp<3$r)jjb`au-wJ_G~BSapD=;yXHa4hKK?L|c7r1$ zf?fe-Vr!!`C9U~@r~bvVmaT+KhK8&l`CEA_Ix zr>`mu)rR=iH}6D9h43%pJgpRV7&d}epBp~Qe3cC`WQ%6vN+G9IU%740PunnsQspbO zCLGJo{rvX5JEOYKyYkjO-sr{b{e}1wvo2NnBjluOR&Ovgt+kwEx;>oi=SUB0asksw z$@y@{)mGMs-Hvk(v4mPXMaxOQpbBYzPaXCTo`36e1A(^8tJ*+N#cC3^6Ty8841LA2DP&8mN%R!Fv*^zeLbVsS1>eOro4V$$td*r>K>@L)X?n zXIz&|)`X_Z6}i8s(TQqFJlOx?6g5;(CD)@soK>6x1;=D!OrmQ`%6;_~wI)PUZ3cV^ zH*bGM$GE~mUk{#QsRhF=(2K%>GkrpM^UVE6yX|po)m@&-R-oqh?3S*;$oI$0%7nTW zRx2nwQ7tyn6mPW;s?%4Xj`98$!NSbo;B#I8rmeLoDH z|G9J3pHaE-1D}UY>-F^dSKV9|wBI4Rv$9I_9ie}68XAsoMl9DqoA#R^}4ljg4vk@sezqP zTLLfqS?Lza#=34b5ZW-nr!%du{)x#wx(o8zO@muixa?`Ci! zi>-HdQll(I4QeHzilJ3&d)6QBi=bjqMq>zY%e#zyJF9SAWMJ7!W&D8*M}2NR#t&WN zVOad?$xyWhW%1C)v*^&wtoEcOkZJnLNh2wvm(+#pOZt*QW|B<}b`>A=`c1r`cl%#~Te(e4z zI}L;ZFE$NTC-iNYSAq}$^+zFalD`_K(eJY@ zE`_9}y4AI`FYe+CNQy^MdT_);Vpyw7QA66+HtI7&s!mI5L5|!PCBYS8ihv5=@NfJw z?L?!giY!N-E*KN00xU)U1y1Ww3PK33rc&vejnnbM;lMpyVZWJ)#*iuTo$pwD+^ghy<3#LXK&&It(Dv#XWDIK4w zuCIrN_5V)GG{|;Tshl^lD1;Y=>-!Ssj@klN)_S)QPF}dF`ug^GD~BmhQIp$W1Zl?7 zR9&ndzYSSR`g?{Qbv}`~R+03~&ybHEeJ2Y!P7x~?qtv&ue?^loIlQ3J11+HY%`c>E zScdO0DR=uoVqOaM1{?NH_ia|%hvctZDHD;o%WcS`yStXLVY|mRM4hT4Yj@7@>yz6o zWS(qsmYcxD{Iui_?jMq=bq^J8s(2M=g$~=>sXv)=X~aWvQLcsCEz%oSm7w{Z zJ+)*UKIR~Y$xyNRSbU`HI}q__^o`kMM$lA zh4Z@S)>vygwA3Z#1#Mm2X%mPSQVF(KHjCXbFaN0+atw*c>DaRiMxuTP!W6EXq{#G} z3|#<{i)xh<#kyUG&|tq1LQr%jJfQ)vA1DA-PG0sRcIIW~Zl$oGf2 zhQQPhH_agdby=1zx9}N0gXdyr*>gFo5ADEe$yRk^Crc=27O2(i*$RKEqAR zcP;=mVqbnJ*s1@5pXm_48&Qm5^g~hfx3%@==$uz8Ow>kXt=p+dluL^=fhUW7!LIog z!y!*$dxDM4x>2$cX)g4ic>&LrH{~-AGjFIM+|i$X5aaj+`*3swlT4`n1%Q^l2ZK$n z4=ZW$o}1A zjKOi!vgGNlGlk?YD~1gSyO^ap+V#owQ$;i8BL5n8$%gDD@m0EmyqH{u@m4Y%J)Ggm x1k?xy2USbX>+x%iEBg-u7|TN-hT4YNnKjN$cgnwmwb_N}#0y^hE7G`_{V&gB + + + + + + + + + +CPLD Timing Analysis Glossary + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +

Introduction

+ +

This report is the result of a static timing analysis of your design + after it has been fit in the device that you selected. The timing values + given represent the worst-case values over the recommended operating conditions + for the part.

+ +

Overview

+ +

The timing report consists of a series of sections:

+ +

Summary

+ +

This table summarizes the external timing parameters for your device, + including tPD, + tCO, + tSU, + tCYC, + and fSYSTEM. +  For a more + detailed description of the timing model for your device, please refer + to the application notes linked below.

+ +

Timing Constraints

+ +

This section reports on any timing constraints that you created for + your design. Timing constraints can be entered using the Constraints Editor + tool, or by editing an Implementation Constraints File directly. For more + information on creating timing constraints, see the Constraints Guide. +

+ +

Note that if you + did not define any constraints for your design, then the timing analysis + software will automatically create a default set of constraints for you. + These include pad-to-pad, register-to-register, pad-to-register, and period + constraints. A constraint value of 0 ns + will be used for all of these automatically generated constraints. As + a result, all paths listed under each constraint will violate the constraint, + and will have a negative value for slack.

+ +

Note also that to + limit the size of the report, each path endpoint involved in a timing + path will only be listed once, under a single constraint.  

+ +

For each timing path listed under a constraint, there is a hyperlink + that can be used to open a window listing the individual internal delay + elements traversed in the path. To understand these delay elements, consult + the Definitions section below, or the following + application notes and white papers:

+ +

XAPP375: Understanding + the CoolRunner-II + Timing Model

+ +

WP122: + Using the CoolRunner + XPLA3 Timing Model

+ +

XAPP071: Using + the XC9500 Timing Model

+ +

XAPP111: Using + the XC9500XL Timing Model

+ +

XAPP + 362: Using the XC9500XV Timing Model

+ +

available in the literature section of www.xilinx.com. +

+ +

Data Sheet Report

+ +

This section of the report lists the external timing parameters for + your design. This includes; maximum external clock speed for each clock, + setup and hold times for each registered input, clock-to-output pad timing + for each registered output, clock to setup time for each register-to-register + timing path, and pad-to-pad time for each combinatorial path through your + design.

+ +

Going Further

+ +

To do more advanced timing analysis of your design, select the process + Analyze Post-Fit Static Timing + in iSE. This + will run Xilinx's + Timing Analyzer tool interactively.  The + Timing Analyzer provides a powerful, flexible, and easy way to perform + static timing analysis on FPGA + and CPLD designs. + With Timing Analyzer, analysis can be performed immediately after mapping, + placing or routing an FPGA + design, and after fitting and routing a CPLD + design.

+ +

Timing Analyzer verifies that the delay along a given path or paths + meets specified timing requirements. It organizes and displays data that + allows you to analyze critical paths in a circuit, the cycle time of the + circuit, the delay along any specified path(s), + and the path with the greatest delay. It also provides a quick analysis + of the effect different speed grades have on the same design.  

+ +

Timing Analyzer performs setup and hold checks (skew analysis). It works + with synchronous systems composed of synchronous elements and combinatorial + logic. In synchronous design, Timing Analyzer takes into account all path + delays, including clock-to-out and setup requirements, while calculating + the worst-case timing of the design.

+ +

Timing Analyzer creates timing analysis reports based on existing timing + constraints or user specified paths within the program. Timing reports + have a hierarchical browser to quickly jump to different sections of the + reports. Timing paths in reports can be cross probed to synthesis tools + (Exemplar and Synplicity) + and Floorplanner. +

+ +

There are several ways to issue commands in Timing Analyzer. Timing + Analyzer can be controlled through GUI + features (menu commands) or its comprehensive macro command language facility. + You can select from menus, click toolbar buttons, type keyboard commands + in the console window, and run macros.

+ +

Definitions

+ +

Pad to Pad (tPD) +

+ +

Reports pad to pad paths that start at input pads and end at output + pads. The maximum external pad to pad delay.  Combinatorial + pad-to-pad paths begin at input pads, propagate through one or more levels + of combinatorial logic and end at output pads. Combinatorial paths also + trace through the enable inputs of 3-state controlled pads. Combinatorial + paths are not traced through clock, and asynchronous set and reset inputs + of registers. These paths are also broken at bidirectional pins

+ +

Clock Pad to Output Pad (tCO) +

+ +

The maximum external clock pad to output pad delay.  Reports + paths that start at input  pads + trace through clock inputs of  registers + and end at output pads. Paths are not traced through PRE/CLR +  inputs + of registers.  You + can directly specify tCO + for all registered output paths in your design using the Pad-to-Pad timespec. + Clock-Pad-to-Pad paths for global clocks begin at global clock pads, propagate + through global clock buffers, and propagate through the flip-flop Q + output and any number of levels of combinatorial logic and end at the + output pad. Clock-Pad-to-Pad paths for product term clock paths begin + at input pads, propagate through any number of logic levels feeding into + a clock product term, propagate through the flip-flop Q + output and any number of levels of combinatorial logic and end at the + output pad. Clock-Pad-to-Pad paths also trace through the enable inputs + of 3-state controlled pads.

+ +

Setup to Clock at Pad (tSU + or tSUF)

+ +

Reports external setup time of data  to + clock at pad. Data path starts at an input pad and ends at register  (Fast + Input Register for tSUF) + D/T  input. + Clock path starts at input pad and ends at the register clock input.  Paths + are not traced through registers. Pin-to-pin setup requirement is not + reported or guaranteed for product-term clocks derived from macrocell + feedback signals.

+ +

The minimum required setup time for flip-flops.  You + can specify the tSU + (setup-to-clock) for all inputs in your design relative to a global clock + or product term clock. Each tSU + OFFSET timespec involves an input path and a clock path. Input paths start + at input pads, propagate through input buffers and any number of combinatorial + logic levels before ending at a flip-flop D/T input, including the receiving + flip-flop's tSU.  Input + paths are not traced through flip-flop clock pins, asynchronous set/reset + inputs or bidirectional I/O pins. Global clock paths start at global clock + pads, propagate through global clock buffers and end at the flip-flop + clock pin. Product term clock paths start at input pads, propagate through + a single level of logic implemented in a clock product term and end at + the flip-flop clock pin.

+ +

Clock to Setup (tCYC)

+ +

Register to register cycle time. Includes source register tCO and destination + register tSU.

+ +

Note that when the + computed Maximum Clock Speed is limited by tCYC, it is computed assuming + that all registers are rising-edge sensitive.

+ +

fSYSTEM

+ +

Maximum clock operating frequency.  You + can specify the fSYSTEM (clock frequency or period) for all registered + paths in your design using a Register-to-Register timespec. Register-to-Register + paths begin at flip-flop clock inputs, propagate through the flip-flop + Q output and any number of levels of combinatorial logic and end at the + receiving flip-flop D/T input, including the receiving flip-flop's tSU. + When these flip-flops are clocked by the same clock, the delay on this + path is equivalent to the cycle time of the clock. Registered paths do + not propagate through clock, and asynchronous set and reset inputs of + registers as shown below. These paths are also broken at bidirectional + pins.

+ +

 

+ + + + diff --git a/address_decoder_html/tim/cpldta_style.css b/address_decoder_html/tim/cpldta_style.css new file mode 100644 index 0000000..4b82019 --- /dev/null +++ b/address_decoder_html/tim/cpldta_style.css @@ -0,0 +1,144 @@ + + + + +.cpldta_text_report_header { + font-style: normal; + font-weight: bold; + font-size: 25pt; + font-family: Arial, Helvetica, sans-serif; + text-align: center;} + +.cpldta_text_section_header { + font-style: normal; + font-weight: bold; + font-size: 15pt; + font-family: Arial, Helvetica, sans-serif; + text-align: center;} + +.cpldta_text_subsection_header { + font-style: normal; + font-weight: bold; + font-size: 10pt; + font-family: Arial, Helvetica, sans-serif; + text-align: left;} + + +.cpldta_warnings_header { + font-style: normal; + font-weight: normal; + font-size: 10pt; + font-family: Arial, Helvetica, sans-serif; + text-align: center; + background-color: #FFFFCC; } + + +.cpldta_text_normal { + font-style: normal; + font-weight: normal; + font-size: 10pt; + font-family: Arial, Helvetica, sans-serif; + text-align: left;} + + +.cpldta_text_normal_bold { + font-style: normal; + font-weight: bold; + font-size: 10pt; + font-family: Arial, Helvetica, sans-serif; + text-align: left;} + + +.cpldta_constraint_description_normal { + font-style: normal; + font-weight: normal; + font-size: 10pt; + font-family: Arial, Helvetica, sans-serif; + text-align: left;} +.cpldta_constraint_description_bold { + font-style: normal; + font-weight: bold; + font-size: 10pt; + font-family: Arial, Helvetica, sans-serif; + text-align: left;} + + +.cpldta_constraint_name { + font-style: normal; + font-weight: normal; + font-size: 10pt; + font-family: Arial, Helvetica, sans-serif; + text-align: left;} + + +.cpldta_constraint_name_error { + font-style: normal; + font-weight: normal; + font-size: 10pt; + font-family: Arial, Helvetica, sans-serif; + text-align: left; + background-color: #FFCCCC; } + + +.cpldta_time_value { + font-style: normal; + font-weight: normal; + font-size: 10pt; + font-family: Arial, Helvetica, sans-serif; + text-align: center;} + + +.cpldta_time_value_error { + font-style: normal; + font-weight: normal; + font-size: 10pt; + font-family: Arial, Helvetica, sans-serif; + text-align: center; + background-color: #FFCCCC; } + + +.cpldta_delaytable_header { + font-style: normal; + font-weight: bold; + font-size: 12pt; + font-family: Arial, Helvetica, sans-serif; + text-align: center; + background-color: #FFFFCC; } +.cpldta_constraint_header { + font-style: normal; + font-weight: bold; + font-size: 10pt; + font-family: Arial, Helvetica, sans-serif; + text-align: left; + background-color: #FFFFCC; } +.cpldta_time_header { + font-style: normal; + font-weight: bold; + font-size: 10pt; + font-family: Arial, Helvetica, sans-serif; + text-align: center; + background-color: #FFFFCC; } +.cpldta_text_caption { + font-style: normal; + font-weight: Bold; + font-size: 10pt; + font-family: Arial, Helvetica, sans-serif; + text-align: center;} + + +.cpldta_datasheet_pathname { + font-style: normal; + font-weight: normal; + font-size: 10pt; + font-family: Arial, Helvetica, sans-serif; + text-align: left;} +.cpldta_datasheet_time_value { + font-style: normal; + font-weight: normal; + font-size: 10pt; + font-family: Arial, Helvetica, sans-serif; + text-align: center;} + + + + diff --git a/address_decoder_html/tim/genreport.htm b/address_decoder_html/tim/genreport.htm new file mode 100644 index 0000000..43aca47 --- /dev/null +++ b/address_decoder_html/tim/genreport.htm @@ -0,0 +1,17 @@ + + +Reports + + +; + + + + + + + +<body bgcolor="#FFFFFF" text="#000000"> +</body> + + diff --git a/address_decoder_html/tim/leftnav.htm b/address_decoder_html/tim/leftnav.htm new file mode 100644 index 0000000..e72761d --- /dev/null +++ b/address_decoder_html/tim/leftnav.htm @@ -0,0 +1,37 @@ + + +Timing Navigation + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
Timing Report
+
 Description
 Summary
 Constraints
 Definitions
  
+ + diff --git a/address_decoder_html/tim/report.htm b/address_decoder_html/tim/report.htm new file mode 100644 index 0000000..fcd16d4 --- /dev/null +++ b/address_decoder_html/tim/report.htm @@ -0,0 +1,14 @@ + + +Reports + + +; + + + + +<body bgcolor="#FFFFFF" text="#000000"> +</body> + + diff --git a/address_decoder_html/tim/timing_report.htm b/address_decoder_html/tim/timing_report.htm new file mode 100644 index 0000000..8dd7141 --- /dev/null +++ b/address_decoder_html/tim/timing_report.htm @@ -0,0 +1,10 @@ + + +Timing report + +

No timing data is available + for your design.

+

Please double click on the + Generate Timing process in the "Process for Current Sources" + window.

+ \ No newline at end of file diff --git a/address_decoder_html/tim/toc.css b/address_decoder_html/tim/toc.css new file mode 100644 index 0000000..29af0e1 --- /dev/null +++ b/address_decoder_html/tim/toc.css @@ -0,0 +1,36 @@ +.HEADING { + font-size: 15px; + font-family: Arial, Geneva, Verdana, Helvetica; + font-weight: bold; color: #000000; + text-align: normal; + margin-left: 0px; } +.Fitting { + font-size: 11px; + font-family: Arial, Geneva, Verdana, Helvetica; + font-weight: bold; color: #000000; + text-align: normal; + margin-left: 0px; } +.SECONDARY-NAV { + font-size: 11px; + font-family: Arial, Geneva, Verdana, Helvetica; + font-weight: bold; color: #FFFFFF; + margin-left: 0px; + text-decoration: none; ; + list-style-type: disc ; + list-style-position: inside } +.Timing { + font-size: 11px; + font-family: Arial, Geneva, Verdana, Helvetica; + font-weight: bold; color: #333333; + margin-left: 0px; + text-decoration: none; ; + list-style-type: disc ; + list-style-position: inside } +.Timing-Error { + font-size: 11px; + font-family: Arial, Geneva, Verdana, Helvetica; + font-weight: bold; color: #990000; + margin-left: 0px; + text-decoration: none; ; + list-style-type: disc ; + list-style-position: inside } diff --git a/address_decoder_html/tim/topnav.htm b/address_decoder_html/tim/topnav.htm new file mode 100644 index 0000000..00e11b8 --- /dev/null +++ b/address_decoder_html/tim/topnav.htm @@ -0,0 +1,31 @@ + + +CPLD Reports Banner + + + + + + + + + + + + + + +
 
+ + + + +   
+ + + + + + + + diff --git a/spi65.dhp b/spi65.dhp index dccbe65..1cadedc 100644 --- a/spi65.dhp +++ b/spi65.dhp @@ -1,3 +1,3 @@ XILINX-XDB 0.1 STUB 0.1 ASCII XILINX-XDM V1.2e -$7dx4>763-Xnzd}foo8#5+72(-k0=989971304g<9=<=5;=841c851011?9<;=o415567623:?k0=99:410;13g<9=3:94>855c851?381>?95o415;74=31>;20MmgN`off>GukaEifhm{s89Ahjel\pzn56M@MLKWP@B03JXNMYKK8:AliuOi{}k0IczftxKmwqg2FDMIKK8:Nbjbsfolh0@dl}as{Mehc692Fbno}yRvbp`kbf}y37@k|s`efwg=Wijajkh@nmd;8Tdttm]cfi95_asv:?Tsfd`lbih4SQK5154E9';%zej>3:QSM337:K;%=#xgd,33245<[YC=9=4018WUO1=98I=#?!vif.5436;2Y[E;;?2C3-5+pol$;9><=4SQK5154E9';%zej"13127>UWA??;>O?!1/tk`(71:890_]G9510A5+7)~an&=;=>1:QSM337:K;%=#xgd,025>UWA??;>O?!1/tk`(56:2Y[E;;?2C3-5+pol$9?=?5\PH4647D6&8$}di#<5008WUO1=98I=#?!vif.07753ZZB:8>=B0,2*snc%=9:>6]_I7736G7)9'|ch 6=139PTL028;H:"< yhe/;73=Tm{|no5[ervUafrhzzh0Xb~IimgOmvrc3\yn_yo{elgmpv7c3QCGECV"XE@#4+7'[]_I,= > @Q@ML0gkdh1iieoyinpbh`=emak}eb|nl,326`=emak}eb|nl,314`=emak}eb|nl,31<`=emak}eb|nl,35db`h|bcoc-5:`?gcoicd~lb"8e9aamgqafxj` 67a:`jjaCi|`~rn6lfneRbgng`m=1bihkm;hl`hpbb%8:3n6gacmwga(76>k1bbnbzdd/261d86;hl`hpbb%=k0ecmcueg.01guwa??;>o#>26a8wuo1=98i!<88b:qsm337:k'85o5|ph4647d*<>k0}g9510a)2d<{yc=9=17626>uslVfdkdmPuoqjckcc?2xi ??969vw`+699=0y~k"1054?pub%8;3;6{|e,3112=r{l':>;94urg.53303|yn!<896:wpa(50>2xi =76:wpa(22>2xi :95:wpa(323|yn!;84urg.<00<}zo&4;sO@q61?EF=:0M605=93;8?l>82;0b=16|f:21=6`<9;08 61=;?1v_i4:3;39565f8>81>l7;1:Q2o?7381e<253Zn19>4>:010e5152;kon;5\19867?7=9:9j<:<52`fee>Uc2<91=7?<3`246?4fm?k0_<655282>454i9ij6?m=5`9P`?34280:?>o?c`81`5c13Z;368=51;307d6dj3;:j?94Se867?7=9:9jU603?86<4>32c3`g<6lkin7^j55282>454i9ni6=n13396d44j2Yo68=51;307d75938j>9?4S0:916<62898m32c2a<<5=n1dc95<13;2Y:47;<:08276g6mh0:5o><;Rf916<62898m?7?5121`2d1=:m:nm6]k:4195?74;j==:0:6<=733i;1Xh7;<:08276e08h0999l;;R3;>05=93;8?n9?a;062`6<[m0>?7?5121`35g=:8;70>4<6;:i<7119l1o9>4?:082Vc==:0:6<=7g><91e8k4n8:M00?61<6xI2983>4<629qXi7;<:08276e08h099n99;o3:>4=e:00;6=4::1ym17<63gi1=6A<2;28 4e=82.:?7<>;%36>5=#9o0;7)?j:89L57<73F;=6=5@5082xd3i3:1<7>50zl66?`anolmjk5ac;034>I4:3:0C>;52zL5g<73th=97>50;294~h2:38:7cm51128K64=82.:m7:9;%3g>0b<,;:1?l5@4483?J3628qvC<951z~f30=83:1<7>tn40967=ik3;;<6A<2;28 4g=>6=5@5082xI6?3;pqpl:8;294?6=8rd>>7I4:3:0(2dh695@3383?!g=;k1/?>4?;%32>02k4=3:M04?4?3F9?6?=4O2796==H;009?6A763-Xnzd}foo8#5+72(-k0=989971304g<9=<=5;=841c851011?9<;=o415567623:?k0=99:410;13g<9=3:94>855c851?381>?95o415;74=31>;k0=97626711=g<9=32>:;=58:8EweoFhgnn6O}ciMan`es{01I`bmdTxrf=>EHEDC_XHJ8;BPFEQCC02Ida}Gasuc8Akrn|pCeyo4Eovjp|Hfelh0JdbjDdrfjau?3OcgiCobec9EmicHjcFby64FhnfTdroi2Lb`h]jrwgpe>OikeoiBle8:KmwqHfel<0@BOKEE:8Hdh`}hmnn6Bfbscq}Kgjm8;0@dl}as{Ppdrbeld55Berqbc`ue3YkhglijN`of=>Vfzzo_e`k;;Qcqp<=V}hfbjdk}f:QSM337:K;%=#xgd018WUO1=98I=#?!vif.5506;2Y[E;;?2C3-5+pol$;;;<=4SQK5154E9';%zej"10627>UWA??;>O?!1/tk`(76=890_]G9510A5+7)~an&=?<>3:QSM337:K;%=#xgd,31745<[YC=9=;>2:QSM337:K;%=#xgd,6157=TX@<>.0,ula+3;880_]G9510A5+7)~an&4??=;RRJ2065J8$:"{fk-915?Vcu~lyi7Yk|tWg`pjttj2^d|KgceMkpp==Rf`~Em`kk;TqfWqgsmdoex~?k;YKOMK^*PMH+<#?/SUWA$5(6(HYHED84Xe`\Ma773QnfS@oeosTfvvohf8:0TicPMhllvScu{`ee;6okd^ffgg=flmUoin#>76d8eabumzyThhm`ddp27>gcl{oxRjjcnffv(7?9890mij}erq\``ehllx&=5<>3:cg`wct{Vnnobjjr/hk`417:cg`wct{Vnnobjjr/hk`(7?8:1j`ao4bdjbrliuieo0nhfnvhmqei+69;o0nhfnvhmqei+6:9o0nhfnvhmqei+6:1o0nhfnvhmqei+6>1o0nhfnvhmqei+6??n0nhfnvhmqei+38m1iieoyinpbh(2?k2hndlxfosco)=b65`8mkek}mo&=:udgyoGeckAul48wlkwdl20}g9510ag>uwa??;>o#>10a8wuo1=98i!:m4sqk5154e%8<=b,64e>uwa??;>o#8b:qsm337:k'3;h5|te]okbodW8;=8<<4suf\hjankVediaee58qvc*993<7x}j-0333>stm$;:;:5zsd/25=1<}zo&=?;8;tqf)441?2xi ?9569vw`+6>?=0y~k"1614?pub%8=?:6{|e,142>stm$93:6{|e,662>stm$>=96{|e,76?pub%?<0y~k"8448qvc*0?wKL};l;AB{210;47>4<6;:k;;?4=a863k5b281e?k4=;%1g>6e:010e5152;k28<5\1c850?7=9:9j<:<52`;76>Ua2?>1=7?<3`246?4flk<0_454i9=96?okf`9Pb?03280:?>o?7381e`0f3Z;i6;:51;307d6di38h>8o4Sg850?7=9:9jU6j332c3gg<69o8<7^h56582>454i9ii6<=n0e`95addm2Ym6;:51;307d6cj3;nm=;4S0`921<62898m<<>:3c17g=Tn332c264<5i;>:7^?m:7695?74;h;n57<;b438Wc<1<3;1=>=n1d;961c212Y:n78;:08276g6mh0:;=9i;Rd921<62898m=0:6<=7b7mh1Xj78;:08276e1i>09h87:;R3a>32=93;8?n9?a;060d4<[o0=87?5121`35g=:<>i86]>b;47>4<6;:i<=0:6<=73c181X=o494;39565d?9k1>::i2:Qe>32=93;8?n9?a;0424c<[8h1:94>:010g2b62;k;=l5\f;47>4<6;:ih183h=7B=6:19L53<73L9h7>51;394~U68332a4`4<5i9;j7c?l:09a6`<7290>6=ua6282?kc=92E8;7>4$0d94>"6=38?7)?8:19'67<73-8:6l5@1583?J7?291D:?4>{|`6f?6=83:1vs@1d83?xd013:1<7>50zl57?463go1==>4O2594>"6l3?=7)4}zG831=vsrb6c94?6=83:pb;=5239ma?7782E8;7>4$0f913=#:90<<6*=3;6;?J32291D:?4>{|M2=?7|uth=m7>50;294~h1;39;7ck52:M03?6<,891:85@d;28K34=9rwD=44>{|a0`<7290:6=ua62866>hb2=1D?:4?;%a90<=#;10;7)?<:778K47=82E:>7>4O4694>I1:3;pqB8>:0y~f17=83:1<7>tn719=1=im320C>950:&2e?273-9j69<4O4694>I2;3:0C;<51zL24<6stwvqpxI4783>4<629qX==494;39565d?m;1>l>>b:l2g?752;2xj35=92dn6<5@3683?!7a291/=84;5:&23?6<,;81<6*=1;`8K42=82E:47>4O7095~{e<:0;6=4?:1ym26<63go1>6A<7;28 45=<;1Dh7>4O7095~{zj=>1<7>50;2xj35=92dn695@3683?!e=<;1/?54?;%30>142;28K02=82E=>7?t}N42>4}zutwvqMNL{4a922>fkoimqMNM{1CDU}H:00996A=c;0f?J4d2:90C>?5249L77<5i2E8:7<:;N14>7g85@3b81e>I3:38>7B:<:2f8K1>=:<1D84496sO@ \ No newline at end of file diff --git a/spi65.npl b/spi65.npl index 8001aeb..2b350a0 100644 --- a/spi65.npl +++ b/spi65.npl @@ -19,6 +19,7 @@ SIMULATORTIME 0 DEVGENERATEDSIMULATIONMODEL VHDL GENERATEDSIMULATIONMODELTIME 0 SOURCE SPI6502B1.1.vhd +SOURCE address_decoder.sch DEPASSOC spi6502b SPI6502B.ucf [STRATEGY-LIST] Normal=True diff --git a/spi6502b.bld b/spi6502b.bld index 0c7736a..68992fb 100644 --- a/spi6502b.bld +++ b/spi6502b.bld @@ -16,7 +16,7 @@ NGDBUILD Design Results Summary: Number of errors: 0 Number of warnings: 0 -Total memory usage is 59352 kilobytes +Total memory usage is 58712 kilobytes Writing NGD file "spi6502b.ngd" ... diff --git a/spi6502b.gyd b/spi6502b.gyd index 731f132..bccfda3 100644 --- a/spi6502b.gyd +++ b/spi6502b.gyd @@ -2,16 +2,23 @@ Pin Freeze File: version G.38 9572XL44PC XC9572XL-10-PC44 Ncs2 S:PIN18 +a10 S:PIN38 +a8 S:PIN36 +a9 S:PIN37 cpu_Nphi2 S:PIN5 cpu_Nres S:PIN19 cpu_a<0> S:PIN22 cpu_a<1> S:PIN24 cpu_rnw S:PIN7 -cs1 S:PIN20 extclk S:PIN6 +nio_sel S:PIN40 +nio_stb S:PIN43 spi_int S:PIN42 spi_miso S:PIN44 -cpu_Nirq S:PIN14 +b10 S:PIN27 +b8 S:PIN25 +b9 S:PIN26 +cpu_Nirq S:PIN39 cpu_d<0> S:PIN2 cpu_d<1> S:PIN3 cpu_d<2> S:PIN4 @@ -23,31 +30,32 @@ cpu_d<7> S:PIN13 spi_mosi S:PIN35 spi_sclk S:PIN34 led S:PIN29 +ng S:PIN20 +noe S:PIN14 spi_Nsel S:PIN28 ;The remaining section of the .gyd file is for documentation purposes only. ;It shows where your internal equations were placed in the last successful fit. -PARTITION FB1_2 spidataout<2> spidataout<1> spidataout<0> int_dout<0> - int_dout<1> tmo int_dout<2> slaveinten - frx ece divisor<2> divisor<1> - divisor<0> int_dout<3> cpol int_dout<4> - cpha -PARTITION FB2_1 EXP6_ int_mosi shifting2 shiftdone - shiftcnt<0> $OpTx$INV$22__$INT spidatain<7> spidatain<6> - spidatain<5> spidatain<4> spidatain<3> spidatain<2> - spidatain<1> spidatain<0> shiftcnt<3> shiftcnt<2> - shiftcnt<1> start_shifting/start_shifting_RSTF__$INT +PARTITION FB1_1 spidataout<3> spidataout<2> spidataout<1> spidataout<0> + int_dout<0> int_dout<1> tmo int_dout<2> + slaveinten frx ece divisor<2> + divisor<1> divisor<0> int_dout<3> cpol + int_dout<4> cpha +PARTITION FB2_1 EXP6_ int_mosi shifting2 tc + shiftcnt<0> $OpTx$INV$24__$INT spidatain<7> spidatain<6> + cpu_Nirq_OBUFE spidatain<5> spidatain<4> spidatain<3> + spidatain<2> +PARTITION FB2_18 start_shifting/start_shifting_RSTF__$INT PARTITION FB3_2 int_dout<5> PARTITION FB3_5 int_dout<6> -PARTITION FB3_8 int_dout<7> cpu_Nirq_OBUFE - -PARTITION FB4_1 cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST tc start_shifting spidataout<7> - spidataout<6> spidataout<5> spidataout<4> spidataout<3> - ier -PARTITION FB4_11 slavesel -PARTITION FB4_14 led_OBUF -PARTITION FB4_16 EXP7_ int_sclk - +PARTITION FB3_8 int_dout<7> noe_OBUF +PARTITION FB3_15 ng_OBUF +PARTITION FB3_17 add_dec/XLXN_11 cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST +PARTITION FB4_1 shiftdone b8_OBUF start_shifting spidataout<7> + b9_OBUF spidataout<6> spidataout<5> b10_OBUF + spidataout<4> spidatain<1> slavesel spidatain<0> + shiftcnt<3> led_OBUF shiftcnt<2> shiftcnt<1> + int_sclk ier diff --git a/spi6502b.jed b/spi6502b.jed index eb99912..8252845 100644 --- a/spi6502b.jed +++ b/spi6502b.jed @@ -1,5 +1,5 @@ Programmer Jedec Bit Map -Date Extracted: Sat May 06 17:48:01 2017 +Date Extracted: Thu May 11 02:09:28 2017 QF46656* QP44* @@ -42,39 +42,39 @@ N PPMAP 21 6* N PPMAP 24 7* N PPMAP 26 8* N PPMAP 27 9* -L0000000 00000000 00000000 00000000 00000000* -L0000032 00000000 00000000 00000000 00000000* -L0000064 00000000 00000000 00000000 00000000* -L0000096 01111100 00000000 00000000 01100000* -L0000128 00000000 00000000 00000000 00000000* +L0000000 00000000 10000000 00000000 00000000* +L0000032 10000000 10000000 00000000 00000000* +L0000064 00000000 10000000 00000000 00000000* +L0000096 00000000 10000000 00000000 00000000* +L0000128 00000000 10000000 00000000 00000100* L0000160 00000000 00000000 00000000 00000000* -L0000192 00000000 00000000 00000000 00000000* -L0000224 00000000 00000000 00000000 00010000* -L0000256 10011000 00000000 00000000 01100000* -L0000288 000000 000000 000000 000000* -L0000312 000000 000000 000000 000000* -L0000336 000000 000000 000000 100000* -L0000360 000000 000000 000000 000000* -L0000384 101101 000000 000000 011000* +L0000192 00000000 00000000 00000000 00000100* +L0000224 00000000 10000000 00000000 00000000* +L0000256 00000000 00000000 00000000 00000000* +L0000288 000000 100000 000000 000000* +L0000312 000000 000001 000000 000000* +L0000336 000000 100000 000000 000000* +L0000360 000000 100000 000000 000000* +L0000384 000000 000000 000000 000000* L0000408 000000 000000 000000 000000* L0000432 00000000 00000000 00000000 00000000* L0000464 00000000 00000000 00000000 00000000* -L0000496 00000000 00000000 00000000 00000000* -L0000528 00000000 00000000 00000000 00000000* +L0000496 10000000 00000000 00000000 00000000* +L0000528 00000000 01000000 00000000 00000000* L0000560 00000000 00000000 00000000 00000000* -L0000592 01100100 00000000 11100000 00000000* -L0000624 01100100 00000000 11100000 00000000* -L0000656 01100100 00000000 11100000 00000000* -L0000688 01100100 00000000 10000000 00000000* -L0000720 010001 000000 000000 000000* -L0000744 010010 000000 000000 000000* -L0000768 010010 000000 000000 000000* -L0000792 010010 000000 000000 000000* -L0000816 010000 000000 000000 000000* +L0000592 00000000 00000000 11100000 00000000* +L0000624 00000000 00000000 11100000 00000000* +L0000656 00000000 00000000 11100000 00000000* +L0000688 00000000 00000000 10000000 00000000* +L0000720 000000 000000 000000 000000* +L0000744 000000 000000 000000 000000* +L0000768 000000 000000 000000 000000* +L0000792 000000 000000 000000 000000* +L0000816 000000 000000 000000 000000* L0000840 000000 000000 000000 000000* L0000864 00000000 00000000 00000000 00000000* -L0000896 00000000 10000000 00000000 00000000* -L0000928 00000000 00000000 00000000 00000000* +L0000896 00000000 00000000 00000000 00000000* +L0000928 00000010 00000000 00000000 00000000* L0000960 00000000 00000000 00000000 00000000* L0000992 00000000 00000000 00000000 00000000* L0001024 00000000 00000000 00000000 00000000* @@ -87,12 +87,12 @@ L0001200 000000 000000 000000 000000* L0001224 000000 000000 000000 000000* L0001248 000000 000000 000000 000000* L0001272 000000 000000 000000 000000* -L0001296 00000000 00000000 00000000 10000000* +L0001296 00000000 00000000 00000000 00000000* L0001328 00000000 00000000 00000000 00000000* L0001360 00000000 00000000 00000000 00000000* L0001392 00000000 00000000 00000000 00000000* L0001424 00000000 00000000 00000000 00000000* -L0001456 00000000 00000000 00100000 00000000* +L0001456 00000000 00000000 00000000 00000000* L0001488 00000000 00000000 00000000 00000000* L0001520 10000000 00000000 00000000 00000000* L0001552 00000000 00000000 00000000 00000000* @@ -103,7 +103,7 @@ L0001656 000000 000000 000000 000000* L0001680 000000 000000 000000 000000* L0001704 000000 000000 000000 000000* L0001728 00000000 00000000 00000000 00000000* -L0001760 00000000 00000000 00000000 00000000* +L0001760 00000000 00000000 00000000 00001000* L0001792 00000000 00000000 00000000 00000000* L0001824 00000000 00000000 00000000 00000000* L0001856 00000000 00000000 00000000 00000000* @@ -113,28 +113,28 @@ L0001952 00000000 00000000 00000000 00000000* L0001984 00000000 00000000 00000000 00000000* L0002016 000000 000000 000000 000000* L0002040 000000 010000 000000 000000* -L0002064 000000 000000 000000 100000* +L0002064 100000 000000 000000 000010* L0002088 000000 000000 000000 000000* -L0002112 000000 000000 000000 100000* +L0002112 000000 000000 000000 000000* L0002136 000000 000000 000000 000000* L0002160 00000000 00000000 00000000 00000000* -L0002192 00000000 00000000 00000000 00000000* +L0002192 00000000 00000000 00000000 00000100* L0002224 00000000 00000000 00000000 00000000* -L0002256 00000000 00000000 00000000 00000000* -L0002288 00000000 00000000 00000000 00000000* -L0002320 00000000 00000000 00000000 00000000* -L0002352 00000000 00000000 00000000 00000000* -L0002384 00000000 00000000 10000000 00000000* +L0002256 00000000 00000000 00000000 00011100* +L0002288 00000000 00000000 00000000 00000100* +L0002320 00000000 00000000 10000000 00000000* +L0002352 00000000 00000000 00000000 00000100* +L0002384 00000000 00000000 00000000 00000000* L0002416 00000000 00000000 00000000 00000000* L0002448 000000 000000 000000 000000* L0002472 000000 000000 000000 000000* L0002496 000000 100000 000000 000000* -L0002520 000000 000000 000000 000000* -L0002544 000000 000000 000000 000000* +L0002520 100000 000000 000000 000000* +L0002544 000000 000000 000000 000110* L0002568 000000 000000 000000 000000* L0002592 00000010 00000000 00000000 00000000* -L0002624 01000011 00000000 00000000 01000000* -L0002656 00000000 00000000 00000000 00000000* +L0002624 00000011 00000000 00000000 01000000* +L0002656 01000000 00000000 00000000 00000000* L0002688 00000011 00000000 00000000 00000000* L0002720 00000010 10000000 00000000 00000000* L0002752 00000011 00000000 00000000 00000000* @@ -148,119 +148,119 @@ L0002952 000000 000000 000000 000000* L0002976 000000 000000 000000 000000* L0003000 000000 000000 000000 000000* L0003024 00000010 00000000 00000000 00000000* -L0003056 00000001 00000000 00000000 00000000* -L0003088 01000001 00000000 00000000 01000000* +L0003056 01000001 00000000 00000000 00000000* +L0003088 00000001 00000000 00000000 01000000* L0003120 00000010 00000000 00000000 00000000* L0003152 00000000 00000000 00000000 00000000* -L0003184 00000011 00000000 00000000 00000000* +L0003184 00000011 00000000 00100000 00000000* L0003216 00000010 00000000 00000000 00000000* L0003248 00000001 00000000 00000000 00000000* L0003280 00000000 00000000 00000000 00000000* L0003312 000000 000000 000000 000000* -L0003336 000000 000000 000000 000000* +L0003336 000000 000000 000001 000000* L0003360 000000 000000 000000 000000* L0003384 000000 000000 000000 000000* L0003408 000000 000000 000000 000000* L0003432 000000 000000 000000 000000* L0003456 00000000 00000000 00000000 00000000* -L0003488 00000000 00000000 00000000 00000000* -L0003520 00000000 00000000 00000000 00000000* +L0003488 00100000 00000000 00000000 00000100* +L0003520 10000000 00000000 00000000 00000000* L0003552 00000000 00000000 00000000 00000000* -L0003584 00000000 00000000 00000000 00000000* +L0003584 00000000 00000000 00000000 00000100* L0003616 00000000 00000000 00000000 00000000* -L0003648 00000000 00000000 00000000 01000000* +L0003648 00000000 00000000 00000000 00000000* L0003680 00000000 00000000 00000000 00000000* L0003712 00000000 00000000 00000000 00000000* L0003744 000000 000000 000000 000000* L0003768 000000 000000 000000 000000* L0003792 000000 000000 000000 000000* -L0003816 000000 100000 000000 000000* +L0003816 000000 000000 000000 000000* L0003840 000000 000000 000000 000000* L0003864 000000 000000 000000 000000* L0003888 00000000 00000000 00000000 00000000* -L0003920 00000000 00000000 00000000 00000000* +L0003920 10000000 00000000 00000000 10000000* L0003952 00000000 00000000 00000000 00000000* -L0003984 00000000 00000000 00000000 00000000* -L0004016 00000000 00000000 00000000 00000000* +L0003984 00000000 00000000 00000000 00011100* +L0004016 00100000 00000000 00000000 00000000* L0004048 00000000 00000000 00000000 00000000* -L0004080 00000000 00000000 00000000 00000000* -L0004112 00000000 00000000 00000000 01000000* +L0004080 00000000 00000000 00000000 00000100* +L0004112 00000000 00000000 00000000 00000000* L0004144 00000000 00000000 00000000 00000000* L0004176 000000 000000 000000 000000* L0004200 000000 000000 000000 000000* L0004224 000000 000000 000000 000000* L0004248 000000 000000 000000 000000* -L0004272 000000 000000 000000 000000* +L0004272 000000 000000 000000 000110* L0004296 000000 000000 000000 000000* -L0004320 01111100 00000000 00000000 01100000* +L0004320 00000000 00000000 00000000 00000000* L0004352 00000000 00000000 00000000 00000000* L0004384 00000000 00000000 00000000 00000000* -L0004416 00000000 00000000 00000000 00000000* +L0004416 00000000 10000000 00000000 00000000* L0004448 00000000 00000000 00000000 00000000* -L0004480 11111100 00000000 11100000 11110000* -L0004512 01100100 00000000 11100000 00000000* -L0004544 01100100 00000000 11100000 00000000* -L0004576 01100100 00000000 10000000 00000000* -L0004608 010001 100000 000000 000000* -L0004632 111111 000000 000000 111000* -L0004656 010010 000000 000000 000000* -L0004680 010010 000000 000000 000000* -L0004704 010000 000000 000000 000000* +L0004480 00000000 00000000 11100000 00000000* +L0004512 00000000 00000000 11100000 00000000* +L0004544 00000000 00000000 11100000 00000000* +L0004576 00000000 00000000 10000000 00000000* +L0004608 000000 000000 000000 000000* +L0004632 000000 000000 000000 000000* +L0004656 000000 000000 000000 000000* +L0004680 000000 000000 000000 000000* +L0004704 000000 000000 000000 000000* L0004728 000000 000000 000000 000000* -L0004752 00000000 00000000 00000000 00000000* +L0004752 00000000 00000000 00000000 01100000* L0004784 00000000 00000000 00000000 00000000* L0004816 00000000 00000000 00000000 00000000* L0004848 00000000 00000000 00000000 00000000* L0004880 00000000 00000000 00000000 00000000* -L0004912 00000000 00000000 00000000 00000000* +L0004912 00000000 00000000 00000000 00010000* L0004944 00000000 00000000 00000000 00000000* L0004976 00000000 00000000 00000000 00000000* L0005008 00000000 00000000 00000000 00000000* L0005040 000000 000000 000000 000000* -L0005064 000000 000000 000000 000000* +L0005064 000000 000000 000010 111001* L0005088 000000 000000 000000 000000* L0005112 000000 000000 000000 000000* L0005136 000000 000000 000000 000000* L0005160 000000 000000 000000 000000* -L0005184 00000001 00000001 00000000 00000010* +L0005184 00000011 00000001 00000000 00000011* L0005216 00000011 00000011 00000010 00100011* -L0005248 00000011 00000011 00000000 00000010* -L0005280 00000011 00000011 00000000 00000010* -L0005312 00100011 00000011 00000010 00000011* -L0005344 00000011 00000011 00000000 00000010* -L0005376 00000011 10000011 00000000 00000010* -L0005408 00000011 00000011 00000010 00000011* -L0005440 00000011 00000011 00000000 00000010* +L0005248 00000011 00000011 00000000 00000011* +L0005280 00000011 00000011 00000000 00000011* +L0005312 00100011 00000010 00000010 00000011* +L0005344 00000011 00000010 00000001 00000011* +L0005376 00000011 00000010 00000000 00000011* +L0005408 00000011 00000010 00000011 00000011* +L0005440 00000011 00000001 00000011 00000011* L0005472 000000 000000 000000 000000* L0005496 000000 000000 000000 000000* L0005520 000000 000000 000000 000000* L0005544 000000 000000 000000 000000* L0005568 000000 000000 000000 000000* L0005592 000000 000000 000000 000000* -L0005616 00000001 00000011 00000000 00000000* -L0005648 00100011 00000011 00000000 00000011* -L0005680 00000011 00000011 00000000 00100010* -L0005712 00000011 00000011 00000000 00000010* -L0005744 00000001 00000011 00000000 00000010* -L0005776 00000000 00000001 00000000 00000010* -L0005808 00000011 00000011 00000000 00000011* -L0005840 00000000 00000011 00100000 00000011* -L0005872 00000011 00000010 00000000 00000010* +L0005616 00000011 00000011 00000000 00000011* +L0005648 00100011 00000011 00000000 00000001* +L0005680 00000011 00000011 00000000 00100011* +L0005712 00000011 00000011 00000000 00000011* +L0005744 00000001 00000010 00000000 00000000* +L0005776 00000000 00000000 00000000 00000011* +L0005808 00000011 00000010 00000000 00000011* +L0005840 00000000 00000010 00100000 00000001* +L0005872 00000011 00000000 00000000 00000011* L0005904 000000 000000 000000 000000* L0005928 000010 000000 000000 000000* L0005952 000000 000000 000000 000000* L0005976 000000 000000 000000 000000* L0006000 000000 000000 000000 000000* L0006024 000000 000000 000000 000000* -L0006048 00000001 00000001 00000000 00000010* +L0006048 00000011 00000001 00000000 00000011* L0006080 00000011 00000011 00000010 00000001* -L0006112 00000011 10000011 00000000 00000010* -L0006144 00000011 00000011 00000000 00000010* -L0006176 00000011 00000011 00000010 00000010* -L0006208 00000011 00000011 00000000 00000010* -L0006240 00000011 00000011 00000000 00100010* -L0006272 00000011 00000011 00000010 00000011* -L0006304 00000011 00000010 00000010 00000010* +L0006112 00000011 00000011 00000000 00000011* +L0006144 00000011 00000001 00000000 00000011* +L0006176 00000011 00000010 00000010 00000000* +L0006208 00000011 00000010 00000000 00000011* +L0006240 00000011 00000010 00000000 00000011* +L0006272 00000011 00000010 00000010 00000001* +L0006304 00000011 00000010 00000011 00000011* L0006336 000000 000000 000000 000000* L0006360 000000 000000 000000 000000* L0006384 000000 000000 000000 000000* @@ -268,79 +268,79 @@ L0006408 000000 000000 000000 000000* L0006432 000000 000000 000000 000000* L0006456 000000 000000 000000 000000* L0006480 00000000 00000010 00000000 00000000* -L0006512 00000000 00000010 00000010 00000000* +L0006512 00000000 00100010 00000010 00000000* L0006544 00000000 00000000 00000000 00000000* L0006576 00000000 00000000 00000000 00000000* L0006608 00000010 00000000 00000010 00000000* L0006640 00000011 00000000 00000000 00000000* L0006672 00000000 00000000 00000000 00000000* -L0006704 00000011 00000000 01000010 00100000* -L0006736 00000000 00000000 00000010 00000000* +L0006704 00000011 00000000 00000010 00000000* +L0006736 00000000 00000010 00000000 00000000* L0006768 000000 000000 000000 000000* L0006792 000000 000000 000000 000000* L0006816 000000 000000 000000 000000* L0006840 000000 000000 000000 000000* L0006864 000000 000000 000000 000000* L0006888 000000 000000 000000 000000* -L0006912 00000001 00000001 00000000 00000000* -L0006944 00000011 00000011 00000010 10000011* -L0006976 00000011 00000001 00000000 00000010* -L0007008 00000011 00000011 00000000 00000010* -L0007040 00000011 00000011 00000010 00000010* -L0007072 00000011 00000011 00000000 00000010* -L0007104 00000011 00000011 00000000 00000010* -L0007136 00000011 00000011 00000010 00000011* -L0007168 00000111 00000010 00000000 00000010* +L0006912 00000011 00000001 00000000 00000011* +L0006944 00000011 00000011 00000010 00000001* +L0006976 00000011 00000001 00000000 00000011* +L0007008 00000011 00000011 00000000 00000011* +L0007040 00000011 00000010 00000010 00000000* +L0007072 00000011 00000010 00000000 00000011* +L0007104 00000011 00000010 00000000 00000011* +L0007136 00000011 00000010 00000010 00000001* +L0007168 00000011 00000000 00000000 00000011* L0007200 000000 000000 000000 000000* L0007224 000000 000000 000000 000000* -L0007248 000000 000000 000000 000000* -L0007272 000000 000000 000000 000000* +L0007248 001000 000000 000000 000000* +L0007272 000000 000000 000000 001000* L0007296 000000 000000 000000 000000* L0007320 000000 000000 000000 000000* -L0007344 00000001 00000011 00000000 00000000* -L0007376 00000001 00000001 00000000 00000011* -L0007408 00000001 00000011 00000000 00000010* -L0007440 00000001 00000011 00000000 00000000* -L0007472 00000001 00000011 00000000 00000000* -L0007504 00000000 00000001 00000000 00000000* -L0007536 00000011 00000011 00000000 00000000* -L0007568 00000000 00000011 00000000 00000001* -L0007600 00000011 00000010 00000000 00000010* +L0007344 00000001 00000011 00000000 00000011* +L0007376 00000001 00000001 00000000 00000001* +L0007408 00000001 00000011 00000000 00000011* +L0007440 00000001 00000011 00000000 00000001* +L0007472 00000001 00000010 00000000 00000000* +L0007504 00000100 00000000 00000100 00000001* +L0007536 00000011 00000010 00000000 00000001* +L0007568 00000000 00000010 00000000 00000001* +L0007600 00000011 00000000 00000000 00000001* L0007632 000000 000000 000000 000000* L0007656 000000 000000 000000 000000* -L0007680 000000 000000 000000 000000* +L0007680 000000 000000 000000 001000* L0007704 000000 000000 000000 000000* L0007728 000000 000000 000000 000000* -L0007752 000000 000000 000000 000000* -L0007776 00000001 00000001 00000000 00000000* -L0007808 00000011 00000011 00000010 00000011* -L0007840 00000011 00000001 00000000 00000010* -L0007872 00000011 00000001 00000000 00000010* -L0007904 00000011 00000001 00000000 00000010* -L0007936 00000010 00000001 00000000 00000010* -L0007968 00000011 00000011 00000000 00000010* -L0008000 00000011 00000011 00000000 00000011* -L0008032 00000011 00000010 00000000 00000010* +L0007752 001000 000000 000000 000000* +L0007776 00000011 00000001 00000000 00000001* +L0007808 00000011 00000011 00000010 00000001* +L0007840 00000011 00000001 00000000 00000011* +L0007872 00000011 00000011 00000000 00000011* +L0007904 00000011 00000000 00000000 00000000* +L0007936 00000010 00000000 00000000 00000011* +L0007968 00000011 00000010 00000000 00000011* +L0008000 00000011 00000010 00000000 00000001* +L0008032 00000011 00000000 00000000 00000011* L0008064 000000 000000 000000 000000* L0008088 000000 000000 000000 000000* L0008112 000000 000000 000000 000000* L0008136 000000 000000 000000 000000* L0008160 000000 000000 000000 000000* L0008184 000000 000000 000000 000000* -L0008208 00000001 00000011 00000000 00000000* -L0008240 00000011 00000011 00000000 00000011* -L0008272 00000011 00000001 00000000 00000000* -L0008304 00000011 00000001 00000000 00000010* -L0008336 00000001 00000001 00000000 00000010* +L0008208 00000011 00000011 00000000 00000001* +L0008240 00000011 00000011 00000000 00000001* +L0008272 00000011 00000001 00000000 00000001* +L0008304 00000011 00000011 00000000 00000010* +L0008336 00000001 00000000 00000000 00000000* L0008368 00000000 00000000 00000000 00000010* -L0008400 00000011 00000010 00000000 00000010* -L0008432 00000000 00000010 00000000 00000011* -L0008464 00000011 00000010 00000000 00000010* -L0008496 000001 000000 000000 000000* +L0008400 00000011 00100010 00000000 00000010* +L0008432 00000000 00000010 00000000 00000001* +L0008464 00000011 00000000 00000000 00000011* +L0008496 000000 000000 000000 000000* L0008520 000000 000000 000000 000000* -L0008544 000000 001000 000000 000000* +L0008544 000000 000000 000000 000000* L0008568 000000 000000 000000 000000* -L0008592 000000 000000 000000 100000* +L0008592 010000 000000 000000 100000* L0008616 000000 000000 000000 000000* L0008640 00000001 00000000 00000000 00000000* L0008672 00000001 00000010 00000000 00000001* @@ -348,25 +348,25 @@ L0008704 00000001 00000000 00000000 00000000* L0008736 00000001 00000000 00000000 00000000* L0008768 00000011 00000000 00000000 00000000* L0008800 00000000 00000000 00000000 00000000* -L0008832 00000011 00001000 00000000 00010000* +L0008832 00010011 00000000 00000000 00010000* L0008864 00000001 00000000 00000000 00000001* -L0008896 00000011 00000000 00000000 00000010* +L0008896 00000011 00000000 00000000 00000001* L0008928 000000 000000 000000 000000* L0008952 000000 000000 000000 000000* -L0008976 000000 000000 000000 000000* +L0008976 000000 000000 000000 000100* L0009000 000000 000000 000000 000000* L0009024 000000 000000 000000 000000* L0009048 000000 000000 000000 000000* L0009072 00000000 00000010 00000000 00000000* -L0009104 00000000 00000000 00000000 00000000* +L0009104 00000000 00010000 00000000 00000000* L0009136 00000000 00000000 00000000 00000000* L0009168 00000000 00000000 00000000 00000000* L0009200 00000000 00000000 00000000 00000000* -L0009232 01000000 00000000 00000000 00001000* -L0009264 00000000 00000000 00000000 00000000* +L0009232 00100000 00000000 00000100 00001000* +L0009264 00000000 00000000 00000000 00000001* L0009296 00000000 00000000 00000000 00000001* L0009328 00000000 00000000 00000000 00000000* -L0009360 000000 000000 000000 000100* +L0009360 000100 000000 000000 000100* L0009384 000000 000000 000000 000000* L0009408 000000 000000 000000 000000* L0009432 000000 000000 000000 000000* @@ -379,31 +379,31 @@ L0009600 00000000 00000000 00000000 00000000* L0009632 00000000 00000000 00000000 00000000* L0009664 00000000 00000000 00000000 00000000* L0009696 00000000 00000000 00000000 00000000* -L0009728 00000000 00000000 00000000 00000000* -L0009760 00000000 00000000 00000000 00000000* +L0009728 00000000 00000000 00000001 00000000* +L0009760 00000000 00000000 00000010 00000000* L0009792 000000 000000 000000 000000* L0009816 000000 000000 000000 000000* -L0009840 000000 000000 000000 000000* +L0009840 000100 000000 000000 000000* L0009864 000000 000000 000000 000000* L0009888 000000 000000 000000 000000* L0009912 000000 000000 000000 000000* L0009936 00000000 00000000 00000000 00000000* -L0009968 00000000 00000010 00000000 00000000* +L0009968 00000000 00000010 00000000 00010000* L0010000 00000000 00000000 00000000 00000000* L0010032 00000000 00000000 00000000 00000000* L0010064 00000000 00000000 00000000 00000000* L0010096 00000000 00000000 00000000 00000000* L0010128 00000000 00010000 00000000 00000000* -L0010160 00000000 00000000 00000000 00000001* -L0010192 00100000 00000000 00000000 00000000* +L0010160 00100000 00000000 00000000 00000001* +L0010192 00000000 00000000 00000000 00000000* L0010224 000000 000000 000000 000000* L0010248 000000 000000 000000 000000* L0010272 000000 000000 000000 000000* L0010296 000000 000000 000000 000000* L0010320 000000 000000 000000 000000* -L0010344 000000 000000 000000 000000* +L0010344 000100 000000 000000 000000* L0010368 00000000 00000000 00000000 00000000* -L0010400 00000000 00000010 00000000 00000000* +L0010400 00001000 00000010 00000000 00000000* L0010432 00000000 00000000 00000000 00000000* L0010464 00000000 00000000 00000000 00000000* L0010496 00000000 00000000 00000000 00000000* @@ -418,194 +418,194 @@ L0010728 000000 000000 000000 000000* L0010752 000000 000000 000000 000000* L0010776 000000 000000 000000 000000* L0010800 00000000 00000000 00000000 00000000* -L0010832 00000000 00000000 00000000 00000000* +L0010832 00000000 00000000 00000000 10001000* L0010864 00000000 00000010 00000000 00000000* L0010896 00000000 00000000 00000000 00000000* -L0010928 00000000 00000000 00000000 00000000* -L0010960 00000000 00000000 00000000 00000000* +L0010928 00001000 00000000 00000000 00000000* +L0010960 00000000 00000000 00000100 00000000* L0010992 00000000 00000000 00000000 00000000* L0011024 00000000 00000000 00000000 00000000* L0011056 00000000 00000000 00000000 00000000* L0011088 000000 000000 000000 000000* L0011112 000000 000000 000000 000000* -L0011136 000000 000000 000000 000000* -L0011160 000000 000000 000000 000000* +L0011136 000000 000100 000000 000000* +L0011160 010000 000000 000000 000000* L0011184 000000 000000 000000 000000* L0011208 000000 000000 000000 000000* -L0011232 00000001 00000001 00000000 00000010* -L0011264 01001011 00000011 00000010 01100011* -L0011296 01000011 00000011 00000000 01100010* -L0011328 00000011 00000011 00000000 00000010* -L0011360 00001011 00000011 00000010 00000011* -L0011392 00000011 00000011 00000000 00000010* -L0011424 10001011 00000011 00000000 01100010* -L0011456 10100011 00000011 01100010 11100011* -L0011488 01100111 00000011 10000010 00000010* -L0011520 010011 000000 000000 000000* +L0011232 00000011 00000001 00000000 00000011* +L0011264 00000011 00000011 00000010 01100011* +L0011296 00000011 00000011 00000000 01100011* +L0011328 00000011 00000011 00000000 00000011* +L0011360 00000011 00000010 00000010 00000011* +L0011392 00000011 00000010 00000001 00000011* +L0011424 00001011 00000010 00000000 00000011* +L0011456 00000011 00000010 00000011 00000011* +L0011488 00000011 00000011 00000011 00000011* +L0011520 000000 000000 000000 000000* L0011544 000000 000000 000000 000000* -L0011568 100100 000000 000000 110000* -L0011592 110010 000000 000000 010000* -L0011616 010000 000000 000000 100000* -L0011640 000100 000000 000000 000000* +L0011568 000000 000000 000000 111000* +L0011592 000000 000000 000000 011000* +L0011616 000000 000000 000000 100000* +L0011640 000000 000000 000000 000000* L0011664 00000000 00000000 00000000 00000000* -L0011696 00110100 00001000 00000000 00000000* +L0011696 00000000 00000000 00000000 00000000* L0011728 00000000 00000000 00000000 00000000* L0011760 00000000 00000000 00000000 00000000* -L0011792 00110100 00000000 00000000 00000000* -L0011824 01100100 00000000 11100000 00000000* -L0011856 00010000 00000000 00000000 00010000* -L0011888 01000100 00000000 10000000 00000000* -L0011920 00000000 00000000 00000000 00000000* -L0011952 000100 000000 000000 000100* -L0011976 010010 000000 000000 000000* -L0012000 001001 000000 000000 001000* +L0011792 00000000 00000000 00000000 00000000* +L0011824 00000000 00000000 00000000 00000000* +L0011856 00000000 00000000 00000000 00010000* +L0011888 00000000 00000000 00000000 00000000* +L0011920 01000000 00000000 00000000 00000000* +L0011952 000010 000000 000000 000100* +L0011976 000000 000000 000000 000000* +L0012000 000000 000000 000000 000001* L0012024 000000 000000 000000 000000* L0012048 000000 000000 000000 000000* -L0012072 001001 000000 000000 001000* +L0012072 000000 000000 000000 000001* L0012096 00000000 00000000 00000000 00000000* L0012128 00000000 00000000 00000000 00000000* L0012160 00000000 00000000 00000000 00000000* -L0012192 00000000 10000000 00000000 00000000* -L0012224 00000000 10000000 00000000 00000000* +L0012192 00000000 00000000 00000000 00000000* +L0012224 00000000 00000000 00000000 00000000* L0012256 00000000 00000000 00000000 00000000* L0012288 00000000 00000000 00000000 00000000* L0012320 00000000 00000000 00000000 00000000* L0012352 00000000 00000000 00000000 00000000* -L0012384 000000 100000 000000 000000* +L0012384 000000 000000 000000 000000* L0012408 000000 000000 000000 000000* -L0012432 000000 000000 000000 000000* -L0012456 000000 100000 000000 000000* +L0012432 000000 010000 000000 000000* +L0012456 000000 000000 000000 000000* L0012480 000000 000000 000000 000000* L0012504 000000 000000 000000 000000* -L0012528 00000000 10000000 00000000 00000000* -L0012560 00000000 11000000 00000000 00000000* -L0012592 00000000 10000000 00000000 00000000* -L0012624 00000000 00000000 00000000 00000000* +L0012528 00000000 00000000 00000000 00000000* +L0012560 00000000 00000000 00000000 10000000* +L0012592 00000000 00000000 00000000 00000000* +L0012624 00000000 00000000 00000000 00001000* L0012656 00000000 00000000 00000000 00000000* L0012688 00000000 00000000 00000000 00000000* L0012720 00000000 00000000 00000000 00000000* -L0012752 00000000 10000000 00000000 00000000* +L0012752 00000000 00000000 00000000 00000000* L0012784 00000000 00000000 00000000 00000000* L0012816 000000 000000 000000 000000* L0012840 000000 000000 000000 000000* -L0012864 000000 000010 000000 000000* +L0012864 000000 000000 000000 000010* L0012888 000000 000000 000000 000000* L0012912 000000 000000 000000 000000* L0012936 000000 000000 000000 000000* L0012960 00000000 00000000 00000000 00000000* -L0012992 00000100 00000000 00000000 00000001* -L0013024 00000000 00000000 00000000 00000000* +L0012992 00000000 10000000 00000000 00000011* +L0013024 00000000 10000000 00000000 00000000* L0013056 00000000 00000000 00000000 00000000* -L0013088 00000000 00000000 00000000 00000001* -L0013120 00000000 00000000 00000000 00000000* +L0013088 00000000 10000000 00000000 00000011* +L0013120 00000000 00000000 00000001 00000000* L0013152 00000000 00000000 00000000 00000000* -L0013184 00000000 00000000 00000000 00000101* -L0013216 00000000 00000000 00000000 00000000* +L0013184 00000000 00000000 00000000 00000111* +L0013216 00000000 00000000 00000010 00000000* L0013248 000000 000000 000000 000000* L0013272 000000 000000 000000 000000* L0013296 000000 000000 000000 000000* -L0013320 000000 000000 000000 000000* +L0013320 000000 100000 000000 000000* L0013344 000000 000000 000000 000000* L0013368 000000 000000 000000 000000* -L0013392 00000000 00000000 00000000 00000000* +L0013392 00000000 10000000 00000000 00000000* L0013424 00000000 00000000 00000000 00000000* L0013456 00000000 00000000 00000000 00000000* -L0013488 00000000 00000000 00000000 00000000* -L0013520 00000100 00000000 00000000 00000000* +L0013488 00000000 10000000 00000000 00000000* +L0013520 00000000 00000000 00000000 00000000* L0013552 00000000 00000000 00000000 00000000* L0013584 00000000 00000000 00000000 00000000* -L0013616 00000000 00000000 00000000 00000000* +L0013616 00000000 10000000 00000000 00000000* L0013648 00000000 00000000 00000000 00000100* -L0013680 000000 000000 000000 000001* -L0013704 010000 000000 000000 000000* +L0013680 000000 100000 000000 000001* +L0013704 000000 000000 000000 000000* L0013728 000000 000000 000000 000000* L0013752 000000 000000 000000 000000* L0013776 000000 000000 000000 000000* L0013800 000000 000000 000000 000000* -L0013824 00000000 00000000 00000000 00000010* -L0013856 00000000 00000000 00000010 00000000* -L0013888 00000000 10000000 00000000 01000000* +L0013824 00000000 00000000 00000000 00000000* +L0013856 00000000 00000000 00000010 00000010* +L0013888 00000000 00000000 00000000 01000000* L0013920 00000000 00000000 00000000 00000000* -L0013952 00000010 10000000 00000010 00000001* -L0013984 00000011 00000010 00000000 00000000* +L0013952 00000010 00000000 00000010 00000011* +L0013984 00000011 00000010 00000001 00000000* L0014016 00000000 00000000 00000000 00000000* -L0014048 00000011 10000000 00000010 00000000* -L0014080 00000000 00000101 00000010 00000000* -L0014112 000000 100000 000000 000000* +L0014048 00000011 00000000 00000010 00000010* +L0014080 00000000 00000011 00000011 00000000* +L0014112 000000 000000 000000 000000* L0014136 000000 000000 000000 000000* L0014160 000000 000000 000000 000000* L0014184 000000 000000 000000 000000* L0014208 000000 000000 000000 000000* L0014232 000000 000000 000000 000000* -L0014256 00000001 10000001 00000000 00000000* -L0014288 00000011 11000011 00000000 01000011* -L0014320 00000011 00000011 00000000 00000010* -L0014352 00000011 10000111 00000000 00000010* -L0014384 00000001 00000011 00000000 00000010* -L0014416 00000000 00000001 00000000 00000010* -L0014448 00000011 00000111 00000000 00000010* -L0014480 00000000 00000011 00000000 00000011* -L0014512 00000011 00000010 00000000 00000010* +L0014256 00000011 00000001 00000000 00000011* +L0014288 00000011 00000011 00000000 01000001* +L0014320 00000011 00000011 00000000 00000011* +L0014352 00000011 00000011 00000000 00000011* +L0014384 00000001 00000010 00000000 00000000* +L0014416 00000000 00000000 00000000 00000011* +L0014448 00000011 00000010 00000000 00000011* +L0014480 00000000 00000010 00000000 00000001* +L0014512 00000011 00000000 00000000 00000011* L0014544 000000 000000 000000 000000* -L0014568 000000 000000 000000 000000* -L0014592 000000 000000 000000 000000* -L0014616 000000 100000 000000 000000* -L0014640 000000 000010 000000 000000* +L0014568 000000 000000 001000 000000* +L0014592 000000 000000 001000 000000* +L0014616 000000 000000 000000 000000* +L0014640 000000 000000 000000 000000* L0014664 000000 000000 000000 000000* -L0014688 00000001 00000001 00000000 00000000* -L0014720 00000011 00000011 00000000 00000011* -L0014752 00111111 01111111 00000000 00000010* -L0014784 00000011 00000011 00000000 00000010* -L0014816 00000001 00000011 00000000 00000010* -L0014848 00000000 00000001 00000000 00000010* -L0014880 00000011 00000011 00000000 00000010* -L0014912 00011000 01111111 00000000 00000111* -L0014944 00000011 10000010 00000000 00010110* +L0014688 00000011 00000001 00000000 00000011* +L0014720 00000011 00000011 00000000 00000001* +L0014752 00111111 00000011 00000000 00000011* +L0014784 00000011 00000011 00000000 00000011* +L0014816 00000001 00000010 00000000 00000000* +L0014848 00000000 00000000 00000000 00000011* +L0014880 00000011 00000010 00000000 00000111* +L0014912 00011000 00000010 00000000 00000001* +L0014944 00000011 00000000 00000000 00000011* L0014976 000000 000000 000000 000000* L0015000 000000 000000 000000 000000* L0015024 000000 000000 000000 000000* -L0015048 001101 001110 000000 001000* +L0015048 001101 000000 000000 000000* L0015072 000000 000000 000000 000000* L0015096 000000 000000 000000 000000* -L0015120 00000001 00000000 00000000 00000100* -L0015152 00000011 00000000 00000000 00000011* -L0015184 00000011 00000000 00000000 00000010* -L0015216 01000011 00000000 00000000 01100010* -L0015248 00000001 00000000 00000000 00000010* -L0015280 00000000 00000000 00000000 00000010* -L0015312 00000011 00000000 00000000 00000110* -L0015344 00000000 00000000 00000000 00000010* -L0015376 10000011 00000000 00000000 01100010* +L0015120 00000000 00000000 00000000 00000000* +L0015152 00000000 00000000 00000000 00000000* +L0015184 00000000 00000000 00000000 00000000* +L0015216 11000000 00000000 00000000 00000000* +L0015248 00000000 00000000 00000000 00000100* +L0015280 00000000 00000000 01000000 00000000* +L0015312 00000000 00000000 00000000 00000000* +L0015344 00000000 00000000 00000000 00000000* +L0015376 10000000 00000000 00000000 00000000* L0015408 000000 000000 000000 000000* -L0015432 000000 000001 000000 000000* -L0015456 000000 000000 000000 000000* +L0015432 000000 000000 000001 000000* +L0015456 000000 001000 000000 000000* L0015480 000000 000000 000000 000000* -L0015504 100000 000000 000000 010000* +L0015504 100000 000000 000000 000000* L0015528 000000 000000 000000 000000* -L0015552 00000000 10000000 00000000 00000100* -L0015584 00000000 10000000 00000000 00000011* -L0015616 00000000 10000000 00000000 00000000* -L0015648 00000000 10000000 00000000 00000000* -L0015680 00000000 10000000 00000000 00000000* -L0015712 00000000 00000000 00000000 00000000* -L0015744 00000000 00000000 00000000 00000100* -L0015776 00000000 10000000 00000000 00000000* -L0015808 00000000 00000000 00000000 00000000* -L0015840 000000 100000 000000 000000* -L0015864 000000 000001 000000 000000* -L0015888 000000 100000 000000 000000* -L0015912 000000 100000 000000 000000* +L0015552 00000000 00000000 00000000 00000000* +L0015584 00000000 00000000 00000000 00000001* +L0015616 00000000 01000000 00000000 00000000* +L0015648 00000000 00000010 00000000 00000000* +L0015680 00000000 00000000 00000000 00000000* +L0015712 00000000 00000000 11100000 00000000* +L0015744 00000000 00000000 00000000 00000000* +L0015776 00000000 00000000 11100000 00000000* +L0015808 00000000 00000000 10000000 00000000* +L0015840 000000 000000 000000 000000* +L0015864 000000 000000 000000 000000* +L0015888 000000 000000 000000 000000* +L0015912 000000 000000 000000 000000* L0015936 000000 000000 000000 000000* L0015960 000000 000000 000000 000000* -L0015984 00000001 00000001 00000000 00000000* +L0015984 00000011 00000001 00000000 00000001* L0016016 00000011 00000001 00000000 00000000* -L0016048 00000011 00000001 00000000 00000000* +L0016048 00000011 00000001 00000000 00000001* L0016080 00000011 00000001 00000000 00000010* -L0016112 00000001 00000001 00000000 00000010* +L0016112 00000001 00000000 00000000 00000000* L0016144 00000000 00000000 00000000 00000010* L0016176 00000011 00000010 00000000 00000010* -L0016208 00000000 00000010 00000000 00000010* -L0016240 00000011 00000010 00000000 10000010* +L0016208 00000000 00000010 00000000 00000000* +L0016240 00000011 00000000 00000000 00000011* L0016272 000000 000000 000000 000000* L0016296 000000 000000 000000 000000* L0016320 000000 000000 000000 000000* @@ -619,58 +619,58 @@ L0016512 00000000 00000000 00000000 00000000* L0016544 00000000 00000000 00000000 00000000* L0016576 00000000 00000000 00000000 00000000* L0016608 00000000 00000000 00000000 00000000* -L0016640 10000000 00000000 00000000 00000000* +L0016640 00000000 00000000 00000000 00000000* L0016672 00000000 00000000 00000000 00000000* -L0016704 000100 000000 000000 000000* +L0016704 000000 000000 000000 000000* L0016728 000000 000000 000000 000000* L0016752 000000 000000 000000 000000* L0016776 000000 000000 000000 000000* L0016800 000000 000000 000000 000000* -L0016824 000100 000000 000000 000000* -L0016848 00000001 00000000 00000000 00000000* +L0016824 000000 000000 000000 000000* +L0016848 00000011 00000000 00000000 00000000* L0016880 00000011 00000000 00000000 00000001* L0016912 00000011 00000000 00000000 00000010* -L0016944 00000011 00000000 00000000 00000010* -L0016976 00000001 00000000 00000000 00000010* -L0017008 00000000 00000001 00000000 00000010* -L0017040 10010011 00001001 00000000 00000010* -L0017072 00000000 00000000 00000000 00000010* -L0017104 00000011 00000000 00000000 00000010* +L0016944 00000011 00000000 00000000 00000011* +L0016976 00000001 00000000 00000000 00000000* +L0017008 00000000 00000000 00000000 00000011* +L0017040 00000011 00000000 00000000 00000010* +L0017072 00000000 00000000 00000000 00000000* +L0017104 00000011 00000000 00000000 00000011* L0017136 000000 000000 000000 000000* L0017160 000000 000000 000000 000000* -L0017184 000100 000000 000000 000000* +L0017184 000000 000000 000000 000100* L0017208 000000 000000 000000 000000* L0017232 000000 000000 000000 000000* L0017256 000000 000000 000000 000000* L0017280 00000000 00000000 00000000 00000000* L0017312 00000000 00000000 00000000 00000000* -L0017344 01000000 00000000 00000000 00000000* +L0017344 00000000 00000000 00000000 00000000* L0017376 00000000 00000000 00000000 00000000* L0017408 00000000 00000000 00000000 00000000* L0017440 00000000 00000000 00000000 00000000* -L0017472 00000000 01000100 00000000 00000000* +L0017472 00000000 00000000 00000000 00000000* L0017504 00000000 00000000 00000000 00000000* L0017536 00000000 00000000 00000000 00000000* -L0017568 000010 000000 000000 000100* +L0017568 000000 000000 000000 000000* L0017592 000000 000000 000000 000000* L0017616 000000 000000 000000 000000* L0017640 000000 000000 000000 000000* L0017664 000000 000000 000000 000000* -L0017688 000001 000000 000000 000000* +L0017688 000000 000000 000000 000000* L0017712 00000000 00000000 00000000 00000000* -L0017744 01000000 01000000 00000000 00000000* +L0017744 00000000 00000000 00000000 00000000* L0017776 00000000 00000000 00000000 00000000* -L0017808 00000000 00111100 00000000 00000000* +L0017808 00000000 00000000 00000000 00000000* L0017840 00000000 00000000 00000000 00000000* L0017872 00000000 00000000 00000000 00000000* -L0017904 00001000 00000000 00000000 00010000* +L0017904 00000000 00000000 00000000 00000000* L0017936 00000000 00000000 00000000 00000000* -L0017968 00000000 00111100 00000000 00000000* +L0017968 00000000 00000000 00000000 00000000* L0018000 000000 000000 000000 000000* L0018024 000000 000000 000000 000000* -L0018048 000001 000000 000000 000000* +L0018048 000000 000000 000000 000000* L0018072 000000 000000 000000 000000* -L0018096 000000 001110 000000 000000* +L0018096 000000 000000 000000 000000* L0018120 000000 000000 000000 000000* L0018144 00000000 00000000 00000000 00000000* L0018176 00000000 00000000 00000000 00000000* @@ -679,169 +679,169 @@ L0018240 00000000 00000000 00000000 00000000* L0018272 00000000 00000000 00000000 00000000* L0018304 00000000 00000000 00000000 00000000* L0018336 00000000 00000000 00000000 00000000* -L0018368 00000000 00000000 00000000 01000000* +L0018368 00000000 00000000 00000000 00000000* L0018400 00000000 00000000 00000000 00000000* L0018432 000000 000000 000000 000000* L0018456 000000 000000 000000 000000* L0018480 000000 000000 000000 000000* -L0018504 000000 000000 000000 000000* +L0018504 000000 000000 000000 010000* L0018528 000000 000000 000000 000000* -L0018552 000000 000000 000000 001000* +L0018552 000000 000000 000000 000001* L0018576 00000000 00000000 00000000 00000000* -L0018608 00000000 00010000 00000000 00000000* +L0018608 00000000 00000000 00000000 00000000* L0018640 00000000 00000000 00000000 00000000* L0018672 00000000 00000000 00000000 00000000* L0018704 00000000 00000000 00000000 00000000* L0018736 00000000 00000000 00000000 00000000* -L0018768 00000000 00000000 00000000 01000000* +L0018768 00000000 00000000 00000000 00000000* L0018800 00000000 00000000 00000000 00000000* L0018832 00000000 00000000 00000000 00000000* -L0018864 000000 000000 000000 000000* +L0018864 000001 000000 000000 000000* L0018888 000000 000000 000000 000000* -L0018912 000000 000000 000000 001000* -L0018936 000010 000000 000000 000000* +L0018912 000000 000000 000000 010001* +L0018936 000000 000000 000000 000000* L0018960 000000 000000 000000 000000* L0018984 000000 000000 000000 000000* L0019008 00000000 00000000 00000000 00000000* -L0019040 00000000 00000010 00000010 00000001* +L0019040 00000000 00000010 00000010 00000011* L0019072 00000000 00000000 00000000 00000000* L0019104 00000000 00000000 00000000 00000000* -L0019136 00000010 00000000 00000010 00000001* -L0019168 00000011 00000000 00000000 00000000* +L0019136 00000010 00000000 00000010 00000011* +L0019168 00000011 00000000 00000001 00000000* L0019200 00000000 00000000 00000000 00000000* -L0019232 00000011 00000000 00000010 00000001* -L0019264 00000000 00000000 00000010 00000000* +L0019232 00000011 00000000 00000010 00000011* +L0019264 00000100 00000010 00000010 00000000* L0019296 000000 000000 000000 000000* L0019320 000000 000000 000000 000000* -L0019344 000000 000000 000000 000000* +L0019344 000000 000000 000001 000000* L0019368 000000 000000 000000 000000* L0019392 000000 000000 000000 000000* L0019416 000000 000000 000000 000000* -L0019440 00000001 00000011 00000000 00000010* +L0019440 00000011 00000011 00000000 00000011* L0019472 00000011 00000011 00000010 00000011* -L0019504 00000011 00000011 00000000 00000010* -L0019536 00000011 00000011 00000000 00000010* -L0019568 00000011 00000011 00000010 00000011* -L0019600 00000011 00000011 00000000 00000010* -L0019632 00000011 00000011 00000000 00000011* -L0019664 00000011 00000011 00000010 00000011* -L0019696 00000011 00000011 00000000 00000010* +L0019504 00000011 00000011 00000000 00000011* +L0019536 00000011 00000011 00000000 00000011* +L0019568 00000011 00000010 00000010 00000011* +L0019600 00000011 00000010 00000001 00000011* +L0019632 00000011 00000010 00000000 00000011* +L0019664 00000011 00000010 00000011 00000011* +L0019696 00000011 00000001 00000011 00000011* L0019728 000000 000000 000000 000000* L0019752 000000 000000 000000 000000* L0019776 000000 000000 000000 000000* L0019800 000000 000000 000000 000000* L0019824 000000 000000 000000 000000* L0019848 000000 000000 000000 000000* -L0019872 00000001 00000011 00000000 00000010* +L0019872 00000011 00000011 00000000 00000011* L0019904 00000011 00000011 00000010 00000001* -L0019936 00000011 00000011 00000000 00000010* -L0019968 00000011 00000011 00000000 00000010* -L0020000 00000011 00000011 00000010 00000010* -L0020032 00000011 00000011 00000000 00000010* -L0020064 00000011 00000011 00000000 00000010* -L0020096 00000011 00000011 00000010 00000011* -L0020128 00000011 00000010 00000010 00000010* +L0019936 00000011 00000011 00000000 00000011* +L0019968 00000011 00000001 00000000 00000011* +L0020000 00000011 00000010 00000010 00000000* +L0020032 00000011 00000010 00000000 00000011* +L0020064 00000011 00000010 00000000 00000011* +L0020096 00000011 00000010 00000010 00000001* +L0020128 00000011 00000010 00000011 00000011* L0020160 000000 000000 000000 000000* L0020184 000000 000000 000000 000000* L0020208 000000 000000 000000 000000* L0020232 000000 000000 000000 000000* L0020256 000000 000000 000000 000000* L0020280 000000 000000 000000 000000* -L0020304 00000001 00000011 00000000 00000000* -L0020336 00000011 00000011 00000010 00000011* -L0020368 00000011 00000011 00000000 00000010* -L0020400 00000011 00000011 00000000 00000010* -L0020432 00000011 00000011 00000010 00000010* -L0020464 00000011 00000011 00000000 00000010* -L0020496 00000011 00000011 00000000 00000010* -L0020528 00000011 00000011 00000010 00000011* -L0020560 00000011 00000010 00000000 00000010* +L0020304 00000011 00000011 00000000 00000011* +L0020336 00000011 00000011 00000010 00000001* +L0020368 00000011 00000011 00000000 00000011* +L0020400 00000011 00000011 00000000 00000011* +L0020432 00000011 00000010 00000010 00000000* +L0020464 00000011 00000010 00000000 10000011* +L0020496 00000011 00000010 00000000 00000011* +L0020528 00000011 00000010 00000010 00000001* +L0020560 00000011 00000000 00000000 00000011* L0020592 000000 000000 000000 000000* L0020616 000000 000000 000000 000000* L0020640 000000 000000 000000 000000* L0020664 000000 000000 000000 000000* L0020688 000000 000000 000000 000000* L0020712 000000 000000 000000 000000* -L0020736 00000001 00000011 00000000 00000000* -L0020768 00000011 00000011 00000010 00000011* -L0020800 00000011 00000001 00000000 00000010* -L0020832 00000011 00000001 00000000 00000010* -L0020864 00000011 00000001 00000000 00000010* -L0020896 00000010 00000001 00000000 00000010* -L0020928 00000011 00000011 00000000 00000010* -L0020960 00000011 00000011 00000000 00000011* -L0020992 00000011 00000010 00000000 00000010* +L0020736 00000011 00000011 00000000 00000001* +L0020768 00000011 00000011 00000010 00000001* +L0020800 00000011 00000001 00000000 00000011* +L0020832 00000011 00000011 00000000 00000011* +L0020864 00000011 00000000 00000000 00000000* +L0020896 00000010 00000000 00000000 00000011* +L0020928 00000011 00000010 00000000 00000011* +L0020960 00000011 00000010 00000000 00000001* +L0020992 00000011 00000000 00000000 00000011* L0021024 000000 000000 000000 000000* L0021048 000000 000000 000000 000000* L0021072 000000 000000 000000 000000* L0021096 000000 000000 000000 000000* L0021120 000000 000000 000000 000000* L0021144 000000 000000 000000 000000* -L0021168 01111101 00000010 00000000 01100000* -L0021200 00000001 00100010 00000000 00000001* +L0021168 00000001 00000010 00000000 00000000* +L0021200 00000001 00000010 00000000 00000001* L0021232 00000001 00000000 00000000 00000000* L0021264 00000001 00000000 00000000 00000000* L0021296 00000011 00000000 00000000 00000000* -L0021328 11111100 00000000 11100000 11110000* -L0021360 01100111 00000000 11100000 00000000* -L0021392 01100101 00000000 11100000 00000001* -L0021424 01100111 00000000 10000000 00000010* -L0021456 010001 000000 000000 000000* -L0021480 111111 000000 000000 111000* -L0021504 010010 000000 000000 000000* -L0021528 010010 000000 000000 000000* -L0021552 010000 000000 000000 000000* +L0021328 00000000 00000000 00000000 00000000* +L0021360 00000011 00000000 00000000 00000001* +L0021392 00000001 00000000 00000000 00000001* +L0021424 00000011 00000000 00000000 00000001* +L0021456 000000 000000 000000 000000* +L0021480 000000 000000 000000 000000* +L0021504 000000 000000 000000 000000* +L0021528 000000 000000 000000 000000* +L0021552 000000 000000 000000 000000* L0021576 000000 000000 000000 000000* -L0021600 00000000 00000000 00000000 00000000* -L0021632 00000000 00000100 00000000 00000000* -L0021664 00000010 00000000 00000010 00000010* +L0021600 00000000 00000011 00000000 00000010* +L0021632 00000000 00000011 00000000 00000010* +L0021664 00000000 00000000 00000010 00000000* L0021696 00000000 00000000 00000000 00000000* -L0021728 00000000 00000000 00000000 00000000* -L0021760 00000000 00000000 00000000 00001000* +L0021728 00000010 00000011 00000000 00000010* +L0021760 00000000 00000000 00000000 00000000* L0021792 00000000 00000000 00000000 00000000* L0021824 00000000 00000000 00000000 00000000* L0021856 00000000 00000000 00000000 00000000* L0021888 000000 000000 000000 000000* -L0021912 000000 010000 000000 000000* -L0021936 000000 000010 000000 000000* +L0021912 000000 000000 000000 000000* +L0021936 000000 000000 000000 000000* L0021960 000000 000000 000000 000000* L0021984 000000 000000 000000 000000* L0022008 000000 000000 000000 000000* -L0022032 00000000 10000000 00000010 00000110* -L0022064 00000000 10000000 00000010 00000010* -L0022096 00000000 10000000 00000000 00000000* -L0022128 00000000 10111100 00000000 00000000* -L0022160 00000011 10000010 00000010 00000011* -L0022192 00000000 00000000 10000000 00000000* -L0022224 00000000 01000100 00000000 00000100* -L0022256 00000000 10000000 00000000 00000000* -L0022288 00000000 00111100 00000000 00000000* -L0022320 000000 100000 000000 000000* +L0022032 00000000 00000001 00000000 00000001* +L0022064 00000000 00000001 00000001 00000000* +L0022096 00000000 00000000 00000000 00000000* +L0022128 00000000 00000000 00000000 00000000* +L0022160 00000010 00000000 00000001 00000000* +L0022192 00000000 00000000 00000000 00000000* +L0022224 00000000 00000000 00000000 00000000* +L0022256 00000000 00000000 00000000 00000000* +L0022288 00000000 00000000 00000000 00000000* +L0022320 000000 000000 000000 000000* L0022344 000000 000000 000000 000000* L0022368 000000 000000 000000 000000* -L0022392 000000 100000 000000 000000* -L0022416 000000 001110 000000 000000* +L0022392 000000 000000 000000 000000* +L0022416 000000 000000 000000 000000* L0022440 000000 000000 000000 000000* -L0022464 00000000 01111110 00000010 00000010* -L0022496 00001001 00000010 00000010 00000010* +L0022464 00000001 00000010 00000000 10011101* +L0022496 00000001 00000010 00000010 00000011* L0022528 00000000 00000000 00000000 00000000* L0022560 00000000 00000000 00000000 00000000* -L0022592 00000001 00000011 00000010 00000010* -L0022624 00000000 11111100 00000000 00000100* +L0022592 00000010 00000010 00000010 00000010* +L0022624 00000000 00000000 00000000 00000100* L0022656 00000000 00000000 00000000 00000000* L0022688 00000000 00000000 00000000 00000000* L0022720 00000000 00000000 00000000 00000000* L0022752 000000 000000 000000 000000* -L0022776 000000 101110 000000 000000* +L0022776 000000 000000 000000 000110* L0022800 000000 000000 000000 000000* L0022824 000000 000000 000000 000000* L0022848 000000 000000 000000 000000* L0022872 000000 000000 000000 000000* L0022896 00000000 00000010 00000000 00000011* -L0022928 00000000 00000010 00000000 00000011* +L0022928 00000000 00000010 00000010 00000011* L0022960 00000000 00000000 00000000 00000000* L0022992 00000000 00000000 00000000 00000000* -L0023024 00001011 00000010 00000000 00000011* +L0023024 00000010 00000010 00000010 00000011* L0023056 00000000 00000000 00000000 00000000* L0023088 00000000 00000000 00000000 00000000* L0023120 00000000 00000000 00000000 00000000* @@ -849,14 +849,14 @@ L0023152 00000000 00000000 00000000 00000000* L0023184 000000 000000 000000 000000* L0023208 000000 000000 000000 000000* L0023232 000000 000000 000000 000000* -L0023256 010000 000000 000000 000000* +L0023256 000000 000000 000000 000000* L0023280 000000 000000 000000 000000* L0023304 000000 000000 000000 000000* -L0023328 00000000 00000010 00000000 00000010* -L0023360 00000000 00000010 00000000 00000010* -L0023392 00000000 00000000 00000000 00000000* -L0023424 00000000 00000000 00000000 00000000* -L0023456 00000000 00000010 00000000 00000010* +L0023328 00000000 10000000 00000000 00000000* +L0023360 00000000 10000001 00000000 00000010* +L0023392 00000011 00000000 00000000 00000001* +L0023424 00000000 10000000 00000000 00000000* +L0023456 00000000 10000001 00000000 00000010* L0023488 00000000 00000000 00000000 00000000* L0023520 00000000 00000000 00000000 00000000* L0023552 00000000 00000000 00000000 00000000* @@ -867,146 +867,146 @@ L0023664 000000 000000 000000 000000* L0023688 000000 000000 000000 000000* L0023712 000000 000000 000000 000000* L0023736 000000 000000 000000 000000* -L0023760 00000000 00000010 00000000 00000000* -L0023792 00000000 00000011 00000001 00000000* -L0023824 00000010 00000000 00000010 00000010* +L0023760 00000000 00000010 00000000 00000001* +L0023792 00000000 00000010 00000000 00000000* +L0023824 00000001 10000000 00000010 00000010* L0023856 00000000 00000000 00000000 00000000* -L0023888 00000000 00000011 00000001 00000000* +L0023888 00000000 00000010 00000000 00000000* L0023920 00000000 00000000 00000000 00000000* L0023952 00000000 00000000 00000000 00000000* -L0023984 00000000 00000000 00000000 00000000* +L0023984 00000000 10000000 00000000 00000000* L0024016 00000000 00000000 00000000 00000000* -L0024048 000000 000000 000000 000000* +L0024048 000000 100000 000000 000000* L0024072 000000 000000 000000 000000* L0024096 000000 000000 000000 000000* -L0024120 000000 000000 000000 000000* +L0024120 000000 100000 000000 000000* L0024144 000000 000000 000000 000000* L0024168 000000 000000 000000 000000* -L0024192 00000001 00000000 00000001 00000011* -L0024224 00000001 00000000 00000011 00000011* -L0024256 00000000 00000000 00000000 00000000* +L0024192 00000001 00000000 00000000 00000010* +L0024224 00000001 00000000 00000010 00000010* +L0024256 00000000 00111000 00000000 00000000* L0024288 00000000 00000000 00000000 00000000* -L0024320 00000010 00000010 00000011 00000011* +L0024320 00000010 00000001 00000010 00000010* L0024352 00000000 00000000 00000000 00000000* L0024384 00000000 00000000 00000000 00000000* -L0024416 00000000 00000000 00000000 00000000* -L0024448 00000000 00000000 00000000 00000000* +L0024416 00000000 01110000 00000000 00000000* +L0024448 00000000 10000000 00000000 00000000* L0024480 000000 000000 000000 000000* L0024504 000000 000000 000000 000000* -L0024528 001000 000000 000000 000000* -L0024552 000000 000000 000000 000000* +L0024528 000000 000000 000000 000000* +L0024552 000000 000100 000000 000000* L0024576 000000 000000 000000 000000* L0024600 000000 000000 000000 000000* -L0024624 00000000 00000010 00000000 00000010* -L0024656 00000000 00000010 00000010 10000010* +L0024624 00000000 00000000 00000000 00000000* +L0024656 00000000 00000010 00000000 00000000* L0024688 00000000 00000000 00000000 00000000* L0024720 00000000 00000000 00000000 00000000* -L0024752 00000000 00000010 00000010 00000010* -L0024784 00000100 00000000 00000000 00000000* +L0024752 00000000 00000010 00000000 00000000* +L0024784 00000000 00000000 00000000 01000000* L0024816 00000000 00000000 00000000 00000000* -L0024848 00000000 00000000 00000000 00000000* +L0024848 00000000 00000000 01000000 00000000* L0024880 00000000 00000000 00000000 00000000* L0024912 000000 000000 000000 000000* -L0024936 000000 000000 000000 000000* +L0024936 000000 000001 000000 000000* L0024960 000000 000000 000000 000000* L0024984 000000 000000 000000 000000* L0025008 000000 000000 000000 000000* -L0025032 001000 000000 000000 000000* -L0025056 00000000 00000000 00000000 00000000* -L0025088 00000010 00000000 00000000 00000010* +L0025032 000000 000000 000000 000000* +L0025056 00000000 00000000 00000010 00000011* +L0025088 01000000 00000000 00000000 00000011* L0025120 00000000 00000000 00000000 00000000* L0025152 00000000 00000000 00000000 00000000* -L0025184 00000000 00000000 00000000 00000000* +L0025184 00000010 00000000 00000000 00000011* L0025216 00000000 00000000 00000000 00000000* L0025248 00000000 00000000 00000000 00000000* -L0025280 00000000 10000000 00000000 00000000* +L0025280 00000000 00000000 00000000 00000000* L0025312 00000000 00000000 00000000 00000000* -L0025344 000000 000000 000000 000000* +L0025344 000010 000000 000000 000100* L0025368 000000 000000 000000 000000* L0025392 000000 000000 000000 000000* L0025416 000000 000000 000000 000000* L0025440 000000 000000 000000 000000* -L0025464 000000 000000 000000 000000* -L0025488 00000000 00000000 00000000 00000000* -L0025520 00000011 00000011 00000000 00000000* -L0025552 00000000 00000000 00000000 00000000* +L0025464 000001 000000 000000 000000* +L0025488 00000011 00000001 00000001 00000000* +L0025520 00000011 00000011 00000001 00000000* +L0025552 01000000 00000000 00000000 00000000* L0025584 00000000 00000000 00000000 00000000* -L0025616 00000011 00000011 00000000 00000010* +L0025616 00000010 00000011 00000000 00000010* L0025648 00000000 00000000 00000000 00000000* -L0025680 00000000 00000000 00000000 00000000* +L0025680 00001000 00000000 00000000 00010000* L0025712 00000000 00000000 00000000 00000000* L0025744 00000000 00000000 00000000 00000000* -L0025776 010000 000000 000000 000000* +L0025776 000000 000000 000000 000000* L0025800 000000 000000 000000 000000* -L0025824 000000 000000 000000 000000* +L0025824 000001 000000 000000 000000* L0025848 000000 000000 000000 000000* L0025872 000000 000000 000000 000000* L0025896 000000 000000 000000 000000* -L0025920 00000010 00000010 00000001 00000010* -L0025952 00000010 00000010 00000001 00000010* +L0025920 00000000 00000000 00000010 00000010* +L0025952 00000000 00000010 00000000 00000010* L0025984 00000000 00000000 00000000 00000000* L0026016 00000000 00000000 00000000 00000000* -L0026048 00000011 00000010 00000001 00000010* +L0026048 00000010 00000011 00000000 00000010* L0026080 00000000 00000000 00000000 00000000* -L0026112 00001000 00000000 00000000 00000000* +L0026112 00000000 00000000 00000000 00000000* L0026144 00000000 00000000 00000000 00000000* L0026176 00000000 00000000 00000000 00000000* L0026208 000000 000000 000000 000000* L0026232 000000 000000 000000 000000* -L0026256 000000 000000 000000 000000* -L0026280 000000 000000 000000 010000* +L0026256 000000 000000 000000 010000* +L0026280 000000 000000 000000 000000* L0026304 000000 000000 000000 000000* L0026328 000000 000000 000000 000000* -L0026352 00000000 00000000 00000000 00000000* -L0026384 00000010 00000010 00000000 00000000* +L0026352 00000000 00000001 00000000 00000010* +L0026384 00000000 00000011 00000000 00000010* L0026416 00000000 00000000 00000000 00000000* L0026448 00000000 00000000 00000000 00000000* -L0026480 00000011 00000010 00000000 00000000* +L0026480 00000010 00000011 00000000 00000010* L0026512 00000000 00000000 00000000 00000000* L0026544 00000000 00000000 00000000 00000000* L0026576 00000000 00000000 00000000 00000000* -L0026608 01000000 00000000 00000000 00000000* -L0026640 000010 000000 000000 000000* +L0026608 00000000 00000000 00000000 00000000* +L0026640 000000 000000 000000 000000* L0026664 000000 000000 000000 000000* -L0026688 000000 000000 000000 010000* -L0026712 000000 000000 000000 000000* +L0026688 000000 000000 000000 000000* +L0026712 000000 000000 000000 010000* L0026736 000000 000000 000000 000000* L0026760 000000 000000 000000 000000* -L0026784 00000001 00000001 00000001 00000001* -L0026816 00000001 00000001 00000001 00000001* +L0026784 00000001 00111000 00000010 00000011* +L0026816 00000001 00000010 00000000 00000011* L0026848 00000000 00000000 00000000 00000000* -L0026880 00000000 00000000 00000000 00000000* -L0026912 00000000 00000001 00000000 00000000* -L0026944 00000000 00000000 00000000 00000000* +L0026880 11111100 00000000 00000000 01100000* +L0026912 00000010 00000011 00000000 00000010* +L0026944 00000000 11110000 00000000 00000000* L0026976 00000000 00000000 00000000 00000000* -L0027008 00000000 00000000 00000000 00000000* -L0027040 00000000 00000000 00000000 00000000* +L0027008 00000000 00000000 00000000 00010000* +L0027040 10011000 00000000 00000000 00000000* L0027072 000000 000000 000000 000000* -L0027096 000000 000000 000000 000000* -L0027120 000000 000000 000000 000000* +L0027096 000000 100100 000000 000000* +L0027120 000000 000000 000000 100000* L0027144 000000 000000 000000 000000* -L0027168 000000 000000 000000 000000* +L0027168 101101 000000 000000 011001* L0027192 000000 000000 000000 000000* -L0027216 00000010 00000000 00000010 00000010* -L0027248 00000010 00000010 00000010 00000011* +L0027216 00000001 00000000 00000000 00000010* +L0027248 00000001 00000001 00000000 00000010* L0027280 00000000 00000000 00000000 00000000* L0027312 00000000 00000000 00000000 00000000* -L0027344 00000000 00000010 00000000 00000001* -L0027376 00000000 00000000 00000000 00000000* -L0027408 00000000 00000000 00000000 00000000* -L0027440 00000000 00000000 00000000 00000000* -L0027472 00000000 00000000 00000000 00000000* -L0027504 000000 000000 000000 000000* -L0027528 000000 000000 000000 000000* -L0027552 000000 000000 000000 000000* -L0027576 000000 000000 000000 000000* -L0027600 000000 000000 000000 000000* +L0027344 00000010 00000001 00000000 00000000* +L0027376 01100100 00000000 00000000 00000000* +L0027408 01100100 00000000 00000000 00000000* +L0027440 01100100 00000000 00000000 00000000* +L0027472 01100100 00000000 00000000 00000000* +L0027504 010001 000000 000000 000000* +L0027528 010010 000000 000000 000000* +L0027552 010010 000000 000000 000000* +L0027576 010010 000000 000000 000000* +L0027600 010000 000000 000000 000000* L0027624 000000 000000 000000 000000* -L0027648 00000000 00000000 00000000 00000000* -L0027680 00000000 00000010 00000000 00000000* +L0027648 00000000 00000010 00000000 00000010* +L0027680 00000001 00000010 00000000 00000010* L0027712 00000000 00000000 00000000 00000000* L0027744 00000000 00000000 00000000 00000000* -L0027776 00000000 00000010 00000000 00000000* +L0027776 00010001 00000000 00000001 00000010* L0027808 00000000 00000000 00000000 00000000* L0027840 00000000 00000000 00000000 00000000* L0027872 00000000 00000000 00000000 00000000* @@ -1016,57 +1016,57 @@ L0027960 000000 000000 000000 000000* L0027984 000000 000000 000000 000000* L0028008 000000 000000 000000 000000* L0028032 000000 000000 000000 000000* -L0028056 000000 000000 000000 000000* -L0028080 00000000 00000000 00000000 00000000* -L0028112 00000000 00000000 00000000 00000000* +L0028056 001000 000000 000000 000000* +L0028080 00000001 00000010 00000000 00000000* +L0028112 00010001 00000010 00000000 00000000* L0028144 00000000 00000000 00000000 00000001* L0028176 00000000 00000000 00000000 00000000* -L0028208 00000010 00000000 00000000 00000010* -L0028240 00000000 00000000 00000000 00000000* -L0028272 00000000 00100000 00000000 00000000* +L0028208 00000001 00000010 00000000 00000010* +L0028240 00000000 00000000 00000000 00100000* +L0028272 00000000 00000000 00000000 00000000* L0028304 00000000 00000000 00000000 00000000* -L0028336 00000000 00000000 10000000 00000000* +L0028336 00000000 00000000 00000000 00000000* L0028368 000000 000000 000000 000000* L0028392 000000 000000 000000 000000* -L0028416 000000 000000 000000 000000* +L0028416 001000 000000 000000 000000* L0028440 000000 000000 000000 000000* L0028464 000000 000000 000000 000000* L0028488 000000 000000 000000 000000* -L0028512 00000000 00000000 00000000 00000000* -L0028544 00000000 00000010 00000000 00000000* +L0028512 00000000 00000001 00000011 00000001* +L0028544 00000000 10000001 00000001 00000001* L0028576 00000000 00000000 00000000 00000010* L0028608 00000000 00000000 00000000 00000000* -L0028640 00001101 00000010 00000000 00000001* +L0028640 00001101 00000000 00000011 00000001* L0028672 00000000 00000000 00000000 00000000* L0028704 00000000 00000000 00000000 00000000* L0028736 00000000 00000000 00000000 00000000* L0028768 00000000 00000000 00000000 00000000* L0028800 000000 000000 000000 000000* L0028824 000000 000000 000000 000000* -L0028848 100000 000000 000000 001000* -L0028872 000000 000000 000000 000000* +L0028848 000000 000000 000000 000000* +L0028872 100000 000000 000000 000000* L0028896 000000 000000 000000 000000* L0028920 000000 000000 000000 000000* -L0028944 00000010 00000010 00000000 10000010* -L0028976 00001110 00000011 00000000 00000010* -L0029008 00000001 00000000 00000000 00000001* +L0028944 00000011 00000010 00000010 00000000* +L0028976 00001111 00000000 00000010 00000000* +L0029008 00000000 00000000 00000000 00000001* L0029040 00000000 00000000 00000000 00000000* -L0029072 00000000 00000001 00000000 00000000* -L0029104 00000000 00000000 01000000 00000000* +L0029072 00000001 00000011 00000010 00000010* +L0029104 00000000 00000000 00000000 00000000* L0029136 00000000 00000000 00000000 00000000* L0029168 00000000 00000000 00000000 00000000* L0029200 00000000 00000000 00000000 00000000* L0029232 000000 000000 000000 000000* L0029256 000000 000000 000000 000000* -L0029280 000000 000000 000000 000000* -L0029304 100000 000000 000000 000000* +L0029280 100000 000000 000000 000000* +L0029304 000000 000000 000000 000000* L0029328 000000 000000 000000 000000* -L0029352 000000 000000 000000 001000* -L0029376 00000000 00000000 00000000 00000000* -L0029408 00000000 00000010 00000000 00000010* +L0029352 000000 000000 000000 000000* +L0029376 00000000 00000010 00000010 00000000* +L0029408 00000000 00000010 00000011 00000000* L0029440 00000000 00000000 00000000 00000000* L0029472 00000000 00000000 00000000 00000000* -L0029504 00000001 00000010 00000000 00000010* +L0029504 00000001 00000000 00000000 00000000* L0029536 00000000 00000000 00000000 00000000* L0029568 00000000 00000000 00000000 00000000* L0029600 00000000 00000000 00000000 00000000* @@ -1077,8 +1077,8 @@ L0029712 000000 000000 000000 000000* L0029736 000000 000000 000000 000000* L0029760 000000 000000 000000 000000* L0029784 000000 000000 000000 000000* -L0029808 00000010 00000000 00000000 00000000* -L0029840 00000010 00000010 00000000 00000000* +L0029808 00000000 00000000 00000000 00000001* +L0029840 00000000 00000000 00000000 00000011* L0029872 00000000 00000000 00000000 00000000* L0029904 00000000 00000000 00000000 00000000* L0029936 00000000 00000001 00000000 00000000* @@ -1092,11 +1092,11 @@ L0030144 000000 000000 000000 000000* L0030168 000000 000000 000000 000000* L0030192 000000 000000 000000 000000* L0030216 000000 000000 000000 000000* -L0030240 00000010 00000000 00000000 00000010* -L0030272 00000010 00000010 00000000 00000010* -L0030304 00000000 00000000 00000000 00000000* -L0030336 00000000 00000000 00000000 00000000* -L0030368 00000000 00000010 00000000 00000000* +L0030240 00000000 00000000 00000000 00000001* +L0030272 00000000 00000000 00000000 00000001* +L0030304 00000001 00000001 00000000 00000000* +L0030336 00000000 00000000 00000000 00000100* +L0030368 00000000 00000000 00000000 00000001* L0030400 00000000 00000000 00000000 00000000* L0030432 00000000 00000000 00000000 00000000* L0030464 00000000 00000000 00000000 00000000* @@ -1107,11 +1107,11 @@ L0030576 000000 000000 000000 000000* L0030600 000000 000000 000000 000000* L0030624 000000 000000 000000 000000* L0030648 000000 000000 000000 000000* -L0030672 00000000 00000001 00000000 00000000* -L0030704 00000010 00000011 00000000 00000000* +L0030672 00000000 00000000 00000000 00000000* +L0030704 00000010 00000001 00000000 10000100* L0030736 00000000 00000000 00000000 00000010* -L0030768 00000000 00000000 00000000 00000000* -L0030800 00000010 00000010 00000000 00000000* +L0030768 00000000 00000000 00000000 00001000* +L0030800 00000010 00000001 00000000 00000000* L0030832 00000000 00000000 00000000 00000000* L0030864 00000000 00000000 00000000 00000000* L0030896 00000000 00000000 00000000 00000000* @@ -1120,43 +1120,43 @@ L0030960 000000 000000 000000 000000* L0030984 000000 000000 000000 000000* L0031008 000000 000000 000000 000000* L0031032 000000 000000 000000 000000* -L0031056 000000 000000 000000 000000* +L0031056 000000 000000 000000 000010* L0031080 000000 000000 000000 000000* -L0031104 00000000 00000000 00000000 00000000* -L0031136 00000000 00000000 00000000 00000001* -L0031168 00000000 00000000 00000000 00000000* +L0031104 00000000 00000001 00000000 00000000* +L0031136 11001010 00000001 00000010 00000000* +L0031168 11000000 00000000 00000000 00000000* L0031200 00000000 00000000 00000000 00000000* -L0031232 00000000 00000000 00000000 00000001* +L0031232 00001000 00000001 00000000 00000000* L0031264 00000000 00000000 00000000 00000000* -L0031296 00000000 00000000 00000000 00000000* -L0031328 00000000 00000000 00000000 00000000* -L0031360 00000000 00000000 00000000 00000000* -L0031392 000000 000000 000000 000000* +L0031296 10001000 00000000 00000000 00000000* +L0031328 10100000 00000000 01100000 00000000* +L0031360 01100100 00000000 10000000 00000000* +L0031392 010011 100000 000000 000000* L0031416 000000 000000 000000 000000* -L0031440 000000 000000 000000 000000* -L0031464 000000 000000 000000 000000* -L0031488 000000 000000 000000 000000* -L0031512 000000 000000 000000 000000* -L0031536 00000000 00000000 00000000 00000000* -L0031568 00000000 00000000 00000000 00000000* +L0031440 100100 000000 000000 000000* +L0031464 110010 000000 000000 000000* +L0031488 010000 000000 000000 000000* +L0031512 000100 000000 000000 000000* +L0031536 00000000 00000000 00000000 00000010* +L0031568 00110101 00000000 00000001 00000001* L0031600 00000000 00000000 00000000 00000000* L0031632 00000000 00000000 00000000 00000000* -L0031664 00000001 00000001 00000000 00000000* -L0031696 00000000 00000000 00000000 00000000* -L0031728 00000000 00000000 00000000 00000000* -L0031760 00000000 00000000 00000000 00000000* +L0031664 00110101 00000001 00000000 00000000* +L0031696 01100100 00000000 11100000 00000000* +L0031728 00010000 00000000 00000000 00000000* +L0031760 01000100 00000000 10000000 00000000* L0031792 00000000 00000000 00000000 00000000* -L0031824 000000 000000 000000 000000* -L0031848 000000 000000 000000 000000* -L0031872 000000 000100 000000 000000* +L0031824 000100 000000 000000 000000* +L0031848 010010 000000 000000 000000* +L0031872 001001 000000 000000 000000* L0031896 000000 000000 000000 000000* -L0031920 010000 000000 000000 000000* -L0031944 000000 000000 000000 000000* -L0031968 00000011 00000001 00000011 00000010* -L0032000 00000011 00000011 00000011 00000010* +L0031920 000000 000000 000000 000000* +L0031944 001001 000000 000000 000000* +L0031968 00000000 00000001 00000000 00000000* +L0032000 00000000 00000001 00000000 00000000* L0032032 00000000 00000000 00000000 00000000* L0032064 00000000 00000000 00000000 00000000* -L0032096 00000000 00000010 00000000 00000000* +L0032096 00000000 00000001 00000000 00000000* L0032128 00000000 00000000 00000000 00000000* L0032160 00000000 00000000 00000000 00000000* L0032192 00000000 00000000 00000000 00000000* @@ -1164,69 +1164,69 @@ L0032224 00000000 00000000 00000000 00000000* L0032256 000000 000000 000000 000000* L0032280 000000 000000 000000 000000* L0032304 000000 000000 000000 000000* -L0032328 100000 000000 000000 000000* +L0032328 000000 100000 000000 000000* L0032352 000000 000000 000000 000000* L0032376 000000 000000 000000 000000* L0032400 00000000 00000000 00000000 00000000* -L0032432 00000000 00000010 00000010 00000010* +L0032432 00000000 00000000 00000001 00000000* L0032464 00000000 00000000 00000000 00000000* L0032496 00000000 00000000 00000000 00000000* -L0032528 00000000 00000010 00000010 00000010* +L0032528 00000001 00000000 00000001 00000000* L0032560 00000000 00000000 00000000 00000000* L0032592 00000000 00000000 00000000 00000000* L0032624 00000000 00000000 00000000 00000000* L0032656 00000000 00000000 00000000 00000000* L0032688 000000 000000 000000 000000* L0032712 000000 000000 000000 000000* -L0032736 100000 000000 001000 000000* +L0032736 000000 000000 000000 000000* L0032760 000000 000000 000000 000000* L0032784 000000 000000 000000 000000* L0032808 000000 000000 000000 000000* -L0032832 00000000 00000000 00000000 00000000* -L0032864 00000000 00000010 00000000 00000010* -L0032896 00000001 00000000 00000000 00000001* +L0032832 00000001 00000001 00000001 00000000* +L0032864 00000001 00000001 00000001 00000011* +L0032896 00000000 00000000 00000000 00000000* L0032928 00000000 00000000 00000000 00000000* -L0032960 00000010 00000010 00000000 00000010* +L0032960 00000000 00000000 00000000 00000011* L0032992 00000000 00000000 00000000 00000000* L0033024 00000000 00000000 00000000 00000000* L0033056 00000000 00000000 00000000 00000000* L0033088 00000000 00000000 00000000 00000000* L0033120 000000 000000 000000 000000* L0033144 000000 000000 000000 000000* -L0033168 000100 000000 000000 000000* +L0033168 000000 000000 000000 000000* L0033192 000000 000000 000000 000000* L0033216 000000 000000 000000 000000* L0033240 000000 000000 000000 000000* L0033264 00000000 00000000 00000000 00000000* -L0033296 00000000 00000000 00000000 00000000* +L0033296 00000000 00001000 00000000 00000000* L0033328 00000000 00000000 00000000 00000000* L0033360 00000000 00000000 00000000 00000000* L0033392 00000000 00000000 00000000 00000000* L0033424 00000000 00000000 00000000 00000000* L0033456 00000000 00000000 00000000 00000000* -L0033488 00100000 00000000 00000000 00000000* +L0033488 00000000 00000000 00000000 00000000* L0033520 00000000 00000000 00000000 00000000* L0033552 000000 000000 000000 000000* L0033576 000000 000000 000000 000000* L0033600 000000 000000 000000 000000* L0033624 000000 000000 000000 000000* L0033648 000000 000000 000000 000000* -L0033672 000100 000000 000000 000000* +L0033672 000000 000000 000000 000000* L0033696 00000010 00000010 00000010 00000010* -L0033728 01110100 00000010 00000000 01100010* -L0033760 01000000 00000000 00000000 01100000* -L0033792 00000000 10000000 00000000 00000000* +L0033728 11110100 00000010 00000000 01100010* +L0033760 11000000 00000000 00000000 01100000* +L0033792 00000000 00000000 00000000 00000000* L0033824 00110100 00000000 00000000 00000000* -L0033856 00100000 00000000 11100000 00000000* -L0033888 10010010 00000010 00000010 01100010* -L0033920 11000100 00000000 11100000 11100000* -L0033952 00100000 00000000 10000000 00000000* +L0033856 00100000 00000000 00000000 00000000* +L0033888 10010010 10000010 00000010 00000010* +L0033920 11000100 00000000 00000000 00000000* +L0033952 00100000 00000000 00000000 00000000* L0033984 010101 000000 000000 000000* L0034008 010010 000000 000000 000000* -L0034032 100001 000000 000000 111000* -L0034056 100010 000000 000000 010000* +L0034032 100001 000000 000000 111001* +L0034056 100010 000000 000000 011000* L0034080 010000 000000 000000 100000* -L0034104 000001 000000 000000 001000* +L0034104 000001 000000 000000 000001* L0034128 00000000 00000000 00000000 00000000* L0034160 00001000 00000000 00000000 00000000* L0034192 00000000 00000000 00000000 00000000* @@ -1242,35 +1242,35 @@ L0034464 001100 000000 000000 000000* L0034488 010000 000000 000000 000000* L0034512 000000 000000 000000 000000* L0034536 001100 000000 000000 000000* -L0034560 00000000 00000000 00000000 00000100* +L0034560 00000000 00000000 00000000 00000000* L0034592 00000000 00000000 00000000 00000000* L0034624 00000000 00000000 00000000 00000000* L0034656 00000000 00000000 00000000 00000000* L0034688 00000000 00000000 00000000 00000000* L0034720 00000000 00000000 00000000 00000000* -L0034752 00000000 00000000 00000000 00000000* -L0034784 00000000 00000000 00000000 00000000* +L0034752 00000000 01000000 00000000 00000000* +L0034784 10000000 00000000 00000000 00000000* L0034816 00000000 00000000 00000000 00000000* -L0034848 000000 000000 000000 000000* +L0034848 000100 000000 000000 000000* L0034872 000000 000000 000000 000000* L0034896 000000 000000 000000 000000* L0034920 000000 000000 000000 000000* L0034944 000000 000000 000000 000000* -L0034968 000000 000000 000000 000000* +L0034968 000100 000000 000000 000000* L0034992 00000000 00000000 00000000 00000000* L0035024 00000000 00000000 00000000 00000000* L0035056 00000000 00000000 00000000 00000000* -L0035088 00000000 00000000 00000000 00000000* +L0035088 00000000 00111000 00000000 00000000* L0035120 00000000 00000000 00000000 00000000* L0035152 00000000 00000000 00000000 00000000* -L0035184 00000000 00000000 00000000 00000100* +L0035184 10010000 00000000 00000000 00000000* L0035216 00000000 00000000 00000000 00000000* -L0035248 00000000 00000000 00000000 00000000* +L0035248 00000000 00110000 00000000 00000000* L0035280 000000 000000 000000 000000* L0035304 000000 000000 000000 000000* -L0035328 000000 000000 000000 000000* +L0035328 000100 000000 000000 000000* L0035352 000000 000000 000000 000000* -L0035376 000000 000000 000000 000000* +L0035376 000000 000100 000000 000000* L0035400 000000 000000 000000 000000* L0035424 00000000 00000000 00000000 00000000* L0035456 00000000 00000000 00000000 00000000* @@ -1295,16 +1295,16 @@ L0035984 00000000 00000000 00000000 00000000* L0036016 00000000 00000000 00000000 00000000* L0036048 00000000 00000000 00000000 00000000* L0036080 00000000 00000000 00000000 00000000* -L0036112 00000000 00000000 00000000 00000000* +L0036112 00100000 00000000 00000000 00000000* L0036144 000000 000000 000000 000000* L0036168 000000 000000 000000 000000* -L0036192 000000 000000 000000 000000* +L0036192 000000 000000 000001 000000* L0036216 000000 000000 000000 000000* L0036240 000000 000000 000000 000000* L0036264 000000 000000 000000 000000* L0036288 00000000 00000000 00000000 00000000* L0036320 00000000 00000000 00000000 00000000* -L0036352 00000000 00000000 00000000 00000000* +L0036352 00000000 00000000 00000000 00100000* L0036384 00000000 00000000 00000000 00000000* L0036416 00000000 00000000 00000000 00000000* L0036448 00000000 00000000 00000000 00000000* @@ -1313,48 +1313,48 @@ L0036512 00000000 00000000 00000000 00000000* L0036544 00000000 00000000 00000000 00000000* L0036576 000000 000000 000000 000000* L0036600 000000 000000 000000 000000* -L0036624 000000 000000 000000 010000* +L0036624 000000 000000 000000 000000* L0036648 000000 000000 000000 000000* L0036672 000000 000000 000000 000000* L0036696 000000 000000 000000 000000* L0036720 00000000 00000000 00000000 00000000* -L0036752 00000000 00000000 00000000 00000000* +L0036752 00000000 00000000 00000000 00100000* L0036784 00000000 00000000 00000000 00000000* L0036816 00000000 00000000 00000000 00000000* L0036848 00000000 00000000 00000000 00000000* -L0036880 00000000 00000000 00000000 00000000* +L0036880 01000000 00000000 00000000 00000000* L0036912 00000000 00000000 00000000 00000000* L0036944 00000000 00000000 00000000 00000000* L0036976 00000000 00000000 00000000 00000000* L0037008 000000 000000 000000 000000* L0037032 000000 000000 000000 000000* L0037056 000000 000000 000000 000000* -L0037080 000000 000000 000000 010000* +L0037080 000000 000000 000000 000000* L0037104 000000 000000 000000 000000* L0037128 000000 000000 000000 000000* L0037152 00000000 00000000 00000000 00000000* L0037184 00000000 00000000 00000000 00000000* -L0037216 00000000 00000000 00000000 00000000* +L0037216 00000000 01000000 00000000 00000000* L0037248 00000000 00000000 00000000 00000000* L0037280 00000000 00000000 00000000 00000000* -L0037312 00000000 00000000 00000000 00000000* -L0037344 00000000 00000000 00000000 00000100* +L0037312 00000000 00000000 00000000 00001000* +L0037344 00000000 00000000 00000000 00000000* L0037376 00000000 00000000 00000000 00000000* L0037408 00000000 00000000 00000000 00000000* L0037440 000000 000000 000000 000000* L0037464 000000 000000 000000 000000* -L0037488 000001 000000 000000 000000* +L0037488 000001 000000 000000 100000* L0037512 000000 000000 000000 000000* -L0037536 000000 000000 000000 000000* +L0037536 000000 000000 000000 100000* L0037560 000000 000000 000000 000000* -L0037584 00000000 00000000 00000000 00000100* +L0037584 00000000 00000000 00000000 00000000* L0037616 00000000 00000000 00000000 00000000* L0037648 00000000 00000000 00000000 00000000* L0037680 00000000 00000000 00000000 00000000* L0037712 00000000 00000000 00000000 00000000* L0037744 00000000 00000000 00000000 00000000* L0037776 00000000 00000000 00000000 00000000* -L0037808 01000000 00000000 00000000 00000000* +L0037808 01000000 00000000 10000000 00000000* L0037840 00000000 00000000 00000000 00000000* L0037872 000000 000000 000000 000000* L0037896 000000 000000 000000 000000* @@ -1362,47 +1362,47 @@ L0037920 000000 000000 000000 000000* L0037944 000000 000000 000000 000000* L0037968 000000 000000 000000 000000* L0037992 000001 000000 000000 000000* -L0038016 00000000 00000000 00000000 00000000* -L0038048 00000000 10000000 00000000 00000000* -L0038080 00000000 10000000 00000000 00100000* +L0038016 00000000 10000000 00000000 00000000* +L0038048 00000000 00000000 00000000 00000000* +L0038080 00000000 00000000 00000000 00000000* L0038112 00000000 00000000 00000000 00000000* -L0038144 00010000 10000000 00000000 00000000* +L0038144 00000000 00000000 00000000 00000000* L0038176 00000000 00000000 00000000 00000000* L0038208 00000000 00000000 00000000 00000000* L0038240 00000000 00000000 00000000 00000000* L0038272 00000000 00000000 00000000 00000000* L0038304 000000 000000 000000 000000* L0038328 000000 000000 000000 000000* -L0038352 000000 000000 000000 000000* -L0038376 000000 100000 000000 000000* +L0038352 000000 000000 000000 001000* +L0038376 000000 000000 000000 000000* L0038400 000000 000000 000000 000000* -L0038424 001000 000000 000000 000000* -L0038448 00000000 10000000 00000000 00000000* -L0038480 00010000 01000100 00000000 00100000* +L0038424 000000 000000 000000 000000* +L0038448 00000000 00000000 00000000 00000000* +L0038480 00000000 00000000 00000000 00000000* L0038512 00000000 00000000 00000000 00000000* -L0038544 00000000 10000000 00000000 00000000* +L0038544 00000000 00000000 00000000 00000000* L0038576 00000000 00000000 00000000 00000000* L0038608 00000000 00000000 00000000 00000000* L0038640 00000000 00000000 00000000 00000000* -L0038672 00000000 10000000 00000000 00000000* +L0038672 00000000 00000000 00000000 00000000* L0038704 00000000 00000000 00000000 00000000* -L0038736 000000 100000 000000 000000* +L0038736 010000 000000 000000 000000* L0038760 000000 000000 000000 000000* -L0038784 001000 000000 000000 000000* -L0038808 000000 000000 000000 000000* -L0038832 000000 000010 000000 000000* +L0038784 000000 000000 000000 000000* +L0038808 000000 000000 000000 001000* +L0038832 000000 000000 000000 000000* L0038856 000000 000000 000000 000000* L0038880 00000000 00000000 00000000 00000000* L0038912 00010000 00000000 00000000 00000000* L0038944 00000000 00000000 00000000 00000000* L0038976 00000000 00000000 00000000 00000000* L0039008 00000000 00000000 00000000 00000000* -L0039040 00000000 00000000 00000000 00000000* +L0039040 00000000 00000000 00000100 00000000* L0039072 00000000 00000000 00000000 00000000* L0039104 00000000 00000000 00000000 00000000* L0039136 00000000 00000000 00000000 00000000* L0039168 000000 000000 000000 000000* -L0039192 000000 000000 000000 000000* +L0039192 000000 000000 001000 000000* L0039216 000000 000000 000000 000000* L0039240 000000 000000 000000 000000* L0039264 000000 000000 000000 000000* @@ -1417,71 +1417,71 @@ L0039504 00000000 00000000 00000000 00000000* L0039536 00000100 00000000 00000000 00000000* L0039568 00000000 00000000 00000000 00000000* L0039600 000000 000000 000000 000000* -L0039624 000000 000000 000000 000000* +L0039624 000000 000000 000010 000000* L0039648 000000 000000 000000 000000* L0039672 000000 000000 000000 000000* L0039696 000000 000000 000000 000000* L0039720 000000 000000 000000 000000* -L0039744 00000000 10000000 00000000 00000000* +L0039744 00000000 00000000 00000000 00000000* L0039776 00000000 00000000 00000000 00000000* -L0039808 00000000 00000000 00000000 00000000* +L0039808 00000000 00000000 00000000 10011100* L0039840 00000000 00000000 00000000 00000000* L0039872 00000000 00000000 00000000 00000000* L0039904 00000000 00000000 00000000 00000000* L0039936 00000000 00000000 00000000 00000000* -L0039968 00000000 00000000 00000000 00000000* -L0040000 00000000 00000000 00000000 00000000* +L0039968 00000000 10000000 00000000 00000100* +L0040000 00000000 00000000 00000000 00010100* L0040032 000000 000000 000000 000000* L0040056 000000 000000 000000 000000* L0040080 000000 000000 000000 000000* -L0040104 000000 000000 000000 000000* +L0040104 000000 000000 000000 000111* L0040128 000000 000000 000000 000000* L0040152 000000 000000 000000 000000* L0040176 00000000 00000000 00000000 00000000* L0040208 00000000 00000000 00000000 00000000* L0040240 00000000 00000000 00000000 00000000* -L0040272 00000000 00000000 00000000 00000000* -L0040304 00000000 00000000 00000000 00000000* +L0040272 00000000 00000000 00000000 01100000* +L0040304 00000000 00000000 00000000 00000100* L0040336 00000000 00000000 00000000 00000000* -L0040368 00000000 00000000 00000000 00000000* +L0040368 00000000 00000000 00000000 00000100* L0040400 00000000 00000000 00000000 00000000* L0040432 00000000 00000000 00000000 00000000* L0040464 000000 000000 000000 000000* L0040488 000000 000000 000000 000000* L0040512 000000 000000 000000 000000* L0040536 000000 000000 000000 000000* -L0040560 000000 000000 000000 000000* +L0040560 000000 000000 000000 011000* L0040584 000000 000000 000000 000000* L0040608 00000000 00000000 00000000 00000000* L0040640 00000000 00000000 00000000 00000000* L0040672 00000000 00000000 00000000 00000000* L0040704 00000000 00000000 00000000 00000000* L0040736 00000000 00000000 00000000 00000000* -L0040768 00000000 00000000 00000000 00000000* -L0040800 00000000 00000000 00000000 00000000* -L0040832 00000000 00000000 00000000 00000000* -L0040864 00000000 00000000 00000000 00000000* -L0040896 000000 000000 000000 000000* -L0040920 000000 000000 000000 000000* -L0040944 000000 000000 000000 000000* -L0040968 000000 000000 000000 000000* -L0040992 000000 000000 000000 000000* +L0040768 01100100 00000000 00000000 00000000* +L0040800 01100100 00000000 00000000 00000000* +L0040832 01100100 00000000 00000000 00000000* +L0040864 01100100 00000000 00000000 00000000* +L0040896 010001 000000 000000 000000* +L0040920 010010 000000 000000 000000* +L0040944 010010 000000 000000 000001* +L0040968 010010 000000 000000 000000* +L0040992 010000 000000 000000 000000* L0041016 000000 000000 000000 000000* -L0041040 00000000 00000000 00000000 00000000* +L0041040 11111100 01000000 00000000 00000000* L0041072 00000000 00000000 00000000 00000000* L0041104 00000000 00000000 00000000 00000000* L0041136 00000000 00000000 00000000 00000000* L0041168 00000000 00000000 00000000 00000000* -L0041200 00000000 00000000 00000000 00000000* +L0041200 10011000 00000000 00000000 00000000* L0041232 00000000 00000000 00000000 00000000* L0041264 00000000 00000000 00000000 00000000* L0041296 00000000 00000000 00000000 00000000* L0041328 000000 000000 000000 000000* -L0041352 000000 000000 000000 000000* +L0041352 101101 000000 000000 000000* L0041376 000000 000000 000000 000000* L0041400 000000 000000 000000 000000* L0041424 000000 000000 000000 000000* -L0041448 000000 000000 000000 000000* +L0041448 000000 000000 000000 000001* L0041472 00000000 00000000 00000000 00000000* L0041504 00000000 00000000 00000000 00000000* L0041536 00000000 00000000 00000000 00000000* @@ -1492,29 +1492,29 @@ L0041664 00000000 00000000 00000000 00000000* L0041696 00000000 00000000 00000000 00000000* L0041728 00000000 00000000 00000000 00000000* L0041760 000000 000000 000000 000000* -L0041784 000000 000000 000000 000000* -L0041808 000000 010000 000000 000000* +L0041784 000000 010000 000000 000000* +L0041808 000000 000000 000000 000000* L0041832 000000 000000 000000 000000* L0041856 000000 000000 000000 000000* L0041880 000000 000000 000000 000000* -L0041904 00000000 00000000 00000000 00000000* -L0041936 00000000 00000000 00000000 00000000* -L0041968 00000000 00000000 00000000 00000000* -L0042000 00000000 00000000 00000000 00000000* -L0042032 00000000 00000000 00000000 00000000* +L0041904 00000000 10000000 00000000 00000000* +L0041936 00000000 10000000 00000000 00000000* +L0041968 00000000 10000000 00000000 00000000* +L0042000 00000000 10111000 00000000 00000000* +L0042032 00000000 10000000 00000000 00000000* L0042064 00000000 00000000 00000000 00000000* -L0042096 00000000 00000000 00000000 00000000* -L0042128 00000000 00000000 00000000 00000000* -L0042160 00000000 00000000 00000000 00000000* -L0042192 000000 000000 000000 000000* +L0042096 00000000 01000000 00000000 00000000* +L0042128 00000000 10000000 00000000 00000000* +L0042160 00000000 00110000 00000000 00000000* +L0042192 000000 100000 000000 000000* L0042216 000000 000000 000000 000000* L0042240 000000 000000 000000 000000* -L0042264 000000 000000 000000 000000* -L0042288 000000 000000 000000 000000* +L0042264 000000 100000 000000 000000* +L0042288 000000 000100 000000 000000* L0042312 000000 000000 000000 000000* L0042336 00000000 00000000 00000000 00000000* L0042368 00000000 00000000 00000000 00000000* -L0042400 00000000 00000000 00000000 00000000* +L0042400 00000000 10000000 00000000 00000000* L0042432 00000000 00000000 00000000 00000000* L0042464 00000000 00000000 00000000 00000000* L0042496 00000000 00000000 00000000 00000000* @@ -1524,7 +1524,7 @@ L0042592 00000000 00000000 00000000 00000000* L0042624 000000 000000 000000 000000* L0042648 000000 000000 000000 000000* L0042672 000000 000000 000000 000000* -L0042696 000000 000000 000000 100000* +L0042696 000000 000000 000000 000000* L0042720 000000 000000 000000 000000* L0042744 000000 000000 000000 000000* L0042768 00000000 00000000 00000000 00000000* @@ -1548,12 +1548,12 @@ L0043264 00000000 00000000 00000000 00000000* L0043296 00000000 00000000 00000000 00000000* L0043328 00000000 00000000 00000000 00000000* L0043360 00000000 00000000 00000000 00000000* -L0043392 00010000 00000000 00000000 00000000* +L0043392 00000000 00000000 00000000 00000000* L0043424 00000000 00000000 00000000 00000000* L0043456 00000000 00000000 00000000 00000000* L0043488 000000 000000 000000 000000* L0043512 000000 000000 000000 000000* -L0043536 000000 000000 000000 000000* +L0043536 000000 000000 001000 000000* L0043560 000000 010000 000000 000000* L0043584 000000 000000 000000 000000* L0043608 000000 000000 000000 000000* @@ -1562,48 +1562,48 @@ L0043664 00000000 00000000 00000000 00000000* L0043696 00000000 00000000 00000000 00000000* L0043728 00000000 00000000 00000000 00000000* L0043760 00000000 00000000 00000000 00000000* -L0043792 00100000 00000000 00000000 00000000* +L0043792 00000000 00000000 00000000 11100000* L0043824 00000000 00000000 00000000 00000000* L0043856 00000000 00000000 00000000 00000000* L0043888 00000000 00000000 00000000 00000000* -L0043920 000100 000000 000000 000000* -L0043944 000000 000000 000000 000000* +L0043920 000000 000000 000000 000000* +L0043944 000000 000000 000010 000000* L0043968 000000 010000 000000 000000* -L0043992 000000 000000 000000 000000* +L0043992 000010 000000 000000 000000* L0044016 000000 000000 000000 000000* L0044040 000000 000000 000000 000000* L0044064 00000000 00000000 00000000 00000000* L0044096 00000000 00000000 00000000 00000000* -L0044128 00000000 00000000 00000000 00000000* -L0044160 00000000 00000000 00000000 00000000* -L0044192 00000000 00000000 00000000 00000000* +L0044128 00000000 10000000 00000000 00000000* +L0044160 00000000 10000000 00000000 00000000* +L0044192 00000000 10000000 00000000 00000000* L0044224 00000000 00000000 00000000 00000000* L0044256 00000000 00000000 00000000 00000000* L0044288 00000000 00000000 00000000 00000000* L0044320 00000000 00000000 00000000 00000000* -L0044352 000000 000000 000000 000000* +L0044352 000000 100000 000000 000000* L0044376 000000 000000 000000 000000* L0044400 000000 000000 000000 000000* -L0044424 000000 010000 000000 000000* +L0044424 000000 000000 000000 000000* L0044448 000000 000000 000000 000000* L0044472 000000 000000 000000 000000* -L0044496 00000000 00000000 00000000 00000000* -L0044528 00000000 00000000 00000000 00000000* +L0044496 00000000 10000000 00000000 00000000* +L0044528 00000000 10000000 00000000 00000000* L0044560 00000000 00000000 00000000 00000000* L0044592 00000000 00000000 00000000 00000000* L0044624 00000000 00000000 00000000 00000000* -L0044656 01100100 00000000 11100000 00000000* -L0044688 01100100 00000000 11100000 00000000* -L0044720 01100100 00000000 11100000 00000000* -L0044752 01100100 00000000 10000000 00000000* -L0044784 010001 000000 000000 000000* -L0044808 010010 000000 000000 000000* -L0044832 010010 000000 000000 000000* -L0044856 010010 000000 000000 000000* -L0044880 010000 000000 000000 000000* +L0044656 00000000 00000000 00000000 00000000* +L0044688 00000000 00000000 00000000 00000000* +L0044720 00000000 10000000 00000000 00000000* +L0044752 00000000 00000000 00000000 00000000* +L0044784 000000 000000 000000 000000* +L0044808 000000 000000 000000 000000* +L0044832 000000 000000 000000 000000* +L0044856 000000 100000 000000 000000* +L0044880 000000 000000 000000 000000* L0044904 000000 000000 000000 000000* L0044928 00000000 00000000 00000000 00000000* -L0044960 00000000 00000000 00000000 00000000* +L0044960 00000100 00000000 00000000 00000000* L0044992 00000000 00000000 00000000 00000000* L0045024 00000000 00000000 00000000 00000000* L0045056 00000000 00000000 00000000 00000000* @@ -1621,46 +1621,46 @@ L0045360 00000000 00000000 00000000 00000000* L0045392 00000000 00000000 00000000 00000000* L0045424 00000000 00000000 00000000 00000000* L0045456 00000000 00000000 00000000 00000000* -L0045488 00000000 00000000 00000000 00000000* +L0045488 00000100 00000000 00000000 00000000* L0045520 00000000 00000000 00000000 00000000* L0045552 00000000 00000000 00000000 00000000* L0045584 00000000 00000000 00000000 00000000* -L0045616 00000000 00000000 00000000 00000000* +L0045616 00000000 00000000 10000000 00000000* L0045648 000000 000000 000000 000000* -L0045672 000000 000000 000000 000000* +L0045672 010000 000000 000000 000000* L0045696 000000 000000 000000 000000* L0045720 000000 000000 000000 000000* L0045744 000000 000000 000000 000000* L0045768 000000 000000 000000 000000* L0045792 00000000 00000000 00000000 00000000* -L0045824 00100000 00000000 00000000 00000000* +L0045824 00000000 00000000 00000000 00000000* L0045856 00000000 00000000 00000000 00000000* L0045888 00000000 00000000 00000000 00000000* L0045920 00000000 00000000 00000000 00000000* L0045952 00000000 00000000 00000000 00000000* L0045984 00000000 00000000 00000000 00000000* -L0046016 00000000 00000000 00000000 00100000* +L0046016 00000000 00000000 00000000 00000000* L0046048 00000000 00000000 00000000 00000000* L0046080 000000 000000 000000 000000* L0046104 000000 000000 000000 000000* L0046128 000000 000000 000000 000000* -L0046152 000000 000000 000000 000000* +L0046152 000000 010000 000000 100000* L0046176 000000 000000 000000 000000* L0046200 000000 000000 000000 000000* L0046224 00000000 00000000 00000000 00000000* L0046256 00000000 00000000 00000000 00000000* L0046288 00000000 00000000 00000000 00000000* L0046320 00000000 00000000 00000000 00000000* -L0046352 00100000 00000000 00000000 00000000* -L0046384 00000000 00000000 00000000 00000000* -L0046416 00000000 00000000 00000000 00100000* -L0046448 00000000 00000000 00000000 00000000* -L0046480 00000000 00000000 00000000 00000000* -L0046512 000000 000000 000000 000000* -L0046536 000000 000000 000000 000000* -L0046560 000000 000000 000000 000000* -L0046584 000000 000000 000000 000000* -L0046608 000000 000000 000000 000000* +L0046352 00000000 00000000 00000000 00000000* +L0046384 01100100 00000000 11100000 00000000* +L0046416 01100100 00000000 11100000 00000000* +L0046448 01100100 00000000 11100000 00000000* +L0046480 01100100 00000000 10000000 00000000* +L0046512 010001 000000 000000 000000* +L0046536 010010 000000 000000 000000* +L0046560 010010 000000 000000 000000* +L0046584 010010 000000 000000 000000* +L0046608 010000 000000 000000 000000* L0046632 000000 000000 000000 000000* -C6FA2* -190B +C9205* +18E9 diff --git a/spi6502b.mfd b/spi6502b.mfd index 5dee314..a1c2f4f 100644 --- a/spi6502b.mfd +++ b/spi6502b.mfd @@ -2,276 +2,276 @@ MDF Database: version 1.0 MDF_INFO | spi6502b | XC9572XL-10-PC44 MACROCELL | 1 | 1 | int_mosi ATTRIBUTES | 8652706 | 0 -INPUTS | 12 | shiftcnt<3> | shiftcnt<2> | shiftcnt<1> | shiftdone | spidataout<5> | shifting2 | spidataout<1> | EXP6_.EXP | shifting2.EXP | $OpTx$INV$22__$INT | cpu_Nres | tmo -INPUTMC | 11 | 1 | 14 | 1 | 15 | 1 | 16 | 1 | 3 | 3 | 5 | 1 | 2 | 0 | 2 | 1 | 0 | 1 | 2 | 1 | 5 | 0 | 6 +INPUTS | 12 | shiftcnt<3> | shiftcnt<2> | shiftcnt<1> | shiftdone | spidataout<4> | shifting2 | spidataout<0> | EXP6_.EXP | shifting2.EXP | $OpTx$INV$24__$INT | cpu_Nres | tmo +INPUTMC | 11 | 3 | 12 | 3 | 14 | 3 | 15 | 3 | 0 | 3 | 8 | 1 | 2 | 0 | 3 | 1 | 0 | 1 | 2 | 1 | 5 | 0 | 6 INPUTP | 1 | 49 IMPORTS | 2 | 1 | 0 | 1 | 2 EQ | 21 | - !spi_mosi.D = shiftcnt<3> & shiftcnt<2> & !shiftcnt<1> & - !shiftdone & !spidataout<1> & shifting2 - # !shiftcnt<3> & shiftcnt<2> & !shiftcnt<1> & - !shiftdone & !spidataout<5> & shifting2 -;Imported pterms FB2_1 - # shiftcnt<3> & shiftcnt<2> & shiftcnt<1> & + !spi_mosi.D = shiftcnt<3> & shiftcnt<2> & shiftcnt<1> & !shiftdone & !spidataout<0> & shifting2 + # !shiftcnt<3> & shiftcnt<2> & shiftcnt<1> & + !shiftdone & !spidataout<4> & shifting2 +;Imported pterms FB2_1 # shiftcnt<3> & !shiftcnt<2> & shiftcnt<1> & !shiftdone & !spidataout<2> & shifting2 # shiftcnt<3> & !shiftcnt<2> & !shiftcnt<1> & !shiftdone & !spidataout<3> & shifting2 - # !shiftcnt<3> & shiftcnt<2> & shiftcnt<1> & - !shiftdone & !spidataout<4> & shifting2 + # !shiftcnt<3> & shiftcnt<2> & !shiftcnt<1> & + !shiftdone & !spidataout<5> & shifting2 + # !shiftcnt<3> & !shiftcnt<2> & shiftcnt<1> & + !shiftdone & !spidataout<6> & shifting2 # !shiftcnt<3> & !shiftcnt<2> & !shiftcnt<1> & !shiftdone & !spidataout<7> & shifting2 ;Imported pterms FB2_3 - # !shiftcnt<3> & !shiftcnt<2> & shiftcnt<1> & - !shiftdone & !spidataout<6> & shifting2; - spi_mosi.CLK = !$OpTx$INV$22__$INT; + # shiftcnt<3> & shiftcnt<2> & !shiftcnt<1> & + !shiftdone & !spidataout<1> & shifting2; + spi_mosi.CLK = !$OpTx$INV$24__$INT; spi_mosi.AP = !cpu_Nres; spi_mosi.OE = !tmo; MACROCELL | 3 | 10 | slavesel ATTRIBUTES | 4588514 | 0 -OUTPUTMC | 4 | 3 | 10 | 1 | 13 | 0 | 4 | 3 | 13 -INPUTS | 8 | spi_Nsel | cpu_a<1> | cpu_a<0> | cpu_d<0>.PIN | cs1 | Ncs2 | cpu_Nres | cpu_rnw +OUTPUTMC | 4 | 3 | 10 | 3 | 11 | 0 | 4 | 3 | 13 +INPUTS | 7 | spi_Nsel | cpu_a<1> | cpu_a<0> | cpu_d<0>.PIN | Ncs2 | cpu_Nres | cpu_rnw INPUTMC | 1 | 3 | 10 -INPUTP | 7 | 59 | 52 | 12 | 50 | 46 | 49 | 24 +INPUTP | 6 | 59 | 52 | 12 | 46 | 49 | 24 EQ | 5 | spi_Nsel.T = spi_Nsel & cpu_a<1> & cpu_a<0> & !cpu_d<0>.PIN # !spi_Nsel & cpu_a<1> & cpu_a<0> & cpu_d<0>.PIN; - !spi_Nsel.CLK = cs1 & !Ncs2; + spi_Nsel.CLK = Ncs2; spi_Nsel.AP = !cpu_Nres; spi_Nsel.CE = !cpu_rnw; MACROCELL | 0 | 15 | cpol ATTRIBUTES | 4326256 | 0 OUTPUTMC | 3 | 0 | 15 | 3 | 16 | 0 | 5 -INPUTS | 8 | cpol | cpu_a<1> | cpu_a<0> | cpu_d<1>.PIN | cs1 | Ncs2 | cpu_Nres | cpu_rnw +INPUTS | 7 | cpol | cpu_a<1> | cpu_a<0> | cpu_d<1>.PIN | Ncs2 | cpu_Nres | cpu_rnw INPUTMC | 1 | 0 | 15 -INPUTP | 7 | 59 | 52 | 13 | 50 | 46 | 49 | 24 +INPUTP | 6 | 59 | 52 | 13 | 46 | 49 | 24 EQ | 5 | cpol.T = cpol & !cpu_a<1> & cpu_a<0> & !cpu_d<1>.PIN # !cpol & !cpu_a<1> & cpu_a<0> & cpu_d<1>.PIN; - !cpol.CLK = cs1 & !Ncs2; + cpol.CLK = Ncs2; cpol.AR = !cpu_Nres; cpol.CE = !cpu_rnw; MACROCELL | 0 | 10 | ece ATTRIBUTES | 4326256 | 0 OUTPUTMC | 3 | 0 | 10 | 0 | 7 | 1 | 5 -INPUTS | 8 | ece | cpu_a<1> | cpu_a<0> | cpu_d<2>.PIN | cs1 | Ncs2 | cpu_Nres | cpu_rnw +INPUTS | 7 | ece | cpu_a<1> | cpu_a<0> | cpu_d<2>.PIN | Ncs2 | cpu_Nres | cpu_rnw INPUTMC | 1 | 0 | 10 -INPUTP | 7 | 59 | 52 | 15 | 50 | 46 | 49 | 24 +INPUTP | 6 | 59 | 52 | 15 | 46 | 49 | 24 EQ | 5 | ece.T = ece & !cpu_a<1> & cpu_a<0> & !cpu_d<2>.PIN # !ece & !cpu_a<1> & cpu_a<0> & cpu_d<2>.PIN; - !ece.CLK = cs1 & !Ncs2; + ece.CLK = Ncs2; ece.AR = !cpu_Nres; ece.CE = !cpu_rnw; MACROCELL | 0 | 17 | cpha ATTRIBUTES | 4326256 | 0 OUTPUTMC | 4 | 0 | 17 | 3 | 16 | 0 | 4 | 3 | 15 -INPUTS | 8 | cpha | cpu_a<1> | cpu_a<0> | cpu_d<0>.PIN | cs1 | Ncs2 | cpu_Nres | cpu_rnw +INPUTS | 7 | cpha | cpu_a<1> | cpu_a<0> | cpu_d<0>.PIN | Ncs2 | cpu_Nres | cpu_rnw INPUTMC | 1 | 0 | 17 -INPUTP | 7 | 59 | 52 | 12 | 50 | 46 | 49 | 24 +INPUTP | 6 | 59 | 52 | 12 | 46 | 49 | 24 EQ | 5 | cpha.T = cpha & !cpu_a<1> & cpu_a<0> & !cpu_d<0>.PIN # !cpha & !cpu_a<1> & cpu_a<0> & cpu_d<0>.PIN; - !cpha.CLK = cs1 & !Ncs2; + cpha.CLK = Ncs2; cpha.AR = !cpu_Nres; cpha.CE = !cpu_rnw; MACROCELL | 0 | 9 | frx ATTRIBUTES | 4326256 | 0 OUTPUTMC | 3 | 0 | 9 | 3 | 2 | 0 | 16 -INPUTS | 8 | frx | cpu_a<1> | cpu_a<0> | cpu_d<4>.PIN | cs1 | Ncs2 | cpu_Nres | cpu_rnw +INPUTS | 7 | frx | cpu_a<1> | cpu_a<0> | cpu_d<4>.PIN | Ncs2 | cpu_Nres | cpu_rnw INPUTMC | 1 | 0 | 9 -INPUTP | 7 | 59 | 52 | 27 | 50 | 46 | 49 | 24 +INPUTP | 6 | 59 | 52 | 27 | 46 | 49 | 24 EQ | 5 | frx.T = frx & !cpu_a<1> & cpu_a<0> & !cpu_d<4>.PIN # !frx & !cpu_a<1> & cpu_a<0> & cpu_d<4>.PIN; - !frx.CLK = cs1 & !Ncs2; + frx.CLK = Ncs2; frx.AR = !cpu_Nres; frx.CE = !cpu_rnw; -MACROCELL | 3 | 8 | ier +MACROCELL | 3 | 17 | ier ATTRIBUTES | 4326256 | 0 -OUTPUTMC | 3 | 3 | 8 | 2 | 4 | 3 | 0 -INPUTS | 8 | ier | cpu_a<1> | cpu_a<0> | cpu_d<6>.PIN | cs1 | Ncs2 | cpu_Nres | cpu_rnw -INPUTMC | 1 | 3 | 8 -INPUTP | 7 | 59 | 52 | 31 | 50 | 46 | 49 | 24 +OUTPUTMC | 3 | 3 | 17 | 2 | 4 | 2 | 17 +INPUTS | 7 | ier | cpu_a<1> | cpu_a<0> | cpu_d<6>.PIN | Ncs2 | cpu_Nres | cpu_rnw +INPUTMC | 1 | 3 | 17 +INPUTP | 6 | 59 | 52 | 31 | 46 | 49 | 24 EQ | 5 | ier.T = ier & !cpu_a<1> & cpu_a<0> & !cpu_d<6>.PIN # !ier & !cpu_a<1> & cpu_a<0> & cpu_d<6>.PIN; - !ier.CLK = cs1 & !Ncs2; + ier.CLK = Ncs2; ier.AR = !cpu_Nres; ier.CE = !cpu_rnw; MACROCELL | 0 | 8 | slaveinten ATTRIBUTES | 4326256 | 0 -OUTPUTMC | 3 | 0 | 8 | 0 | 16 | 3 | 0 -INPUTS | 8 | slaveinten | cpu_a<1> | cpu_a<0> | cpu_d<4>.PIN | cs1 | Ncs2 | cpu_Nres | cpu_rnw +OUTPUTMC | 3 | 0 | 8 | 0 | 16 | 2 | 17 +INPUTS | 7 | slaveinten | cpu_a<1> | cpu_a<0> | cpu_d<4>.PIN | Ncs2 | cpu_Nres | cpu_rnw INPUTMC | 1 | 0 | 8 -INPUTP | 7 | 59 | 52 | 27 | 50 | 46 | 49 | 24 +INPUTP | 6 | 59 | 52 | 27 | 46 | 49 | 24 EQ | 5 | slaveinten.T = slaveinten & cpu_a<1> & cpu_a<0> & !cpu_d<4>.PIN # !slaveinten & cpu_a<1> & cpu_a<0> & cpu_d<4>.PIN; - !slaveinten.CLK = cs1 & !Ncs2; + slaveinten.CLK = Ncs2; slaveinten.AR = !cpu_Nres; slaveinten.CE = !cpu_rnw; MACROCELL | 0 | 6 | tmo ATTRIBUTES | 4326256 | 0 OUTPUTMC | 3 | 1 | 1 | 0 | 6 | 0 | 14 -INPUTS | 8 | tmo | cpu_a<1> | cpu_a<0> | cpu_d<3>.PIN | cs1 | Ncs2 | cpu_Nres | cpu_rnw +INPUTS | 7 | tmo | cpu_a<1> | cpu_a<0> | cpu_d<3>.PIN | Ncs2 | cpu_Nres | cpu_rnw INPUTMC | 1 | 0 | 6 -INPUTP | 7 | 59 | 52 | 26 | 50 | 46 | 49 | 24 +INPUTP | 6 | 59 | 52 | 26 | 46 | 49 | 24 EQ | 5 | tmo.T = tmo & !cpu_a<1> & cpu_a<0> & !cpu_d<3>.PIN # !tmo & !cpu_a<1> & cpu_a<0> & cpu_d<3>.PIN; - !tmo.CLK = cs1 & !Ncs2; + tmo.CLK = Ncs2; tmo.AR = !cpu_Nres; tmo.CE = !cpu_rnw; MACROCELL | 0 | 13 | divisor<0> ATTRIBUTES | 4326256 | 0 OUTPUTMC | 2 | 0 | 13 | 0 | 4 -INPUTS | 8 | divisor<0> | cpu_a<1> | cpu_a<0> | cpu_d<0>.PIN | cs1 | Ncs2 | cpu_Nres | cpu_rnw +INPUTS | 7 | divisor<0> | cpu_a<1> | cpu_a<0> | cpu_d<0>.PIN | Ncs2 | cpu_Nres | cpu_rnw INPUTMC | 1 | 0 | 13 -INPUTP | 7 | 59 | 52 | 12 | 50 | 46 | 49 | 24 +INPUTP | 6 | 59 | 52 | 12 | 46 | 49 | 24 EQ | 5 | divisor<0>.T = divisor<0> & cpu_a<1> & !cpu_a<0> & !cpu_d<0>.PIN # !divisor<0> & cpu_a<1> & !cpu_a<0> & cpu_d<0>.PIN; - !divisor<0>.CLK = cs1 & !Ncs2; + divisor<0>.CLK = Ncs2; divisor<0>.AR = !cpu_Nres; divisor<0>.CE = !cpu_rnw; MACROCELL | 0 | 12 | divisor<1> ATTRIBUTES | 4326256 | 0 OUTPUTMC | 2 | 0 | 12 | 0 | 5 -INPUTS | 8 | divisor<1> | cpu_a<1> | cpu_a<0> | cpu_d<1>.PIN | cs1 | Ncs2 | cpu_Nres | cpu_rnw +INPUTS | 7 | divisor<1> | cpu_a<1> | cpu_a<0> | cpu_d<1>.PIN | Ncs2 | cpu_Nres | cpu_rnw INPUTMC | 1 | 0 | 12 -INPUTP | 7 | 59 | 52 | 13 | 50 | 46 | 49 | 24 +INPUTP | 6 | 59 | 52 | 13 | 46 | 49 | 24 EQ | 5 | divisor<1>.T = divisor<1> & cpu_a<1> & !cpu_a<0> & !cpu_d<1>.PIN # !divisor<1> & cpu_a<1> & !cpu_a<0> & cpu_d<1>.PIN; - !divisor<1>.CLK = cs1 & !Ncs2; + divisor<1>.CLK = Ncs2; divisor<1>.AR = !cpu_Nres; divisor<1>.CE = !cpu_rnw; MACROCELL | 0 | 11 | divisor<2> ATTRIBUTES | 4326256 | 0 OUTPUTMC | 2 | 0 | 11 | 0 | 7 -INPUTS | 8 | divisor<2> | cpu_a<1> | cpu_a<0> | cpu_d<2>.PIN | cs1 | Ncs2 | cpu_Nres | cpu_rnw +INPUTS | 7 | divisor<2> | cpu_a<1> | cpu_a<0> | cpu_d<2>.PIN | Ncs2 | cpu_Nres | cpu_rnw INPUTMC | 1 | 0 | 11 -INPUTP | 7 | 59 | 52 | 15 | 50 | 46 | 49 | 24 +INPUTP | 6 | 59 | 52 | 15 | 46 | 49 | 24 EQ | 5 | divisor<2>.T = divisor<2> & cpu_a<1> & !cpu_a<0> & !cpu_d<2>.PIN # !divisor<2> & cpu_a<1> & !cpu_a<0> & cpu_d<2>.PIN; - !divisor<2>.CLK = cs1 & !Ncs2; + divisor<2>.CLK = Ncs2; divisor<2>.AR = !cpu_Nres; divisor<2>.CE = !cpu_rnw; -MACROCELL | 1 | 13 | spidatain<0> +MACROCELL | 3 | 11 | spidatain<0> ATTRIBUTES | 8520560 | 0 -OUTPUTMC | 2 | 1 | 12 | 0 | 4 -INPUTS | 6 | spi_Nsel | spi_miso | $OpTx$INV$22__$INT | cpu_Nres | shiftcnt<0> | shifting2 +OUTPUTMC | 2 | 3 | 9 | 0 | 4 +INPUTS | 6 | spi_Nsel | spi_miso | $OpTx$INV$24__$INT | cpu_Nres | shiftcnt<0> | shifting2 INPUTMC | 4 | 3 | 10 | 1 | 5 | 1 | 4 | 1 | 2 INPUTP | 2 | 10 | 49 EQ | 4 | spidatain<0>.D = !spi_Nsel & spi_miso; - spidatain<0>.CLK = !$OpTx$INV$22__$INT; + spidatain<0>.CLK = !$OpTx$INV$24__$INT; spidatain<0>.AR = !cpu_Nres; spidatain<0>.CE = shiftcnt<0> & shifting2; -MACROCELL | 1 | 12 | spidatain<1> +MACROCELL | 3 | 9 | spidatain<1> ATTRIBUTES | 8520560 | 0 -OUTPUTMC | 2 | 1 | 11 | 0 | 5 -INPUTS | 5 | spidatain<0> | $OpTx$INV$22__$INT | cpu_Nres | shiftcnt<0> | shifting2 -INPUTMC | 4 | 1 | 13 | 1 | 5 | 1 | 4 | 1 | 2 +OUTPUTMC | 2 | 1 | 12 | 0 | 5 +INPUTS | 5 | spidatain<0> | $OpTx$INV$24__$INT | cpu_Nres | shiftcnt<0> | shifting2 +INPUTMC | 4 | 3 | 11 | 1 | 5 | 1 | 4 | 1 | 2 INPUTP | 1 | 49 EQ | 4 | spidatain<1>.D = spidatain<0>; - spidatain<1>.CLK = !$OpTx$INV$22__$INT; + spidatain<1>.CLK = !$OpTx$INV$24__$INT; spidatain<1>.AR = !cpu_Nres; spidatain<1>.CE = shiftcnt<0> & shifting2; -MACROCELL | 1 | 11 | spidatain<2> +MACROCELL | 1 | 12 | spidatain<2> ATTRIBUTES | 8520560 | 0 -OUTPUTMC | 2 | 1 | 10 | 0 | 7 -INPUTS | 5 | spidatain<1> | $OpTx$INV$22__$INT | cpu_Nres | shiftcnt<0> | shifting2 -INPUTMC | 4 | 1 | 12 | 1 | 5 | 1 | 4 | 1 | 2 +OUTPUTMC | 2 | 1 | 11 | 0 | 7 +INPUTS | 5 | spidatain<1> | $OpTx$INV$24__$INT | cpu_Nres | shiftcnt<0> | shifting2 +INPUTMC | 4 | 3 | 9 | 1 | 5 | 1 | 4 | 1 | 2 INPUTP | 1 | 49 EQ | 4 | spidatain<2>.D = spidatain<1>; - spidatain<2>.CLK = !$OpTx$INV$22__$INT; + spidatain<2>.CLK = !$OpTx$INV$24__$INT; spidatain<2>.AR = !cpu_Nres; spidatain<2>.CE = shiftcnt<0> & shifting2; -MACROCELL | 1 | 10 | spidatain<3> +MACROCELL | 1 | 11 | spidatain<3> ATTRIBUTES | 8520560 | 0 -OUTPUTMC | 2 | 1 | 9 | 0 | 14 -INPUTS | 5 | spidatain<2> | $OpTx$INV$22__$INT | cpu_Nres | shiftcnt<0> | shifting2 -INPUTMC | 4 | 1 | 11 | 1 | 5 | 1 | 4 | 1 | 2 +OUTPUTMC | 2 | 1 | 10 | 0 | 14 +INPUTS | 5 | spidatain<2> | $OpTx$INV$24__$INT | cpu_Nres | shiftcnt<0> | shifting2 +INPUTMC | 4 | 1 | 12 | 1 | 5 | 1 | 4 | 1 | 2 INPUTP | 1 | 49 EQ | 4 | spidatain<3>.D = spidatain<2>; - spidatain<3>.CLK = !$OpTx$INV$22__$INT; + spidatain<3>.CLK = !$OpTx$INV$24__$INT; spidatain<3>.AR = !cpu_Nres; spidatain<3>.CE = shiftcnt<0> & shifting2; -MACROCELL | 1 | 9 | spidatain<4> +MACROCELL | 1 | 10 | spidatain<4> ATTRIBUTES | 8520560 | 0 -OUTPUTMC | 2 | 1 | 8 | 0 | 16 -INPUTS | 5 | spidatain<3> | $OpTx$INV$22__$INT | cpu_Nres | shiftcnt<0> | shifting2 -INPUTMC | 4 | 1 | 10 | 1 | 5 | 1 | 4 | 1 | 2 +OUTPUTMC | 2 | 1 | 9 | 0 | 16 +INPUTS | 5 | spidatain<3> | $OpTx$INV$24__$INT | cpu_Nres | shiftcnt<0> | shifting2 +INPUTMC | 4 | 1 | 11 | 1 | 5 | 1 | 4 | 1 | 2 INPUTP | 1 | 49 EQ | 4 | spidatain<4>.D = spidatain<3>; - spidatain<4>.CLK = !$OpTx$INV$22__$INT; + spidatain<4>.CLK = !$OpTx$INV$24__$INT; spidatain<4>.AR = !cpu_Nres; spidatain<4>.CE = shiftcnt<0> & shifting2; -MACROCELL | 1 | 8 | spidatain<5> +MACROCELL | 1 | 9 | spidatain<5> ATTRIBUTES | 8520560 | 0 OUTPUTMC | 2 | 1 | 7 | 2 | 1 -INPUTS | 5 | spidatain<4> | $OpTx$INV$22__$INT | cpu_Nres | shiftcnt<0> | shifting2 -INPUTMC | 4 | 1 | 9 | 1 | 5 | 1 | 4 | 1 | 2 +INPUTS | 5 | spidatain<4> | $OpTx$INV$24__$INT | cpu_Nres | shiftcnt<0> | shifting2 +INPUTMC | 4 | 1 | 10 | 1 | 5 | 1 | 4 | 1 | 2 INPUTP | 1 | 49 EQ | 4 | spidatain<5>.D = spidatain<4>; - spidatain<5>.CLK = !$OpTx$INV$22__$INT; + spidatain<5>.CLK = !$OpTx$INV$24__$INT; spidatain<5>.AR = !cpu_Nres; spidatain<5>.CE = shiftcnt<0> & shifting2; MACROCELL | 1 | 7 | spidatain<6> ATTRIBUTES | 8520560 | 0 OUTPUTMC | 2 | 1 | 6 | 2 | 4 -INPUTS | 5 | spidatain<5> | $OpTx$INV$22__$INT | cpu_Nres | shiftcnt<0> | shifting2 -INPUTMC | 4 | 1 | 8 | 1 | 5 | 1 | 4 | 1 | 2 +INPUTS | 5 | spidatain<5> | $OpTx$INV$24__$INT | cpu_Nres | shiftcnt<0> | shifting2 +INPUTMC | 4 | 1 | 9 | 1 | 5 | 1 | 4 | 1 | 2 INPUTP | 1 | 49 EQ | 4 | spidatain<6>.D = spidatain<5>; - spidatain<6>.CLK = !$OpTx$INV$22__$INT; + spidatain<6>.CLK = !$OpTx$INV$24__$INT; spidatain<6>.AR = !cpu_Nres; spidatain<6>.CE = shiftcnt<0> & shifting2; MACROCELL | 1 | 6 | spidatain<7> ATTRIBUTES | 8520560 | 0 OUTPUTMC | 1 | 2 | 7 -INPUTS | 5 | spidatain<6> | $OpTx$INV$22__$INT | cpu_Nres | shiftcnt<0> | shifting2 +INPUTS | 5 | spidatain<6> | $OpTx$INV$24__$INT | cpu_Nres | shiftcnt<0> | shifting2 INPUTMC | 4 | 1 | 7 | 1 | 5 | 1 | 4 | 1 | 2 INPUTP | 1 | 49 EQ | 4 | spidatain<7>.D = spidatain<6>; - spidatain<7>.CLK = !$OpTx$INV$22__$INT; + spidatain<7>.CLK = !$OpTx$INV$24__$INT; spidatain<7>.AR = !cpu_Nres; spidatain<7>.CE = shiftcnt<0> & shifting2; MACROCELL | 3 | 16 | int_sclk ATTRIBUTES | 8651698 | 0 -INPUTS | 8 | cpol | cpu_Nres | cpha | shiftcnt<0> | shiftdone | shifting2 | $OpTx$INV$22__$INT | EXP7_.EXP -INPUTMC | 7 | 0 | 15 | 0 | 17 | 1 | 4 | 1 | 3 | 1 | 2 | 1 | 5 | 3 | 15 +INPUTS | 8 | cpol | cpu_Nres | cpha | shiftcnt<0> | shiftdone | shifting2 | $OpTx$INV$24__$INT | shiftcnt<1>.EXP +INPUTMC | 7 | 0 | 15 | 0 | 17 | 1 | 4 | 3 | 0 | 1 | 2 | 1 | 5 | 3 | 15 INPUTP | 1 | 49 IMPORTS | 1 | 3 | 15 EQ | 9 | @@ -281,327 +281,368 @@ EQ | 9 | ;Imported pterms FB4_16 # cpu_Nres & cpha & !shiftcnt<0> & !shiftdone & shifting2; - spi_sclk.CLK = !$OpTx$INV$22__$INT; + spi_sclk.CLK = !$OpTx$INV$24__$INT; spi_sclk.AP = !cpu_Nres & cpol; spi_sclk.AR = !cpu_Nres & !cpol; MACROCELL | 0 | 14 | int_dout<3> ATTRIBUTES | 265986 | 0 -INPUTS | 8 | cpu_rnw | spidatain<3> | cpu_a<1> | cs1 | Ncs2 | cpu_a<0> | cpu_Nphi2 | tmo -INPUTMC | 2 | 1 | 10 | 0 | 6 -INPUTP | 6 | 24 | 59 | 50 | 46 | 52 | 20 +INPUTS | 7 | Ncs2 | cpu_rnw | spidatain<3> | cpu_a<1> | cpu_a<0> | cpu_Nphi2 | tmo +INPUTMC | 2 | 1 | 11 | 0 | 6 +INPUTP | 5 | 46 | 24 | 59 | 52 | 20 EQ | 5 | - cpu_d<3> = cpu_rnw & tmo & !cpu_a<1> & cs1 & !Ncs2 & - cpu_a<0> & cpu_Nphi2 - # cpu_rnw & spidatain<3> & !cpu_a<1> & cs1 & !Ncs2 & + cpu_d<3> = !Ncs2 & cpu_rnw & tmo & !cpu_a<1> & cpu_a<0> & + cpu_Nphi2 + # !Ncs2 & cpu_rnw & spidatain<3> & !cpu_a<1> & !cpu_a<0> & cpu_Nphi2; - cpu_d<3>.OE = cpu_rnw & cs1 & !Ncs2 & cpu_Nphi2; + cpu_d<3>.OE = !Ncs2 & cpu_rnw & cpu_Nphi2; MACROCELL | 2 | 1 | int_dout<5> ATTRIBUTES | 265986 | 0 -INPUTS | 9 | cpu_rnw | cpu_a<1> | start_shifting | cs1 | Ncs2 | cpu_a<0> | cpu_Nphi2 | shifting2 | spidatain<5> -INPUTMC | 3 | 3 | 2 | 1 | 2 | 1 | 8 -INPUTP | 6 | 24 | 59 | 50 | 46 | 52 | 20 +INPUTS | 8 | Ncs2 | cpu_rnw | cpu_a<1> | start_shifting | cpu_a<0> | cpu_Nphi2 | shifting2 | spidatain<5> +INPUTMC | 3 | 3 | 2 | 1 | 2 | 1 | 9 +INPUTP | 5 | 46 | 24 | 59 | 52 | 20 EQ | 7 | - cpu_d<5> = cpu_rnw & spidatain<5> & !cpu_a<1> & cs1 & !Ncs2 & + cpu_d<5> = !Ncs2 & cpu_rnw & spidatain<5> & !cpu_a<1> & !cpu_a<0> & cpu_Nphi2 - # cpu_rnw & !cpu_a<1> & start_shifting & cs1 & - !Ncs2 & cpu_a<0> & cpu_Nphi2 - # cpu_rnw & !cpu_a<1> & cs1 & !Ncs2 & cpu_a<0> & + # !Ncs2 & cpu_rnw & !cpu_a<1> & start_shifting & + cpu_a<0> & cpu_Nphi2 + # !Ncs2 & cpu_rnw & !cpu_a<1> & cpu_a<0> & shifting2 & cpu_Nphi2; - cpu_d<5>.OE = cpu_rnw & cs1 & !Ncs2 & cpu_Nphi2; + cpu_d<5>.OE = !Ncs2 & cpu_rnw & cpu_Nphi2; MACROCELL | 2 | 4 | int_dout<6> ATTRIBUTES | 265986 | 0 -INPUTS | 8 | cpu_rnw | spidatain<6> | cpu_a<1> | cs1 | Ncs2 | cpu_a<0> | cpu_Nphi2 | ier -INPUTMC | 2 | 1 | 7 | 3 | 8 -INPUTP | 6 | 24 | 59 | 50 | 46 | 52 | 20 +INPUTS | 7 | Ncs2 | cpu_rnw | spidatain<6> | cpu_a<1> | cpu_a<0> | cpu_Nphi2 | ier +INPUTMC | 2 | 1 | 7 | 3 | 17 +INPUTP | 5 | 46 | 24 | 59 | 52 | 20 EQ | 5 | - cpu_d<6> = cpu_rnw & ier & !cpu_a<1> & cs1 & !Ncs2 & - cpu_a<0> & cpu_Nphi2 - # cpu_rnw & spidatain<6> & !cpu_a<1> & cs1 & !Ncs2 & + cpu_d<6> = !Ncs2 & cpu_rnw & ier & !cpu_a<1> & cpu_a<0> & + cpu_Nphi2 + # !Ncs2 & cpu_rnw & spidatain<6> & !cpu_a<1> & !cpu_a<0> & cpu_Nphi2; - cpu_d<6>.OE = cpu_rnw & cs1 & !Ncs2 & cpu_Nphi2; + cpu_d<6>.OE = !Ncs2 & cpu_rnw & cpu_Nphi2; MACROCELL | 2 | 7 | int_dout<7> ATTRIBUTES | 265986 | 0 -INPUTS | 8 | cpu_rnw | spidatain<7> | cpu_a<1> | cs1 | Ncs2 | cpu_a<0> | cpu_Nphi2 | tc -INPUTMC | 2 | 1 | 6 | 3 | 1 -INPUTP | 6 | 24 | 59 | 50 | 46 | 52 | 20 +INPUTS | 7 | Ncs2 | cpu_rnw | spidatain<7> | cpu_a<1> | cpu_a<0> | cpu_Nphi2 | tc +INPUTMC | 2 | 1 | 6 | 1 | 3 +INPUTP | 5 | 46 | 24 | 59 | 52 | 20 EQ | 5 | - cpu_d<7> = cpu_rnw & spidatain<7> & !cpu_a<1> & cs1 & !Ncs2 & + cpu_d<7> = !Ncs2 & cpu_rnw & spidatain<7> & !cpu_a<1> & !cpu_a<0> & cpu_Nphi2 - # cpu_rnw & !cpu_a<1> & tc & cs1 & !Ncs2 & - cpu_a<0> & cpu_Nphi2; - cpu_d<7>.OE = cpu_rnw & cs1 & !Ncs2 & cpu_Nphi2; + # !Ncs2 & cpu_rnw & !cpu_a<1> & tc & cpu_a<0> & + cpu_Nphi2; + cpu_d<7>.OE = !Ncs2 & cpu_rnw & cpu_Nphi2; -MACROCELL | 1 | 14 | shiftcnt<3> +MACROCELL | 3 | 12 | shiftcnt<3> ATTRIBUTES | 4326192 | 0 -OUTPUTMC | 5 | 1 | 1 | 1 | 14 | 1 | 3 | 1 | 0 | 1 | 2 -INPUTS | 7 | shiftcnt<2> | shiftcnt<0> | shiftcnt<1> | shifting2 | shiftcnt<3> | $OpTx$INV$22__$INT | cpu_Nres -INPUTMC | 6 | 1 | 15 | 1 | 4 | 1 | 16 | 1 | 2 | 1 | 14 | 1 | 5 +OUTPUTMC | 5 | 1 | 1 | 3 | 12 | 3 | 0 | 1 | 0 | 1 | 2 +INPUTS | 7 | shiftcnt<2> | shiftcnt<0> | shiftcnt<1> | shifting2 | shiftcnt<3> | $OpTx$INV$24__$INT | cpu_Nres +INPUTMC | 6 | 3 | 14 | 1 | 4 | 3 | 15 | 1 | 2 | 3 | 12 | 1 | 5 INPUTP | 1 | 49 EQ | 5 | shiftcnt<3>.T = shiftcnt<3> & !shifting2 # shiftcnt<2> & shiftcnt<0> & shiftcnt<1> & shifting2; - shiftcnt<3>.CLK = !$OpTx$INV$22__$INT; + shiftcnt<3>.CLK = !$OpTx$INV$24__$INT; shiftcnt<3>.AR = !cpu_Nres; -MACROCELL | 1 | 15 | shiftcnt<2> +MACROCELL | 3 | 14 | shiftcnt<2> ATTRIBUTES | 4326192 | 0 -OUTPUTMC | 6 | 1 | 1 | 1 | 14 | 1 | 15 | 1 | 3 | 1 | 0 | 1 | 2 -INPUTS | 6 | shiftcnt<0> | shiftcnt<1> | shifting2 | shiftcnt<2> | $OpTx$INV$22__$INT | cpu_Nres -INPUTMC | 5 | 1 | 4 | 1 | 16 | 1 | 2 | 1 | 15 | 1 | 5 +OUTPUTMC | 6 | 1 | 1 | 3 | 12 | 3 | 14 | 3 | 0 | 1 | 0 | 1 | 2 +INPUTS | 6 | shiftcnt<0> | shiftcnt<1> | shifting2 | shiftcnt<2> | $OpTx$INV$24__$INT | cpu_Nres +INPUTMC | 5 | 1 | 4 | 3 | 15 | 1 | 2 | 3 | 14 | 1 | 5 INPUTP | 1 | 49 EQ | 4 | shiftcnt<2>.T = shiftcnt<2> & !shifting2 # shiftcnt<0> & shiftcnt<1> & shifting2; - shiftcnt<2>.CLK = !$OpTx$INV$22__$INT; + shiftcnt<2>.CLK = !$OpTx$INV$24__$INT; shiftcnt<2>.AR = !cpu_Nres; MACROCELL | 1 | 4 | shiftcnt<0> ATTRIBUTES | 8520496 | 0 -OUTPUTMC | 15 | 1 | 13 | 1 | 12 | 1 | 11 | 1 | 10 | 1 | 9 | 1 | 8 | 1 | 7 | 1 | 6 | 3 | 16 | 1 | 14 | 1 | 15 | 1 | 4 | 1 | 16 | 1 | 3 | 3 | 15 -INPUTS | 4 | shiftcnt<0> | shifting2 | $OpTx$INV$22__$INT | cpu_Nres +OUTPUTMC | 14 | 3 | 11 | 3 | 9 | 1 | 12 | 1 | 11 | 1 | 10 | 1 | 9 | 1 | 7 | 1 | 6 | 3 | 16 | 3 | 12 | 3 | 14 | 1 | 4 | 3 | 15 | 3 | 0 +INPUTS | 4 | shiftcnt<0> | shifting2 | $OpTx$INV$24__$INT | cpu_Nres INPUTMC | 3 | 1 | 4 | 1 | 2 | 1 | 5 INPUTP | 1 | 49 EQ | 3 | shiftcnt<0>.D = !shiftcnt<0> & shifting2; - shiftcnt<0>.CLK = !$OpTx$INV$22__$INT; + shiftcnt<0>.CLK = !$OpTx$INV$24__$INT; shiftcnt<0>.AR = !cpu_Nres; -MACROCELL | 1 | 16 | shiftcnt<1> +MACROCELL | 3 | 15 | shiftcnt<1> ATTRIBUTES | 8520496 | 0 -OUTPUTMC | 7 | 1 | 1 | 1 | 14 | 1 | 15 | 1 | 16 | 1 | 3 | 1 | 0 | 1 | 2 -INPUTS | 5 | shiftcnt<0> | shiftcnt<1> | shifting2 | $OpTx$INV$22__$INT | cpu_Nres -INPUTMC | 4 | 1 | 4 | 1 | 16 | 1 | 2 | 1 | 5 +OUTPUTMC | 8 | 1 | 1 | 3 | 12 | 3 | 14 | 3 | 15 | 3 | 0 | 1 | 0 | 1 | 2 | 3 | 16 +INPUTS | 7 | shiftcnt<0> | shiftcnt<1> | shifting2 | $OpTx$INV$24__$INT | cpu_Nres | cpha | shiftdone +INPUTMC | 6 | 1 | 4 | 3 | 15 | 1 | 2 | 1 | 5 | 0 | 17 | 3 | 0 INPUTP | 1 | 49 -EQ | 4 | +EXPORTS | 1 | 3 | 16 +EQ | 6 | shiftcnt<1>.D = shiftcnt<0> & !shiftcnt<1> & shifting2 # !shiftcnt<0> & shiftcnt<1> & shifting2; - shiftcnt<1>.CLK = !$OpTx$INV$22__$INT; + shiftcnt<1>.CLK = !$OpTx$INV$24__$INT; shiftcnt<1>.AR = !cpu_Nres; + shiftcnt<1>.EXP = cpu_Nres & cpha & !shiftcnt<0> & !shiftdone & + shifting2 -MACROCELL | 1 | 3 | shiftdone +MACROCELL | 3 | 0 | shiftdone ATTRIBUTES | 8520496 | 0 -OUTPUTMC | 7 | 1 | 1 | 3 | 16 | 3 | 1 | 1 | 2 | 1 | 17 | 1 | 0 | 3 | 15 -INPUTS | 6 | shiftcnt<3> | shiftcnt<2> | shiftcnt<0> | shiftcnt<1> | $OpTx$INV$22__$INT | cpu_Nres -INPUTMC | 5 | 1 | 14 | 1 | 15 | 1 | 4 | 1 | 16 | 1 | 5 +OUTPUTMC | 7 | 1 | 1 | 3 | 16 | 1 | 3 | 1 | 2 | 1 | 17 | 1 | 0 | 3 | 15 +INPUTS | 6 | shiftcnt<3> | shiftcnt<2> | shiftcnt<0> | shiftcnt<1> | $OpTx$INV$24__$INT | cpu_Nres +INPUTMC | 5 | 3 | 12 | 3 | 14 | 1 | 4 | 3 | 15 | 1 | 5 INPUTP | 1 | 49 EQ | 4 | shiftdone.D = shiftcnt<3> & shiftcnt<2> & shiftcnt<0> & shiftcnt<1>; - shiftdone.CLK = !$OpTx$INV$22__$INT; + shiftdone.CLK = !$OpTx$INV$24__$INT; shiftdone.AR = !cpu_Nres; MACROCELL | 3 | 2 | start_shifting ATTRIBUTES | 4326192 | 0 OUTPUTMC | 5 | 2 | 1 | 3 | 2 | 1 | 2 | 3 | 13 | 1 | 5 -INPUTS | 8 | frx | cpu_a<1> | start_shifting | cpu_a<0> | cpu_rnw | cs1 | Ncs2 | start_shifting/start_shifting_RSTF__$INT +INPUTS | 7 | frx | cpu_a<1> | start_shifting | cpu_a<0> | cpu_rnw | Ncs2 | start_shifting/start_shifting_RSTF__$INT INPUTMC | 3 | 0 | 9 | 3 | 2 | 1 | 17 -INPUTP | 5 | 59 | 52 | 24 | 50 | 46 +INPUTP | 4 | 59 | 52 | 24 | 46 EQ | 4 | start_shifting.T = !cpu_rnw & !cpu_a<1> & !start_shifting & !cpu_a<0> # frx & !cpu_a<1> & !start_shifting & !cpu_a<0>; - !start_shifting.CLK = cs1 & !Ncs2; + start_shifting.CLK = Ncs2; start_shifting.AR = !start_shifting/start_shifting_RSTF__$INT; -MACROCELL | 3 | 1 | tc +MACROCELL | 1 | 3 | tc ATTRIBUTES | 8520672 | 0 -OUTPUTMC | 2 | 2 | 7 | 3 | 0 -INPUTS | 5 | cs1 | Ncs2 | shiftdone | cpu_a<1> | cpu_a<0> -INPUTMC | 1 | 1 | 3 -INPUTP | 4 | 50 | 46 | 59 | 52 +OUTPUTMC | 2 | 2 | 7 | 2 | 17 +INPUTS | 4 | Ncs2 | shiftdone | cpu_a<1> | cpu_a<0> +INPUTMC | 1 | 3 | 0 +INPUTP | 3 | 46 | 59 | 52 EQ | 4 | tc.D = Gnd; - !tc.CLK = cs1 & !Ncs2; + tc.CLK = Ncs2; tc.AP = shiftdone; tc.CE = !cpu_a<1> & !cpu_a<0>; MACROCELL | 0 | 3 | spidataout<0> ATTRIBUTES | 4326240 | 0 -OUTPUTMC | 2 | 1 | 0 | 0 | 3 -INPUTS | 8 | cpu_a<1> | spidataout<0> | cpu_a<0> | cpu_d<0>.PIN | cs1 | Ncs2 | cpu_Nres | cpu_rnw +OUTPUTMC | 2 | 1 | 1 | 0 | 3 +INPUTS | 7 | cpu_a<1> | spidataout<0> | cpu_a<0> | cpu_d<0>.PIN | Ncs2 | cpu_Nres | cpu_rnw INPUTMC | 1 | 0 | 3 -INPUTP | 7 | 59 | 52 | 12 | 50 | 46 | 49 | 24 +INPUTP | 6 | 59 | 52 | 12 | 46 | 49 | 24 EQ | 6 | spidataout<0>.T = !cpu_a<1> & spidataout<0> & !cpu_a<0> & !cpu_d<0>.PIN # !cpu_a<1> & !spidataout<0> & !cpu_a<0> & cpu_d<0>.PIN; - !spidataout<0>.CLK = cs1 & !Ncs2; + spidataout<0>.CLK = Ncs2; spidataout<0>.CE = cpu_Nres & !cpu_rnw; MACROCELL | 0 | 2 | spidataout<1> ATTRIBUTES | 4326240 | 0 -OUTPUTMC | 2 | 1 | 1 | 0 | 2 -INPUTS | 8 | cpu_a<1> | spidataout<1> | cpu_a<0> | cpu_d<1>.PIN | cs1 | Ncs2 | cpu_Nres | cpu_rnw +OUTPUTMC | 2 | 0 | 2 | 1 | 2 +INPUTS | 7 | cpu_a<1> | spidataout<1> | cpu_a<0> | cpu_d<1>.PIN | Ncs2 | cpu_Nres | cpu_rnw INPUTMC | 1 | 0 | 2 -INPUTP | 7 | 59 | 52 | 13 | 50 | 46 | 49 | 24 +INPUTP | 6 | 59 | 52 | 13 | 46 | 49 | 24 EQ | 6 | spidataout<1>.T = !cpu_a<1> & spidataout<1> & !cpu_a<0> & !cpu_d<1>.PIN # !cpu_a<1> & !spidataout<1> & !cpu_a<0> & cpu_d<1>.PIN; - !spidataout<1>.CLK = cs1 & !Ncs2; + spidataout<1>.CLK = Ncs2; spidataout<1>.CE = cpu_Nres & !cpu_rnw; MACROCELL | 0 | 1 | spidataout<2> ATTRIBUTES | 4326240 | 0 OUTPUTMC | 2 | 1 | 0 | 0 | 1 -INPUTS | 8 | cpu_a<1> | spidataout<2> | cpu_a<0> | cpu_d<2>.PIN | cs1 | Ncs2 | cpu_Nres | cpu_rnw +INPUTS | 7 | cpu_a<1> | spidataout<2> | cpu_a<0> | cpu_d<2>.PIN | Ncs2 | cpu_Nres | cpu_rnw INPUTMC | 1 | 0 | 1 -INPUTP | 7 | 59 | 52 | 15 | 50 | 46 | 49 | 24 +INPUTP | 6 | 59 | 52 | 15 | 46 | 49 | 24 EQ | 6 | spidataout<2>.T = !cpu_a<1> & spidataout<2> & !cpu_a<0> & !cpu_d<2>.PIN # !cpu_a<1> & !spidataout<2> & !cpu_a<0> & cpu_d<2>.PIN; - !spidataout<2>.CLK = cs1 & !Ncs2; + spidataout<2>.CLK = Ncs2; spidataout<2>.CE = cpu_Nres & !cpu_rnw; -MACROCELL | 3 | 7 | spidataout<3> +MACROCELL | 0 | 0 | spidataout<3> ATTRIBUTES | 4326240 | 0 -OUTPUTMC | 2 | 1 | 0 | 3 | 7 -INPUTS | 8 | cpu_a<1> | spidataout<3> | cpu_a<0> | cpu_d<3>.PIN | cs1 | Ncs2 | cpu_Nres | cpu_rnw -INPUTMC | 1 | 3 | 7 -INPUTP | 7 | 59 | 52 | 26 | 50 | 46 | 49 | 24 +OUTPUTMC | 2 | 1 | 0 | 0 | 0 +INPUTS | 7 | cpu_a<1> | spidataout<3> | cpu_a<0> | cpu_d<3>.PIN | Ncs2 | cpu_Nres | cpu_rnw +INPUTMC | 1 | 0 | 0 +INPUTP | 6 | 59 | 52 | 26 | 46 | 49 | 24 EQ | 6 | spidataout<3>.T = !cpu_a<1> & spidataout<3> & !cpu_a<0> & !cpu_d<3>.PIN # !cpu_a<1> & !spidataout<3> & !cpu_a<0> & cpu_d<3>.PIN; - !spidataout<3>.CLK = cs1 & !Ncs2; + spidataout<3>.CLK = Ncs2; spidataout<3>.CE = cpu_Nres & !cpu_rnw; -MACROCELL | 3 | 6 | spidataout<4> +MACROCELL | 3 | 8 | spidataout<4> ATTRIBUTES | 4326240 | 0 -OUTPUTMC | 2 | 1 | 0 | 3 | 6 -INPUTS | 8 | cpu_a<1> | spidataout<4> | cpu_a<0> | cpu_d<4>.PIN | cs1 | Ncs2 | cpu_Nres | cpu_rnw -INPUTMC | 1 | 3 | 6 -INPUTP | 7 | 59 | 52 | 27 | 50 | 46 | 49 | 24 +OUTPUTMC | 2 | 1 | 1 | 3 | 8 +INPUTS | 7 | cpu_a<1> | spidataout<4> | cpu_a<0> | cpu_d<4>.PIN | Ncs2 | cpu_Nres | cpu_rnw +INPUTMC | 1 | 3 | 8 +INPUTP | 6 | 59 | 52 | 27 | 46 | 49 | 24 EQ | 6 | spidataout<4>.T = !cpu_a<1> & spidataout<4> & !cpu_a<0> & !cpu_d<4>.PIN # !cpu_a<1> & !spidataout<4> & !cpu_a<0> & cpu_d<4>.PIN; - !spidataout<4>.CLK = cs1 & !Ncs2; + spidataout<4>.CLK = Ncs2; spidataout<4>.CE = cpu_Nres & !cpu_rnw; -MACROCELL | 3 | 5 | spidataout<5> +MACROCELL | 3 | 6 | spidataout<5> ATTRIBUTES | 4326240 | 0 -OUTPUTMC | 2 | 1 | 1 | 3 | 5 -INPUTS | 8 | cpu_a<1> | spidataout<5> | cpu_a<0> | cpu_d<5>.PIN | cs1 | Ncs2 | cpu_Nres | cpu_rnw -INPUTMC | 1 | 3 | 5 -INPUTP | 7 | 59 | 52 | 29 | 50 | 46 | 49 | 24 +OUTPUTMC | 2 | 1 | 0 | 3 | 6 +INPUTS | 7 | cpu_a<1> | spidataout<5> | cpu_a<0> | cpu_d<5>.PIN | Ncs2 | cpu_Nres | cpu_rnw +INPUTMC | 1 | 3 | 6 +INPUTP | 6 | 59 | 52 | 29 | 46 | 49 | 24 EQ | 6 | spidataout<5>.T = !cpu_a<1> & spidataout<5> & !cpu_a<0> & !cpu_d<5>.PIN # !cpu_a<1> & !spidataout<5> & !cpu_a<0> & cpu_d<5>.PIN; - !spidataout<5>.CLK = cs1 & !Ncs2; + spidataout<5>.CLK = Ncs2; spidataout<5>.CE = cpu_Nres & !cpu_rnw; -MACROCELL | 3 | 4 | spidataout<6> +MACROCELL | 3 | 5 | spidataout<6> ATTRIBUTES | 4326240 | 0 -OUTPUTMC | 2 | 3 | 4 | 1 | 2 -INPUTS | 8 | cpu_a<1> | spidataout<6> | cpu_a<0> | cpu_d<6>.PIN | cs1 | Ncs2 | cpu_Nres | cpu_rnw -INPUTMC | 1 | 3 | 4 -INPUTP | 7 | 59 | 52 | 31 | 50 | 46 | 49 | 24 +OUTPUTMC | 2 | 1 | 0 | 3 | 5 +INPUTS | 7 | cpu_a<1> | spidataout<6> | cpu_a<0> | cpu_d<6>.PIN | Ncs2 | cpu_Nres | cpu_rnw +INPUTMC | 1 | 3 | 5 +INPUTP | 6 | 59 | 52 | 31 | 46 | 49 | 24 EQ | 6 | spidataout<6>.T = !cpu_a<1> & spidataout<6> & !cpu_a<0> & !cpu_d<6>.PIN # !cpu_a<1> & !spidataout<6> & !cpu_a<0> & cpu_d<6>.PIN; - !spidataout<6>.CLK = cs1 & !Ncs2; + spidataout<6>.CLK = Ncs2; spidataout<6>.CE = cpu_Nres & !cpu_rnw; MACROCELL | 3 | 3 | spidataout<7> ATTRIBUTES | 4326240 | 0 OUTPUTMC | 2 | 1 | 0 | 3 | 3 -INPUTS | 8 | cpu_a<1> | spidataout<7> | cpu_a<0> | cpu_d<7>.PIN | cs1 | Ncs2 | cpu_Nres | cpu_rnw +INPUTS | 7 | cpu_a<1> | spidataout<7> | cpu_a<0> | cpu_d<7>.PIN | Ncs2 | cpu_Nres | cpu_rnw INPUTMC | 1 | 3 | 3 -INPUTP | 7 | 59 | 52 | 33 | 50 | 46 | 49 | 24 +INPUTP | 6 | 59 | 52 | 33 | 46 | 49 | 24 EQ | 6 | spidataout<7>.T = !cpu_a<1> & spidataout<7> & !cpu_a<0> & !cpu_d<7>.PIN # !cpu_a<1> & !spidataout<7> & !cpu_a<0> & cpu_d<7>.PIN; - !spidataout<7>.CLK = cs1 & !Ncs2; + spidataout<7>.CLK = Ncs2; spidataout<7>.CE = cpu_Nres & !cpu_rnw; +MACROCELL | 2 | 14 | ng_OBUF +ATTRIBUTES | 264962 | 0 +INPUTS | 3 | Ncs2 | nio_stb | nio_sel +INPUTP | 3 | 46 | 9 | 3 +EQ | 1 | + ng = Ncs2 & nio_stb & nio_sel; + MACROCELL | 0 | 4 | int_dout<0> ATTRIBUTES | 265986 | 0 -INPUTS | 10 | cpu_rnw | spidatain<0> | cpu_a<1> | cs1 | Ncs2 | cpu_a<0> | cpu_Nphi2 | divisor<0> | cpha | spi_Nsel -INPUTMC | 4 | 1 | 13 | 0 | 13 | 0 | 17 | 3 | 10 -INPUTP | 6 | 24 | 59 | 50 | 46 | 52 | 20 +INPUTS | 9 | Ncs2 | cpu_rnw | spidatain<0> | cpu_a<1> | cpu_a<0> | cpu_Nphi2 | divisor<0> | cpha | spi_Nsel +INPUTMC | 4 | 3 | 11 | 0 | 13 | 0 | 17 | 3 | 10 +INPUTP | 5 | 46 | 24 | 59 | 52 | 20 EQ | 9 | - cpu_d<0> = cpu_rnw & spi_Nsel & cpu_a<1> & cs1 & !Ncs2 & + cpu_d<0> = !Ncs2 & cpu_rnw & spi_Nsel & cpu_a<1> & cpu_a<0> & cpu_Nphi2 - # cpu_rnw & cpha & !cpu_a<1> & cs1 & !Ncs2 & - cpu_a<0> & cpu_Nphi2 - # cpu_rnw & divisor<0> & cpu_a<1> & cs1 & !Ncs2 & + # !Ncs2 & cpu_rnw & cpha & !cpu_a<1> & cpu_a<0> & + cpu_Nphi2 + # !Ncs2 & cpu_rnw & divisor<0> & cpu_a<1> & !cpu_a<0> & cpu_Nphi2 - # cpu_rnw & spidatain<0> & !cpu_a<1> & cs1 & !Ncs2 & + # !Ncs2 & cpu_rnw & spidatain<0> & !cpu_a<1> & !cpu_a<0> & cpu_Nphi2; - cpu_d<0>.OE = cpu_rnw & cs1 & !Ncs2 & cpu_Nphi2; + cpu_d<0>.OE = !Ncs2 & cpu_rnw & cpu_Nphi2; MACROCELL | 0 | 5 | int_dout<1> ATTRIBUTES | 265986 | 0 -INPUTS | 9 | cpu_rnw | spidatain<1> | cpu_a<1> | cs1 | Ncs2 | cpu_a<0> | cpu_Nphi2 | cpol | divisor<1> -INPUTMC | 3 | 1 | 12 | 0 | 15 | 0 | 12 -INPUTP | 6 | 24 | 59 | 50 | 46 | 52 | 20 +INPUTS | 8 | Ncs2 | cpu_rnw | spidatain<1> | cpu_a<1> | cpu_a<0> | cpu_Nphi2 | cpol | divisor<1> +INPUTMC | 3 | 3 | 9 | 0 | 15 | 0 | 12 +INPUTP | 5 | 46 | 24 | 59 | 52 | 20 EQ | 7 | - cpu_d<1> = cpu_rnw & cpol & !cpu_a<1> & cs1 & !Ncs2 & - cpu_a<0> & cpu_Nphi2 - # cpu_rnw & divisor<1> & cpu_a<1> & cs1 & !Ncs2 & + cpu_d<1> = !Ncs2 & cpu_rnw & cpol & !cpu_a<1> & cpu_a<0> & + cpu_Nphi2 + # !Ncs2 & cpu_rnw & divisor<1> & cpu_a<1> & !cpu_a<0> & cpu_Nphi2 - # cpu_rnw & spidatain<1> & !cpu_a<1> & cs1 & !Ncs2 & + # !Ncs2 & cpu_rnw & spidatain<1> & !cpu_a<1> & !cpu_a<0> & cpu_Nphi2; - cpu_d<1>.OE = cpu_rnw & cs1 & !Ncs2 & cpu_Nphi2; + cpu_d<1>.OE = !Ncs2 & cpu_rnw & cpu_Nphi2; MACROCELL | 0 | 7 | int_dout<2> ATTRIBUTES | 265986 | 0 -INPUTS | 9 | cpu_rnw | spidatain<2> | cpu_a<1> | cs1 | Ncs2 | cpu_a<0> | cpu_Nphi2 | ece | divisor<2> -INPUTMC | 3 | 1 | 11 | 0 | 10 | 0 | 11 -INPUTP | 6 | 24 | 59 | 50 | 46 | 52 | 20 +INPUTS | 8 | Ncs2 | cpu_rnw | spidatain<2> | cpu_a<1> | cpu_a<0> | cpu_Nphi2 | ece | divisor<2> +INPUTMC | 3 | 1 | 12 | 0 | 10 | 0 | 11 +INPUTP | 5 | 46 | 24 | 59 | 52 | 20 EQ | 7 | - cpu_d<2> = cpu_rnw & ece & !cpu_a<1> & cs1 & !Ncs2 & - cpu_a<0> & cpu_Nphi2 - # cpu_rnw & divisor<2> & cpu_a<1> & cs1 & !Ncs2 & + cpu_d<2> = !Ncs2 & cpu_rnw & ece & !cpu_a<1> & cpu_a<0> & + cpu_Nphi2 + # !Ncs2 & cpu_rnw & divisor<2> & cpu_a<1> & !cpu_a<0> & cpu_Nphi2 - # cpu_rnw & spidatain<2> & !cpu_a<1> & cs1 & !Ncs2 & + # !Ncs2 & cpu_rnw & spidatain<2> & !cpu_a<1> & !cpu_a<0> & cpu_Nphi2; - cpu_d<2>.OE = cpu_rnw & cs1 & !Ncs2 & cpu_Nphi2; + cpu_d<2>.OE = !Ncs2 & cpu_rnw & cpu_Nphi2; MACROCELL | 0 | 16 | int_dout<4> ATTRIBUTES | 265986 | 0 -INPUTS | 10 | cpu_rnw | spidatain<4> | cpu_a<1> | cs1 | Ncs2 | cpu_a<0> | cpu_Nphi2 | spi_int | frx | slaveinten -INPUTMC | 3 | 1 | 9 | 0 | 9 | 0 | 8 -INPUTP | 7 | 24 | 59 | 50 | 46 | 52 | 20 | 7 +INPUTS | 9 | Ncs2 | cpu_rnw | spidatain<4> | cpu_a<1> | cpu_a<0> | cpu_Nphi2 | spi_int | frx | slaveinten +INPUTMC | 3 | 1 | 10 | 0 | 9 | 0 | 8 +INPUTP | 6 | 46 | 24 | 59 | 52 | 20 | 7 EQ | 9 | - cpu_d<4> = cpu_rnw & frx & !cpu_a<1> & cs1 & !Ncs2 & + cpu_d<4> = !Ncs2 & cpu_rnw & frx & !cpu_a<1> & cpu_a<0> & + cpu_Nphi2 + # !Ncs2 & cpu_rnw & slaveinten & cpu_a<1> & cpu_a<0> & cpu_Nphi2 - # cpu_rnw & slaveinten & cpu_a<1> & cs1 & !Ncs2 & - cpu_a<0> & cpu_Nphi2 - # cpu_rnw & spidatain<4> & !cpu_a<1> & cs1 & !Ncs2 & + # !Ncs2 & cpu_rnw & spidatain<4> & !cpu_a<1> & !cpu_a<0> & cpu_Nphi2 - # cpu_rnw & cpu_a<1> & cs1 & !Ncs2 & !cpu_a<0> & - !spi_int & cpu_Nphi2; - cpu_d<4>.OE = cpu_rnw & cs1 & !Ncs2 & cpu_Nphi2; + # !Ncs2 & cpu_rnw & cpu_a<1> & !cpu_a<0> & !spi_int & + cpu_Nphi2; + cpu_d<4>.OE = !Ncs2 & cpu_rnw & cpu_Nphi2; MACROCELL | 1 | 2 | shifting2 ATTRIBUTES | 8520480 | 0 -OUTPUTMC | 20 | 1 | 1 | 1 | 13 | 1 | 12 | 1 | 11 | 1 | 10 | 1 | 9 | 1 | 8 | 1 | 7 | 1 | 6 | 3 | 16 | 2 | 1 | 1 | 14 | 1 | 15 | 1 | 4 | 1 | 16 | 3 | 13 | 1 | 5 | 1 | 0 | 1 | 2 | 3 | 15 -INPUTS | 8 | shiftdone | start_shifting | $OpTx$INV$22__$INT | shiftcnt<3> | shiftcnt<2> | shiftcnt<1> | spidataout<6> | shifting2 -INPUTMC | 8 | 1 | 3 | 3 | 2 | 1 | 5 | 1 | 14 | 1 | 15 | 1 | 16 | 3 | 4 | 1 | 2 +OUTPUTMC | 19 | 1 | 1 | 3 | 11 | 3 | 9 | 1 | 12 | 1 | 11 | 1 | 10 | 1 | 9 | 1 | 7 | 1 | 6 | 3 | 16 | 2 | 1 | 3 | 12 | 3 | 14 | 1 | 4 | 3 | 15 | 3 | 13 | 1 | 5 | 1 | 0 | 1 | 2 +INPUTS | 8 | shiftdone | start_shifting | $OpTx$INV$24__$INT | shiftcnt<3> | shiftcnt<2> | shiftcnt<1> | spidataout<1> | shifting2 +INPUTMC | 8 | 3 | 0 | 3 | 2 | 1 | 5 | 3 | 12 | 3 | 14 | 3 | 15 | 0 | 2 | 1 | 2 EXPORTS | 1 | 1 | 1 EQ | 4 | shifting2.D = !shiftdone & start_shifting; - shifting2.CLK = !$OpTx$INV$22__$INT; - shifting2.EXP = !shiftcnt<3> & !shiftcnt<2> & shiftcnt<1> & - !shiftdone & !spidataout<6> & shifting2 + shifting2.CLK = !$OpTx$INV$24__$INT; + shifting2.EXP = shiftcnt<3> & shiftcnt<2> & !shiftcnt<1> & + !shiftdone & !spidataout<1> & shifting2 + +MACROCELL | 2 | 16 | add_dec/XLXN_11 +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 1 | 2 | 8 +INPUTS | 4 | nio_stb | a9 | a8 | a10 +INPUTP | 4 | 9 | 89 | 88 | 90 +EQ | 2 | + !add_dec/XLXN_11.D = !nio_stb & a9 & a8 & a10; + add_dec/XLXN_11.CLK = extclk; // GCK +GLOBALS | 1 | 2 | extclk + +MACROCELL | 3 | 7 | b10_OBUF +ATTRIBUTES | 264962 | 0 +INPUTS | 2 | a10 | nio_sel +INPUTP | 2 | 90 | 3 +EQ | 1 | + b10 = a10 & nio_sel; + +MACROCELL | 3 | 1 | b8_OBUF +ATTRIBUTES | 264962 | 0 +INPUTS | 2 | a8 | nio_sel +INPUTP | 2 | 88 | 3 +EQ | 1 | + b8 = a8 & nio_sel; + +MACROCELL | 3 | 4 | b9_OBUF +ATTRIBUTES | 264962 | 0 +INPUTS | 2 | a9 | nio_sel +INPUTP | 2 | 89 | 3 +EQ | 1 | + b9 = a9 & nio_sel; MACROCELL | 3 | 13 | led_OBUF ATTRIBUTES | 264962 | 0 @@ -610,22 +651,31 @@ INPUTMC | 3 | 3 | 10 | 3 | 2 | 1 | 2 EQ | 1 | led = spi_Nsel & !start_shifting & !shifting2; -MACROCELL | 2 | 8 | cpu_Nirq_OBUFE +MACROCELL | 2 | 8 | noe_OBUF +ATTRIBUTES | 264962 | 0 +INPUTS | 3 | nio_sel | add_dec/XLXN_11 | nio_stb +INPUTMC | 1 | 2 | 16 +INPUTP | 2 | 3 | 9 +EQ | 2 | + !noe = !nio_stb & add_dec/XLXN_11 + # !nio_sel & add_dec/XLXN_11; + +MACROCELL | 1 | 8 | cpu_Nirq_OBUFE ATTRIBUTES | 265986 | 0 INPUTS | 1 | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST -INPUTMC | 1 | 3 | 0 +INPUTMC | 1 | 2 | 17 EQ | 2 | cpu_Nirq = Gnd; cpu_Nirq.OE = cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST; -MACROCELL | 1 | 5 | $OpTx$INV$22__$INT +MACROCELL | 1 | 5 | $OpTx$INV$24__$INT ATTRIBUTES | 133888 | 0 -OUTPUTMC | 16 | 1 | 1 | 1 | 13 | 1 | 12 | 1 | 11 | 1 | 10 | 1 | 9 | 1 | 8 | 1 | 7 | 1 | 6 | 3 | 16 | 1 | 14 | 1 | 15 | 1 | 4 | 1 | 16 | 1 | 3 | 1 | 2 +OUTPUTMC | 16 | 1 | 1 | 3 | 11 | 3 | 9 | 1 | 12 | 1 | 11 | 1 | 10 | 1 | 9 | 1 | 7 | 1 | 6 | 3 | 16 | 3 | 12 | 3 | 14 | 1 | 4 | 3 | 15 | 3 | 0 | 1 | 2 INPUTS | 5 | ece | cpu_Nphi2 | extclk | start_shifting | shifting2 INPUTMC | 3 | 0 | 10 | 3 | 2 | 1 | 2 INPUTP | 2 | 20 | 21 EQ | 3 | - $OpTx$INV$22__$INT = ece & !extclk + $OpTx$INV$24__$INT = ece & !extclk # !ece & !cpu_Nphi2 # !start_shifting & !shifting2; @@ -633,16 +683,16 @@ MACROCELL | 1 | 17 | start_shifting/start_shifting_RSTF__$INT ATTRIBUTES | 133888 | 0 OUTPUTMC | 1 | 3 | 2 INPUTS | 2 | cpu_Nres | shiftdone -INPUTMC | 1 | 1 | 3 +INPUTMC | 1 | 3 | 0 INPUTP | 1 | 49 EQ | 1 | start_shifting/start_shifting_RSTF__$INT = cpu_Nres & !shiftdone; -MACROCELL | 3 | 0 | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST +MACROCELL | 2 | 17 | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST ATTRIBUTES | 133888 | 0 -OUTPUTMC | 1 | 2 | 8 +OUTPUTMC | 1 | 1 | 8 INPUTS | 4 | ier | tc | slaveinten | spi_int -INPUTMC | 3 | 3 | 8 | 3 | 1 | 0 | 8 +INPUTMC | 3 | 3 | 17 | 1 | 3 | 0 | 8 INPUTP | 1 | 7 EQ | 2 | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST = ier & tc @@ -651,52 +701,50 @@ EQ | 2 | MACROCELL | 1 | 0 | EXP6_ ATTRIBUTES | 2048 | 0 OUTPUTMC | 1 | 1 | 1 -INPUTS | 10 | shiftcnt<3> | shiftcnt<2> | shiftcnt<1> | shiftdone | spidataout<0> | shifting2 | spidataout<2> | spidataout<3> | spidataout<4> | spidataout<7> -INPUTMC | 10 | 1 | 14 | 1 | 15 | 1 | 16 | 1 | 3 | 0 | 3 | 1 | 2 | 0 | 1 | 3 | 7 | 3 | 6 | 3 | 3 +INPUTS | 10 | shiftcnt<3> | shiftcnt<2> | shiftcnt<1> | shiftdone | spidataout<2> | shifting2 | spidataout<3> | spidataout<5> | spidataout<6> | spidataout<7> +INPUTMC | 10 | 3 | 12 | 3 | 14 | 3 | 15 | 3 | 0 | 0 | 1 | 1 | 2 | 0 | 0 | 3 | 6 | 3 | 5 | 3 | 3 EXPORTS | 1 | 1 | 1 EQ | 10 | - EXP6_.EXP = shiftcnt<3> & shiftcnt<2> & shiftcnt<1> & - !shiftdone & !spidataout<0> & shifting2 - # shiftcnt<3> & !shiftcnt<2> & shiftcnt<1> & + EXP6_.EXP = shiftcnt<3> & !shiftcnt<2> & shiftcnt<1> & !shiftdone & !spidataout<2> & shifting2 # shiftcnt<3> & !shiftcnt<2> & !shiftcnt<1> & !shiftdone & !spidataout<3> & shifting2 - # !shiftcnt<3> & shiftcnt<2> & shiftcnt<1> & - !shiftdone & !spidataout<4> & shifting2 + # !shiftcnt<3> & shiftcnt<2> & !shiftcnt<1> & + !shiftdone & !spidataout<5> & shifting2 + # !shiftcnt<3> & !shiftcnt<2> & shiftcnt<1> & + !shiftdone & !spidataout<6> & shifting2 # !shiftcnt<3> & !shiftcnt<2> & !shiftcnt<1> & !shiftdone & !spidataout<7> & shifting2 -MACROCELL | 3 | 15 | EXP7_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 3 | 16 -INPUTS | 5 | cpu_Nres | cpha | shiftcnt<0> | shiftdone | shifting2 -INPUTMC | 4 | 0 | 17 | 1 | 4 | 1 | 3 | 1 | 2 -INPUTP | 1 | 49 -EXPORTS | 1 | 3 | 16 -EQ | 2 | - EXP7_.EXP = cpu_Nres & cpha & !shiftcnt<0> & !shiftdone & - shifting2 - -PIN | cpu_Nres | 64 | 0 | N/A | 49 | 36 | 1 | 1 | 3 | 10 | 0 | 15 | 0 | 10 | 0 | 17 | 0 | 9 | 3 | 8 | 0 | 8 | 0 | 6 | 0 | 13 | 0 | 12 | 0 | 11 | 1 | 13 | 1 | 12 | 1 | 11 | 1 | 10 | 1 | 9 | 1 | 8 | 1 | 7 | 1 | 6 | 3 | 16 | 1 | 14 | 1 | 15 | 1 | 4 | 1 | 16 | 1 | 3 | 0 | 3 | 0 | 2 | 0 | 1 | 3 | 7 | 3 | 6 | 3 | 5 | 3 | 4 | 3 | 3 | 1 | 17 | 3 | 15 -PIN | cpu_rnw | 64 | 0 | N/A | 24 | 28 | 3 | 10 | 0 | 15 | 0 | 10 | 0 | 17 | 0 | 9 | 3 | 8 | 0 | 8 | 0 | 6 | 0 | 13 | 0 | 12 | 0 | 11 | 0 | 14 | 2 | 1 | 2 | 4 | 2 | 7 | 3 | 2 | 0 | 3 | 0 | 2 | 0 | 1 | 3 | 7 | 3 | 6 | 3 | 5 | 3 | 4 | 3 | 3 | 0 | 4 | 0 | 5 | 0 | 7 | 0 | 16 -PIN | Ncs2 | 64 | 0 | N/A | 46 | 29 | 3 | 10 | 0 | 15 | 0 | 10 | 0 | 17 | 0 | 9 | 3 | 8 | 0 | 8 | 0 | 6 | 0 | 13 | 0 | 12 | 0 | 11 | 0 | 14 | 2 | 1 | 2 | 4 | 2 | 7 | 3 | 2 | 3 | 1 | 0 | 3 | 0 | 2 | 0 | 1 | 3 | 7 | 3 | 6 | 3 | 5 | 3 | 4 | 3 | 3 | 0 | 4 | 0 | 5 | 0 | 7 | 0 | 16 -PIN | cs1 | 64 | 0 | N/A | 50 | 29 | 3 | 10 | 0 | 15 | 0 | 10 | 0 | 17 | 0 | 9 | 3 | 8 | 0 | 8 | 0 | 6 | 0 | 13 | 0 | 12 | 0 | 11 | 0 | 14 | 2 | 1 | 2 | 4 | 2 | 7 | 3 | 2 | 3 | 1 | 0 | 3 | 0 | 2 | 0 | 1 | 3 | 7 | 3 | 6 | 3 | 5 | 3 | 4 | 3 | 3 | 0 | 4 | 0 | 5 | 0 | 7 | 0 | 16 -PIN | cpu_a<0> | 64 | 0 | N/A | 52 | 29 | 3 | 10 | 0 | 15 | 0 | 10 | 0 | 17 | 0 | 9 | 3 | 8 | 0 | 8 | 0 | 6 | 0 | 13 | 0 | 12 | 0 | 11 | 0 | 14 | 2 | 1 | 2 | 4 | 2 | 7 | 3 | 2 | 3 | 1 | 0 | 3 | 0 | 2 | 0 | 1 | 3 | 7 | 3 | 6 | 3 | 5 | 3 | 4 | 3 | 3 | 0 | 4 | 0 | 5 | 0 | 7 | 0 | 16 -PIN | cpu_a<1> | 64 | 0 | N/A | 59 | 29 | 3 | 10 | 0 | 15 | 0 | 10 | 0 | 17 | 0 | 9 | 3 | 8 | 0 | 8 | 0 | 6 | 0 | 13 | 0 | 12 | 0 | 11 | 0 | 14 | 2 | 1 | 2 | 4 | 2 | 7 | 3 | 2 | 3 | 1 | 0 | 3 | 0 | 2 | 0 | 1 | 3 | 7 | 3 | 6 | 3 | 5 | 3 | 4 | 3 | 3 | 0 | 4 | 0 | 5 | 0 | 7 | 0 | 16 -PIN | spi_miso | 64 | 0 | N/A | 10 | 1 | 1 | 13 +PIN | cpu_Nres | 64 | 0 | N/A | 49 | 35 | 1 | 1 | 3 | 10 | 0 | 15 | 0 | 10 | 0 | 17 | 0 | 9 | 3 | 17 | 0 | 8 | 0 | 6 | 0 | 13 | 0 | 12 | 0 | 11 | 3 | 11 | 3 | 9 | 1 | 12 | 1 | 11 | 1 | 10 | 1 | 9 | 1 | 7 | 1 | 6 | 3 | 16 | 3 | 12 | 3 | 14 | 1 | 4 | 3 | 15 | 3 | 0 | 0 | 3 | 0 | 2 | 0 | 1 | 0 | 0 | 3 | 8 | 3 | 6 | 3 | 5 | 3 | 3 | 1 | 17 +PIN | Ncs2 | 64 | 0 | N/A | 46 | 30 | 3 | 10 | 0 | 15 | 0 | 10 | 0 | 17 | 0 | 9 | 3 | 17 | 0 | 8 | 0 | 6 | 0 | 13 | 0 | 12 | 0 | 11 | 0 | 14 | 2 | 1 | 2 | 4 | 2 | 7 | 3 | 2 | 1 | 3 | 0 | 3 | 0 | 2 | 0 | 1 | 0 | 0 | 3 | 8 | 3 | 6 | 3 | 5 | 3 | 3 | 2 | 14 | 0 | 4 | 0 | 5 | 0 | 7 | 0 | 16 +PIN | cpu_rnw | 64 | 0 | N/A | 24 | 28 | 3 | 10 | 0 | 15 | 0 | 10 | 0 | 17 | 0 | 9 | 3 | 17 | 0 | 8 | 0 | 6 | 0 | 13 | 0 | 12 | 0 | 11 | 0 | 14 | 2 | 1 | 2 | 4 | 2 | 7 | 3 | 2 | 0 | 3 | 0 | 2 | 0 | 1 | 0 | 0 | 3 | 8 | 3 | 6 | 3 | 5 | 3 | 3 | 0 | 4 | 0 | 5 | 0 | 7 | 0 | 16 +PIN | cpu_a<0> | 64 | 0 | N/A | 52 | 29 | 3 | 10 | 0 | 15 | 0 | 10 | 0 | 17 | 0 | 9 | 3 | 17 | 0 | 8 | 0 | 6 | 0 | 13 | 0 | 12 | 0 | 11 | 0 | 14 | 2 | 1 | 2 | 4 | 2 | 7 | 3 | 2 | 1 | 3 | 0 | 3 | 0 | 2 | 0 | 1 | 0 | 0 | 3 | 8 | 3 | 6 | 3 | 5 | 3 | 3 | 0 | 4 | 0 | 5 | 0 | 7 | 0 | 16 +PIN | cpu_a<1> | 64 | 0 | N/A | 59 | 29 | 3 | 10 | 0 | 15 | 0 | 10 | 0 | 17 | 0 | 9 | 3 | 17 | 0 | 8 | 0 | 6 | 0 | 13 | 0 | 12 | 0 | 11 | 0 | 14 | 2 | 1 | 2 | 4 | 2 | 7 | 3 | 2 | 1 | 3 | 0 | 3 | 0 | 2 | 0 | 1 | 0 | 0 | 3 | 8 | 3 | 6 | 3 | 5 | 3 | 3 | 0 | 4 | 0 | 5 | 0 | 7 | 0 | 16 +PIN | spi_miso | 64 | 0 | N/A | 10 | 1 | 3 | 11 +PIN | nio_stb | 64 | 0 | N/A | 9 | 3 | 2 | 14 | 2 | 16 | 2 | 8 +PIN | a9 | 64 | 0 | N/A | 89 | 2 | 2 | 16 | 3 | 4 +PIN | a8 | 64 | 0 | N/A | 88 | 2 | 2 | 16 | 3 | 1 +PIN | a10 | 64 | 0 | N/A | 90 | 2 | 2 | 16 | 3 | 7 PIN | cpu_Nphi2 | 64 | 0 | N/A | 20 | 9 | 0 | 14 | 2 | 1 | 2 | 4 | 2 | 7 | 0 | 4 | 0 | 5 | 0 | 7 | 0 | 16 | 1 | 5 -PIN | spi_int | 64 | 0 | N/A | 7 | 2 | 0 | 16 | 3 | 0 -PIN | extclk | 64 | 0 | N/A | 21 | 1 | 1 | 5 +PIN | nio_sel | 64 | 0 | N/A | 3 | 5 | 2 | 14 | 3 | 7 | 3 | 1 | 3 | 4 | 2 | 8 +PIN | spi_int | 64 | 0 | N/A | 7 | 2 | 0 | 16 | 2 | 17 +PIN | extclk | 8256 | 0 | N/A | 21 | 2 | 1 | 5 | 2 | 16 PIN | spi_mosi | 536871040 | 0 | N/A | 87 PIN | spi_Nsel | 536871040 | 0 | N/A | 68 PIN | spi_sclk | 536871040 | 0 | N/A | 83 +PIN | ng | 536871040 | 0 | N/A | 50 +PIN | b10 | 536871040 | 0 | N/A | 65 +PIN | b8 | 536871040 | 0 | N/A | 62 +PIN | b9 | 536871040 | 0 | N/A | 63 PIN | led | 536871040 | 0 | N/A | 72 -PIN | cpu_Nirq | 536871040 | 0 | N/A | 38 -PIN | cpu_d<3> | 536870976 | 0 | N/A | 26 | 2 | 0 | 6 | 3 | 7 -PIN | cpu_d<5> | 536870976 | 0 | N/A | 29 | 1 | 3 | 5 -PIN | cpu_d<6> | 536870976 | 0 | N/A | 31 | 2 | 3 | 8 | 3 | 4 +PIN | noe | 536871040 | 0 | N/A | 38 +PIN | cpu_Nirq | 536871040 | 0 | N/A | 92 +PIN | cpu_d<3> | 536870976 | 0 | N/A | 26 | 2 | 0 | 6 | 0 | 0 +PIN | cpu_d<5> | 536870976 | 0 | N/A | 29 | 1 | 3 | 6 +PIN | cpu_d<6> | 536870976 | 0 | N/A | 31 | 2 | 3 | 17 | 3 | 5 PIN | cpu_d<7> | 536870976 | 0 | N/A | 33 | 1 | 3 | 3 PIN | cpu_d<0> | 536870976 | 0 | N/A | 12 | 4 | 3 | 10 | 0 | 17 | 0 | 13 | 0 | 3 PIN | cpu_d<1> | 536870976 | 0 | N/A | 13 | 3 | 0 | 15 | 0 | 12 | 0 | 2 PIN | cpu_d<2> | 536870976 | 0 | N/A | 15 | 3 | 0 | 10 | 0 | 11 | 0 | 1 -PIN | cpu_d<4> | 536870976 | 0 | N/A | 27 | 3 | 0 | 9 | 0 | 8 | 3 | 6 +PIN | cpu_d<4> | 536870976 | 0 | N/A | 27 | 3 | 0 | 9 | 0 | 8 | 3 | 8 diff --git a/spi6502b.ngc b/spi6502b.ngc index 6cd68ec..b81ac5d 100644 --- a/spi6502b.ngc +++ b/spi6502b.ngc @@ -1,3 +1,3 @@ XILINX-XDB 0.1 STUB 0.1 ASCII XILINX-XDM V1.2e -$32b44<:3CE\XZ5cqw\e97=87;9754FNNWW>fvrWm6<6=0;;@LG61=FFM9?7L@K499AQVYNFOE:7N<4CD18GIT>3JEFADZ[EE58GWCF\LN:7I94D`vb85803Mkm1?11:G1?CB43ONH86HKCD68BAEW;2LO\95IDQG2?B2O7:2C:>6G=2:K00>OE]O90ECX=;HM5?LIE]OO<7AAHIBCOe>JWEVRD^?Q>1`9NeabXWg:;8:QBxnp\V`urd}6:2?00321>KflmUTb=>;7^]m4563494:96Cnde]\j563?VUe<=>9<1<26>Kj}qUTb=>>9^Pfwpjs9:1FaxvP_o235?18]Qavsk|:;87@czx^]m457>W[oxyaz;129Nip~XWg:;=4Q]erwop0753Dg~tRQa0107[Wct}e~:?6Cbuy]\j565T^h}zlu626>Kj}qUTb=>=5^Pfwpjs9:1FaxvP_o2360YUmzgxS_k|umv156=Je|rTSc>?24]Qavsk|:;87@czx^]m4542W[oxyaz;139Nip~XWg:;>;Q]erwop45VXnxb{2018IhsWVd;?26]Qavsk|=;97@czx^]m454?W[oxyaz>3:Onq}YXf9:94R\jstnw5455Bmtz\[k67:0UYi~{ct030?HkrpVUe<=<6_Sgpqir59:1FaxvP_o236?<;Lov|ZYi8982S_k|umv757=Je|rTSc>?31]Qavsk|890A`{w_^l3466XZly~`y?>3:Onq}YXf9:8?PRdqvhq743Dg~tRQa0112[Wct}e~:=>5Bmtz\[k67;8UYi~{ct330?HkrpVUe<==>_Sgpqir49:1FaxvP_o2374YUmzgx9?:;Lov|ZYi8999SD>PRdqvhq713Dg~tRQa0111[L6XZly~`y?>6:Onq}YXf9:8>RG?_Sgpqir59?1FaxvP_o2377YN8VXnxb{3048IhsWVd;<>7@czx^]m4555W@;T^h}zlu35?HkrpVUe<===_H3\V`urd};::6Cbuy]\j564:VC:S_k|umv153=Je|rTSc>?33]J5ZTb{|f?<84Mlw{[Zh78:8TE2GfyuQPn1206ZO5W[oxyaz=179Nip~XWg:;??QF2^Pfwpjs;8<0A`{w_^l3464XA;UYi~{ct536?HkrpVUe<==;_H2\V`urd};=7@czx^]m4553W@:T^h}zlu322>Kj}qUTb=><4^K3[Wct}e~9=;5Bmtz\[k67;=UB6:Onq}YXf9:88RG>_Sgpqir59?1FaxvP_o2371YN9VXnxb{3048IhsWVd;<>:PI0]Qavsk|=;>7@czx^]m4553W@8T^h}zlu35?HkrpVUe<==;_H0\V`urd};::6Cbuy]\j564?35]J6ZTb{|f?<84Mlw{[Zh78:>TE?Q]erwop1723Dg~tRQa0117[L5XZly~`y?9;Lov|ZYi899?SD=PRdqvhq76>2GfyuQPn1200ZO4W[oxyaz=179Nip~XWg:;?9QF3^Pfwpjs;8<0A`{w_^l3462XA:UYi~{ct536?HkrpVUe<==;_H6\V`urd};=7@czx^]m4553W@>T^h}zlu322>Kj}qUTb=><4^K7[Wct}e~9=;5Bmtz\[k67;=UB8R\jstnw7406:Onq}YXf9:88RG:_Sgpqir59?1FaxvP_o2371YN=VXnxb{3048IhsWVd;<>:PI4]Qavsk|=;>7@czx^]m4553W@?35]J2ZTb{|f?<84Mlw{[Zh78:>TE;Q]erwop1723Dg~tRQa0117[L1XZly~`y?9;Lov|ZYi899?SD9PRdqvhq76>2GfyuQPn1200ZO0W[oxyaz=179Nip~XWg:;?9QF7^Pfwpjs;8<0A`{w_^l3462XA>UYi~{ct534?H~hzVUe<=:?_LzlvZTb{|f=:5Bxnp\[k67<9UFtb|P_o2345723Drd~RQa0163[Zh78987<3;4N3:;=0=I:13:96@=8816?K4?1=2D95=?:;O0:4620XT^Jc:WPAWYQAZCI@H=4VBA:?SOB_V^R\H?k;YKOMK^*PMH+<#?/SUWA$5(6(HYHED:4XNP12>^T\ECI;6V\T^EM@2=_[]U]ON84Xe`\Ma773QnfS@oeosTfvvohf8:0TicPMhllvScu{`ee:6V`<1<27>^t|01Tb=>>6=2=e>Yi89;=0=0>a:]m4571494956Qa0135848f3Vd;<<831?3:?Zh788<7>3o4_o2353:56830Sc>?17>0:d=Xf9:::1=1179\j566?>1Tb=>>7048[k6791=0Sc>?193;?Zh7882:<55Pn122<47?3Vd;<<6>299\j56608937R`?00:20==Xf9::4<;7;^l344>6>11Tb=>>805;?Zh7882:455Pn122<4?03Vd;<<6=8:]m457?:920Sc>?1902<>Yi89;3>?64_o235=4402Ue<=?725:8[k67918>46Qa013;63>?190:3>Yi89;3?:5Pn122<111?2Ue<=?7769\j56601=0Sc>?19;5?Zh7883=7R`?0324?Zh78;::;6Qa010362=Xf9:9<>84_o23641369\j5659=<0Sc>?2548[k67:<<0Sc>?2748[k67:><0Sc>?2948[k67:0<0Sc>?3148[k67;830Sc>?33>3:<=Xf9:8>1?19:]m45554;427R`?026?4;?>89\j564<58556Qa0117868>3Vd;<>:34?;8[k67;=6>245Pn120090912Ue<==;<6<5?Zh78:=<7R`?02522>Yi8993;6Qa011;52=Xf9:84?84_o230717:]m4523:>1Tb=>;4248[k67<<=0Sc>?4434?Zh78=?9:6Qa01653>Yi89>==:5Pn12727?>89\j563?58556Qa016486813Vd;<;>8;^l34366?2Ue<=8?279\j56?;>1Tb=>730;8[k670<6;2;5Pn13352=Xf9;;=<94_o2244403Vd;==?<7:]m4466<>1Tb=??1458[k6688<=7R`?1104?Zh7998:;6Qa002162=Xf9;;>>94_o2247203Vd;==<:7:]m4465>?1Tb=??369\j577;8=0Sc>>0204?Zh79998;6Qa002002=Xf9;;?894_o2246003Vd;===86:]m4463?2Ue<<>;199\j577<8:37R`?11625==Xf9;;8<<7;^l35526;11Tb=??4064?Zh799>9;6Qa002772=Xf9;;8994_o2241303Vd;==:97:]m4463?>1Tb=??4958[k668=3=7R`?1174?Zh799?:;6Qa002662=Xf9;;9>94_o2240203Vd;==;:7:]m4462>?1Tb=??769\j577?820Sc>>0633<>Yi88:<=<94_o2242403Vd;==9<7:]m4460<>1Tb=??7458[k668><<7R`?11543>Yi88:<4:5Pn1333<0099\j57718;<7R`?11;13>Yi88:2?:5Pn133=116769\j57711=0Sc>>08;5?Zh798;<7R`?1032<>Yi88;:==64_o22547602Ue<13:8[k6698;8;6Qa003262=Xf9;:=>94_o2254203Vd;=>1Tb=?>1658[k66982<7R`?103:7>dtq=1it?;;cqz61=e{p9?7n~ga59`tjj?3jz~SCg}qd9`tpYIa{{TCO[IE89`tpYIxac9i6mu^Lsll4XAK_M46mu^Lqavb2:f=dx|UjS=QFBTD`?fvrWhU:SDLZF99`tpYc49437n~z_e>2:==dx|Uo0?07;brv[a:4611h|xQk<5<;?fvrWm6>255lpt]g838f3jz~Si28:1<;?fvrWm6<2h5lpt]g[5YNGK_MIh5lpt]g[4YNGK_MIh5lpt]g[7YNGK_MIh5lpt]g[6YNGK_MIh5lpt]g[1YNGK_MIh5lpt]g[0YNGK_MIh5lpt]g[3YNGK_MIh5lpt]g[2YNGK_MI:5lpt]qjreet911h3mc}e~a}_1;8`lpn{fxT=45kiwkpkwY5m2nbzd}`r^0\J6>?n2nbzd}`r^0\J6>?9o1oe{g|os]1[K5?0;l0hdxfsnp\6ZH4019n7igyirmq[7YI;0:m7igyirmq[7YI;0::?6kle79f|qekck1ntymck^KAQC5oi|Vndyy2;>c9jjqYcg|~793l4iov\`jss4?4i7d`{_emvp91902cexRcfsn;8mkrXe`yd=45fnu]nmvi502cexRc`sh58mkrXg|~37d`{_nww5==nf}Udyy<7;hlw[jss;11bbyQ`uu6;?lhsWziggk5fnu]pgimXWg:;<=??;hlw[vekcVUe<=>?1028mkrX{jf`SR`?012155=nf}UxoaeP_o23455a3`dS~mck^]m4566991bbyQ|cmi\[k6788;:<6gat^q`hnYXf9:;=?94ir]qadb?3`yT~hok199jwZtbim837d}Prdcg7==n{Vxnmi:<;mgg0>jbl820`hjPOCWE1>tb{l~=7k|eu3;?vnnn}igg45|hhdwgim612ycekzllj0:?vnnn}igg>74sikepfjl<01xddh{cmi6=>uoao~h`f8m;rjjbqei|5:5n6}gigv`jq:66k1xddh{cov?6;d<{acmxn`{<2n;rjjbqei|V;j7~fffuampZ4f3zbbjymat^1:?vnnn}ndbh74sikeplh`:8:0egithld6ZYi89:;=<5|hhdwmka5WVd;<=>>109pll`sagm9SR`?0121e>ukiobbyka8:qoesctme20}g9510a<>uwaVDxiaj4sqk\JvckWFH^J:5|ph]jjqe<{ycTeczPICWE<>uwaVgbbj4sqk\iluhW@H^J55|ph]nkvob3zzbS`a|i^MAQCC?3zzbS~mcke9ptlYtkeaTCO[Ic:qsmagsi`d7<3m4sqkgeqgnf5;5o6}iecwelh;:7i0}gkaucjj959k2y{eio{ahl?0;e<{ycomyofn=7=g>uwamkmd`36?a8wuoci}kbb191b:qsmagsi`dTuwamkmd`P4c9ptlbf|hceS8l4sqkgeqgnfV1:a=tx`njxlazt=1=`>uwamkmb{{<5e9ptlbf|he~x191c:qsmagsifS=m4sqkgeqgh}}U:o6}iecwejssW;i0}gkauclqqY4k2y{eio{anww[1e<{ycomyo`uu]6g>uwamkmb{{_7a8wuoci}kdyyQ8e:qwewrX{acmxd`h2:v`7>rjg11so4;?0yn~DEv0ih1KLu?kb;D96?7|[h0i47?9:010g26f2;?h4=uab482?kd12;1/n94nf:P=?d?28<1=>=l71c960e?82n:ho4?:082Vg=j10::7?<3b53e?42k1:0zYon:182>4<68m70:&ba?463-k?6?>6;c3gf?6=9>0:?54>4`yY1?4|83;1q)om:0fa?Mgd3`;n<7>5;h3;b?6=3`;<97>5;h3;`?6=3`;5;h;`>5<5<7683>!g128=37co::098/41d290/m;4>829me0<032!:;i4?:%c5>4>43gk>6;54+05f>5<#i?0:4>5aa486?>-6?o0;6)o9:0:0?kg22=10'<6?:18'e3<60:1em84<;:)2<4<72-k=6<6<;oc6>7=<#8296=4+a782<6=ii<0:76%>8583>!g128287co::198k4162900c5<7s-ki6?5Gab9Kf4=n900;66g>a;29?j?b2900qo?:4;297?6=8r.jn7<4H`a8Lg7>i>m3:17pl>4983>6<729q/mo4=;Ic`?Md63`;26=44i0c94?=h1l0;66sm15694?2=83:p(ll53:Jbg>Ne92c:57>5;h3b>5<>{e9:?1<7=50;2x dd=:2Bjo6Fm1:k2=?6=3`;j6=44o8g94?=zj88n6=4;:183!ge2:1Cmn5Gb09j5<<722c:m7>5;h3a>5<5<3290;w)om:29Kef=Oj81b=44?::k2e?6=3`;i6=44o8g94?=zj8;m6=4;:183!ge2:1Cmn5Gb09j5<<722c:m7>5;h3a>5<5<3290;w)om:028Lde<@k;0eo4?::k;>5<5<=831vn4>50;195?5|,hh1>?5Gab9jg?6=3`n1<75`a883>>de?3:1?7>50z&bf?d73Akh7El>;h3:>5<>{tk3:1>vPl;<`4>4?f2<6i2wxm44?:3y]e<=:j>02i6srb3194?5=939p(ll5239Kef=nk3:17dj50;9le<<722hi;7>53;294~"fj3h;7Eol;I`2?l7>2900e52z\`?8d02830q~j50;0xZa=:j>0:m6s|a883>7}Yi016n:46e:~f4b4290?6=4?{%ca>46<@hi0Do?4ic83>>o?2900e;4?::mb5}#ik0:i6Fnc:Ja5>o603:17b7j:188yg4783:197>50z&bf?763Akh7El>;h`94?=n?3:17d650;9j2?6=3fk36=44}c3:0?6==3:15<7s-ki6<94H`a8Lg75<2290;w)om:038Lde<@k;0eo4?::k4>5<=831vno=50;394?6|,hh1mi5Gab9Kf4=hi:0;66sm10794?5=83:p(ll52:Jbg>Ne92c:57>5;h3b>5<Ne92c:57>5;h3b>5<5<2290;w)om:038Lde<@k;0eo4?::k4>5<=831vn:180>5<7s-ki6?5Gab9Kf4=n900;66g>a;29?j?b2900qo5<7s-ki6l?4H`a8Lg7>i>m3:17pl74;297?6=8r.jn7o>;Ic`?Md63`;26=44i0c94?=h1l0;66sm7g83>6<729q/mo4n1:Jbg>Ne92c:57>5;h3b>5<5<4290;w)om:`38Lde<@k;0e<750;9j5d<722e2i7>5;|`44?6=;3:1Nfk2Bi=6g>9;29?l7f2900c4k50;9~f37=8391<7>t$``9e4=Oij1Cn<5f1883>>o6i3:17b7j:188ygbf290?6=4?{%ca>d4<@hi0Do?4i0;94?=n9h0;66g>b;29?j?b2900qo??f;297?6=8r.jn7<4H`a8Lg7>i>m3:17pl>0b83>6<729q/mo4=;Ic`?Md63`;26=44i0c94?=h1l0;66sm11;94?5=83:p(ll52:Jbg>Ne92c:57>5;h3b>5<5<4290;w)om:39Kef=Oj81b=44?::k2e?6=3f3n6=44}c337?6=;3:15;|`245<72<0;6=u+ac87?Mgd3Ah:7d?l:188m4?=831b=l4?::k2f?6=3f3n6=44}c3eb?6==3:15<7s-ki6>{e000;6>4?:1y'eg>o12900cl650;9~f4d529086=4?{%ca>d7<@hi0Do?4i0;94?=n9h0;66a6e;29?xd6ij0;6>4?:1y'eg<53Akh7El>;h3:>5<>{e90n1<7;50;2x dd=981Cmn5Gb09jf?6=3`=1<75f8;29?l0=831dm54?::a5g`=8391<7>t$``96>Nfk2Bi=6g>9;29?l7f2900c4k50;9~f4?f29096=4?{%ca>4c<@hi0Do?4i0:94?=h1l0;66sm1b694?4=83:p(ll51d9Kef=Oj81b=54?::m:a?6=3th:jh4?:483>5}#ik0:=6Fnc:Ja5>oe2900e:4?::k;>5<5<0;66g7:188m3<722ej47>5;|`22`<72:0;6=u+ac81?Mgd3Ah:7d?6:188m4g=831d5h4?::a<`<72:0;6=u+ac8b5>Nfk2Bi=6g>9;29?l7f2900c4k50;9~f01=8391<7>t$``9e4=Oij1Cn<5f1883>>o6i3:17b7j:188yg3529086=4?{%ca>d7<@hi0Do?4i0;94?=n9h0;66a6e;29?xd3l3:1?7>50z&bf?g63Akh7El>;h3:>5<>{e<10;6>4?:1y'eg5;n;f>5<53;294~"fj3k:7Eol;I`2?l7>2900e5}#ik0j=6Fnc:Ja5>o613:17d?n:188k?5Gab9jg?6=3`n1<75`a883>>de?3:1?7>50z&bf?d73Akh7El>;h3:>5<>{tk3:1>vPl;<`4>4?f2<6i2wxm44?:3y]e<=:j>02i6srb4a94?5=83:p(ll5a09Kef=Oj81b=44?::k2e?6=3f3n6=44}c3f7?6=;3:15;|`237<72:0;6=u+ac8b4>Nfk2Bi=6g>8;29?lc=831d5h4?::a5=e=83>1<7>t$``965=Oij1Cn<5f1983>>ob2900c4k50;9l5c<722wi=nl50;194?6|,hh1>6Fnc:Ja5>o613:17d?n:188k5<7s-ki6=831d5h4?::a5a?=83?1<7>t$``954=Oij1Cn<5fb;29?l1=831b47>5;h494?=hi10;66sm1ec94?3=83:p(ll5159Kef=Oj81bn7>5;h594?=ni>0;66g9:188kd>=831vn:186>5<7s-ki6>o12900cl650;9~f4b229086=4?{%ca>c=Oij1Cn<5fb;29?l0=831dm54?::a5a2=83>1<7>t$``955=Oij1Cn<5fb;29?l>=831b:7>5;nc;>5<54;294~"fj3;;7Eol;I`2?ld=831b47>5;h494?=hi10;66sm1g794?3=83:p(ll5109Kef=Oj81bn7>5;h594?=n03:17d850;9le=<722wi=k:50;794?6|,hh1=<5Gab9Kf4=nj3:17d950;9j>{e9o91<7;50;2x dd=981Cmn5Gb09jf?6=3`=1<75f8;29?l0=831dm54?::a5c4=83?1<7>t$``954=Oij1Cn<5fb;29?l1=831b47>5;h494?=hi10;66sm1g394?3=83:p(ll5109Kef=Oj81bn7>5;h594?=n03:17d850;9le=<722wi=k>50;794?6|,hh1=<5Gab9Kf4=nj3:17d950;9j>{e9ll1<7;50;2x dd=981Cmn5Gb09jf?6=3`=1<75f8;29?l0=831dm54?::a5`c=83?1<7>t$``954=Oij1Cn<5fb;29?l1=831b47>5;h494?=hi10;66sm1`f94?2=83:p(ll5129Kef=Oj81bn7>5;hc4>5<5<t$``954=Oij1Cn<5fb;29?l1=831b47>5;h494?=hi10;66sm6c83>6<729q/mo4n1:Jbg>Ne92c:57>5;h3b>5<5<2290;w)om:068Lde<@k;0eo4?::k4>5<;Ic`?Md63`h1<75f7;29?l>=831b:7>5;nc;>5<55;294~"fj3;:7Eol;I`2?ld=831b;7>5;h:94?=n>3:17bo7:188yg47;3:197>50z&bf?763Akh7El>;h`94?=n?3:17d650;9j2?6=3fk36=44}c035?6==3:1>i>m3:17b?i:188yg7?>3:187>50z&bf?473Akh7El>;h3;>5<>i>m3:17b?i:188yg7?03:187>50z&bf?473Akh7El>;h3;>5<>i>m3:17b?i:188yg7?=3:187>50z&bf?473Akh7El>;h3;>5<>i>m3:17b?i:188yg7ei3:1?7>50z&bf?4<@hi0Do?4i0;94?=n9h0;66a6e;29?xd6j>0;6>4?:1y'eg<53Akh7El>;h3:>5<>{e9m21<7=50;2x dd=:2Bjo6Fm1:k2=?6=3`;j6=44o8g94?=zj1l1<7=51;1x dd=:;1Cmn5fc;29?lb=831dm44?::`a3?6=;3:1{ti00;6?uQa89>f2<>m2wvn?>=:186>5<7s-ki6{ti00;6?uQa89>f2<>m2wvn<;j:180>5<7s-ki6?5Gab9Kf4=n900;66g>a;29?j?b2900qo?93;296?6=8r.jn7?j;Ic`?Md63`;36=44o8g94?=zj85;n;f>5<52;294~"fj3;n7Eol;I`2?l7?2900c4k50;9~f40129096=4?{%ca>4c<@hi0Do?4i0:94?=h1l0;66sm17594?5=83:p(ll52:Jbg>Ne92c:57>5;h3b>5<5<4290;w)om:39Kef=Oj81b=44?::k2e?6=3f3n6=44}c35=?6=;3:1t$``9e4=Oij1Cn<5f1883>>o6i3:17b7j:188yg72n3:1?7>50z&bf?4<@hi0Do?4i0;94?=n9h0;66a6e;29?xd6>90;6>4?:1y'eg<53Akh7El>;h3:>5<>{e9?;1<7=50;2x dd=i81Cmn5Gb09j5<<722c:m7>5;n;f>5<>7>53;294~"fj380Dlm4Hc38m4?=831b=l4?::m:a?6=3th:984?:383>5}#ik0:i6Fnc:Ja5>o603:17b7j:188yg72>3:1>7>50z&bf?7b3Akh7El>;h3;>5<5<4290;w)om:39Kef=Oj81b=44?::k2e?6=3f3n6=44}c365<7s-ki6?5Gab9Kf4=n900;66g>a;29?j?b2900qo?:a;297?6=8r.jn7<4H`a8Lg7>i>m3:17pl>5c83>6<729q/mo4n1:Jbg>Ne92c:57>5;h3b>5<5<4290;w)om:39Kef=Oj81b=44?::k2e?6=3f3n6=44}c367?6=;3:1t$``96>Nfk2Bi=6g>9;29?l7f2900c4k50;9~f42>29096=4?{%ca>4c<@hi0Do?4i0:94?=h1l0;66sm15c94?4=83:p(ll51d9Kef=Oj81b=54?::m:a?6=3th:8o4?:283>5}#ik097Eol;I`2?l7>2900e4?:1y'eg<53Akh7El>;h3:>5<>{e9=o1<7=50;2x dd=:2Bjo6Fm1:k2=?6=3`;j6=44o8g94?=zj8>m6=4<:183!ge2h;0Dlm4Hc38m4?=831b=l4?::m:a?6=3th:9=4?:283>5}#ik097Eol;I`2?l7>2900ea;29?j?b2900qo?>i>m3:17pl>4183>7<729q/mo4>e:Jbg>Ne92c:47>5;n;f>5<52;294~"fj3;n7Eol;I`2?l7?2900c4k50;9~f42529086=4?{%ca>7=Oij1Cn<5f1883>>o6i3:17b7j:188yg73;3:1?7>50z&bf?g63Akh7El>;h3:>5<>{e9::1<7=50;2x dd=:2Bjo6Fm1:k2=?6=3`;j6=44o8g94?=zj89=6=4=:183!ge28o0Dlm4Hc38m4>=831d5h4?::a561=8381<7>t$``95`=Oij1Cn<5f1983>>i>m3:17pl>3983>7<729q/mo4>e:Jbg>Ne92c:47>5;n;f>5<52;294~"fj3;n7Eol;I`2?l7?2900c4k50;9~f45f29086=4?{%ca>7=Oij1Cn<5f1883>>o6i3:17b7j:188yg74j3:1?7>50z&bf?4<@hi0Do?4i0;94?=n9h0;66a6e;29?xd6;j0;6>4?:1y'eg<53Akh7El>;h3:>5<>{e9:n1<7=50;2x dd=i81Cmn5Gb09j5<<722c:m7>5;n;f>5<53;294~"fj3k:7Eol;I`2?l7>2900e<50;194?6|,hh1>6Fnc:Ja5>o613:17d?n:188k5<7s-ki6?5Gab9Kf4=n900;66g>a;29?j?b2900qo?<4;297?6=8r.jn7o>;Ic`?Md63`;26=44i0c94?=h1l0;66sm13:94?4=83:p(ll51d9Kef=Oj81b=54?::m:a?6=3th:>44?:383>5}#ik0:i6Fnc:Ja5>o603:17b7j:188yg75i3:1>7>50z&bf?7b3Akh7El>;h3;>5<5<4290;w)om:39Kef=Oj81b=44?::k2e?6=3f3n6=44}c31g?6=;3:15;|`26a<72:0;6=u+ac8b5>Nfk2Bi=6g>9;29?l7f2900c4k50;9~f44629086=4?{%ca>7=Oij1Cn<5f1883>>o6i3:17b7j:188yg75:3:1>7>50z&bf?7b3Akh7El>;h3;>5<5<5290;w)om:0g8Lde<@k;0e<650;9l=`<722wi=?:50;194?6|,hh1>6Fnc:Ja5>o613:17d?n:188k5<7s-ki6l?4H`a8Lg7>i>m3:17pl>1`83>6<729q/mo4=;Ic`?Md63`;26=44i0c94?=h1l0;66sm10`94?4=83:p(ll51d9Kef=Oj81b=54?::m:a?6=3th:=n4?:383>5}#ik0:i6Fnc:Ja5>o603:17b7j:188yg76l3:1?7>50z&bf?4<@hi0Do?4i0;94?=n9h0;66a6e;29?xd69l0;6>4?:1y'eg=831d5h4?::aa=<72;0;6=u+ac82a>Nfk2Bi=6g>8;29?j?b2900qok6:180>5<7s-ki6?5Gab9Kf4=n900;66g>a;29?j?b2900qokn:181>5<7s-ki6>{e:k0;6>4?:1y'eg<53Akh7El>;h3:>5<>{e:j0;694?:1y'eg<43Akh7El>;h3:>5<>i>m3:17pl=d;296?6=8r.jn7?j;Ic`?Md63`;36=44o8g94?=zj;o1<7=50;2x dd=:2Bjo6Fm1:k2=?6=3`;j6=44o8g94?=zj1;1<7=50;2x dd=:2Bjo6Fm1:k2=?6=3`;j6=44o8g94?=zj181<7<50;2x dd=9l1Cmn5Gb09j5=<722e2i7>5;|`;7?6=;3:15;|`4g?6=;3:15;|`4`?6=:3:16Fnc:Ja5>o613:17d?n:188k6Fnc:Ja5>o613:17d?n:188k50z&bf?4<@hi0Do?4i0;94?=n9h0;66a6e;29?xd0:3:1?7>50z&bf?4<@hi0Do?4i0;94?=n9h0;66a6e;29?xd0;3:1>7>50z&bf?7b3Akh7El>;h3;>5<Ne92c:57>5;h3b>5<Ne92c:57>5;h3b>5<53;294~"fj380Dlm4Hc38m4?=831b=l4?::m:a?6=3th=?7>53;294~"fj380Dlm4Hc38m4?=831b=l4?::m:a?6=3th=87>52;294~"fj3;n7Eol;I`2?l7?2900c4k50;9~f33=8391<7>t$``96>Nfk2Bi=6g>9;29?l7f2900c4k50;9~f0c=8391<7>t$``96>Nfk2Bi=6g>9;29?l7f2900c4k50;9~f0`=8381<7>t$``95`=Oij1Cn<5f1983>>i>m3:17pl90;297?6=8r.jn7<4H`a8Lg7>i>m3:17pll5;297?6=8r.jn7<4H`a8Lg7>i>m3:17plk0;296?6=8r.jn7?j;Ic`?Md63`;36=44o8g94?=zjmh1<7=50;2x dd=:2Bjo6Fm1:k2=?6=3`;j6=44o8g94?=zjmi1<7<50;2x dd=9l1Cmn5Gb09j5=<722e2i7>5;|`g`?6=:3:16Fnc:Ja5>o613:17d?n:188k50z&bf?4<@hi0Do?4i0;94?=n9h0;66a6e;29?xdb93:1>7>50z&bf?7b3Akh7El>;h3;>5<52;294~"fj3;n7Eol;I`2?l7?2900c4k50;9~ff>=8381<7>t$``95`=Oij1Cn<5f1983>>i>m3:17pll9;297?6=8r.jn7<4H`a8Lg7>i>m3:17plla;297?6=8r.jn7<4H`a8Lg7>i>m3:17pllb;297?6=8r.jn7<4H`a8Lg7>i>m3:17pllc;297?6=8r.jn7<4H`a8Lg7>i>m3:17plld;297?6=8r.jn7o>;Ic`?Md63`;26=44i0c94?=h1l0;66smcd83>6<729q/mo4n1:Jbg>Ne92c:57>5;h3b>5<5<4290;w)om:`38Lde<@k;0e<750;9j5d<722e2i7>5;|`g6?6=;3:15;|`g7?6=;3:15;|`g0?6=;3:15;|`g1?6=;3:15;|`g2?6=;3:1Nfk2Bi=6g>9;29?l7f2900c4k50;9~fa>=8391<7>t$``96>Nfk2Bi=6g>9;29?l7f2900c4k50;9~fa?=8391<7>t$``96>Nfk2Bi=6g>9;29?l7f2900c4k50;9~f46b29096=4?{%ca>4c<@hi0Do?4i0:94?=h1l0;66sm11`94?4=83:p(ll51d9Kef=Oj81b=54?::m:a?6=3th:<:4?:383>5}#ik0:i6Fnc:Ja5>o603:17b7j:188yg7703:1>7>50z&bf?7b3Akh7El>;h3;>5<5<5290;w)om:0g8Lde<@k;0e<650;9l=`<722wii94?:383>5}#ik0:i6Fnc:Ja5>o603:17b7j:188ygc229086=4?{%ca>7=Oij1Cn<5f1883>>o6i3:17b7j:188yg>129086=4?{%ca>7=Oij1Cn<5f1883>>o6i3:17b7j:188yg>029096=4?{%ca>4c<@hi0Do?4i0:94?=h1l0;66sm8983>6<729q/mo4=;Ic`?Md63`;26=44i0c94?=h1l0;66smb`83>7<729q/mo4>e:Jbg>Ne92c:47>5;n;f>5<53;294~"fj380Dlm4Hc38m4?=831b=l4?::m:a?6=3th:n=4?:383>5}#ik0:i6Fnc:Ja5>o603:17b7j:188yg7e93:1?7>50z&bf?4<@hi0Do?4i0;94?=n9h0;66a6e;29?xd6ik0;6?4?:1y'eg<6m2Bjo6Fm1:k25;|`2fa<72;0;6=u+ac82a>Nfk2Bi=6g>8;29?j?b2900qo?me;297?6=8r.jn7<4H`a8Lg7>i>m3:17pl>9983>7<729q/mo4>e:Jbg>Ne92c:47>5;n;f>5<53;294~"fj380Dlm4Hc38m4?=831b=l4?::m:a?6=3th::n4?:383>5}#ik0:i6Fnc:Ja5>o603:17b7j:188yg71l3:1>7>50z&bf?7b3Akh7El>;h3;>5<Ne92c:57>5;h3b>5<53;294~"fj380Dlm4Hc38m4?=831b=l4?::m:a?6=3th>87>53;294~"fj380Dlm4Hc38m4?=831b=l4?::m:a?6=3th>97>52;294~"fj3;n7Eol;I`2?l7?2900c4k50;9~f00=8391<7>t$``96>Nfk2Bi=6g>9;29?l7f2900c4k50;9~f1`=8391<7>t$``96>Nfk2Bi=6g>9;29?l7f2900c4k50;9~f06=8381<7>t$``95`=Oij1Cn<5f1983>>i>m3:17pl:1;297?6=8r.jn7<4H`a8Lg7>i>m3:17pl;a;297?6=8r.jn7<4H`a8Lg7>i>m3:17pl;b;296?6=8r.jn7?j;Ic`?Md63`;36=44o8g94?=zj=i1<7=50;2x dd=:2Bjo6Fm1:k2=?6=3`;j6=44o8g94?=zj=?1<7=50;2x dd=:2Bjo6Fm1:k2=?6=3`;j6=44o8g94?=zj=<1<7<50;2x dd=9l1Cmn5Gb09j5=<722e2i7>5;|`73?6=;3:15;|`74?6=;3:15;|`75?6=:3:16Fnc:Ja5>o613:17d?n:188kl50;194?6|,hh1>6Fnc:Ja5>o613:17d?n:188km50;094?6|,hh1=h5Gab9Kf4=n910;66a6e;29?xd4l3:1?7>50z&bf?4<@hi0Do?4i0;94?=n9h0;66a6e;29?xd4>3:1?7>50z&bf?4<@hi0Do?4i0;94?=n9h0;66a6e;29?xd4?3:1>7>50z&bf?7b3Akh7El>;h3;>5<Ne92c:57>5;h3b>5<Ne92c:57>5;h3b>5<53;294~"fj380Dlm4Hc38m4?=831b=l4?::m:a?6=3th>57>53;294~"fj380Dlm4Hc38m4?=831b=l4?::m:a?6=3th>m7>52;294~"fj3;n7Eol;I`2?l7?2900c4k50;9~f0d=8391<7>t$``96>Nfk2Bi=6g>9;29?l7f2900c4k50;9~f4e129086=4?{%ca>7=Oij1Cn<5f1883>>o6i3:17b7j:188yg7d?3:1>7>50z&bf?7b3Akh7El>;h3;>5<5<5290;w)om:0g8Lde<@k;0e<650;9l=`<722wi=n750;194?6|,hh1>6Fnc:Ja5>o613:17d?n:188k5<7s-ki6l?4H`a8Lg7>i>m3:17pl98;297?6=8r.jn7<4H`a8Lg7>i>m3:17pl99;296?6=8r.jn7?j;Ic`?Md63`;36=44o8g94?=zj?k1<7=50;2x dd=:2Bjo6Fm1:k2=?6=3`;j6=44o8g94?=zj8h26=4=:183!ge28o0Dlm4Hc38m4>=831d5h4?::a5g3=8381<7>t$``95`=Oij1Cn<5f1983>>i>m3:17pl>b783>7<729q/mo4>e:Jbg>Ne92c:47>5;n;f>5<52;294~"fj3;n7Eol;I`2?l7?2900c4k50;9~f4c629096=4?{%ca>4b<@hi0Do?4i0:94?=h1l0;66sm18294?4=83:p(ll51e9Kef=Oj81b=54?::m:a?6=3th:;;4?:383>5}#ik0:h6Fnc:Ja5>o603:17b7j:188yg7?m3:1>7>50z&bf?7c3Akh7El>;h3;>5<5<5290;w)om:0f8Lde<@k;0e<650;9l=`<722wi5i4?:383>5}#ik0:h6Fnc:Ja5>o603:17b7j:188yg7>n3:1>7>50z&bf?7c3Akh7El>;h3;>5<5<5290;w)om:0f8Lde<@k;0e<650;9l=`<722wi=:l50;094?6|,hh1=i5Gab9Kf4=n910;66a6e;29?xd6?h0;6?4?:1y'eg<6l2Bjo6Fm1:k25<7s-ki64h4H`a8Lg7>{e9j81<7<50;2x dd=1o1Cmn5Gb09j5=<722e2i7>5;|q23f<72;qU=:m4=0:6>4`52z\23a=:91<1=k5rs05f>5<5sW;8682b>{t9>l1<78183>7}Y91:01<66:0d8yv7?93:1>vP>809>5=g=9o1v<6=:181[7?:27:4o4>f:p5=2=838pR<6;;<3;g?7a3ty:i<4?:3y>5de=9016=h?59d9~w4?72908w0?me;3:?87>13;270?60;;f?xu6??0;65u210795<=:9j>1=5522c82=>;5k3;270k::0;894d>28201b290>w0?6c;3;?876<3;370k6:0;894dd28k01<6j:8g8yv70<3:1?v3>bb82=>;6k00:563>758:a>{t9mk1<78t=0a2>4?<58nj6l64=07f>4g<58ki6<64=4395<=:9mn1=55rsg694?4|583?6o52678:a>{t1m0;6>u21cf95==:9021=5529e8:a>{t90l1<74g<583m64k4}r3gb?6=;r7:?:4>8:?2f5<6027:hk46e:p5`4=838pR4?:3y>5g5=i116=h;5199~w4e52909w0?l1;;f?87d:3;37p}>ae83>7}:9l91=5521`f9e==z{8o<6=4<{<3e4?d<58om6l64=07:>4?55z?2=aa:?0`?7>34;h:7?6;<3`3?7?3ty:jl4?:2y>654=i116;44>9:?`=?7>3ty:h94?:4y>5a2=i116>=95a69>554=9116i94>8:?2`2<602wx=;l50;6x94e628k01<8j:8g8944?28201fc<6127h=7?6;<3g1?g?34;=o7?7;|q235<72>q65=4l;<344?g?34;im7?6;<366?7f348n6<74=2:95<=:9k<1=55rs0c2>5<3s4;j=7o7;<315?7f34;jj7?n;<6`>4?51`y>5a6=027:h?47;<3g7?><583?65521879<>;5810370?6d;:894e320o01<79:99>5a?=027:hl4n7:?2e4==:9m;1463>f48;?87a<32015c4=027:j<47;<3e4?><58om65521dg9<>;6im0j;63>6g8;?8708320q~?9f;290~;>83n01<8i:`:8940028301>=5189~w7612908w03=:9m81:63>d285?87dj33n70?k5;4894b32?16=i?56:?2b0<134;m8784=0d0>3=:9o81:63>f085?87a83<015`c=>27:mi49;<3a7?052z?2ef<>m27:ih4m;|q14=<72=q6=<95199>65>=i116=>h51`9>07<612wx=:<50;`x97672116n?4>5:?141==::9?1463>7382<>;58>0i70=<58:p5`>=839p1:c9>5c6=i116=9j5189~wf6=838p1g4<>m2wx=4?50;1x94?32h201<8;:0c8933=901v<78:1822~;5890=70?64;4894?22?16>=:56:?2bc<1348;4784=0;g>3=:90k15h521gg92>;58<0=70?66;4894b>2?16=io56:?2e4<134;j<784=325>3=::9=1:63>6g85?87083<01?><:79>657=>279651=i116=5d`=901v<7=:18087>=3k370?:7;3b?8072830q~l6:18187c83h01ol59d9~wgc=838p1fc<>m2wx=4l50;ax94?32>16=4;57:?14=<034;2h794=0;5>2=:90i15h521e;93>;6lh0<70?n1;5894g72>16=;h57:?235<03ty:ii4?:3y>5c3=i116=::c9>2g<>m2wxj84?:3y>5<3=j27==77j;|q2fg<728;p1<8=:0;8943328301<:7:0;8942328k01<=::0;8944b28k01<<9:0c8947a28k01d2l16=575e:?2<3`=:91h1i63>848f?87??3o0q~?n0;290~;6i90j463>3`82=>;b03;370:8:0;8yvc42909w0k9:8g89761211v<9m:1821~;68o0:563>0482e>;6=l0:563>6282<>;6>=0:563>6482<>;6=?0:463>5682=>;64c82=>;6<80:463>3182=>;6;?0:463>3982<>;6;k0:563>2`82<>;6::0:463>1b82<>;68k0:463>0982<>;6?k02i6s|e683>7}:mk02i63=0784?xu6n00;6>u22139e==:?l0:563j0;3b?xu6il0;6?u21c09=`=:9>81i6s|1c:94?4|58h86l94=0`b>6:521g693>;6n:0<70?i2;5894`62>16=k>57:?2ac<034;ni794}ra7>5<5s4nj64k4=0cg>g=z{li1<77}::o02i63>b28a?xua:3:1>v3:2;;f?87ci3h0q~kk:18185>20o01<9?:c9~w4d32909w0?m3;:894d020o0q~h<:18183020o017`<>m2wx=i750;6x94b>2h201<=?:0c894d628301885189~w7?=838p1?959d9>6052z?14=864k4}rd3>5<5s4>364k4=0c3>g=z{8k86=4={<360??b34;3:7?7;|qe5?6=:r7?h77j;<3b5?d53z?2b73ty:<94?:4y>553=1l16994>9:?61?7?34>m6<74=4295==z{ol1<75<3s4;;?77j;<0a>4g<5;i1=l52c982<>{t99<1<7?<{4?<58:264k4=324>2=:080:56372;3;?81d28301:j5199>32<6127<47?7;<51>4?<5>91=5526e82=>;1m3;37069:0;89=1=91164o4>9:?;g?7?34<36<74=7;95==z{8li6=4<{<037?g?34=?6<74=b`95<=z{o=1<7g=:0l02i6s|11c94?b|58:h64k4=5c95<=:5189>04<60278n7?6;<1`>4><5:<1=4523682<>;493;270==:0:8yv7f:3:1>v3>638:a>;60<0:46s|fe83>7}::9>1n6380;;f?xu68m0;6:u211d9=`=:>:0:56394;3;?83b283018h5199>1<<6127>m7?7;|q2a3<72:q6=hh5b:?2a`9:p5d1=838p1<f2820q~ll:1818dc20o01:c9~w4712909w0?>7;;f?87b;3o0q~?>0;296~;5;3k270lk:0c8yv76:3:1>v3l1;3b?8422h30q~7>:1818?72h3015h5c:pb=<72;q6=kh5b:?;=??b3ty:m94?:3y>51>=1l16=595199~w7>=839p1?:5c:?13?7f348=64k4}r;b>5<5s4h86l=4=325>g=z{8;86=46{<034?1<58;>64k4=327>2=:9ol1;63>fd84?847=3=01?><:69>657=?279556=9k16>94k;<04>4?<5mn1=552c782<>;c:3;270j;:0;8yv7a03:1?v3=018b<>;?;3;270jm:0c8yv7bj3:1?v3>f58a?87a;3k370?=c;3:?xu61:0;6>u21849e==:9=h1=l525c82=>{t9h?1<74n8:?245<6k27997j4=b795<=:l90:463kb;3:?8bd28201ik5189>`c<6027n<7?6;4>53z?2b`4?53z?1414?57z?2`54?<5j91=l528g8g?84128k01oo5199~wce=838p1:;59d9>655=j2wx=ho50;1x94`42k16=k<5a99>56e=901v;6l102i6s|fc83>7}:?h02i63=038a?xu6n>0;6>u21gd9e==:ll0:m6378;3:?xu6nm0;6>u22179e==:kj0:5639a;3:?xu6i?0;6?u21279=`=:9131=55rs05b>5<6?r7:9:?240<6127::;4>8:?21c<6127:9?4>9:?210<6027:954>8:?203<6127:844>8:?20f<6027:?k4>9:?205<6027:?44>8:?277<6127:>44>8:?26g<6127:><4>9:?267<6027:=l4>9:?25g<6027:

8:?242<6027:;l46e:pbd<72;q6;k46e:?144fc<6i27987o6;|q2e=<72;q6=?859d9>5=d=911v51`9>5a7=i116>;4>9:?`3?7?34n36<74}rd:>5<5s48;<7l4=969=`=z{8?o6=4={<36a??b34;=57?6;|q21`<72;q6=;=59d9>531=9h1v<8<:181871<33n70?9a;3:?xu6>=0;6?u21779=`=:9?21=l5rs046>5<5s4;=:77j;<354?7f3ty::;4?:3y>531=1l16=;751`9~w4002909w0?98;;f?871i3;j7p}>6983>7}:9?315h5214d95d=z{8<26=4={<35e??b34;=<7?6;|q22d<72;q6=8h59d9>537=901v<;i:181871833n70?91;3b?xu6>90;6?u217095d=:9?;15h5rs072>5<5s4;>>77j;<36f?7>3ty:9?4?:3y>503=1l16=8751`9~w4322909w0?:6;;f?872k3;j7p}>5783>7}:9<=15h5214c95<=z{8?<6=4={<36m7?n;|q21=<72;q6=8759d9>50d=9h1v<;6:181872i33n70?:3;3b?xu6=h0;6?u214`9=`=:95<5s4;>o77j;<367?7>3ty:9n4?:3y>502=9h16=8=59d9~w4222909w0?;6;;f?873n3;27p}>4783>7}:9=315h5215f95d=z{8>26=4={<37e??b34;><7?n;|q20d<72;q6=9l59d9>51c=901v<:m:181873k33n70?;e;3b?xu65<5s4;?i77j;<373?7f3ty:8h4?:3y>51`=1l16=8>5189~w42a2909w0?:0;;f?873?3;27p}>5183>7}:9=21=l521559=`=z{89n6=4={<30b??b34;??7?6;|q27c<72;q6=9>59d9>514=9h1v<:?:181873<3;i70?;1;;f?xu6<80;6?u21509=`=:9=91=l5rs061>5<5s4;?87?6;<377??b3ty:>k4?:3y>566=1l16=>j5189~w4572909w0?<6;;f?874i3;j7p}>3783>7}:9:=15h5212`95d=z{89<6=4={<30759d9>565=9h1v<=6:181874i33n70?5<5s4;8o77j;<305?7f3ty:?n4?:3y>56b=1l16=><51`9~w45c2909w0?<1;;f?874;3;27p}>3083>7}:9:815h5212695<=z{8996=4={<307??b34;887?n;|q276<72;q6=>;51`9>562=1l1v<<8:181875033n70?=b;3b?xu6:10;6?u213;9=`=:9;i1=l5rs00:>5<5s4;9i7?m;<31e??b3ty:>l4?:3y>57d=1l16=?j5189~w44e2909w0?=c;;f?875l3;j7p}>2b83>7}:9;o1=45213f9=`=z{88;6=4={<315??b34;997?6;|q264<72;q6=?<59d9>572=9h1v<<=:181875>3;i70?=3;;f?xu6::0;6?u21369=`=:9;?1=l5rs007>5<5s4;9:7?6;<311??b3ty:=44?:3y>54g=1l16=b;;f?876l3;j7p}>1c83>7}:98l1=o5210a9=`=z{8;h6=4={<32`??b34;:i7?n;|q25a<72;q6=54c=1l1v4;;f?xub03:1>v3j8;;f?8c>28k0q~k6:1818c>20o01ho5199~w`g=838p1hl51`9>ad<>m2wx>l4?:3y>6g<>m279h7?7;|q1f?6=:r79j7?n;<0`>{t090;6?u28582=>;?933n7p}71;296~;?:33n706<:0c8yv>52909w06;:0c89=5=1l1v:l50;0x92`=9016;n46e:p3f<72;q6;i46e:?4a?7f3ty52z?4b?7f34=n64k4}r55>5<5s4=j6<74=659=`=z{>=1<77}:?h0:m6389;;f?xu093:1>v385;3:?81520o0q~9=:18181420o01::51`9~w25=838p1:;51`9>31<>m2wx:n4?:3y>35<6127=h77j;|q5`?6=:r7=i77j;<4e>4g4g<5?l15h5rs7094?4|5?<1=452628:a>{t>:0;6?u2658:a>;1=3;j7p}94;296~;1>3;j708::8g8yv3c2909w08>:0;890c=1l1v8k50;0x90`=1l16:=4>a:p1c<72;q6:<4>a:?54??b3tyh97>52z?`1??b34io6<74}rf3>5<5s4n;64k4=b;95d=z{mh1<77}:lj02i63la;3b?xucl3:1>v3kd;;f?8b428k0q~jj:1818bb20o01nh5189~wa`=838p1ih59d9>gg<6i2wxi=4?:3y>a5<>m27o=7?6;|qf5?6=:r7n=77j;4g{tk10;6?u2d`82f>;d033n7p}l9;296~;d133n70mk:0c8yvef2909w0mn:8g89fc=9h1vnl50;0x9fd=1l16ok4>a:pgf<72;q6on46e:?g5?7f3tyhh7>52z?``??b34n965<5s4in64k4=e195<=z{jl1<77}:l802i63k5;3:?xuc:3:1>v3k2;;f?8b12830q~j<:1818b420o01i851`9~wa2=838p1i:59d9>`2<612wxh84?:3y>`0<>m27o;7?n;|qg2?6=:r7o:77j;4g{tl00;6?u2d`82=>;c133n7p}>0d83>7}:99l1=l5211g9=`=z{8:i6=4={<33g?7f34;;n77j;|q242<72;q6==75189>551=1l1v<>7:18187713;j70??8;;f?xu68;0;6?u211195d=:99815h5rsd694?4|5l>15h52e482e>{tm<0;6?u2e782<>;b=33n7p}75;296~;?13;27069:8g8yv>12909w068:8g89=>=9h1v5950;0x9=?=9h164546e:pfd<72;q6no4>a:?ae??b3ty:mk4?:3y>5g4=9016=lh59d9~w4d72909w0?m0;;f?87e93;j7p}>b083>7}:9k81=l521c39=`=z{8ki6=4={<3bg?7f34;jn77j;|q2ff<72;q6=oh5189>5ge=1l1v5<5s4;2477j;<3:=?7f3ty:544?:3y>56e83>7}:9?o1=l5217f9=`=z{1k1<77}:0j02i637d;3b?xu?k3:1>v37e;3b?8>c20o0q~;<:181830283018:59d9~w02=838p18;59d9>13<6i2wx984?:3y>12<6i27>:77j;|q7a?6=:r7>>7?6;<6e>m6=4={<73>{t<00;6?u24e82=>;3i33n7p};a;296~;3j33n70:l:0c8yv2e2909w0:k:0c891e=1l1v9:50;0x91>=90168846e:p00<72;q68;46e:?73?7f3ty?:7>52z?7<64k4}r1e>5<5s4>86<74=529=`=z{=:1<77}:<:0:m63;2;;f?xu4i3:1>v3j51`9~w6e=838p1>k51`9>7a<>m2wx?84?:3y>7<<61278:77j;|q02?6=:r78;77j;<1;>4g4g<5:215h5rs2294?4|5:>1=452308:a>{t;80;6?u2338:a>;4;3;j7p}<2;296~;4<3;j70=<:8g8yv3?2909w0;l:0;890?=1l1v8750;0x90g=1l169o4>a:p1d<72;q69n4>a:?6f??b3ty:o;4?:3y>5f0=1l16=no5189~w4e02909w0?l7;;f?87d13;j7p}>c983>7}:9jh1=l521b:9=`=z{8i26=4={<3`=??b34;hm7?n;|q2gd<72;q6=nl5189>5fg=1l1v;950;0x93d=9016:546e:p2=<72;q6:446e:?5e?7f3ty=57>52z?5f?7f34a:?2f<<>m2wx=o;50;0x94d0283013:1>v3>b682e>;6j?02i6s|1e594?4|58n3652z\2a5=:9l;1=55rs0:e>5<5sW;3j63>9182<>{t9>?1<73;37p}>8e83>7}Y91n01<6j:0:8yv70;3:1>vP>729>522=911v4m50;0xZ5<5sW;2i63>9g82<>{t9mo1<77883>7}Y9>301<9m:0:8yv70?3:1>vP>769>52g=911v4<50;7x94>220o01;=51`9>4g1}:91=15h528082e>;4j3;j70;6:0c8yv?22908w0?78;;f?81d28k019>51`9~w<0=83>p1<66:8g8921=9h16994>a:?71?7f3ty2;7>52z?2m27<>7?n;|q:j65<5s4;3o77j;<4;>4g52z\2`f=:9mn15h5rs0g7>5<5sW;n863>e48:a>{t9j:1<77;295~Nfk2we9<650;3xLde51zJbg>{i=8k1<7?tH`a8yk36j3:1=vFnc:m14e=83;pDlm4}o72`?6=9rBjo6sa50g94?7|@hi0qc;>f;295~Nfk2we9?>50;3xLde51zJbg>{i=;81<7?tH`a8yk35;3:1=vFnc:m172=83;pDlm4}o711?6=9rBjo6sa53494?7|@hi0qc;=7;295~Nfk2we9?650;3xLde51zJbg>{i=;k1<7?tH`a8yk35j3:1=vFnc:m17e=83;pDlm4}o71`?6=9rBjo6sa53g94?7|@hi0qc;=f;295~Nfk2we9>>50;3xLde51zJbg>{i=:81<7?tH`a8yk34;3:1=vFnc:m162=83;pDlm4}o701?6=:rBjo6sa52494?7|@hi0qc;<7;295~Nfk2we9>650;0xLde51zJbg>{i=:k1<7?tH`a8yk34j3:1=vFnc:m16e=83;pDlm4}o70`?6=9rBjo6sa52g94?7|@hi0qc;50;3xLde51zJbg>{i==81<751zJbg>{i==k1<7?tH`a8yk33j3:1=vFnc:m11e=83;pDlm4}o77`?6=9rBjo6sa55g94?7|@hi0qc;;f;295~Nfk2we98>50;3xLde=7>51zJbg>{i=<81<7?tH`a8yk32;3:1=vFnc:m102=83;pDlm4}o761?6=9rBjo6sa54494?7|@hi0qc;:7;295~Nfk2we98650;3xLde57>51zJbg>{i=50;3xLde51zJbg>{i=?81<7?tH`a8yk31;3:1=vFnc:m132=83;pDlm4}o751?6=9rBjo6sa57494?7|@hi0qc;97;295~Nfk2we9;650;3xLde51zJbg>{i=?k1<7?tH`a8yk31j3:1=vFnc:m13e=83;pDlm4}o75`?6=9rBjo6sa57g94?7|@hi0qc;9f;295~Nfk2we9:>50;3xLde52zJbg>{i=>81<752zJbg>{i=>k1<7?tH`a8yk30j3:1=vFnc:m12e=83;pDlm4}o74`?6=9rBjo6sa56g94?4|@hi0qc;8f;295~Nfk2we95>50;3xLde53zJbg>{i=181<7?tH`a8yk3?;3:1=vFnc:m1=2=83;pDlm4}o7;1?6=9rBjo6sa59494?7|@hi0qc;77;295~Nfk2we95650;3xLde51zJbg>{i=1k1<7?tH`a8yk3?j3:1=vFnc:m1=e=838pDlm4}o7;`?6=9rBjo6sa59g94?4|@hi0qc;7f;295~Nfk2we94>50;3xLde51zJbg>{i=081<7?tH`a8yk3>;3:1=vFnc:m1<2=83;pDlm4}o7:1?6=9rBjo6sa58494?7|@hi0qc;67;295~Nfk2we94650;3xLde51zJbg>{i=0k1<7?tH`a8yk3>j3:1=vFnc:m150;3xLde51zJbg>{i=h81<7?tH`a8yk3f;3:1=vFnc:m1d2=83;pDlm4}o7b1?6=9rBjo6sa5`494?7|@hi0qc;n7;295~Nfk2we9l650;3xLde51zJbg>{i=hk1<7?tH`a8yk3fj3:1=vFnc:m1de=83;pDlm4}o7b`?6=9rBjo6sa5`g94?7|@hi0qc;nf;295~Nfk2we9o>50;3xLde51zJbg>{i=k81<7?tH`a8yk3e;3:1=vFnc:m1g2=83;pDlm4}o7a1?6=9rBjo6sa5c494?7|@hi0qc;m7;295~Nfk2we9o650;3xLde51zJbg>{i=kk1<7?tH`a8yk3ej3:1=vFnc:m1ge=83;pDlm4}o7a`?6=9rBjo6sa5cg94?7|@hi0qc;mf;295~Nfk2we9n>50;3xLde51zJbg>{i=j81<7?tH`a8yk3d;3:1=vFnc:m1f2=83;pDlm4}o7`1?6=9rBjo6sa5b494?7|@hi0qc;l7;295~Nfk2we9n650;3xLde51zJbg>{i=jk1<7?tH`a8yk3dj3:1=vFnc:m1fe=83;pDlm4}o7``?6=9rBjo6sa5bg94?7|@hi0qc;lf;295~Nfk2we9i>50;3xLde51zJbg>{i=m81<7?tH`a8yk3c;3:1=vFnc:m1a2=83;pDlm4}o7g1?6=9rBjo6sa5e494?7|@hi0qc;k7;295~Nfk2we9i650;3xLde51zJbg>{i=mk1<7?tH`a8yk3cj3:1=vFnc:m1ae=83;pDlm4}o7g`?6=9rBjo6sa5eg94?7|@hi0qc;kf;295~Nfk2we9h>50;3xLde51zJbg>{i=l81<7?tH`a8yk3b;3:1=vFnc:m1`2=83;pDlm4}o7f1?6=9rBjo6sa5d494?7|@hi0qc;j7;295~Nfk2we9h650;3xLde51zJbg>{i=lk1<7?tH`a8yk3bj3:1=vFnc:m1`e=83;pDlm4}o7f`?6=9rBjo6sa5dg94?7|@hi0qc;jf;295~Nfk2we9k>50;3xLde51zJbg>{i=o81<7?tH`a8yk3a;3:1=vFnc:m1c2=83;pDlm4}o7e1?6=9rBjo6sa5g494?7|@hi0qc;i7;295~Nfk2we9k650;3xLde51zJbg>{i=ok1<7?tH`a8yk3aj3:1=vFnc:m1ce=83;pDlm4}o7e`?6=9rBjo6sa5gg94?7|@hi0qc;if;295~Nfk2we:=>50;3xLde51zJbg>{i>981<7?tH`a8yk07;3:1=vFnc:m252=83;pDlm4}o431?6=9rBjo6sa61494?7|@hi0qc8?7;295~Nfk2we:=650;3xLde51zJbg>{i>9k1<7?tH`a8yk07j3:1=vFnc:m25e=83;pDlm4}o43`?6=9rBjo6sa61g94?7|@hi0qc8?f;295~Nfk2we:<>50;3xLde51zJbg>{i>881<7?tH`a8yk06;3:1=vFnc:m242=83;pDlm4}o421?6=9rBjo6sa60494?7|@hi0qc8>7;295~Nfk2we:<650;3xLde51zJbg>{i>8k1<7?tH`a8yk06j3:1=vFnc:m24e=83;pDlm4}o42`?6=9rBjo6sa60g94?7|@hi0qc8>f;295~Nfk2we:?>50;3xLde51zJbg>{i>;81<7?tH`a8yk05;3:1=vFnc:m272=83;pDlm4}o411?6=9rBjo6sa63494?7|@hi0qc8=7;295~Nfk2we:?650;3xLde51zJbg>{i>;k1<7?tH`a8yk05j3:1=vFnc:m27e=83;pDlm4}o41`?6=9rBjo6sa63g94?7|@hi0qc8=f;295~Nfk2we:>>50;3xLde51zJbg>{i>:81<7?tH`a8yk04;3:1=vFnc:m262=83;pDlm4}o401?6=9rBjo6sa62494?7|@hi0qc8<7;295~Nfk2we:>650;3xLde51zJbg>{i>:k1<7?tH`a8yk04j3:1=vFnc:m26e=83;pDlm4}o40`?6=9rBjo6sa62g94?7|@hi0qc850;3xLde51zJbg>{i>=81<7?tH`a8yk03;3:1=vFnc:m212=83;pDlm4}o471?6=9rBjo6sa65494?7|@hi0qc8;7;295~Nfk2we:9650;3xLde51zJbg>{i>=k1<7?tH`a8yk03j3:1=vFnc:m21e=83;pDlm4}o47`?6=9rBjo6sa65g94?7|@hi0qc8;f;295~Nfk2we:8>50;3xLde=7>51zJbg>{i><81<7?tH`a8yk02;3:1=vFnc:m202=83;pDlm4}o461?6=9rBjo6sa64494?7|@hi0qc8:7;295~Nfk2we:8650;3xLde57>51zJbg>{i>fvrWm6<6=0<;@331>G68VH97L6;;@:\F7=F1=1J5RL;;@LG63=FFM8I=95NNE17?DHC2:4=B:2LO?6HKC59E@FC33ONH\>5IDQ68BAVB9j1MH_]PMYKOMK^Ximnyi~}Pddal``t6i2LOS@VFLHL[[dbczlyxSikloegq5>A33N$84>5HNE48LPYTM];0E?5F039J57=N:;1B?95FBTD0?LHQ:2CD:6G@BTDF<>OX;?U:=?64I^15[47402CT?;Q>2158MZ51W=9n7FKJP^JJAWGUKAS<7AAHIBCOe>JWEVRD^?Q>1`9NeabXWg:;8:QBxnp\V`urd}6:2?00321>KflmUTb=>;7^]m4563494:96Cnde]\j563?VUe<=>9<1<26>Kj}qUTb=>>9^Pfwpjs9:1FaxvP_o235?18]Qavsk|:;87@czx^]m457>W[oxyaz;129Nip~XWg:;=4Q]erwop0753Dg~tRQa0107[Wct}e~:?6Cbuy]\j565T^h}zlu626>Kj}qUTb=>=5^Pfwpjs9:1FaxvP_o2360YUmzgxS_k|umv156=Je|rTSc>?24]Qavsk|:;87@czx^]m4542W[oxyaz;139Nip~XWg:;>;Q]erwop45VXnxb{2018IhsWVd;?26]Qavsk|=;97@czx^]m454?W[oxyaz>3:Onq}YXf9:94R\jstnw5455Bmtz\[k67:0UYi~{ct030?HkrpVUe<=<6_Sgpqir59:1FaxvP_o236?<;Lov|ZYi8982S_k|umv757=Je|rTSc>?31]Qavsk|890A`{w_^l3466XZly~`y?>3:Onq}YXf9:8?PRdqvhq743Dg~tRQa0112[Wct}e~:=>5Bmtz\[k67;8UYi~{ct330?HkrpVUe<==>_Sgpqir49:1FaxvP_o2374YUmzgx9?:;Lov|ZYi8999SD>PRdqvhq713Dg~tRQa0111[L6XZly~`y?>6:Onq}YXf9:8>RG?_Sgpqir59?1FaxvP_o2377YN8VXnxb{3048IhsWVd;<>7@czx^]m4555W@;T^h}zlu35?HkrpVUe<===_H3\V`urd};::6Cbuy]\j564:VC:S_k|umv153=Je|rTSc>?33]J5ZTb{|f?<84Mlw{[Zh78:8TE2GfyuQPn1206ZO5W[oxyaz=179Nip~XWg:;??QF2^Pfwpjs;8<0A`{w_^l3464XA;UYi~{ct536?HkrpVUe<==;_H2\V`urd};=7@czx^]m4553W@:T^h}zlu322>Kj}qUTb=><4^K3[Wct}e~9=;5Bmtz\[k67;=UB6:Onq}YXf9:88RG>_Sgpqir59?1FaxvP_o2371YN9VXnxb{3048IhsWVd;<>:PI0]Qavsk|=;>7@czx^]m4553W@8T^h}zlu35?HkrpVUe<==;_H0\V`urd};::6Cbuy]\j564?35]J6ZTb{|f?<84Mlw{[Zh78:>TE?Q]erwop1723Dg~tRQa0117[L5XZly~`y?9;Lov|ZYi899?SD=PRdqvhq76>2GfyuQPn1200ZO4W[oxyaz=179Nip~XWg:;?9QF3^Pfwpjs;8<0A`{w_^l3462XA:UYi~{ct536?HkrpVUe<==;_H6\V`urd};=7@czx^]m4553W@>T^h}zlu322>Kj}qUTb=><4^K7[Wct}e~9=;5Bmtz\[k67;=UB8R\jstnw7406:Onq}YXf9:88RG:_Sgpqir59?1FaxvP_o2371YN=VXnxb{3048IhsWVd;<>:PI4]Qavsk|=;>7@czx^]m4553W@?35]J2ZTb{|f?<84Mlw{[Zh78:>TE;Q]erwop1723Dg~tRQa0117[L1XZly~`y?9;Lov|ZYi899?SD9PRdqvhq76>2GfyuQPn1200ZO0W[oxyaz=179Nip~XWg:;?9QF7^Pfwpjs;8<0A`{w_^l3462XA>UYi~{ct534?H~hzVUe<=:?_LzlvZTb{|f=:5Bxnp\[k67<9UFtb|P_o2345723Drd~RQa0163[Zh78987<3;4N22230=I;9;296@<0336?K57::?0B>>=549M7540=2D8I33FH^J85@BTDF7>IU::1D^>?4P59SEWRf3YCESO[\IEZa?UOIWK_XBLCJ3:RQA4=V92X=7_k|umv2?V0<[F_YOH:4TSWF0>R^XL80Y=m4URGQ[SOTAKFN?6XLC89UM@QX\PZN=i5WIMKM\(^CJ):%=-][UC"3*4&F[JCB;6VCXH]272=_DQCT=994XMZJ[40d3QFSER?9_T2\42=_DQCT=:94XMZJ[4>03QFSER?67:ZO\LY58>1S@UGP2358\I^NW;9<7UBWN^333>^KPGU:=:5WLYL\511?17>3:d=Xf9:::1>11`9\j566>5:5>45Pn1222979i2Ue<=?9<0<2=>Yi89;=0?0n;^l3440;:7;27R`?004?7;g048[k679>=0Sc>?1635?Zh7882<7R`?00:2<>Yi89;3==64_o235=7602Ue<=?713:8[k6791;846Qa013;51>?1934<>Yi89;3=564_o235=7>?2Ue<=?7299\j5660;:37R`?00:15==Xf9::4?<7;^l344>5;11Tb=>>836;?Zh78829955Pn122<70?3Vd;<<6=799\j5660;237R`?00:1=2=Xf9::4>94_o235=203Vd;<<6:7:]m457?>>1Tb=>>8658[k67912<7R`?00::2>Yi89;2:6Qa01033>Yi898;=:5Pn121471==9;^l347703Vd;7:]m4546:>1Tb=>=1258[k67:8>=7R`?0365?Zh78;?=7R`?0345?Zh78;==7R`?03:5?Zh78;3=7R`?0225?Zh78:;27R`?020?4;?>89\j564:58556Qa0117858>3Vd;<>:31?;8[k67;=69245Pn120095912Ue<==;<5<:?Zh78:>79374_o2371:1601Tb=><4=5=2>Yi899<;6Qa011453=Xf9:84:5Pn120<416:]m4523?2Ue<=:;169\j563<;=0Sc>?4515?Zh78=?<7R`?05723>Yi89>>>;5Pn12722=Xf9:?:<94_o23034>3Vd;<9931?;8[k67<>69245Pn1273959>2Ue<=8?7:]m45079>1Tb=>90348[k670:=0Sc>?823:?Zh781?7<384_o22441>369\j5779==0Sc>>0074?Zh799;=:6Qa00213>Yi88:9=:5Pn133671=569\j577:?<0Sc>>0258[k668:;<7R`?11113>Yi88:8?:5Pn133711;8;^l35551?2Ue<<><779\j577<>1Tb=??40:8[k668=;;46Qa002754>>05373>Yi88:?>:5Pn133061;669\j577<>=0Sc>>05:4?Zh799>2:6Qa00263>Yi88:>=:5Pn133171:569\j577=?<0Sc>>0658[k668>;37R`?11524==Xf9;;;8369\j577?==0Sc>>0674?Zh799==;6Qa002432=Xf9;;;594_o2242?13Vd;==78;^l355?602Ue<<>611:8[k6680;:;6Qa002:62=Xf9;;5>94_o224<203Vd;==7:7:]m446>>>1Tb=??9658[k66802<7R`?11;:2>Yi88;:;6Qa00325==Xf9;:=<>7;^l35476911Tb=?>100;?Zh798;:?:5Pn132571569\j5769?=0Sc>>1054?Zh798;3;6Qa0032=6=f9920m<>PICWE6>g??2k3SDLZF39b=2=f1VCIYK94aef\``e692kohRjjc^ZO\LY6>V;m7ljkrdqp[acdgmoy?6l>099a55YHJ\L97o68;c:\KGSA:2h2;6l6_N@VB6=e{p>0n~w>4:`p}72h5lpt]Mtmo5W@H^J55lpt]Mv`uc3jz~SC|js^KAQC>1a:asqZg;93:546mu^c?5;ePICWEg>ew}VkT=RGMUG:8gusXl5:546mu^f?5;>ew}Vn79364cqw\`909i2i{yRj37;2=<>ew}Vn7;3k4cqw\`Z6XAFH^JHk4cqw\`Z7XAFH^JHk4cqw\`Z4XAFH^JHk4cqw\`Z5XAFH^JHk4cqw\`Z2XAFH^JHk4cqw\`Z3XAFH^JHk4cqw\`Z0XAFH^JHk4cqw\`Z1XAFH^JH94cqw\vkqd3jz~S`x_H@VBd=cacxc2?>`9gmsotg{6:2l5kiwkpkw:5601oe{g|os]3=>bn~`yd~R?6;ekumviuW;o0hdxfsnp\6ZH3:=l0hdxfsnp\6ZH3:=;87hmj6:g{pfjlj2osxnbd_H@VB6=azq90eh|m;hlw[air|5:5n6gat^flqq:66k1bbyQkotv?6;d2o5fnu]gkpr;>7h0eczPdnww828?3`dS`g|o89jjqYjaze:56gat^ojwj4?3`dS`a|i69jjqYh}}20eczPotv2<>oi|Ve~x?64iov\kpr402cexRazt5:8mkrX{jf`j6gat^q`hnYXf9:;<<>4iov\wfjlWVd;<=>>119jjqYtkeaTSc>?01024>oi|Vyh`fQPn12346`:5fs^pfea>8:kp[wcfl;20e~Q}e`f07>jbl=1gii?7;mgg[JDRN;1ek>5ag058jbYHJ\L<7cg`_rgog>hngVyn`RGMUG58jliX{}hh7cg`_rva[LDRN:1ech64nng\KGSA=2xnhz9;sgpaq7?3zbbjymck89pll`skea:56}gigv`hn4>3zbbjymck2;8wmoa|jf`845|hhdwgim212ycekzllj4a?vnnn}iex1>1b:qkmcrdf}6:2o5|hhdwgkr;:7h0egitblw868f3zbbjymat^2b?vnnn}iexR?n;rjjbqei|V8j7~fffuampZ5>3zbbjyj`nd;8wmoa|`dl><>4sikeplh`:VUe<=>?109pll`sagm9SR`?012254=t``leci=_^l34565i2ygm{kfnugm<>ukioxia64sqk5154e02y{eR@|emf8wuoXFzogSBLZF69ptlYnf}i0}gPiov\MGSA02y{eRcfsnf8wuoXe`ydSDLZF99ptlYjgzcn7~~f_lmpmZIE]OO37~~f_raooa=tx`UxoaePOCWEg>uwamkmd`30?a8wuoci}kbb1?1c:qsmagsi`d7>3m4sqkgeqgnf595o6}iecwelh;<7i0}gkaucjj939k2y{eio{ahl?2;e<{ycomyofn=5=f>uwamkmd`P0c9ptlbf|hceS5:a=tx`njxlazt=5=g>uwamkmb{{_1a8wuoci}kdyyQ>c:qsmagsifS?m4sqkgeqgh}}U8o6}iecwejssW=i0}gkauclqqY2k2y{eio{anww[3e<{ycomyo`uu]4a>usi{~Tegithld6>rd;2~fc55wc8734}jzHIz38i5O@y023?@=:3;p_<=5e2815?74;j=;j7==ezlf4?76*kf;a;?xU6:3o86??5121`35`=;;o0h??8:182>4}T9:0n?7<>:010g26a2:8n7{Zl1;295?7=9?;p_<=5e2815?74;j=;j7==e:&`3?553-h26?::;c023?6=:90:?k4>59yY`?4|83;1q)m<:334?Me23`8:o7>5;h3e=?6=3`;jj7>5;h3ef?6=3`;h;7>5;h3b`?6=3`h:6=44i0f1>5<5<5<b083>!de28h97cln:098/4d1290/no4>be9mfd<032!:n:4?:%`a>4dc3ghj6;54+0`;>5<#jk0:ni5ab`86?>-6j00;6)lm:0`g?kdf2=10'7=<#8hh6=4+bc82fa=ijh0:76%>bd83>!de28ho7cln:198k4g62900cc29086=4?{%a0>==Ok<1Chn5f2483>>o5>3:17bl<:188yg70n3:1?7>50z&`7?><@j?0Dim4i3794?=n:?0;66am3;29?xd6?:0;6>4?:1y'g67Ejl;h06>5<>{e9?l1<7:50;2x f5=i2Bh96Fkc:k11?6=3`8=6=44i3594?=hj:0;66sm17294?5=83:p(n=58:J`1>Nck2c997>5;h05>5<5<3290;w)m<:`9Kg0=Olj1b>84?::k12?6=3`8<6=44oc194?=zj8?:6=4;:183!e42h1Co85Gdb9j60<722c9:7>5;h04>5<5<3290;w)m<:`9Kg0=Olj1b>84?::k12?6=3`8<6=44oc194?=zj;:h6=4;:183!e42830Dn;4Hea8m42=831b=<4?::kf>5<5<3290;w)m<:0;8Lf3<@mi0e<:50;9j54<722cn6=44ocf94?=zj;:m6=4;:183!e42830Dn;4Hea8m42=831b=<4?::kf>5<6;29?jda2900nh<50;194?6|,j91ho5Gc49K`f=n:<0;66g=6;29?jd42900q~?::181[7234o96?;4}r35>5<5sW;=70k=:348yvda2909wSli;g553;397~"d;3987Em:;h36>5<>db:3:1?7>50z&`7?be3Ai>7Ejl;h06>5<>{t9<0;6?uQ149>a7<5=2wx=;4?:3y]53=:m;09:6s|bg83>7}Yjo16i?4m3:~f42529096=4?{%a0>7g<@j?0Dim4i3694?=hj:0;66sm22f94?3=83:p(n=51`9Kg0=Olj1b=94?::ke>5<>o693:17dk50;9lfa<722wihi4?:083>5}#k:09>6Fl5:Jgg>i583:17pl>ce83>0<729q/o>4>a:J`1>Nck2c:87>5;hd94?=n980;66gj:188kgb=831vnik50;394?6|,j91o;5Gc49K`f=hj10;66sm15294?5=83:p(n=58:J`1>Nck2c997>5;h05>5<5<4290;w)m<:99Kg0=Olj1b>84?::k12?6=3fh86=44}c074?6==3:15;hg94?=hjm0;66sm1g694?5=83:p(n=58:J`1>Nck2c997>5;h05>5<84?::k12?6=3fh86=44}c;6>5<4290;w)m<:c48Lf3<@mi0e?;50;9j63<722ei?7>5;|`:4?6=;3:14?::aNd=2Boo6g=5;29?l412900co=50;9~f=0=8391<7>t$b19f3=Ok<1Chn5f2483>>o5>3:17bl<:188yg>629086=4?{%a0>g0<@j?0Dim4i3794?=n:?0;66am3;29?xd0?3:1?7>50z&`7?d13Ai>7Ejl;h06>5<>{e?;0;6>4?:1y'g62Bh96Fkc:k11?6=3`8=6=44oc194?=zj8:>6=4;:183!e42k=0Dn;4Hea8m73=831b>;4?::k13?6=3fh86=44}c30e?6=;3:15;|`272<72:0;6=u+c28;?Me23Anh7d<::188m70=831dn>4?::a562=8391<7>t$b19<>Nd=2Boo6g=5;29?l412900co=50;9~f45729086=4?{%a0>==Ok<1Chn5f2483>>o5>3:17bl<:188yg75m3:1?7>50z&`7?><@j?0Dim4i3794?=n:?0;66am3;29?xd6:k0;684?:1y'g67Ejl;h0;>5<>o5?3:17bl<:188yg44j3:197>50z&`7?7f3Ai>7Ejl;h37>5<1;29?lc=831dni4?::a547=8381<7>t$b196d=Ok<1Chn5f2583>>ie;3:17pl6a;297?6=8r.h?7l9;Ia6?Mbd3`8>6=44i3494?=hj:0;66smed83>6<729q/o>47;Ia6?Mbd3`8>6=44i3494?=hj:0;66sme783>6<729q/o>47;Ia6?Mbd3`8>6=44i3494?=hj:0;66sme983>6<729q/o>47;Ia6?Mbd3`8>6=44i3494?=hj:0;66sme`83>6<729q/o>47;Ia6?Mbd3`8>6=44i3494?=hj:0;66smeb83>6<729q/o>47;Ia6?Mbd3`8>6=44i3494?=hj:0;66sm25694?3=83:p(n=51`9Kg0=Olj1b=94?::ke>5<6=44i3494?=hj:0;66sm1d294?5=83:p(n=58:J`1>Nck2c997>5;h05>5<5<2290;w)m<:0c8Lf3<@mi0e<:50;9jb?6=3`;:6=44id83>>iel3:17pl>f383>6<729q/o>47;Ia6?Mbd3`8>6=44i3494?=hj:0;66sm1bg94?3=83:p(n=51`9Kg0=Olj1b=94?::ke>5<5;h32>5<>ob2900coj50;9~f4g?29086=4?{%a0>==Ok<1Chn5f2483>>o5>3:17bl<:188yg7a?3:187>50z&`7?g<@j?0Dim4i3794?=n:?0;66g=7;29?jd42900qo7i:180>5<7s-i86o84Hb78Lae>ie;3:17pl98;297?6=8r.h?7l9;Ia6?Mbd3`8>6=44i3494?=hj:0;66sm6283>6<729q/o>4m6:J`1>Nck2c997>5;h05>5<84?::k12?6=3fh86=44}c7:>5<4290;w)m<:c48Lf3<@mi0e?;50;9j63<722ei?7>5;|`60?6=;3:14?::a0c<72:0;6=u+c28a2>Nd=2Boo6g=5;29?l412900co=50;9~f1g=8391<7>t$b19f3=Ok<1Chn5f2483>>o5>3:17bl<:188yg2229086=4?{%a0>g0<@j?0Dim4i3794?=n:?0;66am3;29?xd4=3:1?7?53z&`7?543Ai>7d?::188m40=831dnk4?::`f6?6=;3:14?::p50<72;qU=852e3811>{t9?0;6?uQ179>a7<5>2wxnk4?:3y]fc=:m;0i?6srb7f94?5=83:p(n=5b79Kg0=Olj1b>84?::k12?6=3fh86=44}c02b?6=;3:14?::a5de=8391<7>t$b19f0=Ok<1Chn5f2583>>o6?3:17bl<:188yg7d>3:187>50z&`7?4d3Ai>7Ejl;h07>5<>i5j3:17pl=0683>6<729q/o>47;Ia6?Mbd3`8>6=44i3494?=hj:0;66sm22g94?3=83:p(n=51`9Kg0=Olj1b=94?::ke>5<6=4::183!e428k0Dn;4Hea8m42=831bj7>5;h32>5<>ob2900coj50;9~f4b2290>6=4?{%a0>4g<@j?0Dim4i0694?=nn3:17d?>:188m`<722eih7>5;|`2`1<72<0;6=u+c282e>Nd=2Boo6g>4;29?l`=831b=<4?::kf>5<55;h494?=h=3:17b=50;9l3?6=3fh;6=44bb;94?5=83:p(n=5ab9Kg0=n:<0;66g=6;29?jd42900qomn:180>5<7s-i86lm4Hb78m73=831b>;4?::ma7?6=3thhn7>55;69<~"d;3;n7Em:;%00>4?c3`o1<75f1583>>oem3:17dm?:188kgb=831i>i4?:283>5}#k:027Em:;h06>5<>{e:l0;6>4?:1y'g6<>3Ai>7d<::188m70=831dn>4?::a6c<72:0;6=u+c28a2>Nd=2Boo6g=5;29?l412900co=50;9~ff2=8391>7:t$b195c=Ok<1/>>4lc:kf>5<1<75`be83>>d483:1=7>50z&`7?453Ai>7Ejl;n03>5<>{tm3:1>vPj;`=z{8>1<71ni5rse594?5|5::1>=52c5825>;d<3hh7ps|e;296~Xb34i?6h5rs0694?4|V8>01?k5279~wgb=838pRoj4=b69fa=z{ko1<7=t^cg897b=:<16>h4=5:pg5<72;qUo=522e812>{tl10;6?u22e8a7>;5n38>7p}k9;296~;5n3h870m;:068yvbf2909w05<7s-i86n84Hb78LaeNck2c997>5;h05>5<Nck2c997>5;h05>5<Nck2c997>5;h05>5<94?::ma7?6=3tho>7>55;294~"d;3i0Dn;4i3794?=n:?0;66g=7;29?l4?2900co=50;9~w46=838pR<>4=b`9a>{til0;6;uQad9>g<<5=27hi7<9;70<5m:1>;52d0810>{t=3:1>vP:;g5g`52z\4?8ea2k90q~oi:180[ga34i26?84=e0960=z{k:1<7;dm38>70j=:348yv2=839pR952d1811>;c:3837p}9:180[0<5jl1>852d3813>{tl:0;6?u2cc8aa>;c:3h87p}k4;296~;di38>70mm:cf8yvb22909w0mm:0689fb=j11vi850;0x9fd=k916h<4m3:p`2<72;q6o44m3:?`e?413twi>5<7s-i86<74Hb78Lae1<75f1083>>ob2900coj50;9~f76c290?6=4?{%a0>4?<@j?0Dim4i0694?=n980;66gj:188kgb=831vn?=>:186>5<7s-i861<75ff;29?l762900eh4?::ma`?6=3th9?=4?:483>5}#k:0:m6Fl5:Jgg>o6<3:17dh50;9j54<722cn6=44ocf94?=zj;8m6=4::183!e428k0Dn;4Hea8m42=831bj7>5;h32>5<>ob2900coj50;9~f74c290>6=4?{%a0>4g<@j?0Dim4i0694?=nn3:17d?>:188m`<722eih7>5;|`16f<72<0;6=u+c282e>Nd=2Boo6g>4;29?l`=831b=<4?::kf>5<5<2290;w)m<:0c8Lf3<@mi0e<:50;9jb?6=3`;:6=44id83>>iel3:17pl=2`83>0<729q/o>4>a:J`1>Nck2c:87>5;hd94?=n980;66gj:188kgb=831vn:187>5<7s-i861<75fbb83>>ob2900coj50;9~f4c0290>6=4?{%a0>4d<@j?0Dim4i0694?=n980;66gmc;29?lc=831dni4?::a614=83?1<7>t$b195d=Ok<1Chn5f1583>>oa2900e5<4290;w)m<:c48Lf3<@mi0e?;50;9j63<722ei?7>5;|`106<72<0;6=u+c282`>Nd=2Boo6g>4;29?l`=831bnn4?::kf>5<5<2290;w)m<:0c8Lf3<@mi0e<:50;9jb?6=3`;:6=44id83>>iel3:17pl>a`83>0<729q/o>4>a:J`1>Nck2c:87>5;hd94?=n980;66gj:188kgb=831vn5<7s-i86?m4Hb78Lae1<75f1683>>ie;3:17b50z&`7?7f3Ai>7Ejl;h37>5<1;29?lc=831dni4?::a5f7=83>1<7>t$b196f=Ok<1Chn5f2583>>o6?3:17bl<:188k7d=831vn5<7s-i86?m4Hb78Lae1<75f1683>>ie;3:17b50z&`7?4d3Ai>7Ejl;h07>5<>i5j3:17pl>bg83>1<729q/o>4=c:J`1>Nck2c987>5;h34>5<>{e9j91<7:50;2x f5=:j1Co85Gdb9j61<722c:;7>5;n`0>5<5<3290;w)m<:3a8Lf3<@mi0e?:50;9j52<722ei?7>5;n0a>5<53;294~"d;320Dn;4Hea8m73=831b>;4?::ma7?6=3th:io4?:283>5}#k:037Em:;If`?l422900e?850;9lf6<722wi><:50;194?6|,j9146Fl5:Jgg>o5=3:17d<9:188kg5=831vnl>50;195?5|,j91?>5Gc49j50<722c::7>5;n`e>5<5;n`0>5<6=4={_36?8c52;?0q~?9:181[7134o96?84}r`e>5<5sWhm70k=:c18yxd5;o0;684?:1y'g6<6i2Bh96Fkc:k20?6=3`l1<75f1083>>ob2900coj50;9~f6>=8391<7>t$b19<>Nd=2Boo6g=5;29?l412900co=50;9~f61=8391<7>t$b19<>Nd=2Boo6g=5;29?l412900co=50;9~f60=8391=7=t$b1976=Ok<1b=84?::k22?6=3fhm6=44bd094?5=83:p(n=5dc9Kg0=Olj1b>84?::k12?6=3fh86=44}r36>5<5sW;>70k=:378yv712909wS?9;708883>6<729q/o>47;Ia6?Mbd3`8>6=44i3494?=hj:0;66sm19g94?4=83:p(n=52`9Kg0=Olj1b>94?::ma7?6=3th:4k4?:283>5}#k:037Em:;If`?l422900e?850;9lf6<722wi=4>50;094?6|,j91>l5Gc49K`f=n:=0;66am3;29?xd6180;6?4?:1y'g6<5i2Bh96Fkc:k10?6=3fh86=44}c3:6?6=;3:15;|`2=6<72:0;6=u+c28;?Me23Anh7d<::188m70=831dn>4?::a5<2=8391<7>t$b19f3=Ok<1Chn5f2483>>o5>3:17bl<:188yg7>=3:1?7>50z&`7?d13Ai>7Ejl;h06>5<>{e91k1<7=50;2x f5=02Bh96Fkc:k11?6=3`8=6=44oc194?=zj82i6=4<:183!e4211Co85Gdb9j60<722c9:7>5;n`0>5<53;294~"d;3h=7Em:;If`?l422900e?850;9lf6<722wi=:j50;194?6|,j9146Fl5:Jgg>o5=3:17d<9:188kg5=831vn<6?:181>5<7s-i86?o4Hb78Lae1<75`b283>>{e91;1<7<50;2x f5=:h1Co85Gdb9j61<722ei?7>5;|`2<7<72:0;6=u+c28;?Me23Anh7d<::188m70=831dn>4?::a5=5=8381<7>t$b196d=Ok<1Chn5f2583>>ie;3:17pl>8583>6<729q/o>47;Ia6?Mbd3`8>6=44i3494?=hj:0;66sm19794?5=83:p(n=58:J`1>Nck2c997>5;h05>5<5<4290;w)m<:c48Lf3<@mi0e?;50;9j63<722ei?7>5;|`2<2<72:0;6=u+c28;?Me23Anh7d<::188m70=831dn>4?::a52c=8391<7>t$b19f3=Ok<1Chn5f2483>>o5>3:17bl<:188yg7093:1?7>50z&`7?><@j?0Dim4i3794?=n:?0;66am3;29?xd6?=0;6?4?:1y'g6<5i2Bh96Fkc:k10?6=3fh86=44}c341?6=:3:15<7s-i8655Gc49K`f=n:<0;66g=6;29?jd42900qo?87;296?6=8r.h?75;n`0>5<53;294~"d;320Dn;4Hea8m73=831b>;4?::ma7?6=3th:;l4?:283>5}#k:0i:6Fl5:Jgg>o5=3:17d<9:188kg5=831vn<9m:180>5<7s-i8655Gc49K`f=n:<0;66g=6;29?jd42900qo?82;297?6=8r.h?7l9;Ia6?Mbd3`8>6=44i3494?=hj:0;66sm17c94?5=83:p(n=58:J`1>Nck2c997>5;h05>5<5<5290;w)m<:3c8Lf3<@mi0e?:50;9lf6<722wi=;m50;094?6|,j91>l5Gc49K`f=n:=0;66am3;29?xd6>m0;6>4?:1y'g67Ejl;h06>5<>{e9?o1<7=50;2x f5=j?1Co85Gdb9j60<722c9:7>5;n`0>5<n7>53;294~"d;320Dn;4Hea8m73=831b>;4?::ma7?6=3th::<4?:383>5}#k:09m6Fl5:Jgg>o5<3:17bl<:188yg71:3:1>7>50z&`7?4f3Ai>7Ejl;h07>5<5<5290;w)m<:3c8Lf3<@mi0e?:50;9lf6<722wi=;:50;094?6|,j91>l5Gc49K`f=n:=0;66am3;29?xd6><0;6>4?:1y'g67Ejl;h06>5<>{e9?<1<7=50;2x f5=02Bh96Fkc:k11?6=3`8=6=44oc194?=zj8<<6=4<:183!e4211Co85Gdb9j60<722c9:7>5;n`0>5<53;294~"d;3h=7Em:;If`?l422900e?850;9lf6<722wi=8m50;194?6|,j91n;5Gc49K`f=n:<0;66g=6;29?jd42900qo?:d;297?6=8r.h?764Hb78Lae>ie;3:17pl>5d83>6<729q/o>47;Ia6?Mbd3`8>6=44i3494?=hj:0;66sm14d94?5=83:p(n=5b79Kg0=Olj1b>84?::k12?6=3fh86=44}c367?6=:3:15<7s-i86?o4Hb78Lae1<75`b283>>{e95;|`213<72:0;6=u+c28;?Me23Anh7d<::188m70=831dn>4?::a501=8391<7>t$b19<>Nd=2Boo6g=5;29?l412900co=50;9~f43?29086=4?{%a0>g0<@j?0Dim4i3794?=n:?0;66am3;29?xd64?:1y'g67Ejl;h06>5<>{e9=n1<7<50;2x f5=:h1Co85Gdb9j61<722ei?7>5;|`20`<72;0;6=u+c281e>Nd=2Boo6g=4;29?jd42900qo?;f;297?6=8r.h?764Hb78Lae>ie;3:17pl>5183>6<729q/o>4m6:J`1>Nck2c997>5;h05>5<5<4290;w)m<:99Kg0=Olj1b>84?::k12?6=3fh86=44}c372?6=:3:15<7s-i86?o4Hb78Lae1<75`b283>>{e9=21<7=50;2x f5=02Bh96Fkc:k11?6=3`8=6=44oc194?=zj8>26=4<:183!e42k<0Dn;4Hea8m73=831b>;4?::ma7?6=3th:?k4?:383>5}#k:09m6Fl5:Jgg>o5<3:17bl<:188yg76;3:1>7>50z&`7?4f3Ai>7Ejl;h07>5<5<4290;w)m<:99Kg0=Olj1b>84?::k12?6=3fh86=44}c321?6=:3:1m50;194?6|,j9146Fl5:Jgg>o5=3:17d<9:188kg5=831vn>j50;694?6|,j91m6Fl5:Jgg>o5=3:17d<9:188m71=831dn>4?::a7`<72;0;6=u+c281e>Nd=2Boo6g=4;29?jd42900qo=i:180>5<7s-i8655Gc49K`f=n:<0;66g=6;29?jd42900qo7=:180>5<7s-i8655Gc49K`f=n:<0;66g=6;29?jd42900qo7<:181>5<7s-i86?o4Hb78Lae1<75`b283>>{e1=0;6>4?:1y'g67Ejl;h06>5<>{e0m0;6>4?:1y'g67Ejl;h06>5<>{e0l0;6?4?:1y'g6<5i2Bh96Fkc:k10?6=3fh86=44}c:e>5<4290;w)m<:99Kg0=Olj1b>84?::k12?6=3fh86=44}c:;>5<4290;w)m<:99Kg0=Olj1b>84?::k12?6=3fh86=44}c::>5<5290;w)m<:3c8Lf3<@mi0e?:50;9lf6<722wi4l4?:283>5}#k:037Em:;If`?l422900e?850;9lf6<722wi4>4?:283>5}#k:037Em:;If`?l422900e?850;9lf6<722wi494?:383>5}#k:09m6Fl5:Jgg>o5<3:17bl<:188yg>229086=4?{%a0>==Ok<1Chn5f2483>>o5>3:17bl<:188yg1b29086=4?{%a0>==Ok<1Chn5f2483>>o5>3:17bl<:188yg1a29096=4?{%a0>7g<@j?0Dim4i3694?=hj:0;66sm8183>6<729q/o>47;Ia6?Mbd3`8>6=44i3494?=hj:0;66sm7583>6<729q/o>47;Ia6?Mbd3`8>6=44i3494?=hj:0;66sm7483>7<729q/o>4=a:J`1>Nck2c987>5;n`0>5<5;n`0>5<5;n`0>5<4?::a34<72:0;6=u+c28;?Me23Anh7d<::188m70=831dn>4?::ab5<72:0;6=u+c28;?Me23Anh7d<::188m70=831dn>4?::abg<72;0;6=u+c281e>Nd=2Boo6g=4;29?jd42900qo??6;297?6=8r.h?764Hb78Lae>ie;3:17pl>0683>7<729q/o>4=a:J`1>Nck2c987>5;n`0>5<52;294~"d;38j7Em:;If`?l432900co=50;9~f46>29086=4?{%a0>==Ok<1Chn5f2483>>o5>3:17bl<:188yg77i3:1>7>50z&`7?4f3Ai>7Ejl;h07>5<5<4290;w)m<:99Kg0=Olj1b>84?::k12?6=3fh86=44}c33g?6=:3:1l5Gc49K`f=n:=0;66am3;29?xda:3:1>7>50z&`7?4f3Ai>7Ejl;h07>5<94?::ma7?6=3thm87>53;294~"d;320Dn;4Hea8m73=831b>;4?::ma7?6=3thm97>53;294~"d;320Dn;4Hea8m73=831b>;4?::ma7?6=3thm:7>53;294~"d;320Dn;4Hea8m73=831b>;4?::ma7?6=3thm;7>53;294~"d;320Dn;4Hea8m73=831b>;4?::ma7?6=3thm47>53;294~"d;3h=7Em:;If`?l422900e?850;9lf6<722wij44?:283>5}#k:0i:6Fl5:Jgg>o5=3:17d<9:188kg5=831vnko50;194?6|,j91n;5Gc49K`f=n:<0;66g=6;29?jd42900qohl:180>5<7s-i86o84Hb78Lae>ie;3:17plid;297?6=8r.h?764Hb78Lae>ie;3:17plie;297?6=8r.h?764Hb78Lae>ie;3:17plif;297?6=8r.h?764Hb78Lae>ie;3:17pl>0183>6<729q/o>47;Ia6?Mbd3`8>6=44i3494?=hj:0;66sm11394?5=83:p(n=5b79Kg0=Olj1b>84?::k12?6=3fh86=44}c336?6=;3:14?::a555=8391<7>t$b19<>Nd=2Boo6g=5;29?l412900co=50;9~f46329086=4?{%a0>==Ok<1Chn5f2483>>o5>3:17bl<:188yg7413:1>7>50z&`7?4f3Ai>7Ejl;h07>5<5<5290;w)m<:3c8Lf3<@mi0e?:50;9lf6<722wi=><50;094?6|,j91>l5Gc49K`f=n:=0;66am3;29?xd6;:0;6?4?:1y'g6<5i2Bh96Fkc:k10?6=3fh86=44}c31`?6=:3:1i:181>5<7s-i86?o4Hb78Lae1<75`b283>>{e98:1<7=50;2x f5=02Bh96Fkc:k11?6=3`8=6=44oc194?=zj0=1<7=50;2x f5=02Bh96Fkc:k11?6=3`8=6=44oc194?=zj021<7<50;2x f5=:h1Co85Gdb9j61<722ei?7>5;|`:=?6=;3:15;|`f1?6=:3:15<7s-i8655Gc49K`f=n:<0;66g=6;29?jd42900qo?j4;296?6=8r.h?76=4<:183!e4211Co85Gdb9j60<722c9:7>5;n`0>5<52;294~"d;38j7Em:;If`?l432900co=50;9~f4`729086=4?{%a0>==Ok<1Chn5f2483>>o5>3:17bl<:188yg7a93:1>7>50z&`7?4f3Ai>7Ejl;h07>5<5<5290;w)m<:3c8Lf3<@mi0e?:50;9lf6<722wi=l950;094?6|,j91>l5Gc49K`f=n:=0;66am3;29?xd>k3:1?7>50z&`7?><@j?0Dim4i3794?=n:?0;66am3;29?xd>l3:1>7>50z&`7?4f3Ai>7Ejl;h07>5<Nck2c997>5;h05>5<Nck2c997>5;h05>5<94?::ma7?6=3th=;7>53;294~"d;320Dn;4Hea8m73=831b>;4?::ma7?6=3th=<7>53;294~"d;320Dn;4Hea8m73=831b>;4?::ma7?6=3th==7>52;294~"d;38j7Em:;If`?l432900co=50;9~f34=8391<7>t$b19<>Nd=2Boo6g=5;29?l412900co=50;9~f0d=8391<7>t$b19<>Nd=2Boo6g=5;29?l412900co=50;9~f0e=8381<7>t$b196d=Ok<1Chn5f2583>>ie;3:17pl:d;297?6=8r.h?764Hb78Lae>ie;3:17pl:6;297?6=8r.h?764Hb78Lae>ie;3:17pl:7;296?6=8r.h?75;|`67?6=;3:15;|`7g?6=;3:15;|`7`?6=:3:1o5=3:17d<9:188kg5=831vn9950;194?6|,j9146Fl5:Jgg>o5=3:17d<9:188kg5=831vn9650;094?6|,j91>l5Gc49K`f=n:=0;66am3;29?xd313:1?7>50z&`7?><@j?0Dim4i3794?=n:?0;66am3;29?xd3:3:1?7>50z&`7?><@j?0Dim4i3794?=n:?0;66am3;29?xd3;3:1>7>50z&`7?4f3Ai>7Ejl;h07>5<Nck2c997>5;h05>5<Nck2c997>5;h05>5<94?::ma7?6=3th=o7>53;294~"d;320Dn;4Hea8m73=831b>;4?::ma7?6=3th95}#k:037Em:;If`?l422900e?850;9lf6<722wi>==50;094?6|,j91>l5Gc49K`f=n:=0;66am3;29?xd58=0;6?4?:1y'g6<5i2Bh96Fkc:k10?6=3fh86=44}c031?6=;3:15;|`143<72:0;6=u+c28a2>Nd=2Boo6g=5;29?l412900co=50;9~f2?=8391<7>t$b19<>Nd=2Boo6g=5;29?l412900co=50;9~f2g=8381<7>t$b196d=Ok<1Chn5f2583>>ie;3:17pl8b;297?6=8r.h?764Hb78Lae>ie;3:17pl>ee83>7<729q/o>4=a:J`1>Nck2c987>5;n`0>5<52;294~"d;38j7Em:;If`?l432900co=50;9~f4cf29096=4?{%a0>7g<@j?0Dim4i3694?=hj:0;66sm20194?4=83:p(n=52`9Kg0=Olj1b>94?::ma7?6=3th9=i4?:383>5}#k:0956Fl5:Jgg>o5<3:17bl<:188yg7ai3:1>7>50z&`7?4>3Ai>7Ejl;h07>5<5<5290;w)m<:3;8Lf3<@mi0e?:50;9lf6<722wi=km50;094?6|,j91>45Gc49K`f=n:=0;66am3;29?xd6k10;6?4?:1y'g6<512Bh96Fkc:k10?6=3fh86=44}c3ba?6=:3:145Gc49K`f=n:=0;66am3;29?xd6l:0;6?4?:1y'g6<512Bh96Fkc:k10?6=3fh86=44}c02f?6=:3:15<7s-i86?74Hb78Lae1<75`b283>>{e9031<7<50;2x f5=:01Co85Gdb9j61<722ei?7>5;|`2=g<72;0;6=u+c281=>Nd=2Boo6g=4;29?jd42900qo?m5;296?6=8r.h?7<6;Ia6?Mbd3`8?6=44oc194?=zj8h?6=4=:183!e42;30Dn;4Hea8m72=831dn>4?::a5d4=8381<7>t$b19f1=Ok<1Chn5f2583>>ie;3:17pl>a583>7<729q/o>4m4:J`1>Nck2c987>5;n`0>5<52;294~"d;3h?7Em:;If`?l432900co=50;9~f4`?29096=4?{%a0>g2<@j?0Dim4i3694?=hj:0;66sm1gg94?4=83:p(n=5b59Kg0=Olj1b>94?::ma7?6=3th9=44?:383>5}#k:0i86Fl5:Jgg>o5<3:17bl<:188yg4593:1>7>50z&`7?d33Ai>7Ejl;h07>5<5<5290;w)m<:c68Lf3<@mi0e?:50;9lf6<722wx=o850;0xZ4d134;ij77d52z\2f==:9j;1>o5rs0`:>5<5sW;i563>c381f>{t9kk1<7bc83>7}Y9kh01vP>bb9>5f3=:k1v5d4=:=1vk3=01v3>e1811>;59m0i?6s|1gc94?5|58l<6?84=0;`>dc<58lj6o=4}r3b4?6=:r7:5n4<;<3b4?433ty:n=4?:9y>516=:<16>=>5259>7f<5=278h7<:;<324?4234;nh7<;;<3f=?4334;i<7l<;|q2eg<72;qU=ll4=0c`>g552z?2b2d1810>;6;o09863>15811>;6n909:63>c98a7>{t9oo1<7g6<58ln6?:4}r3ba?6=;r7:j=4=5:?140<5=27:mh4m3:p640=8301;<5249>64?=:=1v616=m279?o4j;<070?c<58n:6h521bg9a>;5;h0n70<;1;g894`02;?01?=j:d9>643=m279=;4j;<3g1?c<58n?6h522509a>;5<:0n70?n9;g894gf2l16>>m5e:?17cg553z?2=f<6827901e;296~X59l16>9683>7}:90i1>63>968a7>{t9031<71=:9031n>5rs0;a>5<5s4;2o784=0;a>g552z?2b1;60=0996s|1e394?3|58n:6oj4=052>70<5=o1>852210960=::991>95rs315>5<4s488i7lk;<:b>73<5o>1>85rs333>5<2s48:<7lk;<077?dd34;9h7<;;<33b?43348:?7<;;|q2e0<72=q6=k:5279>5d>=j:16=8=5259>652=:=1vu2223951=::::1ni5215d960=z{;;:6=47{<31a?4234on6?;4=d4960=:m109963ja;06?8cd2;?01??>:cf894g12;>0q~?na;293~;f93;>70?na;`g?87bm38>70?8d;05?85a2;?01975249>5`g=:=1v1=<521e3954=:9jo1=<522129f6=::8?1=<522049ff=:9m?1=<521e6954=::8:1=<5221f954=:::;1=<52222954=::;l1=<5223g954=::;n1=<5223a954=::;h1=<5223c954=:9l;1nn521`;954=:9hk1=<5rs0c:>5<3s4k:6<84=0c:>gb<58396?;4=56960=z{;>96=4<{<076?dc348:87<:;<3b3?433ty9<<4?:03x976d2l16>=k5e:?14c;5;80n70<<0;g8974a2l16>?k5e:?16a`=::;k1i63>e08f?87b?3o0q~?ke;296~;6m90i?63=2`820>{t:=>1<7:t=061>72<5;>?6oj4=04b>70<5<91>85rs0c`>5;76<5;>;647<5;9j647<58kh6?:4=31f>47<5;>86<:4=31`>47<5;9m6i4>4:?16f=h5159>af;4l38<7p}=4283>6}::=91ni52157963=:9l91>85rs0ab>5<4s4;hh7lk;<3;6?4134=:6?;4}rg7>5<5s48;o7?;;g5?6k521e39b>;6kl0m70?k0;`0?846=3l01??9:g9>5a3=n27:h94i;<3b=?`<58kj6k5rs30:>5<5s488=7lk;<3744?:3y>617=9=16;n4m3:p576=838p17g811>;6?:09963>6g812>;6>909963>58812>;6=809:63>4`812>;6n;0i?63>c7823>;6k90:;63>c0823>;6k<0:;63>c3823>;6jo0:;63>c2823>;6k=0:;6s|1e694?2|58n?6oj4=046>73<58;86?:4=4:960=z{8:n6=4={<325?d4348?>7?>;|q2f0<728?p1<=n:37894572;<01<66:37894>b2;>01<6i:37894?72;>01<6>:36894>52;?01<9::36894112;?01<8l:368943e2;?01<8>:36894042;>01<89:37894322;>01<:j:36894202;>01<=9:36894542;>01v3>178a7>;5<;0m7p}=3483>6}:::n1ni528g811>;68k09:6s|1d094?4|58o=6o=4=0c`>4152z?fg?41349=6oh4}rgg>5<>s4on6o=4=312>c=::::1j63=2g8e?845m3l01?67e=n279>o4i;<01e?`0q~?>8;296~;3i3h870?na;37?xuf:3:1>v3n1;`e?8g728?0q~?>e;296~;103h870<>5;37?xu6900;6?u21e3951=:6oj4=07a>70<58o>6?;4=75960=z{:k1<7?6<:4=469f6=z{8;i6=4={<7:>g5<58n?6<:4}r3g3?6=:r7:;k4m3:?2g5<5<2wx=<0996396;07?8072;?01;?5259~w44f2909w0?=b;`0?84683;?7p}>2b83>1}:9;o1n>523b812>;4l38=70h<:368yv7493:1=>u2104960=:9:>1n>522519b>;>:38>707<:3689=b=:<164h4=4:?;01495249>==<5<272o7<:;<;g>72<5>31>8527`810>{t::=1<7=t=31e>gb<51?1>852f7811>{t9;21<742<51;1n>5rs016>573<595257811>;2?38?70;>:378904=:=168n4=5:?7`?4334><6?;4=5:961=:<;09963;3;07?xu6l?0;6?u219f9f6=:9kl1>95rs0fa>5<5s4;>57l<;<3`0?433ty:?54?:6y>56g=j:16;94=5:?41?4334h099639b;07?xu5:;0;6>u223`951=::;k1ni52181960=z{89i6=4={<17>g`<5l21>;5rsd594?4|5l21n>5221f951=z{8>:6=4={<376?d4348:j7?8;|q266<72;q6>>l5159>=d:368yv46:3:1>v3=10820>;59=0i?6s|21c94?>|5;:n6oj4=00a>71<5:?1=;5239811>;68109863i1;07?8`c2;?01kh5249~w4452909w0<65279>72``4:p56c=833p1?=k:g9>516=j:16>9>5f:?17gc=:::o1j63=3b8e?844n3l0q~70kj:3489d6=9?16?:4=6:?f1?433ty9?94?:2y>66e=jm16594=5:?243<5>2wx>?950;1x975728>01?77812>;1k38>7p}>2583>7}:1<0i?63=3b820>{t:9h1<7lt=32e>gb<588i6?64=24953=:n909963ib;07?877>38>70??7;07?877138>70??a;07?877j38>70??c;07?xu5;;0;6>u222c9fa=:n909:636e;06?xu5;10;6>u22529fa=:0909963i5;06?xu6l00;6?u217d9f6=:9j81>95rs004>5<5s42=6o=4=31e>4253z?16c<6<279>h4md:?222<5=2wx=ij50;0x942f2k901v3>cd820>;1l3h87p}>2783>7}:0k0i?63=3d820>{t::91<7=t=31a>gb<58:26?84=8;960=z{;926=4<{<075?dc34l<6?;4=6`960=z{8o36=4={<3f3?7634;nn7l<;|q2f1<728=p1<=8:37894572;?01<7>:36894>f2;?01<9k:37894>72;>01<6<:36894162;?01<9;:36894102;>01<8n:378940e2;>01<8;:368943c2;?01<;;:36894312;?01<:l:378942c2;>01<:::37894212;>01<=6:36894552;>01v3=3e820>;>83h87p}>3b83>7}:mh09:63<5;`e?xu6lj0;6?u21439f6=:9j?1>95rs32:>5<1s49?6<84=00a>70<5;:o6oj4=25960=:n;09863>02811>{t9121<7g5<583?6?;4}r3;=?6=:r7:4h4m3:?2=7<5>2wx=5k50;0x94>a2k901<7::378yv7?n3:1>v3>918a7>;61:09:6s|18294?4|583:6o=4=0:a>7052z?2=78`812>{t90>1<7g5<582i6?;4}r3:1?6=:r7:4l4m3:?2e2k901<6l:348yv7?j3:1>v3>8e812>;60j0i?6s|16a94?4|58=o6o=4=0:5>7352z?2<5:c1894>02;<0q~?71;296~;60;0i?63>84811>{t9181<7g5<582>6?84}r3;7?6=:r7:494m3:?2<3<5>2wx=5:50;0x94>22k901<9j:348yv7?=3:1>v3>878a7>;60>0996s|19494?4|582<6o=4=05f>7352z?23c<5>27:;h4m3:p526=838p1<9>:c18941f2;?0q~?81;296~;6?=0i?63>79812>{t9>>1<7g5<58=i6?84}r341?6=:r7:;;4m3:?23<<5=2wx=:850;0x94102k901<96:348yv70?3:1>v3>798a7>;6?h09:6s|16:94?4|58=26o=4=051>7052z?23d738a7>{t9?31<7g5<582wx=;l50;0x940a2;=01<8l:c18yv71k3:1>v3>6e8a7>;6>l09:6s|17f94?4|58g5m7>52z?21g:c1894022;<0q~?91;296~;6>;0i?63>67812>{t9?81<7g5<58<<6?84}r357?6=:r7::94m3:?21`<5>2wx=;:50;0x94022k901<87:348yv71=3:1>v3>678a7>;6=j0996s|17494?4|58<<6o=4=07`>7052z?22==838p1<;l:c18943b2;?0q~?:c;296~;6=m0i?63>5g811>{t9g5<58?m6?84}r36a?6=:r7::=4=6:?21cv3>558a7>;6=>09:6s|14694?4|58?26?94=076>g597>52z?213598a7>{t9=h1<7g5<58?;6?;4}r37g?6=:r7:8i4m3:?20c<5>2wx=9j50;0x94362;=01<:j:c18yv73m3:1>v3>4g8a7>;6=909:6s|15d94?4|58?:6?;4=073>g552z?200468a7>{t9==1<7g5<58>26?84}r37h50;0x94272;<01<=i:c18yv76;3:1>v3>128a7>;69=09:6s|10694?4|58;?6o=4=036>7252z?253<5>27:=84m3:p7g<72;q6?n4m3:?0a?433ty8o7>52z?74?41349o6o=4}r1g>5<5s49n6o=4=2d963=z{:o1<77}:1<0996362;`0?xu>:3:1>v363;`0?8?32;<0q~7<:1818?22;<014:5b29~w=e=838p14>5249><`g573<5121n>5rs9:94?4|5131n>528`812>{t000;6?u28c812>;?i3h87p}72;296~;?>38>706<:c18yv>42909w06;:c189=3=:?1v5:50;0x9=0=:?16484m3:p3a<72;q64<4=5:?4a?d43ty52z?4b?d4342;6?84}r5e>5<5s42:6?84=929f6=z{>91<77}:?<0i?6386;05?xu0=3:1>v387;05?8112k90q~8j:1818152;?01;h5b29~w3`=838p1:>5b29>34<5>2wx;=4?:3y>37<5>27<=7l<;|qe4?6=:r7m<7l<;73g5<5o>1>;5rs025>5<5s4;;:7l<;7352z?242b`<5>2wx==750;0x946>2k901ko5249~w46f2909w0??a;`0?8`12;<0q~??b;296~;68k0i?63ic;06?xu68j0;6?u211a9f6=:n>09:6s|f083>7}:n80i?63>01812>{tn;0;6?u2f38a7>;68=09:6s|f283>7}:99?1>:52f28a7>{tn=0;6?u2f58a7>;a038=7p}i5;296~;a=3h870h6:348yv`12909w0h9:c189cg=:?1vk950;0x9c1=j:16jn4=6:pb=<72;q6j54m3:?e`?413tym57>52z?e=?d434ln6?;4}rdb>5<5s4lj6o=4=gd963=z{oi1<785rsgf94?4|5on1n>52113960=z{oo1<7;5rsgd94?4|5ol1n>52110960=z{8:;6=4={<334?d434;;>7<9;|q244<72;q6==?5b29>555=:?1v<>=:181877:3h870??4;06?xu68:0;6?u2117963=:9991n>5rs027>5<5s4;;97<:;<330?d43ty:?44?:3y>56g=:?16=>75b29~w4512909w0?<7;05?874>3h87p}>3383>7}:9:>1>8521209f6=z{8986=4={<300?4134;8?7l<;|q26a<72;q6=?k5279>57b=j:1v<>i:181877n3h870?>0;05?xu6990;6?u2103961=:98:1n>5rs8494?4|50k1>852968a7>{t1>0;6?u2998a7>;>138=7p}68;296~;>i38=7076:c18yvc22909w0k9:3489`3=j:1v38>70?j3;`0?xu6m=0;6?u21d69f6=:9l?1>;5rs0g6>5<5s4;n:7<9;<3f1?d43ty:hk4?:3y>5`6=:?16=ih5b29~w4`72909w0?i2;06?87a83h87p}>f083>7}:9o81>;521g39f6=z{8k=6=4={<3b5d1=j:1v4l50;0x9<`=:<165n4m3:p=f<72;q65i4m3:?:a?413ty2h7>52z?:b?41343n6o=4}r47>5<5s4<36?;4=779f6=z{??1<7>09:6s|6783>7}:>109:6397;`0?xu2n3:1>v393;06?8072k90q~8?:1818062k901;<5279~w37=838p1;=5279>271`<5=27>n7l<;|q6f?6=:r7>o7l<;<7g>7070<55rs4794?4|5<31>852578a7>{t=?0;6?u2568a7>;2038=7p}:7;296~;2138=70;7:c18yv372909w0;;:378907=j:1v8?50;0x904=j:169>4=6:p17<72;q6994=6:?67?d43ty?n7>52z?7b?4234>h6o=4}r6`>5<5s4>o6o=4=5g963=z{=n1<77}:v3;8;`0?82>2;<0q~:7:18182f2;<01975b29~w17=838p19;5249>0706g573<5?k1n>5rs7c94?4|5?h1n>526b812>{t>k0;6?u26e812>;1k3h87p}=0383>7}::981n>52214960=z{;:86=4={<037?d4348;97<9;|q141<72;q6>=95279>652=j:1v?>::181847=3h8705rs6:94?4|5>i1>852788a7>{t?00;6?u27`8a7>;0j38=7p}8a;296~;0k38=709m:c18yv7bl3:1>v3>ed812>;6mm0i?6s|1d;94?4|58oi6?;4=0g:>g552z?2ag<5>27:il4m3:p645=838p1??;:34897742k90q~<>c;296~X59j16>2909wS?i9:?2bd<5<2wx=lh50;0xZ4ga34;i<7<;;|q2bg<72;qU=kl4=0d`>7252z\2g2=:9j21>95rs0cg>5<5sW;jh63>ad810>{tj80;6?uQb09>f7<5<2wx=i<50;0xZ4b534;o?7<;;|q15d<72;qU>7252z\2=3=:90=1>95rs0;;>5<5sW;2463>98810>{t90k1<7j38?7p}>b283>7}Y9k901vP>b09>5g2=:=1vl=50;7x94da2k901::5279>=f<5>27=<7<9;<61>7009:6s|a483>1}:9j;1n>5293812>;3k38=708n:348yvg12908w0?l2;`0?8>c2;<018?5279~wd1=83>p1=:?16:84=6:?62?413tyj47>52z?2g15<5s4;h:7l<;<5:>7052z\2e4=:9h81n>5rs0c0>5<5sW;j?63>a58a7>{t90l1<7f783>7}Y9o<01vP>fe9>5cc=j:1v??7:181[460279=44m3:p676=838pR?4?:3y]5c5<58l>6o=4}|l6=1<728qCo85rn4;6>5<6sAi>7p`:9783>4}Ok<1vb878:182Me23td>554?:0yKg0=zf<326=4>{Ia6?xh21h0;65<5sAi>7p`:9d83>4}Ok<1vb87i:181Me23td>m=4?:3yKg0=zf{Ia6?xh2i;0;65<6sAi>7p`:a783>7}Ok<1vb8o8:182Me23td>m54?:0yKg0=zf{Ia6?xh2ih0;65<6sAi>7p`:ad83>4}Ok<1vb8oi:181Me23td>n=4?:3yKg0=zf5<6sAi>7p`:b783>4}Ok<1vb8l8:182Me23td>n54?:0yKg0=zf{Ia6?xh2jh0;65<6sAi>7p`:bd83>4}Ok<1vb8li:181Me23td>o=4?:0yKg0=zf{Ia6?xh2k;0;65<6sAi>7p`:c783>4}Ok<1vb8m8:182Me23td>o54?:0yKg0=zf5<6sAi>7p`:cd83>4}Ok<1vb8mi:182Me23td>h=4?:0yKg0=zf{Ia6?xh2l;0;65<6sAi>7p`:d783>4}Ok<1vb8j8:182Me23td>h54?:0yKg0=zf5<6sAi>7p`:dd83>4}Ok<1vb8ji:182Me23td>i=4?:2yKg0=zf{Ia6?xh2m;0;65<6sAi>7p`:e783>4}Ok<1vb8k8:182Me23td>i54?:0yKg0=zf{Ia6?xh2mh0;65<6sAi>7p`:ed83>4}Ok<1vb8ki:182Me23td>j=4?:0yKg0=zf{Ia6?xh2n;0;65<5sAi>7p`:f783>4}Ok<1vb8h8:182Me23td>j54?:0yKg0=zf{Ia6?xh2nh0;65<5sAi>7p`:fd83>4}Ok<1vb8hi:182Me23td=<=4?:2yKg0=zf?::6=4>{Ia6?xh18;0;65<5sAi>7p`90783>4}Ok<1vb;>8:182Me23td=<54?:0yKg0=zf?:26=4>{Ia6?xh18h0;65<6sAi>7p`90d83>4}Ok<1vb;>i:182Me23td===4?:0yKg0=zf?;:6=4>{Ia6?xh19;0;65<5sAi>7p`91783>4}Ok<1vb;?8:182Me23td==54?:0yKg0=zf?;26=4>{Ia6?xh19h0;65<6sAi>7p`91d83>4}Ok<1vb;?i:182Me23td=>=4?:0yKg0=zf?8:6=4>{Ia6?xh1:;0;65<6sAi>7p`92783>4}Ok<1vb;<8:182Me23td=>54?:0yKg0=zf?826=4>{Ia6?xh1:h0;65<6sAi>7p`92d83>4}Ok<1vb;{Ia6?xh1;;0;65<6sAi>7p`93783>4}Ok<1vb;=8:182Me23td=?54?:0yKg0=zf?926=4>{Ia6?xh1;h0;65<6sAi>7p`93d83>4}Ok<1vb;=i:182Me23td=8=4?:0yKg0=zf?>:6=4>{Ia6?xh1<;0;65<6sAi>7p`94783>4}Ok<1vb;:8:182Me23td=854?:0yKg0=zf?>26=4>{Ia6?xh15<6sAi>7p`94d83>4}Ok<1vb;:i:182Me23td=9=4?:0yKg0=zf??:6=4>{Ia6?xh1=;0;65<6sAi>7p`95783>4}Ok<1vb;;8:182Me23td=954?:0yKg0=zf??26=4>{Ia6?xh1=h0;65<6sAi>7p`95d83>4}Ok<1vb;;i:182Me23td=:=4?:0yKg0=zf?<:6=4>{Ia6?xh1>;0;65<6sAi>7p`96783>4}Ok<1vb;88:182Me23td=:54?:0yKg0=zf?<26=4>{Ia6?xh1>h0;65<6sAi>7p`96d83>4}Ok<1vb;8i:182Me23td=;=4?:0yKg0=zf?=:6=4>{Ia6?xh1?;0;65<6sAi>7p`97783>4}Ok<1vb;98:182Me23td=;54?:0yKg0=zf?=26=4>{Ia6?xh1?h0;65<6sAi>7p`97d83>4}Ok<1vb;9i:182Me23td=4=4?:0yKg0=zf?2:6=4>{Ia6?xh10;0;64290:wEm:;|l5<1<728qCo85rn7:6>5<6sAi>7p`98783>4}Ok<1vb;68:182Me23td=454?:0yKg0=zf?226=4>{Ia6?xh10h0;6e290:wEm:;|l55<6sAi>7p`98d83>4}Ok<1vb;6i:182Me23td=5=4?:0yKg0=zf?3:6=4>{Ia6?xh11;0;65<6sAi>7p`99783>4}Ok<1vb;78:182Me23td=554?:0yKg0=zf?326=4>{Ia6?xh11h0;65<6sAi>7p`99d83>4}Ok<1vb;7i:182Me23td=m=4?:0yKg0=zf?k:6=4>{Ia6?xh1i;0;65<6sAi>7p`9a783>4}Ok<1vb;o8:182Me23td=m54?:0yKg0=zf?k26=4>{Ia6?xh1ih0;65<6sAi>7p`9ad83>4}Ok<1vb;oi:182Me23td=n=4?:0yKg0=zf?h:6=4>{Ia6?xh1j;0;65<6sAi>7p`9b783>4}Ok<1vb;l8:182Me23td=n54?:0yKg0=zf?h26=4>{Ia6?xh1jh0;65<6sAi>7p`9bd83>4}Ok<1vb;li:182Me23td=o=4?:0yKg0=zf?i:6=4>{Ia6?xh1k;0;65<6sAi>7p`9c783>4}Ok<1vb;m8:182Me23td=o54?:0yKg0=zf?i26=4>{Ia6?xh1kh0;65<6sAi>7p`9cd83>4}Ok<1vb;mi:182Me23td=h=4?:0yKg0=zf?n:6=4>{Ia6?xh1l;0;65<6sAi>7p`9d783>4}Ok<1vb;j8:182Me23td=h54?:0yKg0=zf?n26=4>{Ia6?xh1lh0;65<6sAi>7p`9dd83>4}Ok<1vb;ji:182Me23td=i=4?:0yKg0=zf?o:6=4>{Ia6?xh1m;0;65<6sAi>7p`9e783>4}Ok<1vb;k8:182Me23td=i54?:0yKg0=zf?o26=4>{Ia6?xh1mh0;65<4sAi>7p`>f683>7}Ok<1vb{Ia6?xh6l;0;6?uGc49~j4b4290:wEm:;|l2gg<728qCo85r}|CDF}?:96*>I516?!7N=5:&Qasotafd1,< 60/6-0%"?3/U:E9?P399%[4O3;V937+Q>I47\7==!W8D?>R:7;']2J12X<11-S<@;8^626>4=AGZ^X7mu^c?5?699;136D@@UU8`tpYc4>0;2>5NNE68EKB5<2KEH>:4AOF77>DRN8:0NXGCD^VBVBCSI[IC46LZS^KMBJ75LLJ18GIT>3JEFADZ[EE58GWCF\LN87NZC1:Ff?ATDWZ_HOH]\FTN4?Agsi5:5;6Jnt`>2:4=B02OS\L@KEE08BA5?5F359JFP@53@D37D@IESPF@6=NF_80EB84IN@VB@0?46]\j567<5:5=85Baef\[k67<>UTb=>?6=2=g>Kfbfx]i}fooa8Ilhhz_oydaa139Nip~XWg:;=4Q]erwop45Kj}qUTb=>=4^Pfwpjs9:1FaxvP_o2361YUmzgx?25]Qavsk|:;87@czx^]m4543W[oxyaz;139Nip~XWg:;>8Q]erwop45?27]Qavsk|=;97@czx^]m4540W[oxyaz>3:Onq}YXf9:9;R\jstnw5455Bmtz\[k67:1UYi~{ct030?HkrpVUe<=<7_Sgpqir59:1FaxvP_o236=YUmzgx>?<;Lov|ZYi8983S_k|umv757=Je|rTSc>?28]Qavsk|890A`{w_^l347?XZly~`y?>3:Onq}YXf9:95R\jstnw645>PRdqvhq743Dg~tRQa0113[Wct}e~:=>5Bmtz\[k67;9UYi~{ct330?HkrpVUe<==?_Sgpqir49:1FaxvP_o2375YUmzgx9?=;Lov|ZYi899:S_k|umv27>Kj}qUTb=><1^Pfwpjs9890A`{w_^l3467XZly~`y<>3:Onq}YXf9:8=R\jstnw745:96Cbuy]\j564:VC;S_k|umv22>Kj}qUTb=><2^K3[Wct}e~:=;5Bmtz\[k67;;UB?9;Lov|ZYi8999SD>PRdqvhq26=2GfyuQPn1206ZO6W[oxyaz>6:Onq}YXf9:8>RG>_Sgpqir69?1FaxvP_o2377YN9VXnxb{2048IhsWVd;<>Kj}qUTb=><2^K1[Wct}e~::6Cbuy]\j564:VC9S_k|umv253=Je|rTSc>?33]J6ZTb{|f><84Mlw{[Zh78:8TE?Q]erwop6713Dg~tRQa0111[L4XZly~`y:>5:Onq}YXf9:88RG?_Sgpqir6>2GfyuQPn1200ZO7W[oxyaz>179Nip~XWg:;?9QF0^Pfwpjs:8<0A`{w_^l3462XA9UYi~{ct235?HkrpVUe<==;_H2\V`urd}>:96Cbuy]\j564Kj}qUTb=><4^K2[Wct}e~:=;5Bmtz\[k67;=UB=R\jstnw640?9;Lov|ZYi899?SD?PRdqvhq26=2GfyuQPn1200ZO5W[oxyaz>6:Onq}YXf9:88RG=_Sgpqir69?1FaxvP_o2371YN:VXnxb{2048IhsWVd;<>:PI3]Qavsk|:;=7@czx^]m4553W@8T^h}zlu621>Kj}qUTb=><4^K0[Wct}e~::6Cbuy]\j564?35]J7ZTb{|f><84Mlw{[Zh78:>TE>Q]erwop6713Dg~tRQa0117[L5XZly~`y:>5:Onq}YXf9:88RG;_Sgpqir6>2GfyuQPn1200ZO3W[oxyaz>179Nip~XWg:;?9QF4^Pfwpjs:8<0A`{w_^l3462XA=UYi~{ct235?HkrpVUe<==;_H6\V`urd}>:96Cbuy]\j564S_k|umv22>Kj}qUTb=><4^K6[Wct}e~:=;5Bmtz\[k67;=UB9R\jstnw640?9;Lov|ZYi899?SD;PRdqvhq26=2GfyuQPn1200ZO1W[oxyaz>6:Onq}YXf9:88RG9_Sgpqir69?1FaxvP_o2371YN>VXnxb{2048IhsWVd;<>:PI7]Qavsk|:;=7@czx^]m4553W@Kj}qUTb=><4^K4[Wct}e~::6Cbuy]\j564?35]J3ZTb{|f><84Mlw{[Zh78:>TE:Q]erwop6713Dg~tRQa0117[L1XZly~`y:>7:O{kwYXf9:?Kg{UTb=>;0^]m4565494>7C<78878J7>>9<1E>57<5:L1<<323G835:;4N3::=0=I:0::96@=9117?K5?>>1EIYY@RJ68Jfu512Dh?QFBTD2?J25_1518T4>43Y;2>6^=3:R146=W:;90\?:<;Q0;7>V51;1[?>5_3518T6353Y>87]:=3:R707=W=;1[:?5_739S<7=W1:1[MI:4P@PWe>VNFVH^_DJWb:RJJZDR[GKFI>5_RD38U6=U[]<0^h}zlu18W@R13ZE^^NK>;U18PWO?3]XB_YO[E59W]UCf3\YN^ROCI@Q`?PUBZV\B_DLCE29UGF?<^@O\SYW_E0f8\LJNFQ'SHO.?.0"PPPD'8';+M^MFI29[KW2^T\VMEH:5WSU]UGF3^XRLXD:6Vkb^Kgf>^c`VZye`Gavb9[`mYWz`g_u}ki;Yfk[Utne_oydaa119[`hYJiceyZh||inl24>^ceVGbbb|Yesqjkk0>6=2=e>Yi89;=0=0>a:]m4571494956Qa0135848f3Vd;<<831?3:?Zh788<7>3o4_o2353:56830Sc>?17>0:d=Xf9:::1=1179\j566?>1Tb=>>7048[k6791=0Sc>?193;?Zh7882:<55Pn122<47?3Vd;<<6>299\j56608937R`?00:20==Xf9::4<;7;^l344>6>11Tb=>>805;?Zh7882:455Pn122<4?03Vd;<<6=8:]m457?:920Sc>?1902<>Yi89;3>?64_o235=4402Ue<=?725:8[k67918>46Qa013;63>?190:3>Yi89;3?:5Pn122<111?2Ue<=?7769\j56601=0Sc>?19;5?Zh7883=7R`?0324?Zh78;::;6Qa010362=Xf9:9<>84_o23641369\j5659=<0Sc>?2548[k67:<<0Sc>?2748[k67:><0Sc>?2948[k67:0<0Sc>?3148[k67;830Sc>?33>3:<=Xf9:8>1?19:]m45554;427R`?026?4;?>89\j564<58556Qa0117868>3Vd;<>:34?;8[k67;=6>245Pn120090912Ue<==;<6<5?Zh78:=<7R`?02522>Yi8993;6Qa011;52=Xf9:84?84_o230717:]m4523:>1Tb=>;4248[k67<<=0Sc>?4434?Zh78=?9:6Qa01653>Yi89>==:5Pn12727?>89\j563?58556Qa016486813Vd;<;>8;^l34366?2Ue<=8?279\j56?;>1Tb=>730;8[k670<6;2;5Pn13352=Xf9;;=<94_o2244403Vd;==?<7:]m4466<>1Tb=??1458[k6688<=7R`?1104?Zh7998:;6Qa002162=Xf9;;>>94_o2247203Vd;==<:7:]m4465>?1Tb=??369\j577;8=0Sc>>0204?Zh79998;6Qa002002=Xf9;;?894_o2246003Vd;===86:]m4463?2Ue<<>;199\j577<8:37R`?11625==Xf9;;8<<7;^l35526;11Tb=??4064?Zh799>9;6Qa002772=Xf9;;8994_o2241303Vd;==:97:]m4463?>1Tb=??4958[k668=3=7R`?1174?Zh799?:;6Qa002662=Xf9;;9>94_o2240203Vd;==;:7:]m4462>?1Tb=??769\j577?820Sc>>0633<>Yi88:<=<94_o2242403Vd;==9<7:]m4460<>1Tb=??7458[k668><<7R`?11543>Yi88:<4:5Pn1333<0099\j57718;<7R`?11;13>Yi88:2?:5Pn133=116769\j57711=0Sc>>08;5?Zh798;<7R`?1032<>Yi88;:==64_o22547602Ue<13:8[k6698;8;6Qa003262=Xf9;:=>94_o2254203Vd;=>1Tb=?>1658[k66982<7R`?103:7>dtq=1it?;;cqz61=e{p9?7n~ga59`tjj?3jz~SCg}qd9`tpYIa{{TCO[IE89`tpYIxac9i6mu^Lsll4XAK_M46mu^Lqavb2:f=dx|UjS=QFBTD`?fvrWhU:SDLZF99`tpYc49437n~z_e>2:==dx|Uo0?07;brv[a:4611h|xQk<5<;?fvrWm6>255lpt]g838f3jz~Si28:1<;?fvrWm6<2h5lpt]g[5YNGK_MIh5lpt]g[4YNGK_MIh5lpt]g[7YNGK_MIh5lpt]g[6YNGK_MIh5lpt]g[1YNGK_MIh5lpt]g[0YNGK_MIh5lpt]g[3YNGK_MIh5lpt]g[2YNGK_MI:5lpt]qjreet911h3mc}e~a}_1;8`lpn{fxT=45kiwkpkwY5m2nbzd}`r^0\J6>?n2nbzd}`r^0\J6>?9o1oe{g|os]1[K5?0;l0hdxfsnp\6ZH4019n7igyirmq[7YI;0:m7igyirmq[7YI;0::?6kle79f|qekck1ntymck^KAQC5oi|Vndyy2;>c9jjqYcg|~793l4iov\`jss4?4i7d`{_emvp91902cexRcfsn;8mkrXe`yd=45fnu]nmvi502cexRc`sh58mkrXg|~37d`{_nww5==nf}Udyy<7;hlw[jss;11bbyQ`uu6;?lhsWziggk5fnu]pgimXWg:;<=??;hlw[vekcVUe<=>?1028mkrX{jf`SR`?012155=nf}UxoaeP_o23455a3`dS~mck^]m4566991bbyQ|cmi\[k6788;:<6gat^q`hnYXf9:;=?94ir]qadb?3`yT~hok199jwZtbim837d}Prdcg7==n{Vxnmi:<;mgg0>jbl820`hjPOCWE<>h`lkb`i;4rdqfp3=umzo=55|hhdwgim>3zbbjymck0;8wmoa|jf`>45|hhdwgim412ycekzllj6:?vnnn}igg874sikepfjl>k1xddh{cov?4;d<{acmxn`{<0uoao~bbjuwa??;>o64sqk\Jvckl2y{eR@|em]LFP@03zzbSd`{c:qsmZoi|VCIYK64sqk\iluhl2y{eRcfsn]JFP@?3zzbS`a|id9ptlYjgzcTCO[IE99ptlYtkeao7~~f_raooZIE]Oi0}gkaucjj969k2y{eio{ahl?5;e<{ycomyofn=0=g>uwamkmd`33?a8wuoci}kbb1:1c:qsmagsi`d793m4sqkgeqgnf5<5o6}iecwelh;?7h0}gkaucjjZ6e3zzbhlznio]2f>uwamkmd`P2c9ptlbf|hceS>l4sqkgeqgnfV>i7~~fd`vbmkY2j2y{eio{ahl\2g=tx`njxlga_6f8wuoci}kdyy2?>e9ptlbf|he~x1?1d:qsmagsif0?0k;rrj`drfg|~7?3j4sqkgeqgh}}6?2i5|phfbpdir|5?5h6}iecwejss4?4o7~~fd`vbkpr;?7i0}gkauclqqY7k2y{eio{anww[4e<{ycomyo`uu]1g>uwamkmb{{_2a8wuoci}kdyyQ;c:qsmagsifS8m4sqkgeqgh}}U=o6}iecwejssW>o0yo}t^qkmcrnfn80xn=4tlm;?}e>=9:s`55wimkm|phzHIzi>l5O@y010?@=<3;p_o5>4S2a965d=>3;8?n9?a;06g=5<[8k1>=l56;307f17i38>o5:4d307>5<628qX=o4if;0`>454k>:j6?;l819'51<5=;1C=h5yT3094?7=93;<:v]>b;de>7e=9:9h;=o524a;4>"bm39n7[hm:3yv02<63|>36=5r$eg96047;37b?73irP:975;h3a`?6=3`;o97>5;h3af?6=3`hi6=44i0g5>5<5<#m90:o=5adg83?>o6jo0;6)k?:0a3?kba2810'3=<#8i=6=4+e182gg=ilo0>76%>c683>!c728ii7cji:598/4e?290/i=4>cc9m`c<432!:o44?:%g3>4ee3gnm6?54+0ab>5<#m90:oo5adg82?>-6kj0;6)k?:0aa?kba2910c7:188f4gf29086=4?{%gb>46<@li0Dk<4$gc9b>"4j38>?6g=f;29 cg=;=10e>>50;&ee?5332eih7>5$gc9g1=53;294~"bi3;;7Ekl;Id1?!`f2o1/?o4=529j6c<72-lj6>:4;h13>5<#nh08865`be83>!`f2j>07pl>9183>6<729q/il4>0:Jfg>Na:2.mm7h4$2`9605o483:1(ko53598kgb=83.mm7m;;:a5=e=83>1<7>t$dc954=Omj1Cj?5+f`8e?!5e2;?87d62<3`9:6=4+f`800>=hjm0;6)hn:b68?xd6?m0;6>4?:1y'ad<682Bno6Fi2:&ee?`<,:h1>8=4i3d94?"ai39?76g<0;29 cg=;=10coj50;&ee?e332wi=:850;694?6|,lk1=<5Geb9Kb7=#nh0m7)=m:370?l4a290/jl4<4:9j75<72-lj6>:4;h12>5<#nh08865`be83>!`f2j>07pl>6d83>1<729q/il4>1:Jfg>Na:2.mm7h4$2`9605o483:1(ko53598m67=83.mm7=;;:ma`?6=,ok1o954}c353?6=<3:1k4?:%db>62<3`9;6=4+f`800>=n;80;6)hn:268?jdc290/jl4l4:9~f77>290?6?4:{%gb>72<@li0Dk<4$2`9605>o6>3:17bk=:188f`4=83?1>78t$dc963=#;k099>5`e383>>ob93:17d?k:188m4?=831b=;4?::`12?6=>3:15<#nh0:465fe483>!`f2l?07dk<:18'bdf2<3thm97>51;294~"ai3i;7bm::18'bd{t9?0;6?u22782<>X6>2wxi<4?:3y>637}::?0n?6P>9:pa7<72;q6>;4l4:\f6>{zj;0;6<4?:1y'ag<>3-oj6?j4$gc9556<,:h1>8=4o3`94?"ai3i?76s|e383>7}:m;0n>6Pj2:p1?6=:r7n>7k>;<096g=#mk0h7p}>d;296~;b:3;o7S?k;|q2=?6=:r7n>7?6;_3:?xu6>3:1>v3j2;35?[713twi>95Geb9Kb7=#;k099>5f1e83>>o613:17d?9:188k`4=831ii?4?:481>3}#mh09:6*ib:3:17dk>:188m4b=831b=44?::k22?6=3k8=6=49:183!`f2;30e>:50;&ee?5332c:;7>5$gc952=ob=3:1(ko5e498m`5=83.mm7k<;:m`0?6=,ok1o954}cd6>5<6290;w)hn:b28kf3=83.mm7m;;:p5a<72;q6>;4<4:\2`>{tmm0;6?u227823>;a=3i>7p}>6;296~;5>3;37S?9;|qf5?6=:r79:7k:;_g2?xu613:1>v3=6;g0?[7>3tyn>7>52z?12?e33Wo97psm2;295?6=8r.nn774$dc96a=#nh0:<=5+3c8116=h:k0;6)hn:b68?xub:3:1>v3j2;g1?[c53ty>6=4={`7<5;09n6*jb;a8yv7c2909w0k=:0f8Z4b4?;Ig`?M`53-lj6k>4$2`9605o483:1(ko53598kgb=83.mm7m;;:p5c<72;qU=k52fd81b>{t:90;6?uQ219>b`<482wxi94?:3y]a1=:nl0ih6srb5294?5=939p(ho53g9Kaf=n9o0;66g=0;29?jc32900nkk50;194?6|,lk1j<5Geb9Kb7=#nh0m<6*o5n3:1(ko53598m66=83.mm7=;;:ma`?6=,ok1o954}r3e>5<5sW;m70hj:3d8yv472909wS661<52Na:2.8n7<:3:k2`?6=3`;26=44i0494?=hm;0;66lj2;291?4=>r.nm7<9;%1a>7343fo96=44id394?=n9m0;66g>9;29?l712900n?850;494?6|,ok1>45f3583>!`f2:>07d?8:18'bd<6?21b=54?:%db>4><3`o>6=4+f`8f1>=nm:0;6)hn:d18?je3290/jl4l4:9~fc3=83;1<7>t$gc9g5=hk<0;6)hn:b68?xu6l3:1>v3=6;17?[7c3tynh7>52z?12?7034l>6n;4}r35>5<5s48=6<64^048yvc62909w0<9:d78Z`7`50Rh<4}|`1>5<6290;w)km:89'ad<5l2.mm7??0:&0f?42;2e9n7>5$gc9g1=`47f=z{8n1<77>50z&fe?513Aoh7Eh=;%db>45<,:h1>8=4i3g94?"ai39?76amd;29 cg=k=1Cj454}c07=?6==381:v*ja;06?Mcd3Al97)=m:370?l7c2900e<950;9j5<<722c::7>5;ng1>5<62<3`;<6=4+f`823>=n910;6)hn:0:8?lc2290/jl4j5:9ja6<72-lj6h=4;na7>5<#nh0h865rbg594?7=83:p(ko51128kf2=83.mm7m;;:p5a<72;q6i?4<4:\2`>{t9>0;6?u2e3823>X6?2wx=;4?:3y>a7<602T::6s|2e83>7}:m;0n963i7;a7?xu613:1>v3j2;g0?[7>3tyn>7>52z?f6?e33Wo97psm1ea94?3=:35;h3:>5<>db:3:1:7>50z&ee?4>3`9?6=4+f`800>=n9>0;6)hn:058?l7?290/jl4>8:9ja0<72-lj6h;4;hg0>5<#nh0n?65`c583>!`f2j>07pli7;295?6=8r.mm7??0:m`0?6=,ok1o954}r3g>5<5s4o96>:4^0f8yv702909w0k=:058Z414>5<7s-oj6?j4Hda8Lc4<,ok1==>4$2`9605{e9mn1<7;52;4x `g=:<1Cin5Gf39'7g<5=:1b=i4?::k23?6=3`;26=44i0494?=hm;0;66lj2;292?6=8r.mm7<6;h17>5<#nh08865f1683>!`f28=07d?7:18'bd<6021bi84?:%db>`3<3`o86=4+f`8f7>=hk=0;6)hn:b68?xda?3:1=7>50z&ee?7782eh87>5$gc9g1=625<5s4o96<64^048yv4c2909w0k=:d789c1=k=1v<750;0x9`4=m:1U=45rsd094?4|5l81o95Qe39~yg`3290:6=4?{%gb>`b<@li0Dk<4$gc9g5=#;k099>5`c483>!`f2j>07pl>5e83>6<729q/il4>0:Jfg>Na:2.mm7h4$2`9605o483:1(ko53598kgb=83.mm7m;;:a565=8391<7>t$dc955=Omj1Cj?5+f`8e?!5e2;?87d62<3fho6=4+f`8`0>=zj;>o6=4::385!cf2;?0Dhm4Hg08 6d=:<90e5;h35>5<3<729q/jl4=9:k00?6=,ok1?954i0594?"ai3;<76g>8;29 cg=9110eh;50;&ee?c232cn?7>5$gc9a6=1<7*ia;a7?>{en>0;6<4?:1y'bd<6891do94?:%db>f2<3ty:h7>52z?f6?533W;o7p}>7;296~;b:3;<7S?8;|q22?6=:r7n>7?7;_35?xu5l3:1>v3j2;g6?8`02j>0q~?6:1818c52l90R<74}rg1>5<5s4o96n:4^d08yxd5800;6>4?:1y'ad<682Bno6Fi2:&ee?`<,:h1>8=4i3d94?"ai39?76g<0;29 cg=;=10coj50;&ee?e332wi8h4?:283>5}#mh0h>6Fjc:Je6>"ai3i:7)=m:370?l4a290/jl4<4:9j75<72-lj6>:4;n`g>5<#nh0h865rb`194?5=83:p(ho5c39Kaf=On;1/jl4l1:&0f?42;2c9j7>5$gc971=iel3:1(ko5c598yg?b29086=4?{%gb>f4<@li0Dk<4$gc9g4=#;k099>5f2g83>!`f2:>07d=?:18'bd<4<21dni4?:%db>f2<3th257>53;294~"bi3i97Ekl;Id1?!`f2j;0(>l52418m7`=83.mm7=;;:k04?6=,ok1?954ocf94?"ai3i?76sm9583>6<729q/il4l2:Jfg>Na:2.mm7m>;%1a>7343`8m6=4+f`800>=n;90;6)hn:268?jdc290/jl4l4:9~f=`=8391<7>t$dc9g7=Omj1Cj?5+f`8`5>"4j38>?6g=f;29 cg=;=10e>>50;&ee?5332eih7>5$gc9g1=6=4<:183!cf2j80Dhm4Hg08 cg=k81/?o4=529j6c<72-lj6>:4;h13>5<#nh08865`be83>!`f2j>07pl70;297?6=8r.nm7m=;Ig`?M`53-lj6n?4$2`9605o483:1(ko53598kgb=83.mm7m;;:a574=83>1<7>t$dc9g6=Omj1Cj?5+f`8`5>"4j38>?6g=f;29 cg=;=10e>>50;&ee?5332c8=7>5$gc971={e9<=1<7=50;2x `g=991Cin5Gf39'bd5<#nh08865f3183>!`f2:>07blk:18'bd5<7s-oj6<>4Hda8Lc4<,ok1j6*o5n3:1(ko53598m66=83.mm7=;;:ma`?6=,ok1o954}c365?6=;3:1k4?:%db>62<3`9;6=4+f`800>=hjm0;6)hn:b68?xd64?:1y'ad<682Bno6Fi2:&ee?`<,:h1>8=4i3d94?"ai39?76g<0;29 cg=;=10coj50;&ee?e332wi=9l50;194?6|,lk1==5Geb9Kb7=#nh0m7)=m:370?l4a290/jl4<4:9j75<72-lj6>:4;n`g>5<#nh0h865rb06;>5<2290;w)kn:008L`e<@o80(ko5f:&0f?42;2c8>7>5$gc971=o483:1(ko53598m67=83.mm7=;;:ma`?6=,ok1o954}c075;ng1>5<62<3`;<6=4+f`823>=n910;6)hn:0:8?lc2290/jl4j5:9ja6<72-lj6h=4;na7>5<#nh0h865rbg594?7=83:p(ko51128kf2=83.mm7m;;:p5a<72;q6i?4<4:\2`>{t9>0;6?u2e3823>X6?2wx=;4?:3y>a7<602T::6s|2e83>7}:m;0n963i7;a7?xu613:1>v3j2;g0?[7>3tyn>7>52z?f6?e33Wo97psm13g94?4=83:p(ho5379Kaf=On;1/jl4>3:&0f?42;2c9i7>5$gc971=32wim54?:283>5}#mh0h>6Fjc:Je6>"ai3i:7)=m:370?l4a290/jl4<4:9j75<72-lj6>:4;n`g>5<#nh0h865rb02a>5<4290;w)kn:028L`e<@o80(ko5f:&0f?42;2c9j7>5$gc971=iel3:1(ko5c598yg77;3:1?7>50z&fe?773Aoh7Eh=;%db>c=#;k099>5f2g83>!`f2:>07d=?:18'bd<4<21dni4?:%db>f2<3th:<84?:283>5}#mh0:<6Fjc:Je6>"ai3l0(>l52418m7`=83.mm7=;;:k04?6=,ok1?954ocf94?"ai3i?76sm11594?5=83:p(ho5119Kaf=On;1/jl4i;%1a>7343`8m6=4+f`800>=n;90;6)hn:268?jdc290/jl4l4:9~f46>29086=4?{%gb>46<@li0Dk<4$gc9b>"4j38>?6g=f;29 cg=;=10e>>50;&ee?5332eih7>5$gc9g1==7>55;092~"bi38>7Ekl;Id1?!5e2;?87d?k:188m41=831b=44?::k22?6=3fo96=44bd094?0=83:p(ko5289j71<72-lj6>:4;h34>5<#nh0:;65f1983>!`f28207dk::18'bd4?:%db>`5<3fi?6=4+f`8`0>=zjo=1<7?50;2x cg=99:0cn:50;&ee?e332wx=i4?:3y>a7<4<2T:h6s|1683>7}:m;0:;6P>7:p53<72;q6i?4>8:\22>{t:m0;6?u2e38f1>;a?3i?7p}>9;296~;b:3o87S?6;|qf6?6=:r7n>7m;;_g1?x{e9ok1<7=50;2x `g=k;1Cin5Gf39'bdNbk2Bm>6*o6l3:17d?8:188m4?=831b=;4?::mf6?6=3ko96=49:183!`f2;30e>:50;&ee?5332c:;7>5$gc952=ob=3:1(ko5e498m`5=83.mm7k<;:m`0?6=,ok1o954}cd4>5<6290;w)hn:023?je3290/jl4l4:9~w4b=838p1h<5359]5a=z{8=1<71v<850;0x9`4=911U=;5rs3f94?4|5l81i852f68`0>{t900;6?u2e38f7>X612wxi?4?:3y>a76srb324>5<4290;w)kn:028L`e<@o80(ko5f:&0f?42;2c9j7>5$gc971=iel3:1(ko5c598yg7b:3:1>7>50z&fe?513Aoh7Eh=;%db>45<,:h1>8=4i3g94?"ai39?76amd;29 cg=k=1Cj454}c03`?6=:3:15$gc9g1=On010qo<;7;291?4=>r.nm7<:;Ig`?M`53-9i6?;<;h3g>5<>o6>3:17bk=:188f`4=83<1<7>t$gc96<=n;=0;6)hn:268?l70290/jl4>7:9j5=<72-lj6<64;hg6>5<#nh0n965fe283>!`f2l907bm;:18'bd4ob694?"ai3i?76s|1e83>7}:m;0886P>d:p52<72;q6i?4>7:\23>{t9?0;6?u2e382<>X6>2wx>i4?:3y>a77k<;_3:?xub:3:1>v3j2;a7?[c53twi>9k50;796?0|,lk1>85Geb9Kb7=#;k099>5f1e83>>o6?3:17d?6:188m40=831di?4?::`f6?6=>3:15<#nh0:465fe483>!`f2l?07dk<:18'bdf2<3thm;7>51;294~"ai3;;<6al4;29 cg=k=10q~?k:1818c52:>0R5<5s4o96<94^058yv712909w0k=:0:8Z40`3<5o=1o95rs0;94?4|5l81i>5Q189~w`4=838p1h<5c59]a7=zuk;i:7>53;294~"bi3;;7Ekl;Id1?!`f2o1/?o4=529j6c<72-lj6>:4;h13>5<#nh08865`be83>!`f2j>07plnd;297?6=8r.nm7m=;Ig`?M`53-lj6n?4$2`9605o483:1(ko53598kgb=83.mm7m;;:a33<72:0;6=u+e`8`6>Nbk2Bm>6*ia;a2?!5e2;?87d62<3fho6=4+f`8`0>=zj>;1<7=50;2x `g=k;1Cin5Gf39'bd5<7s-oj6n<4Hda8Lc4<,ok1o<5+3c8116=n:o0;6)hn:268?l57290/jl4<4:9lfa<72-lj6n:4;|`53?6=;3:15$gc971={e>;0;6>4?:1y'ad5<#nh08865f3183>!`f2:>07blk:18'bdo5n3:1(ko53598m66=83.mm7=;;:ma`?6=,ok1o954}c7;>5<4290;w)kn:b08L`e<@o80(ko5c09'7g<5=:1b>k4?:%db>62<3`9;6=4+f`800>=hjm0;6)hn:b68?xd2;3:1?7>50z&fe?e53Aoh7Eh=;%db>f7<,:h1>8=4i3d94?"ai39?76g<0;29 cg=;=10coj50;&ee?e332wi8<4?:282>6}#mh08j6Fjc:k2b?6=3`8;6=44od694?=enl0;6>4?:1y'ad5<#nh08865f3183>!`f2:>07blk:18'bdk5rs3294?4|V;:01kk5319~w`2=838pRh:4=gg9fa=zuk=i6=4<:183!cf2j80Dhm4Hg08 cg=k81/?o4=529j6c<72-lj6>:4;h13>5<#nh08865`be83>!`f2j>07pl=2b83>6<62:q/il4mf:Jfg>Na:2B856*5<#nh0h86Fj9:9~w7c=838p1k852d9]6`=z{;;1<7f2<,:h1>8=4i3g94?=n:80;66amd;29?g`129086=4?{%db>`>ob?3:1(ko51b98kgb=83.mm7m;;Ig:?>{t:l0;6?u2f781a>X5m2wx><4?:3y>b37}:n?0ih6Pmd:~f4b3290?6?4;{%gb>6><@li0Dk<4$2`9605>iel3:17b=8:188f65=8381<7>t$dc976=#nh0:?6F<9:&0e?533-9i6?;<;h0f>5<#nh08865`be83>!`f2j>07plmf;297?7=;rB856*5$dc971=da>3:1?7>50z&ee?c?3`8n6=4+f`800>=nm>0;6)hn:0a8?jdc290/jl4l4:Jf=>=z{;o1<71U><5rscf94?4|5o<1ni5Qbe9~yv502908w0=<:3g89g`=jm1U?:5rscf94?4|5:91ni5Qbe9~w7c=838p1oh52d9]6`=z{;;1<74;297?6=8r.nm7??;Ig`?M`53-lj6k5+3c8116=n:o0;6)hn:268?l57290/jl4<4:9lfa<72-lj6n:4;|`2``<72<096;u+e`811>Nbk2Bm>6*o6l3:17d?8:188m4?=831b=;4?::mf6?6=3ko96=49:183!`f2;30e>:50;&ee?5332c:;7>5$gc952=ob=3:1(ko5e498m`5=83.mm7k<;:m`0?6=,ok1o954}cd4>5<6290;w)hn:023?je3290/jl4l4:9~w4b=838p1h<5359]5a=z{8=1<71v<850;0x9`4=911U=;5rs3f94?4|5l81i852f68`0>{t900;6?u2e38f7>X612wxi?4?:3y>a76srb0g7>5<5290;w)kn:248L`e<@o80(ko5129'7g<5=:1b>h4?:%db>62<3fho6=4+f`8`0>Na121vn?<=:186>7<1s-oj6?;4Hda8Lc4<,:h1>8=4i0f94?=n9>0;66g>9;29?l712900ch<50;9aa7<72?0;6=u+f`81=>o4<3:1(ko53598m41=83.mm7?8;:k276gj3;29 cg=m:10cn:50;&ee?e332wij:4?:083>5}#nh0:<=5`c583>!`f2j>07p}>d;296~;b:39?7S?k;|q23?6=:r7n>7?8;_34?xu6>3:1>v3j2;3;?[713ty9h7>52z?f6?c234l<6n:4}r3:>5<5s4o96h=4^0;8yvc52909w0k=:b68Z`4>4?:481>3}#mh0946Fjc:Je6>"4j38>?6g>d;29?l702900eh?50;9j53<722en>7>5;cg1>5<1290;w)hn:3;8m62=83.mm7=;;:k23?6=,ok1=:54i0:94?"ai3;376gj5;29 cg=m<10eh=50;&ee?c432eh87>5$gc9g1=:183!`f28:;7bm;:18'bd{zj8o26=4::385!cf2;?0Dhm4Hg08 6d=:<90e5;h35>5<3<729q/jl4=9:k00?6=,ok1?954i0594?"ai3;<76g>8;29 cg=9110eh;50;&ee?c232cn?7>5$gc9a6=1<7*ia;a7?>{en>0;6<4?:1y'bd<6891do94?:%db>f2<3ty:h7>52z?f6?533W;o7p}>7;296~;b:3;<7S?8;|q22?6=:r7n>7?7;_35?xu5l3:1>v3j2;g6?8`02j>0q~?6:1818c52l90R<74}rg1>5<5s4o96n:4^d08yxd6m10;684=:7y'ad<5=2Bno6Fi2:&0f?42;2c:h7>5;h34>5<>ib:3:17ok=:185>5<7s-lj6?74i2694?"ai39?76g>7;29 cg=9>10e<650;&ee?7?32cn97>5$gc9a0=id<3:1(ko5c598yg`0290:6=4?{%db>4673fi?6=4+f`8`0>=z{8n1<71U=:5rs0494?4|5l81=55Q179~w7b=838p1h<5e49>b2a77}:m;0h86Pj2:~f77b29086?4;{%gb>75<@li0Dk<4$2`9605>ib:3:17ok=:186>7<1s-oj6?84$2`9605>o6l3:17d?6:188m40=831i>;4?:783>5}#nh0956g<4;29 cg=;=10e<950;&ee?7032c:47>5$gc95==ob;3:1(ko5e298kf2=83.mm7m;;:ab0<7280;6=u+f`8`4>id=3:1(ko5c598yv7c2909w0<9:268Z4b41<5o?1o85rs0494?4|5;<1=55Q179~w`7=838p1?85e49]a4=z{831<7?;%1a>7343f8i6=4+f`8`0>=z{l81<7a77?6;<396g=#mk0i7p}>d;296~;b:3;o7S?k;|q22?6=:r7n>7?9;_35?x{e:8n1<7:52;7x `g=:=1Cin5Gf39'7g<5=:1b=i4?::k2=?6=3`;=6=44od094?=em;0;684=:7y'ad<5>2.8n7<:3:mf6?6=3`o:6=44i0f94?=n900;66g>6;29?g41290=6=4?{%db>7?1<7*ia;17?>o6?3:1(ko51698m4>=83.mm7?7;:kf1?6=,ok1i854id194?"ai3o876al4;29 cg=k=10qoh::182>5<7s-lj6n>4ob794?"ai3i?76s|1e83>7}::?0886P>d:paa<72;q6>;4>7:?e1?e23ty::7>52z?12?7?3W;=7p}j1;296~;5>3o>7Sk>;|q2=?6=:r79:7k<;_3:?xub:3:1>v3=6;a7?[c53twi>7>51;294~"bj330(ho52e9'bd<6891/?o4=529l6g<72-lj6n:4;|qf6?6=:r7n>7k=;_g1?xu22909w0k=:d3897<5j2.nn7m4}r3g>5<5s4o962909w0k=:0;8Z4?401<52Na:2.8n7<:3:k2`?6=3`;26=44i0494?=hm;0;66lj2;291?4=>r.nm7<9;%1a>7343fo96=44id394?=n9m0;66g>9;29?l712900n?850;494?6|,ok1>45f3583>!`f2:>07d?8:18'bd<6?21b=54?:%db>4><3`o>6=4+f`8f1>=nm:0;6)hn:d18?je3290/jl4l4:9~fc3=83;1<7>t$gc9g5=hk<0;6)hn:b68?xu6l3:1>v3=6;17?[7c3tynh7>52z?12?7034l>6n;4}r35>5<5s48=6<64^048yvc62909w0<9:d78Z`7`50Rh<4}|`1>5<6290;w)km:89'ad<5l2.mm7??0:&0f?42;2e9n7>5$gc9g1=`47f=z{8n1<77343`;o6=44i0594?=n900;66g>6;29?jc52900nh<50;494?6|,ok1>45f3583>!`f2:>07d?8:18'bd<6?21b=54?:%db>4><3`o>6=4+f`8f1>=nm:0;6)hn:d18?je3290/jl4l4:9~fc1=83;1<7>t$gc95561<7*ia;a7?>{t9m0;6?u2e3800>X6l2wx=:4?:3y>a7<6?2T:;6s|1783>7}:m;0:46P>6:p6a<72;q6i?4j5:?e3?e33ty:57>52z?f6?c43W;27p}j2;296~;b:3i?7Sk=;|a66b=83?1>78t$dc960=Omj1Cj?5+3c8116=n9m0;66g>7;29?l7>2900e<850;9la7<722hn>7>56;294~"ai3827d=;:18'bd<4<21b=:4?:%db>41<3`;36=4+f`82<>=nm<0;6)hn:d78?lc4290/jl4j3:9lg1<72-lj6n:4;|`e3?6=93:1id<3:1(ko5c598yv7c2909w0k=:268Z4b415<5s4o96h;4=g59g1=z{831<75;ng1>5<62<3`;<6=4+f`823>=n910;6)hn:0:8?lc2290/jl4j5:9ja6<72-lj6h=4;na7>5<#nh0h865rbg594?7=83:p(ko51128kf2=83.mm7m;;:p5a<72;q6i?4<4:\2`>{t9>0;6?u2e3823>X6?2wx=;4?:3y>a7<602T::6s|2e83>7}:m;0n963i7;a7?xu613:1>v3j2;g0?[7>3tyn>7>52z?f6?e33Wo97psm22`94?3=:35;h3:>5<>db:3:1:7>50z&ee?4>3`9?6=4+f`800>=n9>0;6)hn:058?l7?290/jl4>8:9ja0<72-lj6h;4;hg0>5<#nh0n?65`c583>!`f2j>07pli7;295?6=8r.mm7??0:m`0?6=,ok1o954}r3g>5<5s4o96>:4^0f8yv702909w0k=:058Z414>r.nm7<:;Ig`?M`53-9i6?;<;h3g>5<>o6>3:17bk=:188f`4=83<1<7>t$gc96<=n;=0;6)hn:268?l70290/jl4>7:9j5=<72-lj6<64;hg6>5<#nh0n965fe283>!`f2l907bm;:18'bd4ob694?"ai3i?76s|1e83>7}:m;0886P>d:p52<72;q6i?4>7:\23>{t9?0;6?u2e382<>X6>2wx>i4?:3y>a77k<;_3:?xub:3:1>v3j2;a7?[c53twi>>750;796?0|,lk1>85Geb9Kb7=#;k099>5f1e83>>o6?3:17d?6:188m40=831di?4?::`f6?6=>3:15<#nh0:465fe483>!`f2l?07dk<:18'bdf2<3thm;7>51;294~"ai3;;<6al4;29 cg=k=10q~?k:1818c52:>0R5<5s4o96<94^058yv712909w0k=:0:8Z40`3<5o=1o95rs0;94?4|5l81i>5Q189~w`4=838p1h<5c59]a7=zuk8847>55;092~"bi38>7Ekl;Id1?!5e2;?87d?k:188m41=831b=44?::k22?6=3fo96=44bd094?0=83:p(ko5289j71<72-lj6>:4;h34>5<#nh0:;65f1983>!`f28207dk::18'bd4?:%db>`5<3fi?6=4+f`8`0>=zjo=1<7?50;2x cg=99:0cn:50;&ee?e332wx=i4?:3y>a7<4<2T:h6s|1683>7}:m;0:;6P>7:p53<72;q6i?4>8:\22>{t:m0;6?u2e38f1>;a?3i?7p}>9;296~;b:3o87S?6;|qf6?6=:r7n>7m;;_g1?x{e::=1<7;52;4x `g=:<1Cin5Gf39'7g<5=:1b=i4?::k23?6=3`;26=44i0494?=hm;0;66lj2;292?6=8r.mm7<6;h17>5<#nh08865f1683>!`f28=07d?7:18'bd<6021bi84?:%db>`3<3`o86=4+f`8f7>=hk=0;6)hn:b68?xda?3:1=7>50z&ee?7782eh87>5$gc9g1=625<5s4o96<64^048yv4c2909w0k=:d789c1=k=1v<750;0x9`4=m:1U=45rsd094?4|5l81o95Qe39~yg7a=3:187<55z&fe?403Aoh7Eh=;%1a>7343`;o6=44id394?=n9?0;66aj2;29?gc5290>6?49{%gb>70<,:h1>8=4od094?=nm80;66g>d;29?l7>2900e<850;9a63<72?0;6=u+f`81=>o4<3:1(ko53598m41=83.mm7?8;:k276gj3;29 cg=m:10cn:50;&ee?e332wij84?:083>5}#nh0h<6al5;29 cg=k=10q~?k:1818412:>0R5<5s48=6<94=g79g0=z{8<1<75Q189~w`4=838p1?85c59]a7=zuk:1<7?50;2x `d=?2.nm74673-9i6?;<;n0a>5<#nh0h865rsd094?4|5l81i?5Qe39~w`7=838p1h<5e09]a4=z{8n1<74?:3y>a7<6127;6?l4$d`9e>{t9?0;6?u2e3822>X6>2wvn7<1s-oj6?84Hda8Lc4<,:h1>8=4i0f94?=n900;66gj1;29?l712900ch<50;9a63<72?0;6=u+f`81=>o4<3:1(ko53598m41=83.mm7?8;:k276gj3;29 cg=m:10cn:50;&ee?e332wij84?:083>5}#nh0h<6al5;29 cg=k=10q~?k:1818412:>0R5<5s48=6<94=g79g0=z{8<1<75Q189~w`4=838p1?85c59]a7=zuk8?j7>55;092~"bi38>7Ekl;Id1?!5e2;?87d?k:188m41=831b=44?::k22?6=3fo96=44bd094?0=83:p(ko5289j71<72-lj6>:4;h34>5<#nh0:;65f1983>!`f28207dk::18'bd4?:%db>`5<3fi?6=4+f`8`0>=zjo=1<7?50;2x cg=99:0cn:50;&ee?e332wx=i4?:3y>a7<4<2T:h6s|1683>7}:m;0:;6P>7:p53<72;q6i?4>8:\22>{t:m0;6?u2e38f1>;a?3i?7p}>9;296~;b:3o87S?6;|qf6?6=:r7n>7m;;_g1?x{e0h0;6>4?:1y'ad5<#nh08865f3183>!`f2:>07blk:18'bd7<1s-oj6?64Hda8Lc4<,:h1>8=4i0f94?=n9>0;66gj1;29?l712900ch<50;9aa7<72?0;6=u+f`81=>o4<3:1(ko53598m41=83.mm7?8;:k276gj3;29 cg=m:10cn:50;&ee?e332wij:4?:083>5}#nh0:<=5`c583>!`f2j>07p}>d;296~;b:39?7S?k;|q23?6=:r7n>7?8;_34?xu6>3:1>v3j2;3;?[713tyn=7>52z?f6?c23Wo:7p}=d;296~;b:3o870h8:b68yvc52909w0k=:b68Z`43}#mh0996Fjc:Je6>"4j38>?6g>d;29?l702900e<750;9j53<722en>7>5;cg1>5<1290;w)hn:3;8m62=83.mm7=;;:k23?6=,ok1=:54i0:94?"ai3;376gj5;29 cg=m<10eh=50;&ee?c432eh87>5$gc9g1=:183!`f28:;7bm;:18'bd0h86s|1883>7}:m;0n?6P>9:pa7<72;q6i?4l4:\f6>{zj8h36=4::385!cf2;?0Dhm4Hg08 6d=:<90e5;h35>5<3<729q/jl4=9:k00?6=,ok1?954i0594?"ai3;<76g>8;29 cg=9110eh;50;&ee?c232cn?7>5$gc9a6=1<7*ia;a7?>{en>0;6<4?:1y'bd<6891do94?:%db>f2<3ty:h7>52z?f6?533W;o7p}>7;296~;b:3;<7S?8;|q22?6=:r7n>7?7;_35?xu5l3:1>v3j2;g6?8`02j>0q~?6:1818c52l90R<74}rg1>5<5s4o96n:4^d08yxd55;h34>5<>ib:3:17ok=:185>5<7s-lj6?74i2694?"ai39?76g>7;29 cg=9>10e<650;&ee?7?32cn97>5$gc9a0=id<3:1(ko5c598yg`0290:6=4?{%db>4673fi?6=4+f`8`0>=z{8n1<71U=:5rs0494?4|5l81=55Q179~w7b=838p1h<5e49>b2a77}:m;0h86Pj2:~f72f290>6?49{%gb>73<@li0Dk<4$2`9605>o613:17d?9:188k`4=831ii?4?:783>5}#nh0956g<4;29 cg=;=10e<950;&ee?7032c:47>5$gc95==ob;3:1(ko5e298kf2=83.mm7m;;:ab2<7280;6=u+f`8245=hk=0;6)hn:b68?xu6l3:1>v3j2;17?[7c3ty:;7>52z?f6?703W;<7p}>6;296~;b:3;37S?9;|q1`?6=:r7n>7k:;f2`50Rh<4}|`2`4<72=0969u+e`80<>Nbk2Bm>6*o5m3:17d<>:188kgb=831d?:4?::`07?6=:3:174$2c971=#;k099>5f2d83>!`f2:>07blk:18'bdo5c59'ad5$dc971=iel3:1(ho5c598fc0=8391<7>t$gc9a==n:l0;6)hn:268?lc0290/jl4>c:9lfa<72-lj6n:4Hd;8?xu5m3:1>v3i6;0f?[4b3ty9=7>52z?e2?c03W8:7p}md;296~;a>3ho7Slk;|p72<72:q6?>4=e:?ab?dc3W9<7p}md;296~;4;3ho7Slk;|q1a?6=:r7ij7v3mf;02?[463twi=nk50;696?2|,lk1?55Geb9Kb7=#;k099>5f2d83>>o593:17blk:188k61=831i?>4?:383>5}#mh08?6*ia;30?M5>3-9j6>:4$2`9605iel3:1(ko5c598ygda29086<4<{I1:?!5f2j>0(ho5bg9j6`<72-oj6>:4;h02>5<#mh08865`be83>!cf2j>07oh9:180>5<7s-lj6h64i3g94?"ai39?76gj7;29 cg=9j10coj50;&ee?e33Ao276s|2d83>7}:n?09i6P=e:p64<72;q6j;4j7:\15>{tjm0;6?u2f78a`>Xel2wv>950;1x965=:l16nk4md:\03>{tjm0;6?u2328a`>Xel2wx>h4?:3y>fc<5m2T9i6s|2083>7}:jo09=6P=1:~f4b5290?6?4;{%gb>6><@li0Dk<4$2`9605>iel3:17b=8:188f65=8381<7>t$dc976=#nh0:?6F<9:&0e?533-9i6?;<;h0f>5<#nh08865`be83>!`f2j>07plmf;297?7=;rB856*5$dc971=da>3:1?7>50z&ee?c?3`8n6=4+f`800>=nm>0;6)hn:0a8?jdc290/jl4l4:Jf=>=z{;o1<71U><5rscf94?4|5o<1ni5Qbe9~yv502908w0=<:3g89g`=jm1U?:5rscf94?4|5:91ni5Qbe9~w7c=838p1oh52d9]6`=z{;;1<75<>i4?3:17o=<:181>5<7s-oj6>=4$gc956=O;01/?l4<4:&0f?42;2c9i7>5$gc971={ejo0;6>4>:2yK7<=#;h0h86*ja;`e?l4b290/il4<4:9j64<72-oj6>:4;n`g>5<#mh0h865mf783>6<729q/jl4j8:k1a?6=,ok1?954id594?"ai3;h76amd;29 cg=k=1Ci454}r0f>5<5s4l=6?k4^3g8yv462909w0h9:d58Z77gbgb5<5s4hm6??4^338yxd6l:0;694=:5y'ad<402Bno6Fi2:&0f?42;2c9i7>5;h02>5<>d4;3:1>7>50z&fe?543-lj6<=4H2;8 6g=;=1/?o4=529j6`<72-lj6>:4;n`g>5<#nh0h865rbcd94?5=939pD>74$2c9g1=#mh0ij6g=e;29 `g=;=10e??50;&fe?5332eih7>5$dc9g1=h4?:%db>62<3`o<6=4+f`82g>=hjm0;6)hn:b68L`?<3ty9i7>52z?e2?4b3W8n7p}=1;296~;a>3o<7S<>;|qa`?6=:r7m:7lk;_`g?x{t;>0;6>u23281a>;en3ho7S=8;|qa`?6=:r78?7lk;_`g?xu5m3:1>v3mf;0f?[4b3ty9=7>52z?ab?463W8:7psm1bf94?2=:3>p(ho5399Kaf=On;1/?o4=529j6`<722c9=7>5;n`g>5<7<729q/il4<3:&ee?743A927)=n:268 6d=:<90e?k50;&ee?5332eih7>5$gc9g1=3-9j6n:4$dc9fc=n:l0;6)kn:268?l46290/il4<4:9lfa<72-oj6n:4;cd5>5<4290;w)hn:d:8m7c=83.mm7=;;:kf3?6=,ok1=n54ocf94?"ai3i?7Ek6;:p6`<72;q6j;4=e:\1a>{t:80;6?u2f78f3>X592wxni4?:3y>b3h52bg8a`>X4?2wxni4?:3y>767}:jo09i6P=e:p64<72;q6nk4=1:\15>{zj8im6=4;:387!cf2:20Dhm4Hg08 6d=:<90e?k50;9j64<722eih7>5;n14>5<3:J0=>"4i39?7)=m:370?l4b290/jl4<4:9lfa<72-lj6n:4;|`ab?6=;3;1?vF<9:&0e?e33-oj6oh4i3g94?"bi39?76g=1;29 `g=;=10coj50;&fe?e332hm:7>53;294~"ai3o37d4e<3fho6=4+f`8`0>Nb121v?k50;0x9c0=:l1U>h5rs3394?4|5o<1i:5Q209~wgb=838p1k85be9]fa=zuz9<6=4<{<10>7c<5kl1ni5Q369~wgb=838p1>=5be9]fa=z{;o1<7<5r}c036?6=;3:1k4?:%db>62<3`9;6=4+f`800>=hjm0;6)hn:b68?xd6no0;6>4?:1y'ad<682Bno6Fi2:&ee?`<,:h1>8=4i3d94?"ai39?76g<0;29 cg=;=10coj50;&ee?e332wi>??50;194?6|,lk1==5Geb9Kb7=#nh0m7)=m:370?l4a290/jl4<4:9j75<72-lj6>:4;n`g>5<#nh0h865rb`g94?5=939p(ho53g9Kaf=n9o0;66g=0;29?jc32900nkk50;194?6|,lk1j<5Geb9Kb7=#nh0m<6*o5n3:1(ko53598m66=83.mm7=;;:ma`?6=,ok1o954}r3e>5<5sW;m70hj:3d8yv472909wS660<52?q/il4=5:Jfg>Na:2.8n7<:3:k2`?6=3`;<6=44i0;94?=n9?0;66aj2;29?gc5290=6=4?{%db>7?1<7*ia;17?>o6?3:1(ko51698m4>=83.mm7?7;:kf1?6=,ok1i854id194?"ai3o876al4;29 cg=k=10qoh8:182>5<7s-lj6<>?;na7>5<#nh0h865rs0f94?4|5l81?95Q1e9~w41=838p1h<5169]52=z{8<1<7{tm;0;6?u2e38`0>Xb:2wvn9:50;194?6|,lk1==5Geb9Kb7=#nh0m7)=m:370?l4a290/jl4<4:9j75<72-lj6>:4;n`g>5<#nh0h865rb5194?5=83:p(ho5119Kaf=On;1/jl4i;%1a>7343`8m6=4+f`800>=n;90;6)hn:268?jdc290/jl4l4:9~f14=8391=7=t$dc97c=Omj1b=k4?::k14?6=3fo?6=44bgg94?5=83:p(ho5f09Kaf=On;1/jl4i0:&0f?42;2c9j7>5$gc971=iel3:1(ko5c598yv7a2909wS?i;7`Nbk2Bm>6*ia;d8 6d=:<90e?h50;&ee?5332c8<7>5$gc971={e9hh1<7<50;2x `g=;?1Cin5Gf39'bd<6;2.8n7<:3:k1a?6=,ok1?954ocf94?"ai3i?7Eh6;:a5de=8391<7>t$dc955=Omj1Cj?5+f`8e?!5e2;?87d62<3fho6=4+f`8`0>=zj8ko6=4=:183!cf2:<0Dhm4Hg08 cg=9:1/?o4=529j6`<72-lj6>:4;n`g>5<#nh0h86Fi9:9~f4gb29096=4?{%gb>60<@li0Dk<4$gc956=#;k099>5f2d83>!`f2:>07blk:18'bd5<4290;w)kn:028L`e<@o80(ko5f:&0f?42;2c9j7>5$gc971=iel3:1(ko5c598yg7e83:1?7>50z&fe?773Aoh7Eh=;%db>c=#;k099>5f2g83>!`f2:>07d=?:18'bd<4<21dni4?:%db>f2<3th:n<4?:283>5}#mh0h>6Fjc:Je6>"ai3i:7)=m:370?l4a290/jl4<4:9j75<72-lj6>:4;n`g>5<#nh0h865rb0`1>5<4290;w)kn:b08L`e<@o80(ko5c09'7g<5=:1b>k4?:%db>62<3`9;6=4+f`800>=hjm0;6)hn:b68?xd6i>0;6>4?:1y'ad<682Bno6Fi2:&ee?`<,:h1>8=4i3d94?"ai39?76g<0;29 cg=;=10coj50;&ee?e332wi=l650;194?6|,lk1==5Geb9Kb7=#nh0m7)=m:370?l4a290/jl4<4:9j75<72-lj6>:4;n`g>5<#nh0h865rb0c:>5<4290;w)kn:b08L`e<@o80(ko5c09'7g<5=:1b>k4?:%db>62<3`9;6=4+f`800>=hjm0;6)hn:b68?xd61h0;6>4?:1y'ad<682Bno6Fi2:&ee?`<,:h1>8=4i3d94?"ai39?76g<0;29 cg=;=10coj50;&ee?e332wi=4j50;094?6|,lk1?;5Geb9Kb7=#nh0:?6*o5m3:1(ko53598kgb=83.mm7m;;Id:?>{e90o1<7<50;2x `g=;?1Cin5Gf39'bd<6;2.8n7<:3:k1a?6=,ok1?954ocf94?"ai3i?7Eh6;:a5<`=8391<7>t$dc955=Omj1Cj?5+f`8e?!5e2;?87d62<3fho6=4+f`8`0>=zj8k;6=4=:183!cf2:<0Dhm4Hg08 cg=9:1/?o4=529j6`<72-lj6>:4;n`g>5<#nh0h86Fi9:9~f4g629086=4?{%gb>46<@li0Dk<4$gc9b>"4j38>?6g=f;29 cg=;=10e>>50;&ee?5332eih7>5$gc9g1=7>53;294~"bi3;;7Ekl;Id1?!`f2o1/?o4=529j6c<72-lj6>:4;h13>5<#nh08865`be83>!`f2j>07pl>a283>6<729q/il4l2:Jfg>Na:2.mm7m>;%1a>7343`8m6=4+f`800>=n;90;6)hn:268?jdc290/jl4l4:9~f4g329086=4?{%gb>46<@li0Dk<4$gc9b>"4j38>?6g=f;29 cg=;=10e>>50;&ee?5332eih7>5$gc9g1=53;294~"bi3i97Ekl;Id1?!`f2j;0(>l52418m7`=83.mm7=;;:k04?6=,ok1?954ocf94?"ai3i?76sm19g94?5=83:p(ho5119Kaf=On;1/jl4i;%1a>7343`8m6=4+f`800>=n;90;6)hn:268?jdc290/jl4l4:9~f4?629096=4?{%gb>60<@li0Dk<4$gc956=#;k099>5f2d83>!`f2:>07blk:18'bd5<5290;w)kn:248L`e<@o80(ko5129'7g<5=:1b>h4?:%db>62<3fho6=4+f`8`0>Na121vn<7<:180>5<7s-oj6<>4Hda8Lc4<,ok1j6*o5n3:1(ko53598m66=83.mm7=;;:ma`?6=,ok1o954}c3:0?6=:3:15$gc9g1=On010qo?65;297?6=8r.nm7??;Ig`?M`53-lj6k5+3c8116=n:o0;6)hn:268?l57290/jl4<4:9lfa<72-lj6n:4;|`2=3<72:0;6=u+e`824>Nbk2Bm>6*ia;d8 6d=:<90e?h50;&ee?5332c8<7>5$gc971={e90=1<7=50;2x `g=k;1Cin5Gf39'bdNbk2Bm>6*ia;a2?!5e2;?87d62<3fho6=4+f`8`0>=zj82<6=4<:183!cf28:0Dhm4Hg08 cg=n2.8n7<:3:k1b?6=,ok1?954i2294?"ai39?76amd;29 cg=k=10qo?78;296?6=8r.nm7=9;Ig`?M`53-lj6<=4$2`9605iel3:1(ko5c59Kb<=52;294~"bi39=7Ekl;Id1?!`f2890(>l52418m7c=83.mm7=;;:ma`?6=,ok1o95Gf898yg7?i3:1?7>50z&fe?773Aoh7Eh=;%db>c=#;k099>5f2g83>!`f2:>07d=?:18'bd<4<21dni4?:%db>f2<3th:4o4?:283>5}#mh0h>6Fjc:Je6>"ai3i:7)=m:370?l4a290/jl4<4:9j75<72-lj6>:4;n`g>5<#nh0h865rb05;>5<4290;w)kn:028L`e<@o80(ko5f:&0f?42;2c9j7>5$gc971=iel3:1(ko5c598yg70m3:1>7>50z&fe?513Aoh7Eh=;%db>45<,:h1>8=4i3g94?"ai39?76amd;29 cg=k=1Cj454}c34b?6=:3:15$gc9g1=On010qo?70;296?6=8r.nm7=9;Ig`?M`53-lj6<=4$2`9605iel3:1(ko5c59Kb<=52;294~"bi39=7Ekl;Id1?!`f2890(>l52418m7c=83.mm7=;;:ma`?6=,ok1o95Gf898yg7?:3:1?7>50z&fe?773Aoh7Eh=;%db>c=#;k099>5f2g83>!`f2:>07d=?:18'bd<4<21dni4?:%db>f2<3th:4>4?:283>5}#mh0:<6Fjc:Je6>"ai3l0(>l52418m7`=83.mm7=;;:k04?6=,ok1?954ocf94?"ai3i?76sm19694?5=83:p(ho5119Kaf=On;1/jl4i;%1a>7343`8m6=4+f`800>=n;90;6)hn:268?jdc290/jl4l4:9~f4>229086=4?{%gb>f4<@li0Dk<4$gc9g4=#;k099>5f2g83>!`f2:>07d=?:18'bd<4<21dni4?:%db>f2<3th:;44?:283>5}#mh0h>6Fjc:Je6>"ai3i:7)=m:370?l4a290/jl4<4:9j75<72-lj6>:4;n`g>5<#nh0h865rb05b>5<4290;w)kn:028L`e<@o80(ko5f:&0f?42;2c9j7>5$gc971=iel3:1(ko5c598yg70j3:1?7>50z&fe?773Aoh7Eh=;%db>c=#;k099>5f2g83>!`f2:>07d=?:18'bd<4<21dni4?:%db>f2<3th:;n4?:283>5}#mh0h>6Fjc:Je6>"ai3i:7)=m:370?l4a290/jl4<4:9j75<72-lj6>:4;n`g>5<#nh0h865rb053>5<5290;w)kn:248L`e<@o80(ko5129'7g<5=:1b>h4?:%db>62<3fho6=4+f`8`0>Na121vn<9>:181>5<7s-oj6>84Hda8Lc4<,ok1=>5+3c8116=n:l0;6)hn:268?jdc290/jl4l4:Je=>=zj8=96=4=:183!cf2:<0Dhm4Hg08 cg=9:1/?o4=529j6`<72-lj6>:4;n`g>5<#nh0h86Fi9:9~f41429086=4?{%gb>46<@li0Dk<4$gc9b>"4j38>?6g=f;29 cg=;=10e>>50;&ee?5332eih7>5$gc9g1=53;294~"bi3;;7Ekl;Id1?!`f2o1/?o4=529j6c<72-lj6>:4;h13>5<#nh08865`be83>!`f2j>07pl>7483>6<729q/il4l2:Jfg>Na:2.mm7m>;%1a>7343`8m6=4+f`800>=n;90;6)hn:268?jdc290/jl4l4:9~f40>29086=4?{%gb>46<@li0Dk<4$gc9b>"4j38>?6g=f;29 cg=;=10e>>50;&ee?5332eih7>5$gc9g1=52;294~"bi39=7Ekl;Id1?!`f2890(>l52418m7c=83.mm7=;;:ma`?6=,ok1o95Gf898yg71j3:1>7>50z&fe?513Aoh7Eh=;%db>45<,:h1>8=4i3g94?"ai39?76amd;29 cg=k=1Cj454}c35g?6=;3:1k4?:%db>62<3`9;6=4+f`800>=hjm0;6)hn:b68?xd6>m0;6>4?:1y'ad5<#nh08865f3183>!`f2:>07blk:18'bd5<7s-oj6<>4Hda8Lc4<,ok1j6*o5n3:1(ko53598m66=83.mm7=;;:ma`?6=,ok1o954}c357?6=:3:15$gc9g1=On010qo?94;296?6=8r.nm7=9;Ig`?M`53-lj6<=4$2`9605iel3:1(ko5c59Kb<=53;294~"bi3;;7Ekl;Id1?!`f2o1/?o4=529j6c<72-lj6>:4;h13>5<#nh08865`be83>!`f2j>07pl>6783>6<729q/il4l2:Jfg>Na:2.mm7m>;%1a>7343`8m6=4+f`800>=n;90;6)hn:268?jdc290/jl4l4:9~f43d29096=4?{%gb>60<@li0Dk<4$gc956=#;k099>5f2d83>!`f2:>07blk:18'bd5<5290;w)kn:248L`e<@o80(ko5129'7g<5=:1b>h4?:%db>62<3fho6=4+f`8`0>Na121vn<=>:180>5<7s-oj6<>4Hda8Lc4<,ok1j6*o5n3:1(ko53598m66=83.mm7=;;:ma`?6=,ok1o954}c306?6=:3:15$gc9g1=On010qo:n:180>5<7s-oj6<>4Hda8Lc4<,ok1j6*o5n3:1(ko53598m66=83.mm7=;;:ma`?6=,ok1o954}c6a>5<3290;w)kn:038L`e<@o80(ko5f:&0f?42;2c9j7>5$gc971=o493:1(ko53598kgb=83.mm7m;;:a0f<72;0;6=u+e`802>Nbk2Bm>6*ia;30?!5e2;?87df2<@o307pl;d;297?6=8r.nm7??;Ig`?M`53-lj6k5+3c8116=n:o0;6)hn:268?l57290/jl4<4:9lfa<72-lj6n:4;|`b4?6=;3:1k4?:%db>62<3`9;6=4+f`800>=hjm0;6)hn:b68?xdf93:1>7>50z&fe?513Aoh7Eh=;%db>45<,:h1>8=4i3g94?"ai39?76amd;29 cg=k=1Cj454}cc1>5<4290;w)kn:028L`e<@o80(ko5f:&0f?42;2c9j7>5$gc971=iel3:1(ko5c598yg?e29086=4?{%gb>46<@li0Dk<4$gc9b>"4j38>?6g=f;29 cg=;=10e>>50;&ee?5332eih7>5$gc9g1=:4;n`g>5<#nh0h86Fi9:9~ft$dc955=Omj1Cj?5+f`8e?!5e2;?87d62<3fho6=4+f`8`0>=zj0<1<7=50;2x `g=991Cin5Gf39'bd5<#nh08865f3183>!`f2:>07blk:18'bdo5m3:1(ko53598kgb=83.mm7m;;Id:?>{e110;6>4?:1y'ad<682Bno6Fi2:&ee?`<,:h1>8=4i3d94?"ai39?76g<0;29 cg=;=10coj50;&ee?e332wi5<4?:283>5}#mh0:<6Fjc:Je6>"ai3l0(>l52418m7`=83.mm7=;;:k04?6=,ok1?954ocf94?"ai3i?76sm9383>7<729q/il4<6:Jfg>Na:2.mm7?<;%1a>7343`8n6=4+f`800>=hjm0;6)hn:b68Lc?<3th2?7>53;294~"bi3;;7Ekl;Id1?!`f2o1/?o4=529j6c<72-lj6>:4;h13>5<#nh08865`be83>!`f2j>07pl7c;297?6=8r.nm7??;Ig`?M`53-lj6k5+3c8116=n:o0;6)hn:268?l57290/jl4<4:9lfa<72-lj6n:4;|`;`?6=:3:15$gc9g1=On010qo6j:180>5<7s-oj6<>4Hda8Lc4<,ok1j6*o5n3:1(ko53598m66=83.mm7=;;:ma`?6=,ok1o954}c:1>5<4290;w)kn:028L`e<@o80(ko5f:&0f?42;2c9j7>5$gc971=iel3:1(ko5c598yg>429096=4?{%gb>60<@li0Dk<4$gc956=#;k099>5f2d83>!`f2:>07blk:18'bd7343`8m6=4+f`800>=n;90;6)hn:268?jdc290/jl4l4:9~f2b=8391<7>t$dc955=Omj1Cj?5+f`8e?!5e2;?87d62<3fho6=4+f`8`0>=zj>o1<7<50;2x `g=;?1Cin5Gf39'bd<6;2.8n7<:3:k1a?6=,ok1?954ocf94?"ai3i?7Eh6;:a3c<72:0;6=u+e`824>Nbk2Bm>6*ia;d8 6d=:<90e?h50;&ee?5332c8<7>5$gc971={e99n1<7=50;2x `g=991Cin5Gf39'bd5<#nh08865f3183>!`f2:>07blk:18'bd5<7s-oj6>84Hda8Lc4<,ok1=>5+3c8116=n:l0;6)hn:268?jdc290/jl4l4:Je=>=zj8886=4<:183!cf28:0Dhm4Hg08 cg=n2.8n7<:3:k1b?6=,ok1?954i2294?"ai39?76amd;29 cg=k=10qo?=4;296?6=8r.nm7=9;Ig`?M`53-lj6<=4$2`9605iel3:1(ko5c59Kb<=52;294~"bi39=7Ekl;Id1?!`f2890(>l52418m7c=83.mm7=;;:ma`?6=,ok1o95Gf898yg75>3:1?7>50z&fe?773Aoh7Eh=;%db>c=#;k099>5f2g83>!`f2:>07d=?:18'bd<4<21dni4?:%db>f2<3th:>:4?:383>5}#mh08:6Fjc:Je6>"ai3;87)=m:370?l4b290/jl4<4:9lfa<72-lj6n:4Hg;8?xd6:10;6>4?:1y'ad<682Bno6Fi2:&ee?`<,:h1>8=4i3d94?"ai39?76g<0;29 cg=;=10coj50;&ee?e332wi=?750;094?6|,lk1?;5Geb9Kb7=#nh0:?6*o5m3:1(ko53598kgb=83.mm7m;;Id:?>{e99o1<7<50;2x `g=;?1Cin5Gf39'bd<6;2.8n7<:3:k1a?6=,ok1?954ocf94?"ai3i?7Eh6;:a55`=8381<7>t$dc973=Omj1Cj?5+f`827>"4j38>?6g=e;29 cg=;=10coj50;&ee?e33Al276sm10294?4=83:p(ho5379Kaf=On;1/jl4>3:&0f?42;2c9i7>5$gc971=32wi=:4;n`g>5<#nh0h865rb031>5<4290;w)kn:028L`e<@o80(ko5f:&0f?42;2c9j7>5$gc971=iel3:1(ko5c598yg76;3:1?7>50z&fe?773Aoh7Eh=;%db>c=#;k099>5f2g83>!`f2:>07d=?:18'bd<4<21dni4?:%db>f2<3th:=94?:283>5}#mh0:<6Fjc:Je6>"ai3l0(>l52418m7`=83.mm7=;;:k04?6=,ok1?954ocf94?"ai3i?76sm10794?5=83:p(ho5c39Kaf=On;1/jl4l1:&0f?42;2c9j7>5$gc971=iel3:1(ko5c598yg76>3:1?7>50z&fe?e53Aoh7Eh=;%db>f7<,:h1>8=4i3d94?"ai39?76g<0;29 cg=;=10coj50;&ee?e332wi=<950;194?6|,lk1o?5Geb9Kb7=#nh0h=6*o5n3:1(ko53598m66=83.mm7=;;:ma`?6=,ok1o954}c32=?6=;3:15$gc971={e98k1<7=50;2x `g=991Cin5Gf39'bd5<#nh08865f3183>!`f2:>07blk:18'bd5<7s-oj6<>4Hda8Lc4<,ok1j6*o5n3:1(ko53598m66=83.mm7=;;:ma`?6=,ok1o954}c32g?6=;3:1k4?:%db>62<3`9;6=4+f`800>=hjm0;6)hn:b68?xd69m0;6>4?:1y'ad<682Bno6Fi2:&ee?`<,:h1>8=4i3d94?"ai39?76g<0;29 cg=;=10coj50;&ee?e332wi=o5n3:1(ko53598m66=83.mm7=;;:ma`?6=,ok1o954}c32b?6=;3:15$gc971={e9;:1<7=50;2x `g=991Cin5Gf39'bd5<#nh08865f3183>!`f2:>07blk:18'bd:180>5<7s-oj6<>4Hda8Lc4<,ok1j6*o5n3:1(ko53598m66=83.mm7=;;:ma`?6=,ok1o954}c362?6=:3:15$gc9g1=On010qo?:3;296?6=8r.nm7=9;Ig`?M`53-lj6<=4$2`9605iel3:1(ko5c59Kb<=52;294~"bi39=7Ekl;Id1?!`f2890(>l52418m7c=83.mm7=;;:ma`?6=,ok1o95Gf898yg7283:1>7>50z&fe?513Aoh7Eh=;%db>45<,:h1>8=4i3g94?"ai39?76amd;29 cg=k=1Cj454}c37e?6=:3:15$gc9g1=On010qo?=c;296?6=8r.nm7=9;Ig`?M`53-lj6<=4$2`9605iel3:1(ko5c59Kb<=53;294~"bi3;;7Ekl;Id1?!`f2o1/?o4=529j6c<72-lj6>:4;h13>5<#nh08865`be83>!`f2j>07pln5;297?6=8r.nm7??;Ig`?M`53-lj6k5+3c8116=n:o0;6)hn:268?l57290/jl4<4:9lfa<72-lj6n:4;|`b2?6=:3:15$gc9g1=On010qoo8:180>5<7s-oj6<>4Hda8Lc4<,ok1j6*o5n3:1(ko53598m66=83.mm7=;;:ma`?6=,ok1o954}c336?6=:3:15$gc9g1=On010qo?i7;297?6=8r.nm7??;Ig`?M`53-lj6k5+3c8116=n:o0;6)hn:268?l57290/jl4<4:9lfa<72-lj6n:4;|`2b=<72;0;6=u+e`802>Nbk2Bm>6*ia;30?!5e2;?87df2<@o307pl>f883>6<729q/il4>0:Jfg>Na:2.mm7h4$2`9605o483:1(ko53598kgb=83.mm7m;;:a5c5=8381<7>t$dc973=Omj1Cj?5+f`827>"4j38>?6g=e;29 cg=;=10coj50;&ee?e33Al276sm21694?5=83:p(ho5119Kaf=On;1/jl4i;%1a>7343`8m6=4+f`800>=n;90;6)hn:268?jdc290/jl4l4:9~f76229096=4?{%gb>60<@li0Dk<4$gc956=#;k099>5f2d83>!`f2:>07blk:18'bd5<4290;w)kn:028L`e<@o80(ko5f:&0f?42;2c9j7>5$gc971=iel3:1(ko5c598yg7b83:1>7>50z&fe?513Aoh7Eh=;%db>45<,:h1>8=4i3g94?"ai39?76amd;29 cg=k=1Cj454}c3f5?6=;3:1k4?:%db>62<3`9;6=4+f`800>=hjm0;6)hn:b68?xd6j=0;6?4?:1y'ad<4>2Bno6Fi2:&ee?743-9i6?;<;h0f>5<#nh08865`be83>!`f2j>0Dk74;|`2f0<72;0;6=u+e`802>Nbk2Bm>6*ia;30?!5e2;?87df2<@o307plna;297?6=8r.nm7??;Ig`?M`53-lj6k5+3c8116=n:o0;6)hn:268?l57290/jl4<4:9lfa<72-lj6n:4;|`bf?6=:3:15$gc9g1=On010qool:180>5<7s-oj6<>4Hda8Lc4<,ok1j6*o5n3:1(ko53598m66=83.mm7=;;:ma`?6=,ok1o954}c50>5<4290;w)kn:028L`e<@o80(ko5f:&0f?42;2c9j7>5$gc971=iel3:1(ko5c598yg1329096=4?{%gb>60<@li0Dk<4$gc956=#;k099>5f2d83>!`f2:>07blk:18'bd7343`8m6=4+f`800>=n;90;6)hn:268?jdc290/jl4l4:9~f3c=8391<7>t$dc955=Omj1Cj?5+f`8e?!5e2;?87d62<3fho6=4+f`8`0>=zj?l1<7<50;2x `g=;?1Cin5Gf39'bd<6;2.8n7<:3:k1a?6=,ok1?954ocf94?"ai3i?7Eh6;:a35<72:0;6=u+e`824>Nbk2Bm>6*ia;d8 6d=:<90e?h50;&ee?5332c8<7>5$gc971={e>00;6>4?:1y'ad<682Bno6Fi2:&ee?`<,:h1>8=4i3d94?"ai39?76g<0;29 cg=;=10coj50;&ee?e332wi:l4?:383>5}#mh08:6Fjc:Je6>"ai3;87)=m:370?l4b290/jl4<4:9lfa<72-lj6n:4Hg;8?xd1j3:1?7>50z&fe?773Aoh7Eh=;%db>c=#;k099>5f2g83>!`f2:>07d=?:18'bd<4<21dni4?:%db>f2<3th=87>53;294~"bi3;;7Ekl;Id1?!`f2o1/?o4=529j6c<72-lj6>:4;h13>5<#nh08865`be83>!`f2j>07pl95;296?6=8r.nm7=9;Ig`?M`53-lj6<=4$2`9605iel3:1(ko5c59Kb<=5<7s-oj6<>4Hda8Lc4<,ok1j6*o5n3:1(ko53598m66=83.mm7=;;:ma`?6=,ok1o954}c43>5<5290;w)kn:248L`e<@o80(ko5129'7g<5=:1b>h4?:%db>62<3fho6=4+f`8`0>Na121vn;?50;194?6|,lk1==5Geb9Kb7=#nh0m7)=m:370?l4a290/jl4<4:9j75<72-lj6>:4;n`g>5<#nh0h865rb4c94?5=83:p(ho5119Kaf=On;1/jl4i;%1a>7343`8m6=4+f`800>=n;90;6)hn:268?jdc290/jl4l4:9~f0d=8381<7>t$dc973=Omj1Cj?5+f`827>"4j38>?6g=e;29 cg=;=10coj50;&ee?e33Al276sm5b83>6<729q/il4>0:Jfg>Na:2.mm7h4$2`9605o483:1(ko53598kgb=83.mm7m;;:a10<72:0;6=u+e`824>Nbk2Bm>6*ia;d8 6d=:<90e?h50;&ee?5332c8<7>5$gc971={e=?0;6?4?:1y'ad<4>2Bno6Fi2:&ee?743-9i6?;<;h0f>5<#nh08865`be83>!`f2j>0Dk74;|`63?6=;3:1k4?:%db>62<3`9;6=4+f`800>=hjm0;6)hn:b68?xd283:1?7>50z&fe?773Aoh7Eh=;%db>c=#;k099>5f2g83>!`f2:>07d=?:18'bd<4<21dni4?:%db>f2<3th>=7>52;294~"bi39=7Ekl;Id1?!`f2890(>l52418m7c=83.mm7=;;:ma`?6=,ok1o95Gf898yg3529086=4?{%gb>46<@li0Dk<4$gc9b>"4j38>?6g=f;29 cg=;=10e>>50;&ee?5332eih7>5$gc9g1=5<7s-oj6>84Hda8Lc4<,ok1=>5+3c8116=n:l0;6)hn:268?jdc290/jl4l4:Je=>=zj>k1<7=50;2x `g=991Cin5Gf39'bd5<#nh08865f3183>!`f2:>07blk:18'bdi:180>5<7s-oj6<>4Hda8Lc4<,ok1j6*o5n3:1(ko53598m66=83.mm7=;;:ma`?6=,ok1o954}c024?6=:3:15$gc9g1=On010qo<>1;296?6=8r.nm7=9;Ig`?M`53-lj6<=4$2`9605iel3:1(ko5c59Kb<=7>53;294~"bi3;;7Ekl;Id1?!`f2o1/?o4=529j6c<72-lj6>:4;h13>5<#nh08865`be83>!`f2j>07pl=1283>6<729q/il4l2:Jfg>Na:2.mm7m>;%1a>7343`8m6=4+f`800>=n;90;6)hn:268?jdc290/jl4l4:9~f=1=8391<7>t$dc955=Omj1Cj?5+f`8e?!5e2;?87d62<3fho6=4+f`8`0>=zj121<7<50;2x `g=;?1Cin5Gf39'bd<6;2.8n7<:3:k1a?6=,ok1?954ocf94?"ai3i?7Eh6;:a<<<72:0;6=u+e`824>Nbk2Bm>6*ia;d8 6d=:<90e?h50;&ee?5332c8<7>5$gc971={e:9;1<7<50;2x `g=;?1Cin5Gf39'bd<6;2.8n7<:3:k1a?6=,ok1?954ocf94?"ai3i?7Eh6;:a5cb=8381<7>t$dc973=Omj1Cj?5+f`827>"4j38>?6g=e;29 cg=;=10coj50;&ee?e33Al276sm1gg94?4=83:p(ho5379Kaf=On;1/jl4>3:&0f?42;2c9i7>5$gc971=32wi>?>50;094?6|,lk1?;5Geb9Kb7=#nh0:?6*o5m3:1(ko53598kgb=83.mm7m;;Id:?>{e:;k1<7<50;2x `g=;:1Cin5Gf39'bd<6;2B856*f2<3th:h54?:383>5}#mh08?6Fjc:Je6>"ai3;87E=6;%1b>62<,:h1>8=4i3g94?"ai39?76amd;29 cg=k=10qo?me;296?6=8r.nm7=<;Ig`?M`53-lj6<=4H2;8 6g=;=1/?o4=529j6`<72-lj6>:4;n`g>5<#nh0h865rb0f5>5<5290;w)kn:218L`e<@o80(ko5129K7<=#;h0886*o5m3:1(ko53598kgb=83.mm7m;;:a5ge=8381<7>t$dc976=Omj1Cj?5+f`827>N412.8m7=;;%1a>7343`8n6=4+f`800>=hjm0;6)hn:b68?xdek3:1>7>50z&fe?543Aoh7Eh=;%db>45<@:30(>o5359'7g<5=:1b>h4?:%db>62<3fho6=4+f`8`0>=zj8o<6=4=:183!cf2:90Dhm4Hg08 cg=9:1C?45+3`800>"4j38>?6g=e;29 cg=;=10coj50;&ee?e332wi>?650;094?6|,lk1?>5Geb9Kb7=#nh0:?6F<9:&0e?533-9i6?;<;h0f>5<#nh08865`be83>!`f2j>07pl>c283>7<729q/il4<3:Jfg>Na:2.mm7?<;I1:?!5f2:>0(>l52418m7c=83.mm7=;;:ma`?6=,ok1o954}c3`6?6=:3:1gc<@li0Dk<4$gc956=O;01/?l4l4:&0f?42;2c9i7>5$gc971={e:;o1<7<50;2x `g=jl1Cin5Gf39'bd<6;2B856*f2<3th95}#mh0ii6Fjc:Je6>"ai3;87E=6;%1b>f2<,:h1>8=4i3g94?"ai39?76amd;29 cg=k=10qo?m9;295?6=8r.mm7jk;Ig`?M523-9j6n:4$2f9g<=hlm0;6)hn:ef8L`?<3th9>o4?:083>5}#nh0oh6Fjc:J01>"4i3i?7)=k:e68kab=83.mm7jk;Ig:?>{e:;31<7?50;2x cg=lm1Cin5G349'7d<4<2.8h7j8;hfg>5<#nh0oh65rb0f4>5<6290;w)hn:ef8L`e<@:?0(>o5359'7a5$gc9`a=51;294~"ai3no7Ekl;I16?!5f2:>0(>j5cc9j`a<72-lj6ij4;|`2`0<7280;6=u+f`8g`>Nbk2B896*t$gc9`a=Omj1C?85+3`800>"4l3ij7djk:18'bd5<7s-lj6ij4Hda8L63<,:k1?95+3e8g=>ocl3:1(ko5de98yg45?3:1=7>50z&ee?bc3Aoh7E=:;%1b>62<,:n1h;5fde83>!`f2mn07pl>c083>4<729q/jl4kd:Jfg>N4=2.8m7=;;%1g>f`{e9kl1<7?50;2x cg=lm1Cin5G349'7d<4<2.8h7mj;hfg>5<#nh0oh65rb306>5<6290;w)hn:ef8L`e<@:?0(>o5c59'7a5$gc9`a=51;294~"ai3no7Ekl;I16?!5f2j>0(>j5d29l`a<72-lj6ij4;|`14=<7280;6=u+f`8g`>Nbk2B896*7)=k:b48/ab=83.mm7jk;:a5f1=83;1<7>t$gc9`a=Omj1C?85+3e8gf>-cl3:1(ko5de98yg7di3:1=7>50z&ee?bc3Aoh7E=:;%1g>f1<#mn1<7*ia;fg?>{e9j>1<7?50;2x cg=lm1Cin5G349'7a5$gc9`a=51;294~"ai3no7Ekl;I16?!5c2m?0'ij50;&ee?bc32wx=n:50;1xZ4e334;hh7=8;<3`0?bc3ty:o84?:2y]5f3<58in6>94=0a6>ab53z\2g3=:9jl1?:521b49`a=z{8i<6=4<{_3`3>;6l908;63>c68g`>{t9j21<7=t^0a;?87c939<70?l8;fg?xu6k00;6>uQ1b;894b52:=01c`9>5a5=;>16=no5de9~w4ed2908wS?lc:?2`1<4?27:on4kd:p67g=838p1e081b>;6l10ih6s|1cg94?>|58?o6?h4=32g>7c<5=k1>k524c81b>;6:m09j63=0081a>;6nm09i63>bd8a`>{t9k31<7=t^0`:?87ei3ho70?m9;fg?xu6l?0;68u21d696`=:9h5212396c=::9>1?=521e49fa=z{8hh6=4<{<030?4a348:>7>4?:7y>65?=:o16>?=5e39>5d0=;916=k=52d9>35<5n279>;4=e:p56e=838p1u216d96`=:9o21>h5223:9fa=z{;8i6=4<{_01f>;5:j0ih63=2c8g`>{t9oh1<7`4<5;8n6?k4}r03e?6=:r79<44md:?14d<5m2wx=k;50;0x974d2;o01;5;10n>63>a081b>{t9l?1<7;t=0g6>`4<582n6>>4=4a96c=::9l1>k5220296`=z{;>86=4<{<07f?c534336?h4=032>7`55z?15an4=e:?165<5m2wx=o=50;6x976>2::01:3g8yv74m3:1>v38b;`g?87cm3;o7p}=3483>6}:::o1=i5222f9a7=:9?i1>k5rs33f>5ec<6n27:n54j2:?147<5n27:5l4<0:?7`?4a34?<6?h4=0df>7c54z?2a<28301;5:809j63>b481a>{t:9o1<7?>{<02=?71348:n7?9;<02g?71348:87lk;<02a?71348:h7?9;<02e?713488i7?9;<00`?713488o7?9;<00f?713488m7?9;<00=?71348847?9;<003?7134;m97?9;<3ef?713ty:j?4?:3y>5c2=jm16>>951e9~w736290?w0?:f;0f?84293o970?77;13?8062;l0q~?ma;29f~;5<00:563i3;0a?843l3;270<;8;3:?843?3;270<;e;3:?87ei38n70<:0;3g?843k3;270<;a;3:?843j3;27p}=3083>6}:::k1=i5222;9a7=:90?1>k5rs02;>5<5s48:o7?k;<33=?dc3ty:h44?:2y>5ae=m;16=lm5319><1<5n2wx=ih50;3584313;=70?kc;35?87cl3;=70<;d;35?84303;=70<:1;35?87b=3;=70?j2;`g?843?3;=70<;e;35?87cm3;=70<=2;35?845;3;=70?j9;35?87b03;=70<;f;35?84283;=70?m7;35?87e03;=70<;c;35?843i3;=70<;b;35?xu6>90;6?u2ad8f0>;3j39:7p}=5183>6}::<:1i?52170975=:9o=1>k5rs0fb>5<4s4;oh7k=;<3:b?5734=m6?h4}r335?6=:r79=44>d:?2468:cf8yv7b;3:1ov3>db823>;6lm0:;63=50823>;6m<0:;63>dd823>;6m=0ih63=23823>;5::0:;63>e8823>;6m10:;63>b6823>;6j10:;6s|22494?4|5;9n6h<4=046>7`52z?10`<6l273m7lk;|q27a<72;q6=ij51e9><5==50;3287fi38m70?6c;0e?87>838m70?7c;13?870l38m70?86;13?871m39;70?97;13?847?3ho70?k4;02?87c938:70?le;02?87c:38:70?k0;02?87c;38:70?ld;02?87dn38:7p}>e983>1}:9l21i?5219096c=:9::1>h526781b>{t9;h1<7gb<5;>m6<74}r3`7?6=951b=;916=l852g9>5dd=:l16=lm52g9>5db=:l16=4k52d9>5<`=:o16=4<52d9>5<5=:o16=5752d9>52>=:o16=:k52d9>5=6=:l16=5=52g9>524=:l16=;l52d9>532=:l16=8=52d9>506=:l16=n=5be9~w44a2909w0?<3;`g?843n3;<7p}=4383>6}::=k1i?529e81b>;6:108<6s|1g494?4|58lj6oj4=0`b>7752z?2bgm:cf8975b28=01?=k:058975d28=01?=m:058975f28=01?=6:058975?28=01?=8:058yv77k3:1>v3>238a`>;6n<0:h6s|12694?4|5<91ni521c595a=z{88j6=4={<6f>gb<58li61=d:p5ce=838p13ho70<=2;3g?xu6;?0;6?u21d795a=:=m0ih6s|23094?2|5;896h<4=05;>66<58l26?h4=6796c=z{=<1<7gb<58o36750;0x93e=jm16=h751e9~w7552908w0<4883>1}:9=h1ni524`804>;3j39;70?>0;0f?xu67`<58?:6oj4=373>41<5h:1>k52a081a>;>j38m707l:3g89<0=:o165:4=e:?:5?4a34396?k4=9a96c=:0m09i63n5;0e?8g12;o01lo52g9>eg<5m273;77c53z?10f611=9m16mi4md:p504=83np1<;;:cf893?=:o16:l4=e:?50?4a34<>6?k4=4d96c=:>909i63:a;0e?83e2;o018;52g9>13<5m27><77c52z?2ed520=jm16=i<52d9~w4632909w0??5;`g?846i3;o7p}>5d83>7}:9`2<58:>6>>4}r36e?6=:r7:<44<0:?76?c33tyi<7>52z?bb?c334kn6d:?b5<6=jm16=nh52d9~w13=839p19?51g9>01<4827??7lk;|qa=?6=:r7m87m:;<07b?7c3ty:9o4?:8y>61?=9>16=8j5be9>61b=9>16>965169>611=9>16>9k5169>61e=9>16>9o5169>61d=9>1v??8:18;846j3o970?;8;12?8262;:019:52g9>573=:l16==k52d9>54g=:o16=;5;j0n>63>7581b>{t9mh1<7=t=0ff>`4<58386>>4=6c96c=z{8oo6=4={<3;g?dc34;o<751>=;;168?4=0:?24a<5n27:=54=e:?266<5n27:>94=e:?263<5n27:>:4=e:?26=<5n27:>44=e:p66`=839p1?:8:d08946c2::01lm52g9~w7222908w0<;d;g1?8>b2;l01;383;m70?;8;0e?877j39;70oj:328915=;916==<52d9~w4232909w07;:cf8972d28n0q~<<3;297~;5;j0:h63=3c8f6>;60=09j6s|1g394?4|58<<6oj4=0f7>7c52z?15`<6l279><4md:p515=838p1475be9>61d=9m1v?:?:18084303o970?=6;13?8g02;l0q~<;6;297~;563>1581b>;?138m7p}>ed83>7}:9>n1ni521e396`=z{8i96=4>7z?211<5n27:8i4=f:?2e`<5m27:m:4=f:?2=d<5n27:5i4=e:?2e5<5m27:4h4=f:?2=4<5m27:594=e:?2<2<5n27:454=e:?2<4<5m27:;l4=f:?234<5m27:;>4=f:?22<<5n27::l4=e:?227<5n27::>4=e:?213<5m27:8k4=e:?2g79o51e9~w43>2909w0??7;13?8262l>0q~?i0;296~;6>l0ih63>d281a>{t:8<1<78t=52965=:9=21?=5220c9a7=:<:09j63>0g81a>;6:909j6s|15394?4|5;>266=4={<3b2?dc34;i=75d`=;91v5<5s4;ji7lk;<3b5d`=jm16=o?5319~w4ga2909w0?m0;`g?87e:39;7p}>b183>7}:9k;1ni521`5975=z{8h:6=4={<3a6?dc34;j475d?=:o1v5<5s4;2m7lk;<3b7?4a3ty:5l4?:3y>59d83>7}:90l1ni521`096c=z{83m6=4={<3b4?dc34;j>7=?;|q2e5<72;q6=l?5be9>5d5=;91v:18187f:3ho70?6b;13?xu6i;0;6?u21`19fa=:9h>1>k5rs0c0>5<5s4;j87lk;<3:f?4a3ty:m94?:3y>5c2909w0?7e;`g?87>?38m7p}>8d83>7}:90;1ni52187975=z{83:6=4={<3:6?dc34;247=?;|q2=7<72;q6=4=5be9>5<0=:o1v<7<:18187><3ho70?66;13?xu61=0;6?u21879fa=:90=1?=5rs0;6>5<5s4;2:7lk;<3;b?573ty:5;4?:3y>5<1=jm16=4652g9~w4?02909w0?68;`g?87?n38m7p}>9983>7}:90:1?=5219d9fa=z{82=6=4={<3;3?dc34;3n75=g=;91v<67:18187?k39:70?79;`g?xu6000;6?u219c9fa=:91h1?=5rs0:b>5<5s4;3o752>=jm16=5;52g9~w41?2909w0?8e;`g?87?:39;7p}>7d83>7}:9>l1ni52191975=z{8=m6=4={<3;4?dc34;387=?;|q2<5<72;q6=5?5be9>52d=;91v<6>:18187?:3ho70?75;13?xu60;0;6?u21919fa=:9>31>k5rs0:0>5<5s4;387lk;<34=?573ty:494?:3y>5=3=jm16=:o5319~w4>22909w0?89;`g?870j38m7p}>7883>7}:9>k1ni5216a96c=z{8=j6=4={<34f?dc34;52e=jm1v<8i:18187083ho70?83;13?xu6?90;6?u21639fa=:9>>1?=5rs052>5<5s4;<:7=>;<346?dc3ty:;?4?:3y>525=jm16=:;52g9~w4142909w0?84;`g?870=39;7p}>7583>7}:9><1>k521679fa=z{8<36=4={<35=?dc34;=h753e=;91v<8n:181871m39:70?9b;`g?xu6>k0;6?u217a9fa=:9?n1?=5rs04`>5<5s4;=i7534=jm16=;852g9~w4052909w0?93;`g?871=39;7p}>6283>7}:9?=1?<521769fa=z{8530=jm1v<;l:181872l39;70?:c;`g?xu6;90;6?u21229fa=:9:;1?=5rs012>5<5s4;8=7lk;<306?4b3ty:??4?:3y>565=;916=><5be9~w1?=838p19o5be9>0f<5m2wx8l4?:3y>0`<4827?n7lk;|q7f?6=:r7?o7lk;<6g>66h6=4={<6f>7`<5=n1ni5rs8d94?4|5h91>k52a18a`>{ti90;6?u2a08a`>;f:39;7p}n1;296~;f;39;70o=:cf8yv?f2909w07j:3d8952z?:=?4a343=6oj4}r;5>5<5s43<6oj4=8:975=z{0=1<77}:1=09j6361;`g?xu>93:1>v362;`g?8?42::0q~7=:1818?32::014=5be9~w=d=838p15h52g9>gb7`<5181ni5rs9094?4|5191ni5285804>{t0:0;6?u284804>;?<3ho7p}8c;296~;?838m709k:cf8yv1c2909w09j:cf892`=;91v:k50;0x9=6=;916;k4md:p55b=838p1<>k:cf894722;l0q~?>8;296~;6910ih63>10804>{t9;91<7gb<58;=6?h4}r310?6=:r7:>94md:?257<482wx=?;50;0x94422kn013:1>v3>278a`>;69>09j6s|13594?4|588<6oj4=030>6652z?26=1e804>{t99l1<7gb<588:6>>4}r324?6=:r7:>?4<1:?255v3>138a`>;69?08<6s|10194?4|58;86oj4=034>6652z?2516;296~;69?0ih63>1c81b>{t98=1<7gb<58;h6>>4}r32=?6=:r7:=44md:?25a<5n2wx=v3>1c8a`>;69l08<6s|10a94?4|58;h6oj4=03e>7`52z?25af;296~;69o0ih63>2081b>{t9;:1<766<588;6oj4}r315?6=:r7:>?4=f:?264v3>55804>;6=:0ih6s|15d94?4|58?:6?h4=06e>gb<7>52z?214<4827:9=4md:p51g=838p1<:m:228942f2kn0q~?=c;296~;6:j0ih63>2e804>{t9;n1<77c<588o6oj4}rc7>5<5s4k36?h4=`79fa=z{h?1<708<6s|a783>7}:i108<63n7;`g?xu68;0;6?u2111975=:9981ni5rs0d4>5<5s4;mm75c>=jm16=k75319~w4`>2909w0?ia;13?87a13ho7p}>f283>7}:9o>1?=521g19fa=z{;:?6=4={<033?4a348;87lk;|q140<72;q6>=;5be9>650=;91v?>9:181847?39;705<5s4;n>75g0=:o16=o:5be9~w4d22909w0?m6;13?87e=3ho7p}n9;296~;fl38m70on:cf8yvgf2909w0om:cf89de=;91vll50;0x9db=;916mn4md:p37<72;q6;;4=f:?47?dc3ty52z?40?dc34=>6>>4}r57>5<5s4==6>>4=679fa=z{?n1<7l0ih6s|6d83>7}:>o0ih6380;13?xu1n3:1>v381;13?8172kn0q~87:18180d2;l01;75be9~w3?=838p1;o5be9>2g<482wx:l4?:3y>2f<4827=n7lk;|q57?6=:r7=;7gbgb<5?<1?=5rs7794?4|5?=1?=52678a`>{t=l0;6?u26381b>;2n3ho7p}:f;296~;183ho708>:228yv072909w08=:228937=jm1v8750;0x90b=:o169l4md:p1d<72;q69o4md:?6g?573ty>n7>52z?6`?5734?h6oj4}r77>5<5s4?36?h4=479fa=z{08<6s|5783>7}:=108<63:7;`g?xu3n3:1>v3:3;0e?8372kn0q~;?:1818362kn018<5319~w07=838p18=5319>173g<5n27<47lk;|q46666<5>k1ni5rs32e>5<5s48;j7lk;<027?4a3ty9==4?:3y>646=jm16><<5319~w7762909w0<>4;13?84693ho7p}=1383>7}::881ni52201975=z{;;86=4={<020?4a348:?7lk;|q;2?6=:r73m7gbgb<5131?=5rs9:94?4|51k1?=52888a`>{t:9;1<766<5;::6oj4}r3e`?6=:r7:jk4=f:?2bav3=20804>;5:90ih6s|23;94?5|V;8270<=a;0f?84513no7p}>d683>6}Y9m=015gb=lm1v53z\af>;ek38n70lm:ef8yv7b>3:1?vP>e79>5`1=:l16=h85de9~w7402908wS<=7:?16=<5m279>:4kd:p5f7=839pR;<3`7?4b34;h=7jk;|q2fc<72:qU=oh4=0a1>7c<58hm6ij4}r`2>5<2s4;hh7lk;<:1>66<5hk1?=526d804>;2839;7p}m2;290~;6kl0ih638d;13?8g22::018;5319~wg5=83>p153z?2`5666=4;{<3g5?dc343=6>>4=61975=:>=08<6s|b783>7}:9m81ni5290804>{tj>0;6>u21e19fa=:0j08<6399;13?xue03:1>v3>d58a`>;??39;7p}=2483>6}Y:;?01?<9:cf897422mn0q~<=d;297~X5:m16>?k5be9>67b=lm1v?>7:180[4702794?:0yKaf=zf1=?6=4>{Ig`?xh??<0;6?uGeb9J`a<6s:0vV?o51z1g>x{i0><1<7?tHda8yk>0?3:1=vFjc:m<2>=838pDhm4}o:4=?6=:rBno6sa86c94?7|@li0qc68b;295~Nbk2we4:m50;3xL`e52zJfg>Ocl3;p?7sU2`826b=utd3;h4?:3yKaf=zf1=m6=4>{Ig`?xh?090;69uGeb9~j=>62908wEkl;|l;<7<72;qCin5rn9:0>5<5sAoh7p`78583>1}Omj1vb56::182Mcd3td34;4?:3yKaf=zf12<6=4<{Ig`?xh?010;6>uGeb9~j=>>2908wEkl;|l;5<58rBno6sa89a94?5|@li0qc67d;296~Nbk2we45k50;3;Mcd3td34k4?:3yKaf=zf13;6=4={Ig`?xh?180;6ouGeb9~j=?52908wEkl;|l;=6<72:qCin5rn9;7>5<5sAoh7p`79483>40|@li0qc666;296~Nbk2we44950;0xL`e52zJfg>{i0031<7=tHda8yk>>i3:1?vFjc:m<50;0xL`e52zJfg>{i0h81<7?tHda8yk>f;3:1>vFjc:m52zJfg>{i0hk1<7fj3:1>vFjc:m50;0xL`e52zJfg>{i0k81<7e;3:1>vFjc:m52zJfg>{i0kh1<7ek3:1>vFjc:m52zJfg>{i0j?1<7d>3:1>vFjc:m54zJfg>{i0jo1<7dn3:1?vFjc:m52zJfg>{i0m=1<7=tHda8yk>c13:1?vFjc:m52zJfg>{i0l81<7:tHda8yk>b;3:1>vFjc:m<`2=83;pDhm4}o:f1?6=9rBno6sa8d494?7|@li0qc6j7;295~Nbk2we4h650;3xL`e51zJfg>{i0lk1<7?tHda8yk>bj3:1=vFjc:m<`e=83;pDhm4}o:f`?6=9rBno6sa8dg94?7|@li0qc6jf;295~Nbk2we4k>50;3xL`e51zJfg>{i0o81<7?tHda8yk>a;3:1=vFjc:m51zJfg>{i0ok1<7?tHda8yk>aj3:1=vFjc:m50;3xL`e51zJfg>{i1981<7?tHda8yk?7;3:1=vFjc:m=52=83;pDhm4}o;31?6=9rBno6sa91494?7|@li0qc7?7;295~Nbk2we5=650;3xL`e51zJfg>{i19k1<7?tHda8yk?7j3:1=vFjc:m=5e=83;pDhm4}o;3`?6=9rBno6sa91g94?7|@li0qc7?f;295~Nbk2we5<>50;3xL`e51zJfg>{i1881<7?tHda8yk?6;3:1=vFjc:m=42=83;pDhm4}o;21?6=9rBno6sa90494?7|@li0qc7>7;295~Nbk2we5<650;3xL`e51zJfg>{i18k1<7?tHda8yk?6j3:1=vFjc:m=4e=83;pDhm4}o;2`?6=9rBno6sa90g94?7|@li0qc7>f;295~Nbk2we5?>50;3xL`e51zJfg>{i1;81<7?tHda8yk?5;3:1=vFjc:m=72=83;pDhm4}o;11?6=9rBno6sa93494?7|@li0qc7=7;295~Nbk2we5?650;3xL`e51zJfg>{i1;k1<7?tHda8yk?5j3:1=vFjc:m=7e=83;pDhm4}o;1`?6=9rBno6sa93g94?7|@li0qc7=f;295~Nbk2we5>>50;3xL`e51zJfg>{i1:81<7?tHda8yk?4;3:1=vFjc:m=62=83;pDhm4}o;01?6=9rBno6sa92494?7|@li0qc7<7;295~Nbk2we5>650;3xL`e51zJfg>{i1:k1<7?tHda8yk?4j3:1=vFjc:m=6e=83;pDhm4}o;0`?6=9rBno6sa92g94?7|@li0qc750;3xL`e51zJfg>{i1=81<7?tHda8yk?3;3:1=vFjc:m=12=83;pDhm4}o;71?6=9rBno6sa95494?7|@li0qc7;7;295~Nbk2we59650;3xL`e51zJfg>{i1=k1<7?tHda8yk?3j3:1=vFjc:m=1e=83;pDhm4}o;7`?6=9rBno6sa95g94?7|@li0qc7;f;295~Nbk2we58>50;3xL`e=7>51zJfg>{i1<81<7?tHda8yk?2;3:1=vFjc:m=02=83;pDhm4}o;61?6=9rBno6sa94494?7|@li0qc7:7;295~Nbk2we58650;3xL`e57>51zJfg>{i150;3xL`e51zJfg>{i1?81<7?tHda8yk?1;3:1=vFjc:m=32=83;pDhm4}o;51?6=9rBno6sa97494?7|@li0qc797;295~Nbk2we5;650;3xL`e51zJfg>{i1?k1<7?tHda8yk?1j3:1=vFjc:m=3e=83;pDhm4}o;5`?6=9rBno6sa97g94?7|@li0qc79f;295~Nbk2we5:>50;3xL`e51zJfg>{i1>81<7?tHda8yk?0;3:1=vFjc:m=22=83;pDhm4}o;41?6=9rBno6sa96494?7|@li0qc787;295~Nbk2we5:650;3xL`e51zJfg>{i1>k1<7?tHda8yk?0j3:1=vFjc:m=2e=83;pDhm4}o;4`?6=9rBno6sa96g94?7|@li0qc78f;295~Nbk2we55>50;3xL`e51zJfg>{i1181<7?tHda8yk??;3:1=vFjc:m==2=83;pDhm4}o;;1?6=9rBno6sa99494?7|@li0qc777;295~Nbk2we55650;3xL`e51zJfg>{i11k1<7?tHda8yk??j3:1=vFjc:m==e=83;pDhm4}o;;`?6=9rBno6sa99g94?7|@li0qc77f;295~Nbk2we54>50;3xL`e51zJfg>{i1081<7?tHda8yk?>;3:1=vFjc:m=<2=83;pDhm4}o;:1?6=9rBno6sa98494?7|@li0qc767;295~Nbk2we54650;3xL`e51zJfg>{i10k1<7?tHda8yk?>j3:1=vFjc:m=4}Omj1Bhi4>{08~^7g=9r9o6psa9`394?7|@li0Eij51z39y_4f28q8h7srn8c1>5<6sAoh7Djk:0y2>x\5i3;p?i4r}o;b7?6=9rBno6Gkd;3x5?{]:h0:w>j5}|l:e1<728qCin5Fde8244}62tP9m7?t3e8~yk?f?3:1=vFjc:Kg`?7|93wQ>l4>{2f9yxh>i10;6x{i1h31<7vFjc:m=dd=838pDhm4}o;bg?6=:rBno6sa9`f94?4|@li0qc7ne;296~Nbk2we5lh50;0xL`e52zJfg>{i1k;1<7?tHda8Mab=9r81qW{Ig`?Lbc28q96pT=a;3x7a51zJfg>Ocl3;p>7sU2`826b=utd=?7>52zm1g<728qvb5:50;0xyk1d290:wp`mb;296~{ij:0;6{|l245<728qvb0;65<6std:o94?:0y~j4c4290:wp`>f983>4}zf1=:6=4<{Hfg><}42:086>4<:280>6<62tBno6T=a;3x7a53zJfg>{i00l1<7?>{Ig`?xh5?<0;65<6std9m>4?:0y~j7d0290:wp`=cb83>4}zf;oi6=4<{|l1a6<728qvb>>m:181xh48:0;65<5std8>94?:0y~j625290:wp`<5683>4}zf:{|l0<4<728qvb>79:182xh4ik0;65<6std8h84?:0y~j6`02909wp`4}zf=:26=4>{|l765<728qvb9=n:182xh35<6std?;54?:0y~j1>c290:wp`76d83>6}Nlm02w>4<:280>6<42:086<4rHda8^7g=9r9o6psa8ef94?5|@li0qc69b;297~Ocl33p?7=53;197?5=;391=7sGeb9Y6d<6s:n1qp`7b583>6}Omj1vb58i:180Lbc20q86>4<:280>6<42:0:6pFjc:X1e?7|;m0vqc6l2;297~Nbk2we4;j50;1xMab=1r91?7=53;197?5=;3;1qEkl;[0b>4}4l3wvb5j>:180Mcd3td3;=4?:2yJ`a<>s:086>4<:280>6<4280vDhm4Z3c95~5c2twe4h?50;1xL`e53zKg`??|;391?7=53;197?5=93wCin5U2`826b=utd3nh4?:2yKaf=zf1<}42:086>4<:280>6<62tBno6T=a;3x7a53zJfg>{i=:96*>I516?!7N=5:&Qasotafd1,< 60/6-0%"?3/U:E9?P399%[4O3;V937+Q>I47\7==!W8D?>R:7;']2J12X<11-S<@;8^626>4=AGZ^X7mu^c?5?699;136D@@UU8`tpYc4>0;2>5N1178E46XJ;1J495N8^@1?D?33H3TN>5NNE68EKB5>2KEH?L>4:CM@62DRN8:0NXGCD^VBVBCSI[IC46LZS^KMBJ75LLJ18GIT>3JEFADZ[EE58GWCF\LN87NZC1:Ff?ATDWZ_HOH]\FTN4?Agsi5:5;6Jnt`>2:4=B02OS\L@KEE08BA5OX;?U:=>64I^15[447?2CT?;Q;3d9HA@VX@@OYM_MGY69OMGTFZP<0@BOKEE18HJE03EELENOCa:NSIZ^HZ;U:=l5Baef\[k67<>UFtb|PRdqvhq:668k0Aljk_^l3411XEqeyS_k|umv?6;7f3DkohRQa0164[H~hzVXnxb{<2<27>KflmUTb=>;7^]m45679890Aljk_^l3411XWg:;<5:Ob`aYXf9:?;RQa01278586=2GjhiQPn1273ZYi89:=0=0l;LcikwPbzzcdbn5BiomqR`ttafd:>6Cbuy]\j5661VXnxb{129Nip~XWg:;=4Q]erwop4743Dg~tRQa013:[Wct}e~9=>5Bmtz\[k6790UYi~{ct230?HkrpVUe<=?6_Sgpqir39:1FaxvP_o235Kj}qUTb=>=4^Pfwpjs9890A`{w_^l3472XZly~`y<>3:Onq}YXf9:98R\jstnw745:>6Cbuy]\j565=VXnxb{129Nip~XWg:;>8Q]erwop4743Dg~tRQa0106[Wct}e~9=>5Bmtz\[k67:Kj}qUTb=>=6^Pfwpjs:890A`{w_^l3470XZly~`y=>3:Onq}YXf9:9:R\jstnw044129Nip~XWg:;>:Q]erwop7743Dg~tRQa0104[Wct}e~8=>5Bmtz\[k67:>UYi~{ct531?HkrpVUe<=<7_Sgpqir6;2GfyuQPn121Kj}qUTb=>=8^Pfwpjs;890A`{w_^l347>XZly~`y:>2:Onq}YXf9:95R\jstnw56=Je|rTSc>?28]Qavsk|8;87@czx^]m454>W[oxyaz=129Nip~XWg:;>4Q]erwop6743Dg~tRQa010:[Wct}e~?=?5Bmtz\[k67;9UYi~{ct018IhsWVd;<>>PRdqvhq76;2GfyuQPn1204ZTb{|f><=4Mlw{[Zh78::T^h}zlu127>Kj}qUTb=><0^Pfwpjs<880A`{w_^l3467XZly~`y?<;Lov|ZYi899:S_k|umv256=Je|rTSc>?30]Qavsk|;;87@czx^]m4556W[oxyaz<129Nip~XWg:;?PRdqvhq76>2GfyuQPn1206ZO7W[oxyaz=179Nip~XWg:;??QF0^Pfwpjs;8<0A`{w_^l3464XA9UYi~{ct536?HkrpVUe<===_H3\V`urd};=7@czx^]m4555W@;T^h}zlu322>Kj}qUTb=><2^K2[Wct}e~9=;5Bmtz\[k67;;UB=R\jstnw7406:Onq}YXf9:8>RG=_Sgpqir59?1FaxvP_o2377YN:VXnxb{3048IhsWVd;<>7@czx^]m4553W@:T^h}zlu35?HkrpVUe<==;_H2\V`urd};::6Cbuy]\j564?35]J4ZTb{|f?<84Mlw{[Zh78:>TE=Q]erwop1723Dg~tRQa0117[L7XZly~`y?9;Lov|ZYi899?SD?PRdqvhq76>2GfyuQPn1200ZO6W[oxyaz=179Nip~XWg:;?9QF1^Pfwpjs;8<0A`{w_^l3462XA8UYi~{ct536?HkrpVUe<==;_H0\V`urd};=7@czx^]m4553W@8T^h}zlu322>Kj}qUTb=><4^K1[Wct}e~9=;5Bmtz\[k67;=UB>R\jstnw7406:Onq}YXf9:88RG<_Sgpqir59?1FaxvP_o2371YN;VXnxb{3048IhsWVd;<>:PI2]Qavsk|=;>7@czx^]m4553W@>T^h}zlu35?HkrpVUe<==;_H6\V`urd};::6Cbuy]\j564?35]J0ZTb{|f?<84Mlw{[Zh78:>TE9Q]erwop1723Dg~tRQa0117[L3XZly~`y?9;Lov|ZYi899?SD;PRdqvhq76>2GfyuQPn1200ZO2W[oxyaz=179Nip~XWg:;?9QF5^Pfwpjs;8<0A`{w_^l3462XAKj}qUTb=><4^K5[Wct}e~9=;5Bmtz\[k67;=UB:R\jstnw7406:Onq}YXf9:88RG8_Sgpqir59?1FaxvP_o2371YN?VXnxb{3048IhsWVd;<>:PI6]Qavsk|=;<7@v`r^]m4527WDrd~R\jstnw52=JpfxTSc>?41]N|jtXWg:;<=?:;LzlvZYi89>;SR`?010?4;3H48;;>7C=?2278J665=<1E?=<85:L047?23G9;?<:4N5031>HFFM8<7CK[WNPH3>HNGVYN@:5AIN]PPG5V43Y;:?6^>229S56587]?73:R2=7=W::1[>==4P300?U43;2Z99>5_2718T7143Y83?6^=939S76=W;=90\>;<;Q157>V4?:1[?5<4P518T1643Y>9?6^;329S014S7i2_XI_QNLHCPg>STM[U]E^GMLD18RFE>3_CN[RZVPD3g?]OKAGR&TIL/0/3#WQSE(9$:,L]LIH58\I^NW89<7UBWI^373>^KP@U::n5WLYK\53YR8V:<7UBWI^343>^KP@U:4:5WLYK\5<18;YN[MZ45?2RGTDQ=369[H]HX99=0TAVA_034?]J_FV;?;6VCXO]2=3=_DQDT8;5WLYL\23=_DQDT;;5WLYL\<6=_G[>0TB\=6:ZPPIOE?2RXXRIAD69[WQYQKJ?0TRAAE49[[QTN>2RTVH\@6:ZgfZOcj2RodR^}ilKmrf=_laU[~dc[yqge?]boWYxba[k}shmm55=_ldUFmga}Vdppmjh682RoaRCfnnpUawungg<0Tb2?>018\vr33SOYC45Pn1222969i2Ue<=?9<1<2e>Yi89;=0=0=9:]m4571484j7R`?004?5;7>3Vd;<<832?c8[k679?692<74_o2353:46h1Tb=>>6=1=53=Xf9::;:5Pn122340099\j56608;37R`?00:26==Xf9::4<=7;^l344>6<11Tb=>>807;?Zh7882::55Pn122<41?3Vd;<<6>899\j566083<7R`?00:1<>Yi89;3>=64_o235=4602Ue<=?723:8[k67918846Qa013;61>?1904<>Yi89;3>564_o235=4>?2Ue<=?7369\j5660==0Sc>?1974?Zh7882=;6Qa013;32=Xf9::4594_o235=?13Vd;<<79;^l347603Vd;>7:]m4547:>1Tb=>=0248[k67:8=0Sc>?2034?Zh78;;9;6Qa010272=Xf9:9=984_o23610884_o23630:84_o236=0484_o23750<2=3==>Yi89990?06;^l3462;8730Sc>?35>2:<=Xf9:881<19:]m45534:427R`?026?0;?89\j564<5<556Qa011782813Vd;<>98;^l34616>2Ue<==77:]m455?9>1Tb=><8348[k67<;=0Sc>?4335?Zh78=><7R`?05623>Yi89>?>:5Pn127060;30Sc>?46>2:<=Xf9:?;1<19:]m45204:4=7R`?0724?Zh78?::;6Qa014363=Xf9:3?:5Pn12;74?79\j5779>1Tb=??1058[k66888<7R`?11303>Yi88::8:5Pn1335017:]m4465:>1Tb=??2258[k668;><7R`?11063>Yi88:9:;5Pn13372=Xf9;;?<94_o2246403Vd;===<7:]m4464<>1Tb=??3458[k668:<<7R`?11142>Yi88:?;6Qa00275==Xf9;;8<>7;^l35526911Tb=??400;?Zh799>:?55Pn13304203Vd;==:=7:]m4463;>1Tb=??4558[k668=?<7R`?11653>Yi88:?;:5Pn1330=17:]m4462:>1Tb=??5258[k668<><7R`?11763>Yi88:>:;5Pn13332=Xf9;;;<64_o22427702Ue<<>81058[k668>8<7R`?11503>Yi88:<8:5Pn1333018869\j577?0<0Sc>>0858[k6680;37R`?11;24==Xf9;;56369\j5771==0Sc>>0874?Zh7993=;6Qa002:32=Xf9;;5594_o22411:8[k6698;:46Qa003257>1Tb=?>1258[k6698><7R`?10363>Yi88;:::5Pn132521;2k:<55n11]JFP@53h2<7l6PICWE6>g>?2k2SDLZF69b`aYcmj;:7ljk_eg`[]J_AV;=Sd68VEIYK<4b958f=YHJ\L97o78;c;\KGSA;2hxu95msx37?gu~:=1it=;;brke1=dxff37n~z_Okqu`=dx|UEePOCWEA<=dx|UE|eg=e:asqZHw``8TEO[I8:asqZHumzn0o}{PNsgp[LDRN11h|xQn<1_H@VB==dx|Uo0=07;brv[a:6611h|xQk<3<;?fvrWm68255lpt]g818?3jz~Si2:>99`tpYc4?4j7n~z_e>4>58?3jz~Si28>d9`tpYcW9UBCO[IEd9`tpYcW8UBCO[IEd9`tpYcW;UBCO[IEd9`tpYcW:UBCO[IEd9`tpYcW=UBCO[IEd9`tpYcWUBCO[IE69`tpYuf~i0o}{Prou\MGSAi2nbzd}`r=2=e>bn~`yd~1?1a:fjrluhz58556jfvhqlvZ6>3mc}e~a}_0;8`lpn{fxT>h5kiwkpkwY5WG>98k5kiwkpkwY5WG>98<=4ebg5?`~skeai7hv{cmi\MGSA;2lyt>5fes`8mkrXlf0=0m;hlw[air|5;5n6gat^flqq:56k1bbyQkotv?7;doi|Vgbb?6;hlw[hotg;20eczPmnqj3>oi|Ve~x55fnu]lqq7?3`dSb{{299jjqYh}}937d`{_nww0==nf}Uxoaei;hlw[vekcVUe<=>?119jjqYtkeaTSc>?01324>oi|Vyh`fQPn12347773`dS~mck^]m4567;o1bbyQ|cmi\[k6788;;7d`{_raooZYi89::=<>4iov\wfjlWVd;<=?=7:kp[wcfl11bR|jae3;?luXzlko>55fs^pfea543eoo86bjd0:8h`bXGK_M>6`h3:ld52=ioVEIYK64nffaqljc?2dbcR}jlb9mmjYtmeUBNXH8;okl[vrek2dbcR}{b^KAQC5uoao~h`f74sikepfjl901xddh{cmi1=>uoao~h`f=6;rjjbqekc=30egitbnh1<=t``loae9b:qkmcrdf}6;2o5|hhdwgkr;97h0egitblw878e3zbbjymat=1=e>uoao~hbyQ?a:qkmcrdf}U:m6}gigv`jqY5i2ycekzlnu]0=>uoao~occk6;rjjbqoio;;;7~fffukmc7YXf9:;<?1038wmoa|`dl>RQa01236d=tdh|neczjn99phdpb{lf37~~f6421f==tx`UEhbk;rrj[KubdVEIYK94sqk\mkrd3zzbSd`{_H@VB==tx`Ufe~ak;rrj[hotgVCIYK64sqk\ijunm2y{eRc`sh]LFP@B02y{eR}lljf8wuoX{jf`SBLZFb9ptlbf|hce0=0l;rrj`drfag6:2n5|phfbpdoi4;4h7~~fd`vbmk:46j1x|djnt`km818d3zzbhlznio>6:f=tx`njxlga<7<`?vvnlh~jec28>c9ptlbf|hceS=l4sqkgeqgnfV;i7~~fd`vbmkY5j2y{eio{ahl\7g=tx`njxlga_5`8wuoci}kbbR;m;rrj`drfagU=n6}iecwelhX?m1x|djnt`mvp969l2y{eio{anww848c3zzbhlznotv?6;b<{ycomyo`uu>0:a=tx`njxlazt=6=`>uwamkmb{{<4b9ptlbf|he~xR>l;rrj`drfg|~T=n5|phfbpdir|V8h7~~fd`vbkprX;j1x|djnt`mvpZ2d3zzbhlznotv\1f=tx`njxlazt^4`?vvnlh~jcxzP7d9ppdtsWzbbjygag39wg6=sef20tn7:01zo<>~nd`dsycsO@q`fb>FGp;<<6K4;:0yP66<6;808:7?<3b53b?55mrd:>h4>;o31b?4<,88j6<>i;|Q16?74939=6<=64b3Z>h6?:7:78276e08o085:5\23810=<12898o:>i:2;;?a41?3:1=7?tS319567=;?0:?>m80g806`=#9j09585G279uP7g=83;1=7?7ezQ17?74939=6<=64b3-;;i7:j;W31`?4|}<=1=6{:8;28y!`f2;3>7o<97;2965<6=o0:95uU1e81a5<5<5<haj3:07d5$ga965e=750;&eg?47k2dmn7=4;*03e?6=,oi1>=m4ng`96>=,:9h1<7*ic;03g>haj3;07&9j50;9l63>=831d>;k50;9l626=831d>9<50;9a5ae=8391<7>t$02:>40<@8:h7E?=4:&26f<6=2.?n7<66:k0e?6=,88h6>h4;h1a>5<#9;i1?k54oe394?"6:j0o465rb0af>5<4290;w)??9;35?M77k2B:>95+13a950=#!75k39m76g:18'57e=l110qo?l2;297?6=8r.:<44>6:J24f=O9;>0(<o50;&26f<4n21b?o4?:%31g?5a32eo=7>5$00`>a><3th:nh4?:583>5}#9931=55G11a8L4433-;9o7?:;%6a>7?13`9j6=4+13a97c=2b80b>=n;j0;6)?=c;1e?>ic93:1(<4?:1y'55?=9?1C==m4H007?!75k3;>7):m:3;5?l5f290/=?m53g98m6d=83.:>n4=83>1<7>t$02:>4><@8:h7E?=4:&26f<6=2.?n7<66:k0e?6=,88h6>h4;h1a>5<#9;i1?k54i2a94?"6:j08j65`d083>!75k3n376sm1`294?2=83:p(<>6:0:8L46d3A;986*>2b821>"3j382:6gm50;&26f<4n21dh<4?:%31g?b?32wi=4750;694?6|,8:26<64H02`?M75<2.:>n4>5:&7f?4>>2c8m7>5$00`>6`<3`9i6=4+13a97c=2b80b>=hl80;6)?=c;f;?>{e:2;i0D<>l;I310>"3j382:6g=5;29?l462900e55;092~"68009i6*;b;0:2>iam3:17dhk:188m73=831b><4?::k2a?6=3k8n6=49:183!75k3987d=i:18'57e=;o10e=4?:%31g?4732c:<>4?:%31g?77;21b==>50;&26f<68910ci650;&26f5<7s-;9o7j;;nf:>5<#9;i1h554}r06>5<5s48n6>h4^378yv77l3:1>v3=e;3e?875?3n27p}>e;296~;5m38;7S?j;|qe`?6=:r79i7??3:\e`>{t:80;6?u22d8245=Y:81vkk50;0x97c=l11Ujh5r}c094?7=83:p(<>m:89'55?=;>1/=?m51208 1d=:0<0c>;50;&26f7}:nl0mh63=:278 46e2j1v?;50;0x9cc=:<1U>85rs3394?4|5oo1><5Q209~w4c=838p1kk51d9]5`=zuk8>i7>54;091~"68009o6F>0b9K572<,=h1>484i3794?=n:80;66g>e;29?j`b2900nkk50;796?0|,8:26?k4$5`96<0>o5=3:17d<>:188m4c=831i>h4?:783>5}#9;i1?>5f3g83>!75k39m76g>f;29 44d28l07d<:18'57e=99907d??0;29 44d28:;76ak8;29 44d2m207pl>2683>4<729q/=?m5d59l`<<72-;9o7j7;:p60<72;q6>h4{t99n1<7=5Q1d9~wcb=838p1?k51118Zcb4673W8:7p}ie;296~;5m3n37Shj;|a6?6=93:10c8:?!77139<7)?=c;306>"3j382:6a<5;29 44d2m207p}ie;296~;am3ln7Shj;|q6>5<5s4ln6kj4=3801>"68k0h7p}=5;296~;am38>7S<:;|q15?6=:r7mi7<>;_02?xu6m3:1>v3ie;3f?[7b3twi>8h50;696?3|,8:26?m4H02`?M75<2.?n7<66:k11?6=3`8:6=44i0g94?=hnl0;66lie;291?4=>r.:<44=e:&7f?4>>2emi7>5;hdg>5<>o6m3:17o5<7s-;9o7=<;h1e>5<#9;i1?k54i0d94?"6:j0:j65f2183>!75k38;76g>0283>!75k3;;?65f11294?"6:j0:<=54oe:94?"6:j0o465rb004>5<6290;w)?=c;f7?jb>290/=?m5d998yv422909w052z?1a?7a34;9;7j6;|q2a?6=:r79i7v3=e;337>Xal2wx><4?:3y>6`<6891U><5rsgg94?4|5;o1h55Qfd9~yg4=83;1<7>t$02a><=#9931?:5+13a9564<,=h1>484o2794?"6:j0o465rsgg94?4|5oo1jh5Qfd9~w0<72;q6jh4id:?1>63<,8:i6n5rs3794?4|5oo1>85Q249~w77=838p1kk5209]64=z{8o1<74<4s-;;57:i;I33g>o5?3:17d<7:188k4662900n<=?:180>5<7s-;;57?=3:J24f=O9;>0(<l50;&26f<4n21dh<4?:%31g?b?32wx>:4?:3y]62=:9::1?l5rs3:94?4|V;201<=?:2`8yv7793:1>vP>009>566=l81vqo;?:180>4<4s-;;57:i;I33g>o5?3:17d<7:188k4662900n<=?:180>5<7s-;;57?=3:J24f=O9;>0(<l50;&26f<4n21dh<4?:%31g?b?32wx>:4?:3y]62=:9::1?l5rs3:94?4|V;201<=?:2`8yv7793:1>vP>009>566=l81vqo?61;296?6=8r.:<44;1:J24f=O9;>0(<750;&26f<4n21dh<4?:%31g?b?3A;9n65rb3:g>5<22;0=w)??9;0g?M77k2B:>95+4c81=3=n:<0;66g>f;29?l462900e56;294~"6:j08?6g50;&26f<5821b===50;&26f<68:10e<>?:18'57e=99:07bj7:18'57e=l110qo?=9;295?6=8r.:>n4>339l`=<72-;9o7j7;:p60<72;q6jh4{t9o0;6?u2fd82b>X6n2wx=h4?:3y>b`<582T:i6s|3683>7}:nl0:<>5213;9`==z{;;1<77?13`8>6=44i0d94?=n:80;66g>e;29?j`b2900nkk50;494?6|,88h6>=4i2d94?"6:j08j65f1g83>!75k3;m76g=0;29 44d2;:07d??3;29 44d28:876g>0183>!75k3;;<65`d983>!75k3n376sm13;94?7=83:p(<4`5<5s4ln6<><;<31=?b?3ty9=7>52z?ea?7782T9=6s|fd83>7}:nl0o46Pie:~f442290:6=4?{%33=?503A;;o6F>259'57e=9:80(9l52848k63=83.:>n4k8:9~f77d290>6?49{%33=?4c3A;;o6F>259'0g<51?1b>84?::k2b?6=3`8:6=44i0g94?=hnl0;66lie;292?6=8r.:>n4<3:k0b?6=,88h6>h4;h3e>5<#9;i1=k54i3294?"6:j09<65f11194?"6:j0:<>54i023>5<#9;i1==>4;nf;>5<#9;i1h554}c31=?6=93:12b8277=hl10;6)?=c;f;?>{t:<0;6?u2fd80b>X5=2wx=k4?:3y>b`<6n2T:j6s|1d83>7}:nl09<6P>e:p72<72;q6jh4>029>57?=l11v??50;0x9cc=99:0R??4}rdf>5<5s4ln6i64^gg8yxd6:?0;6<4?:1y'55?=99n0D<>l;I310>"6:j0o86*;b;0:2>ic13:1(<4?:1y'55?=9?1C==m4H007?!75k3;>7):m:3;5?l5f290/=?m53g98m6d=83.:>n4t$02:>40<@8:h7E?=4:&26f<6=2.?n7<66:k0e?6=,88h6>h4;h1a>5<#9;i1?k54oe394?"6:j0o465rb3;3>5<22;0=w)??9;0g?M77k2B:>95+4c81=3=n:<0;66g>f;29?l462900e56;294~"6:j08?6g50;&26f<5821b===50;&26f<68:10e<>?:18'57e=99:07bj7:18'57e=l110qo?=9;295?6=8r.:>n4>339l`=<72-;9o7j7;:p60<72;q6jh4{t9o0;6?u2fd82b>X6n2wx=h4?:3y>b`<582T:i6s|3683>7}:nl0:<>5213;9`==z{;;1<7i6?79;h1b>5<#9;i1?k54i2`94?"6:j08j65`d083>!75k3n376sm5d83>6<729q/==75d79K55e<@88?7)?=c;f6?!2e2;3=7d=n:18'57e=;o10e>l50;&26f<4n21dh<4?:%31g?b?32win>4?:283>5}#9931h;5G11a8L4433-;9o7j:;%6a>7?13`9j6=4+13a97c=2b80b>=hl80;6)?=c;f;?>{eil0;6>4?:1y'55?=l?1C==m4H007?!75k3n>7):m:3;5?l5f290/=?m53g98m6d=83.:>n4i6?79;h1b>5<#9;i1?k54i2`94?"6:j08j65`d083>!75k3n376sma583>6<729q/==75d79K55e<@88?7)?=c;f6?!2e2;3=7d=n:18'57e=;o10e>l50;&26f<4n21dh<4?:%31g?b?32wi5k4?:283>5}#9931h;5G11a8L4433-;9o7j:;%6a>7?13`9j6=4+13a97c=2b80b>=hl80;6)?=c;f;?>{e1<0;6>4?:1y'55?=l?1C==m4H007?!75k3n>7):m:3;5?l5f290/=?m53g98m6d=83.:>n4i6?79;h1b>5<#9;i1?k54i2`94?"6:j08j65`d083>!75k3n376sm14694?2=83:p(<>6:e58L46d3A;986*>2b8g1>"3j382:6gm50;&26f<4n21dh<4?:%31g?b?32wi=5750;194?6|,8:26<84H02`?M75<2.:>n4>5:&7f?4>>2c8m7>5$00`>6`<3`9i6=4+13a97c=2b8g<>=zj82=6=4<:183!7713;=7E??c:J261=#9;i1=85+4c81=3=n;h0;6)?=c;1e?>o4j3:1(<50z&24<<6>2B:n4i6?79;h1b>5<#9;i1?k54i2`94?"6:j08j65`d083>!75k3n376sm16f94?5=83:p(<>6:048L46d3A;986*>2b821>"3j382:6g5<7s-;;57?6;I33g>N6:=1/=?m5149'0g<51?1b?i4?:%31g?5a32c8m7>5$00`>6`<3`9i6=4+13a97c=2b80b>=hl80;6)?=c;f;?>{e:1h1<7;52;4x 46>2;n0D<>l;I310>"3j382:6g=5;29?l7a2900e??50;9j5`<722emi7>5;cdf>5<1290;w)?=c;10?l5a290/=?m53g98m4`=83.:>n4>f:9j65<72-;9o7n4>0198ka>=83.:>n4k8:9~f44>290:6=4?{%31g?74:2eo47>5$00`>a><3ty997>52z?ea?5a3W8>7p}>f;296~;am3;m7S?i;|q2a?6=:r7mi7v3ie;337>;6:00o46s|2083>7}:nl0:<=5Q209~wcc=838p1kk5d99]b`=zuk;=<7>52;294~"6800?=6F>0b9K572<,88h62b80b>=hl80;6)?=c;f;?M75j21vno650;194?6|,8:26i84H02`?M75<2.:>n4k5:&7f?4>>2c8m7>5$00`>6`<3`9i6=4+13a97c=2b8g<>=zj89o6=4<:183!7713;=7E??c:J261=#9;i1=85+4c81=3=n;h0;6)?=c;1e?>o4j3:1(<50z&24<<6>2B:n4i6?79;h1b>5<#9;i1?k54i2`94?"6:j08j65`d083>!75k3n376sm12;94?5=83:p(<>6:048L46d3A;986*>2b821>"3j382:6g5<7s-;;57?9;I33g>N6:=1/=?m5149'0g<51?1b?l4?:%31g?5a32c8n7>5$00`>6`<3fn:6=4+13a9`==55;092~"68009h6F>0b9K572<,=h1>484i3794?=n9o0;66g=1;29?l7b2900ckk50;9ab`<72?0;6=u+13a976=n;o0;6)?=c;1e?>o6n3:1(<ic03:1(<85rs0d94?4|5oo1=k5Q1g9~w4c=838p1kk5219]5`=z{:=1<75<5s4ln6<>?;_02?xuam3:1>v3ie;f;?[`b3twi>>;50;194?6|,8:26i84H02`?M75<2.:>n4k5:&7f?4>>2c8m7>5$00`>6`<3`9i6=4+13a97c=2b8g<>=zj;8m6=4<:183!7713;=7E??c:J261=#9;i1=85+4c81=3=n;h0;6)?=c;1e?>o4j3:1(<5;h3f>5<3<729q/=?m5329j7c<72-;9o7=i;:k2b?6=,88h65<#9;i1>=54i020>5<#9;i1===4;h334?6=,88h6<>?;:mg2b8g<>=z{;?1<7=5Q1d9~w61=838p1kk51118944>2m20q~<>:1818`b28:;7S<>;|qea?6=:r7mi7j7;_df?x{e:=;1<7=50;2x 46>28<0D<>l;I310>"6:j0:96*;b;0:2>o4i3:1(<n4k8:9~f77c290>6?49{%33=?4c3A;;o6F>259'0g<51?1b>84?::k2b?6=3`8:6=44i0g94?=hnl0;66lie;292?6=8r.:>n4<3:k0b?6=,88h6>h4;h3e>5<#9;i1=k54i3294?"6:j09<65f11194?"6:j0:<>54i023>5<#9;i1==>4;nf;>5<#9;i1h554}c31=?6=93:12b8277=hl10;6)?=c;f;?>{t:<0;6?u2fd80b>X5=2wx=k4?:3y>b`<6n2T:j6s|1d83>7}:nl09<6P>e:p72<72;q6jh4>029>57?=l11v??50;0x9cc=99:0R??4}rdf>5<5s4ln6i64^gg8yxd5=90;6?4?:1y'55?=<81C==m4H007?!75k3;i7):m:3;5?l5>290/=?m53g98ka7=83.:>n4k8:J26g=55;092~"68009h6F>0b9K572<,=h1>484i3794?=n9o0;66g=1;29?l7b2900ckk50;9ab`<72?0;6=u+13a976=n;o0;6)?=c;1e?>o6n3:1(<ic03:1(<85rs0d94?4|5oo1=k5Q1g9~w4c=838p1kk5219]5`=z{:=1<75<5s4ln6<>?;_02?xuam3:1>v3ie;f;?[`b3twi>4?50;796?0|,8:26?j4H02`?M75<2.?n7<66:k11?6=3`;m6=44i3394?=n9l0;66aie;29?g`b290=6=4?{%31g?543`9m6=4+13a97c=2b82b>=n:90;6)?=c;03?>o68:0;6)?=c;337>=n99:1<7*>2b8245=2b8g<>=zj8826=4>:183!75k3;8>6ak8;29 44d2m207p}=5;296~;am39m7S<:;|q2b?6=:r7mi7?i;_3e?xu6m3:1>v3ie;03?[7b3ty8;7>52z?ea?77;27:>44k8:p64<72;q6jh4>019]64=z{oo1<76:J24f=O9;>0(<o50;&26f<4n21b?o4?:%31g?5a32eo=7>5$00`>a><3th98;4?:583>5}#9931=55G11a8L4433-;9o7?:;%6a>7?13`9j6=4+13a97c=2b80b>=n;j0;6)?=c;1e?>ic93:1(<50z&24<2B:n4088g2>N68j1C=?:4$00`>a3<,=h1>484i2c94?"6:j08j65f3c83>!75k39m76ak1;29 44d2m207pl71;297?6=8r.:<44k6:J24f=O9;>0(<o50;&26f<4n21b?o4?:%31g?5a32eo=7>5$00`>a><3th53;294~"6800o:6F>0b9K572<,88h6i;4$5`96<02b80b>=n;k0;6)?=c;1e?>ic93:1(<50z&24<2B:n4088g2>N68j1C=?:4$00`>a3<,=h1>484i2c94?"6:j08j65f3c83>!75k39m76ak1;29 44d2m207pl9d;297?6=8r.:<44k6:J24f=O9;>0(<o50;&26f<4n21b?o4?:%31g?5a32eo=7>5$00`>a><3th=47>53;294~"6800o:6F>0b9K572<,88h6i;4$5`96<02b80b>=n;k0;6)?=c;1e?>ic93:1(<50z&24<2B:n40887b>N68j1b>:4?::k15;c304?6=;3:1088266=O99i0D<<;;%31g?75:2.?n7<66:k0e?6=,88h6>h4;h1a>5<#9;i1?k54oe394?"6:j0o465rs3594?4|V;=01<=?:2c8yv4?2909wS<7;<304?5e3ty:<<4?:3y]557<589;6i?4}|`;f?6=;3:1088g2>N68j1C=?:4$00`>a3<,=h1>484i2c94?"6:j08j65f3c83>!75k39m76ak1;29 44d2m207pl=6g83>6<62:q/==75d29K55e<@88?7E:;;%66>a><,=h1>484i2;94?=n:00;66ak1;29?g7503:1?7>50z&26f<68?1b?44?:%31g?5a32c:<84?:%31g?4332eo=7>5$00`>a><@8:<76s|3883>7}:9;21?45Q389~w7?=838p1<<7:026?[4>3tyo=7>52z?26=5<42808w)??9;f0?M77k2B:>95G459'00::18'57e=:=10ci?50;&26f5<5s4;947=6;_1:?xu513:1>v3>298240=Y:01vi?50;0x944?2m;0Ri?4}|`150<72=0969u+11;906=O99i0D<<;;%6a>7?13`926=44i3;94?=hl80;66a;2;29?g5b29096=4?{%33=?5b3-;9o7?m;I67?!222:l0(9l52848m6?=83.:>n4uG459'00h4;h0:>5<#9931?k54oe394?"6800o465m13:94?5=83:p(<290/=?m53g98m462290/=?m52598ka7=83.:>n4k8:J242=3W927p}=9;296~;6:10:<85Q289~wa7=838p1<<7:e38Za77>53z?0a?5>34n86i?4^508yvb62909w0=j:e38Za76?i6?79;h1b>5<#9;i1?k54i2`94?"6:j08j65`d083>!75k3n376sm29g94?3=:36:3f8L46d3A;986*;b;0:2>o5=3:17d?i:188m77=831b=h4?::mea?6=3kln6=49:183!75k3987d=i:18'57e=;o10e=4?:%31g?4732c:<>4?:%31g?77;21b==>50;&26f<68910ci650;&26f5<7s-;9o7?<2:mgv3ie;3e?[7a3ty:i7>52z?ea?473W;n7p}<7;296~;am3;;?63>288g<>{t:80;6?u2fd8245=Y:81vkk50;0x9cc=l11Ujh5r}c02b?6=:3:108875>N68j1C=?:4$00`>4d<,=h1>484i2;94?"6:j08j65`d083>!75k3n37E?=b:9~f702290>6?49{%33=?4c3A;;o6F>259'0g<51?1b>84?::k2b?6=3`8:6=44i0g94?=hnl0;66lie;292?6=8r.:>n4<3:k0b?6=,88h6>h4;h3e>5<#9;i1=k54i3294?"6:j09<65f11194?"6:j0:<>54i023>5<#9;i1==>4;nf;>5<#9;i1h554}c31=?6=93:12b8277=hl10;6)?=c;f;?>{t:<0;6?u2fd80b>X5=2wx=k4?:3y>b`<6n2T:j6s|1d83>7}:nl09<6P>e:p72<72;q6jh4>029>57?=l11v??50;0x9cc=99:0R??4}rdf>5<5s4ln6i64^gg8yxd5>?0;684=:7y'55?=;91C==m4H007?!2e2;3=7d<::188m4`=831bji4?::k2a?6=3fln6=44bgg94?0=83:p(<n44;h337?6=,88h6<><;:k245<72-;9o7??0:9l`=<72-;9o7j7;:a57?=83;1<7>t$00`>4553fn36=4+13a9`==6=4={6`5<5s4ln6?>4^0g8yv`c2909w0hj:020?[`c3ty8;7>52z?ea?77827:>44k8:pb`<72;q6jh4k8:\ea>{zj;8?6=4::385!77138o7E??c:J261=#>o6n3:17d<>:188m4c=831djh4?::`ea?6=>3:12b807>o4n3:1(<n4=0:9j555=83.:>n4>0298m467290/=?m51128?jb?290/=?m5d998yg7513:1=7>50z&26f<6;;1dh54?:%31g?b?32wx>84?:3y>b`<4n2T996s|1g83>7}:nl0:j6P>f:p5`<72;q6jh4=0:\2a>{t;>0;6?u2fd8246=:9;31h55rs3394?4|5oo1==>4^338yv`b2909w0hj:e:8Zcc>4?:481>3}#9931>i5G11a8L4433->i6?79;h06>5<>o6m3:17bhj:188fcc=83<1<7>t$00`>652b80b>=n9o0;6)?=c;3e?>o583:1(<o6890;6)?=c;334>=hl10;6)?=c;f;?>{e9;31<7?50;2x 44d28997bj7:18'57e=l110q~<::1818`b2:l0R?;4}r3e>5<5s4ln646434;957j7;|q15?6=:r7mi7??0:\15>{tnl0;6?u2fd8g<>Xam2wvn<>odk3:17dmk:188mc<722c:=7>5;h30>5<>i6<3:17bmj:188f47729086=4?{%33=?ef3A;;o6*>2b821>"3j382:6go54}c325?6=;3:1088`e>N68j1/=?m5149'0g<51?1b?l4?:%31g?5a32c8n7>5$00`>6`<3fn:6=4+13a9`==O9;h07pl>1383>0<321q/==75309K55e<,:21=hm4i0g94?=n:<0;66gif;29?l77:3:17bhj:188f10=8391<7>t$02:>41<@8:h7)?=c;36?!2e2;3=7d=n:18'57e=;o1C=?l4;h1a>5<#9;i1?k54oe394?"6:j0o465rb5594?5=83:p(<>6:058L46d3-;9o7?:;%6a>7?13`9j6=4+13a97c=O9;h07d=m:18'57e=;o10ci?50;&26fn4k5:&7f?4>>2c8m7>5$00`>6`<3`9i6=4+13a97c=2b8g<>=zj8:j6=4<:387!7713997E??c:&05;h06>5<4<729q/==75369K55e<@88?7)?=c;306>"3j382:6a<5;29 44d2m207pl>0`83>0<52?q/==752d9K55e<@88?7):m:3;5?l422900e??50;9jba<722c:i7>5;ndf>5<h50;&26f<4n21b=k4?:%31g?7a32c9<7>5$00`>76<3`;;?7>5$00`>46432c:<=4?:%31g?77821dh54?:%31g?b?32wi=?950;394?6|,88h6i:4oe;94?"6:j0o465rs3794?4|5;o1?k5Q249~w46c2909w05<5s48n6<><;_dg?xu593:1>v3=e;334>X592wxjh4?:3y>6`n:0g8yv422909wS<:;<33e?423tymi7>52z\ea>;68h0mi6s|10g94?5|5=31?85211c964=:99k1ji5r}r3f>5<5sW;n70??a;3f?xu5=3:1>vP=5:?73?5e3tymi7>52z\ea>;68h0mi6s|fg83>6}Yno168;45<5s4>=6i?4=5:97d=z{88;6=4={<6;>a7<58:j6?;4}r315?6=:r7?;7j>;<6;>6d5}#9931==j4H02`?M75<2.:>n4k4:&7f?4>>2eo57>5$00`>a><3th:=84?:283>5}#9931=;5G11a8L4433-;9o7?:;%6a>7?13`9j6=4+13a97c=2b80b>=hl80;6)?=c;f;?>{e98<1<7=50;2x 46>28<0D<>l;I310>"6:j0:96*;b;0:2>o4i3:1(<n4k8:9~f47029086=4?{%33=?713A;;o6F>259'57e=9<1/8o4=979j7d<72-;9o7=i;:k0f?6=,88h6>h4;nf2>5<#9;i1h554}c3208875>N68j1C=?:4$00`>4d<,=h1>484i2;94?"6:j08j65`d083>!75k3n37E?=b:9~f47>290>6=4?{%33=?7f3A;;o6*>2b821>"3j382:6gh4;h1`>5<#9;i1?k54i2f94?"6:j08j65`d083>!75k3n376s|2183>7}Y:916=<<51d9~wfe=836g<58;>6>l4=035>6d<58;<6>l4=03;>6?0;296~X6827:=84k1:p51<72;qU=9521049`4=z{jn1<7=t^bf894772:h01;<323?5f34;:57=k;|q27?6=;rT:?63>1780e>;69008o6s|10c94?4|58;96kh4=03:>a752z?254<4i27:=?4ie:p54e=838p1d;296~;69;0:7:t$02:>7d<@8:h7E?=4:&7f?4>>2c997>5;h3f>5<0<52?q/==752d9'0g<51?1djh4?::ke`?6=3`8>6=44i3394?=n9l0;66l=e;292?6=8r.:>n4<3:k0b?6=,88h6>h4;h3e>5<#9;i1=k54i3294?"6:j09<65f11194?"6:j0:<>54i023>5<#9;i1==>4;nf;>5<#9;i1h554}c313?6=93:12b8g0>ic13:1(<v3=e;1e?[423ty:6`<6n27:>:4k9:p5`<72;q6>h4=0:\2a>{tnm0;6?u22d8246=Ynm1v??50;0x97c=99:0R??4}rdf>5<5s48n6i64^gg8yxd6290:6=4?{%33f?><,8:26>94$00`>4553->i6?79;n16>5<#9;i1h554}rdf>5<5s4ln6kk4^gg8yv2=839p1kk5fe9>b`<5927:6>;4$02a>g=z{;?1<70881g>N68j1C=?:4$5`96<0>o6m3:17bhj:188fcc=83?1>78t$02:>7c<,=h1>484ogg94?=nnm0;66g=5;29?l462900eo6n3:1(<ic03:1(<0;6<4?:1y'57e=l=1dh44?:%31g?b?32wx>84?:3y>6`<4n2T996s|11f94?4|5;o1=k521359`<=z{8o1<75<5s48n6<>?;_02?xuam3:1>v3=e;f;?[`b3twi>7>51;294~"68k027)??9;14?!75k3;8>6*;b;0:2>i4=3:1(<v3ie;df?[`b3ty>6=4={cb<5;0896*>0c8`?xu5=3:1>v3ie;06?[423ty9=7>52z?ea?463W8:7p}>e;296~;am3;n7S?j;|a60b=83>1>7;t$02:>7e<@8:h7E?=4:&7f?4>>2c997>5;h02>5<>dam3:197<56z&24<<5m2.?n7<66:mea?6=3`lo6=44i3794?=n:80;66g>e;29?g4b290=6=4?{%31g?543`9m6=4+13a97c=2b82b>=n:90;6)?=c;03?>o68:0;6)?=c;337>=n99:1<7*>2b8245=2b8g<>=zj88<6=4>:183!75k3n?7bj6:18'57e=l110q~<::18184b2:l0R?;4}r33`?6=:r79i7?i;<313?b>3ty:i7>52z?1a?473W;n7p}id;296~;5m3;;?6Pid:p64<72;q6>h4>019]64=z{oo1<7<4$5`96<02b8g<>=z{oo1<7b`;4$02a>f=z{;?1<7<5rs0g94?4|5oo1=h5Q1d9~yg4?93:197<56z&24<<5l2B:5;h3f>5<3<729q/=?m5329j7c<72-;9o7=i;:k2b?6=,88h65<#9;i1>=54i020>5<#9;i1===4;h334?6=,88h6<>?;:mg2b8g<>=z{;?1<7=5Q1d9~w61=838p1kk51118944>2m20q~<>:1818`b28:;7S<>;|qea?6=:r7mi7j7;_df?x{e:1:1<7;52;4x 46>2;n0D<>l;I310>"3j382:6g=5;29?l7a2900e??50;9j5`<722emi7>5;cdf>5<1290;w)?=c;10?l5a290/=?m53g98m4`=83.:>n4>f:9j65<72-;9o7n4>0198ka>=83.:>n4k8:9~f44>290:6=4?{%31g?74:2eo47>5$00`>a><3ty997>52z?ea?5a3W8>7p}>f;296~;am3;m7S?i;|q2a?6=:r7mi7v3ie;337>;6:00o46s|2083>7}:nl0:<=5Q209~wcc=838p1kk5d99]b`=zuk855;092~"68009h6F>0b9K572<,=h1>484i3794?=n9o0;66g=1;29?l7b2900ckk50;9ab`<72?0;6=u+13a976=n;o0;6)?=c;1e?>o6n3:1(<ic03:1(<85rs0d94?4|5oo1=k5Q1g9~w4c=838p1kk5219]5`=z{:=1<75<5s4ln6<>?;_02?xuam3:1>v3ie;f;?[`b3twi>:k50;796?0|,8:26?j4H02`?M75<2.?n7<66:k11?6=3`;m6=44i3394?=n9l0;66aie;29?g`b290=6=4?{%31g?543`9m6=4+13a97c=2b82b>=n:90;6)?=c;03?>o68:0;6)?=c;337>=n99:1<7*>2b8245=2b8g<>=zj8826=4>:183!75k3;8>6ak8;29 44d2m207p}=5;296~;am39m7S<:;|q2b?6=:r7mi7?i;_3e?xu6m3:1>v3ie;03?[7b3ty8;7>52z?ea?77;27:>44k8:p64<72;q6jh4>019]64=z{oo1<7r.:<44=d:J24f=O9;>0(9l52848m73=831b=k4?::k15?6=3`;n6=44ogg94?=enl0;6;4?:1y'57e=;:1b?k4?:%31g?5a32c:j7>5$00`>4`<3`8;6=4+13a965=5$00`>46732eo47>5$00`>a><3th:>44?:083>5}#9;i1=><4oe:94?"6:j0o465rs3794?4|5oo1?k5Q249~w4`=838p1kk51g9]5c=z{8o1<7950;0x9cc=99901<<6:e:8yv462909w0hj:023?[463tymi7>52z?ea?b?3Wln7psm26a94?3=:36:3f8L46d3A;986*;b;0:2>o5=3:17d?i:188m77=831b=h4?::mea?6=3kln6=49:183!75k3987d=i:18'57e=;o10e=4?:%31g?4732c:<>4?:%31g?77;21b==>50;&26f<68910ci650;&26f5<7s-;9o7?<2:mgv3ie;3e?[7a3ty:i7>52z?ea?473W;n7p}<7;296~;am3;;?63>288g<>{t:80;6?u2fd8245=Y:81vkk50;0x9cc=l11Ujh5r}c04f?6==381:v*>0881`>N68j1C=?:4$5`96<0>o593:17d?j:188kcc=831ijh4?:783>5}#9;i1?>5f3g83>!75k39m76g>f;29 44d28l07d<:18'57e=99907d??0;29 44d28:;76ak8;29 44d2m207pl>2883>4<729q/=?m51208ka>=83.:>n4k8:9~w73=838p1kk53g9]60=z{8l1<7a>4673W8:7p}ie;296~;am3n37Shj;|a62g=83?1>78t$02:>7b<@8:h7E?=4:&7f?4>>2c997>5;h3e>5<>iam3:17ohj:185>5<7s-;9o7=<;h1e>5<#9;i1?k54i0d94?"6:j0:j65f2183>!75k38;76g>0283>!75k3;;?65f11294?"6:j0:<=54oe:94?"6:j0o465rb00:>5<6290;w)?=c;306>ic03:1(<v3ie;1e?[423ty:j7>52z?ea?7a3W;m7p}>e;296~;am38;7S?j;|q03?6=:r7mi7??3:?26<<4?:3y>b`<6891U><5rsgg94?4|5oo1h55Qfd9~yg4483:187<55z&24<<5n2B:5;ndf>5<2;o0(9l52848kcc=831bji4?::k11?6=3`8:6=44i0g94?=e:l0;6;4?:1y'57e=;:1b?k4?:%31g?5a32c:j7>5$00`>4`<3`8;6=4+13a965=5$00`>46732eo47>5$00`>a><3th:>:4?:083>5}#9;i1h95`d883>!75k3n376s|2483>7}::l08j6P=5:p55b=838p1?k51g9>571=l01v52z?1a?b?3Wln7psm0;295?6=8r.:>2e897>5$00`>a><3tymi7>52z?ea?`b3Wln7p}id;296~;am3lo7Shk;|q11?6=:r7mi7<:;_06?xu42909w0hj:33895<4=2.:2;o0D<>l;I310>"3j382:6g=5;29?l462900ekj50;9j5`<722emi7>5;c0f>5<1290;w)?=c;10?l5a290/=?m53g98m4`=83.:>n4>f:9j65<72-;9o7n4>0198ka>=83.:>n4k8:9~f440290:6=4?{%31g?b33fn26=4+13a9`==6=4={<0f>6`v3=e;03?[7b3tymh7>52z?1a?77;2Tmh6s|2083>7}::l0:<=5Q209~wcc=838p1?k5d99]b`=zuk82>7>55;092~"68009h6F>0b9K572<,=h1>484i3794?=n9o0;66g=1;29?l7b2900ckk50;9ab`<72?0;6=u+13a976=n;o0;6)?=c;1e?>o6n3:1(<ic03:1(<85rs0d94?4|5oo1=k5Q1g9~w4c=838p1kk5219]5`=z{:=1<75<5s4ln6<>?;_02?xuam3:1>v3ie;f;?[`b3twi5l4?:283>5}#9931h;5G11a8L4433-;9o7j:;%6a>7?13`9j6=4+13a97c=2b80b>=hl80;6)?=c;f;?>{e:091<7;52;4x 46>2::0D<>l;I310>"3j382:6g=5;29?l7a2900ekj50;9j5`<722emi7>5;cdf>5<1290;w)?=c;10?l5a290/=?m53g98m4`=83.:>n4>f:9j65<72-;9o7n4>0198ka>=83.:>n4k8:9~f44>290:6=4?{%31g?74:2eo47>5$00`>a><3ty997>52z?ea?5a3W8>7p}>f;296~;am3;m7S?i;|q2a?6=:r7mi7v3ie;337>Xal2wx?:4?:3y>b`<68916=?75d99~wcc=838p1kk5d99]b`=zuk;m47>55;092~"68009h6F>0b9K572<,=h1>484i3794?=n9o0;66g=1;29?l7b2900ckk50;9ab`<72?0;6=u+13a976=n;o0;6)?=c;1e?>o6n3:1(<ic03:1(<85rs0d94?4|5oo1=k5Q1g9~w4c=838p1kk5219]5`=z{:=1<75<5s4ln6<>?;_02?xuam3:1>v3ie;f;?[`b3twi=k750;796?0|,8:26?j4H02`?M75<2.?n7<66:k11?6=3`;m6=44i3394?=n9l0;66aie;29?g`b290=6=4?{%31g?543`9m6=4+13a97c=2b82b>=n:90;6)?=c;03?>o68:0;6)?=c;337>=n99:1<7*>2b8245=2b8g<>=zj8826=4>:183!75k3;8>6ak8;29 44d2m207p}=5;296~;am39m7S<:;|q2b?6=:r7mi7?i;_3e?xu6m3:1>v3ie;03?[7b3ty8;7>52z?ea?77;27:>44k8:p64<72;q6jh4>019]64=z{oo1<70(9l52848m6?=831b>44?::mg5?6=3f>96=44b2g94?4=83:p(<>6:2g8 44d28h0D9:4$5797c=#!75k39m76ak1;29 44d2m207plk3;297?7=;rB?86*;5;f;?!7713n87d=6:18'55?=;o10e?750;&24<<4n21dh<4?:%33=?b?32h:>54?:283>5}#9;i1==84i2;94?"6:j08j65f11794?"6:j09865`d083>!75k3n37E??7:9~w6?=838p1<<7:2;8Z6?7}:9;21h<5Qd09~yv252908w0=j:2;89a5=l81U8?5rse394?4|5:o1h<5Qd09~w6?=838p1i=5389]7<=z{;31<7r.:<44=d:J24f=O9;>0(9l52848m73=831b=k4?::k15?6=3`;n6=44ogg94?=enl0;6;4?:1y'57e=;:1b?k4?:%31g?5a32c:j7>5$00`>4`<3`8;6=4+13a965=5$00`>46732eo47>5$00`>a><3th:>44?:083>5}#9;i1=><4oe:94?"6:j0o465rs3794?4|5oo1?k5Q249~w4`=838p1kk51g9]5c=z{8o1<7950;0x9cc=99901<<6:e:8yv462909w0hj:023?[463tymi7>52z?ea?b?3Wln7psm20294?2=:3>p(<>6:518L46d3A;986*;b;0:2>o413:17d<6:188ka7=831d8?4?::`0a?6=:3:10880a>"6:j0:n6F;4:&71?5a3->i6?79;h1:>5<#9;i1?k54oe394?"6:j0o465rbe194?5=939pD9:4$579`==#9931h>5f3883>!77139m76g=9;29 46>2:l07bj>:18'55?=l110n<<7:180>5<7s-;9o7??6:k0=?6=,88h6>h4;h331?6=,88h6?:4;nf2>5<#9;i1h55G1158?xu413:1>v3>2980=>X412wx>44?:3y>57>=99?0R?74}rf2>5<5s4;947j>;_f2?x{t<;0;6>u23d80=>;c;3n:7S:=;|qg5?6=:r78i7j>;_f2?xu413:1>v3k3;1:?[5>3ty957>52z?g7?4>3W827psm20694?2=:3>p(<>6:518L46d3A;986*;b;0:2>o413:17d<6:188ka7=831d8?4?::`0a?6=:3:10880a>"6:j0:n6F;4:&71?5a3->i6?79;h1:>5<#9;i1?k54oe394?"6:j0o465rbe194?5=939pD9:4$579`==#9931h>5f3883>!77139m76g=9;29 46>2:l07bj>:18'55?=l110n<<7:180>5<7s-;9o7??6:k0=?6=,88h6>h4;h331?6=,88h6?:4;nf2>5<#9;i1h55G1158?xu413:1>v3>2980=>X412wx>44?:3y>57>=99?0R?74}rf2>5<5s4;947j>;_f2?x{t<;0;6>u23d80=>;c;3n:7S:=;|qg5?6=:r78i7j>;_f2?xu413:1>v3k3;1:?[5>3ty957>52z?g7?4>3W827psm20394?2=:3>p(<>6:518L46d3A;986*;b;0:2>o413:17d<6:188ka7=831d8?4?::`0a?6=:3:10880a>"6:j0:n6F;4:&71?5a3->i6?79;h1:>5<#9;i1?k54oe394?"6:j0o465rbe194?5=939pD9:4$579`==#9931h>5f3883>!77139m76g=9;29 46>2:l07bj>:18'55?=l110n<<7:180>5<7s-;9o7??6:k0=?6=,88h6>h4;h331?6=,88h6?:4;nf2>5<#9;i1h55G1158?xu413:1>v3>2980=>X412wx>44?:3y>57>=99?0R?74}rf2>5<5s4;947j>;_f2?x{t<;0;6>u23d80=>;c;3n:7S:=;|qg5?6=:r78i7j>;_f2?xu413:1>v3k3;1:?[5>3ty957>52z?g7?4>3W827psm21g94?2=:3>p(<>6:518L46d3A;986*;b;0:2>o413:17d<6:188ka7=831d8?4?::`0a?6=:3:10880a>"6:j0:n6F;4:&71?5a3->i6?79;h1:>5<#9;i1?k54oe394?"6:j0o465rbe194?5=939pD9:4$579`==#9931h>5f3883>!77139m76g=9;29 46>2:l07bj>:18'55?=l110n<<7:180>5<7s-;9o7??6:k0=?6=,88h6>h4;h331?6=,88h6?:4;nf2>5<#9;i1h55G1158?xu413:1>v3>2980=>X412wx>44?:3y>57>=99?0R?74}rf2>5<5s4;947j>;_f2?x{t<;0;6>u23d80=>;c;3n:7S:=;|qg5?6=:r78i7j>;_f2?xu413:1>v3k3;1:?[5>3ty957>52z?g7?4>3W827psm20094?2=:3>p(<>6:518L46d3A;986*;b;0:2>o413:17d<6:188ka7=831d8?4?::`0a?6=:3:10880a>"6:j0:n6F;4:&71?5a3->i6?79;h1:>5<#9;i1?k54oe394?"6:j0o465rbe194?5=939pD9:4$579`==#9931h>5f3883>!77139m76g=9;29 46>2:l07bj>:18'55?=l110n<<7:180>5<7s-;9o7??6:k0=?6=,88h6>h4;h331?6=,88h6?:4;nf2>5<#9;i1h55G1158?xu413:1>v3>2980=>X412wx>44?:3y>57>=99?0R?74}rf2>5<5s4;947j>;_f2?x{t<;0;6>u23d80=>;c;3n:7S:=;|qg5?6=:r78i7j>;_f2?xu413:1>v3k3;1:?[5>3ty957>52z?g7?4>3W827psm20194?2=:3>p(<>6:518L46d3A;986*;b;0:2>o413:17d<6:188ka7=831d8?4?::`0a?6=:3:10880a>"6:j0:n6F;4:&71?5a3->i6?79;h1:>5<#9;i1?k54oe394?"6:j0o465rbe194?5=939pD9:4$579`==#9931h>5f3883>!77139m76g=9;29 46>2:l07bj>:18'55?=l110n<<7:180>5<7s-;9o7??6:k0=?6=,88h6>h4;h331?6=,88h6?:4;nf2>5<#9;i1h55G1158?xu413:1>v3>2980=>X412wx>44?:3y>57>=99?0R?74}rf2>5<5s4;947j>;_f2?x{t<;0;6>u23d80=>;c;3n:7S:=;|qg5?6=:r78i7j>;_f2?xu413:1>v3k3;1:?[5>3ty957>52z?g7?4>3W827psm22f94?5=83:p(<>6:048L46d3A;986*>2b821>"3j382:6g5<7s-;;57?9;I33g>N6:=1/=?m5149'0g<51?1b?l4?:%31g?5a32c8n7>5$00`>6`<3fn:6=4+13a9`==53;294~"6800::6F>0b9K572<,88h6<;4$5`96<02b80b>=n;k0;6)?=c;1e?>ic93:1(<>o503:17b??1;29?g7483:1?7>50z&24<<6::1C==m4H007?!75k3;9>6*;b;0:2>o4i3:1(<n4k8:9~w71=838pR?94=013>6g0083>7}Y99;01<=?:e38yxd50o0;684=:7y'55?=:m1C==m4H007?!2e2;3=7d<::188m4`=831b><4?::k2a?6=3fln6=44bgg94?0=83:p(<n44;h337?6=,88h6<><;:k245<72-;9o7??0:9l`=<72-;9o7j7;:a57?=83;1<7>t$00`>4553fn36=4+13a9`==6=4={6`5<5s4ln6?>4^0g8yv502909w0hj:020?87513n37p}=1;296~;am3;;<6P=1:pb`<72;q6jh4k8:\ea>{zj<>1<7=50;2x 46>28<0D<>l;I310>"6:j0:96*;b;0:2>o4i3:1(<n4k8:9~f05=8391<7>t$02:>40<@8:h7E?=4:&26f<6=2.?n7<66:k0e?6=,88h6>h4;h1a>5<#9;i1?k54oe394?"6:j0o465rb4094?5=939p(<>6:5d8L46d3`8<6=44i3:94?=h99;1<75m12294?5=83:p(<>6:000?M77k2B:>95+13a9574<,=h1>484i2c94?"6:j08j65f3c83>!75k39m76ak1;29 44d2m207p}=7;296~X5?27:?=455212297g=z{8::6=4={_335>;6;90o=6srb0f;>5<4290;w)??9;35?M77k2B:>95+13a950=#!75k39m76g:18'57e=l110qo?kd;296?6=8r.:<44;1:J24f=O9;>0(<750;&26f<4n21dh<4?:%31g?b?3A;9n65rb0ff>5<4290;w)??9;35?M77k2B:>95+13a950=#!75k39m76g:18'57e=l110qo?kf;296?6=8r.:<44;1:J24f=O9;>0(<750;&26f<4n21dh<4?:%31g?b?3A;9n65rb0g3>5<5290;w)??9;62?M77k2B:>95+13a95g=#!75k39m76ak1;29 44d2m20D<t$02:>40<@8:h7E?=4:&26f<6=2.?n7<66:k0e?6=,88h6>h4;h1a>5<#9;i1?k54oe394?"6:j0o465rb0g1>5<4290;w)??9;35?M77k2B:>95+13a950=#!75k39m76g:18'57e=l110qo?j3;297?6=8r.:<44k6:J24f=O9;>0(<o50;&26f<4n21b?o4?:%31g?5a32eo=7>5$00`>a><3th:i94?:283>5}#9931h;5G11a8L4433-;9o7j:;%6a>7?13`9j6=4+13a97c=2b80b>=hl80;6)?=c;f;?>{e9m31<7=50;2x 46>28<0D<>l;I310>"6:j0:96*;b;0:2>o4i3:1(<n4k8:9~f4bf29086=4?{%33=?713A;;o6F>259'57e=9<1/8o4=979j7d<72-;9o7=i;:k0f?6=,88h6>h4;nf2>5<#9;i1h554}c3gf?6=;3:1088g2>N68j1C=?:4$00`>a3<,=h1>484i2c94?"6:j08j65f3c83>!75k39m76ak1;29 44d2m207pl>cb83>6<729q/==75179K55e<@88?7)?=c;36?!2e2;3=7d=n:18'57e=;o10e>l50;&26f<4n21dh<4?:%31g?b?32wi=nh50;094?6|,8:269?4H02`?M75<2.:>n4>b:&7f?4>>2c857>5$00`>6`<3fn:6=4+13a9`==O9;h07pl>d183>7<729q/==75409K55e<@88?7)?=c;3a?!2e2;3=7d=6:18'57e=;o10ci?50;&26fo54}c3g5?6=;3:108822>N68j1C=?:4$00`>43<,=h1>484i2c94?"6:j08j65f3c83>!75k39m76ak1;29 44d2m207pl>d383>7<729q/==75409K55e<@88?7)?=c;3a?!2e2;3=7d=6:18'57e=;o10ci?50;&26fo54}c3g7?6=;3:108822>N68j1C=?:4$00`>43<,=h1>484i2c94?"6:j08j65f3c83>!75k39m76ak1;29 44d2m207pl>d583>6<729q/==75179K55e<@88?7)?=c;36?!2e2;3=7d=n:18'57e=;o10e>l50;&26f<4n21dh<4?:%31g?b?32wi=i;50;194?6|,8:26i84H02`?M75<2.:>n4k5:&7f?4>>2c8m7>5$00`>6`<3`9i6=4+13a97c=2b8g<>=zj8n=6=4<:183!7713;=7E??c:J261=#9;i1=85+4c81=3=n;h0;6)?=c;1e?>o4j3:1(<50z&24<2B:n4i6?79;h1b>5<#9;i1?k54i2`94?"6:j08j65`d083>!75k3n376sm1b194?4=83:p(<>6:538L46d3A;986*>2b82f>"3j382:6g<9;29 44d2:l07bj>:18'57e=l11C=?l4;|`2g1<72;0;6=u+11;904=O99i0D<<;;%31g?7e3->i6?79;h1:>5<#9;i1?k54oe394?"6:j0o46F>2c98yg7d=3:1?7>50z&24<<6>2B:n4i6?79;h1:>5<#9;i1?k54oe394?"6:j0o46F>2c98yg7d?3:1?7>50z&24<<6>2B:n4i6?79;h1b>5<#9;i1?k54i2`94?"6:j08j65`d083>!75k3n376sm1b;94?5=83:p(<>6:e48L46d3A;986*>2b8g1>"3j382:6g5<7s-;;57?9;I33g>N6:=1/=?m5149'0g<51?1b?l4?:%31g?5a32c8n7>5$00`>6`<3fn:6=4+13a9`==53;294~"6800o:6F>0b9K572<,88h6i;4$5`96<02b80b>=n;k0;6)?=c;1e?>ic93:1(<4?:1y'55?=9?1C==m4H007?!75k3;>7):m:3;5?l5f290/=?m53g98m6d=83.:>n4t$02:>17<@8:h7E?=4:&26f<6j2.?n7<66:k0=?6=,88h6>h4;nf2>5<#9;i1h55G13`8?xd6jk0;6?4?:1y'55?=<81C==m4H007?!75k3;i7):m:3;5?l5>290/=?m53g98ka7=83.:>n4k8:J26g=53;294~"6800::6F>0b9K572<,88h6<;4$5`96<02b80b>=n;k0;6)?=c;1e?>ic93:1(<4?:1y'55?=l?1C==m4H007?!75k3n>7):m:3;5?l5f290/=?m53g98m6d=83.:>n4t$02:>40<@8:h7E?=4:&26f<6=2.?n7<66:k0e?6=,88h6>h4;h1a>5<#9;i1?k54oe394?"6:j0o465rb0`3>5<5290;w)??9;62?M77k2B:>95+13a95g=#!75k39m76ak1;29 44d2m20D<t$02:>17<@8:h7E?=4:&26f<6j2.?n7<66:k0=?6=,88h6>h4;nf2>5<#9;i1h55G13`8?xd6j;0;6?4?:1y'55?=<81C==m4H007?!75k3;i7):m:3;5?l5>290/=?m53g98ka7=83.:>n4k8:J26g=52;294~"6800?=6F>0b9K572<,88h62b80b>=hl80;6)?=c;f;?M75j21vn5<7s-;;57?9;I33g>N6:=1/=?m5149'0g<51?1b?l4?:%31g?5a32c8n7>5$00`>6`<3fn:6=4+13a9`==53;294~"6800::6F>0b9K572<,88h6<;4$5`96<02b80b>=n;k0;6)?=c;1e?>ic93:1(<4?:1y'55?=9?1C==m4H007?!75k3;>7):m:3;5?l5f290/=?m53g98m6d=83.:>n4t$02:>a0<@8:h7E?=4:&26fh4;h1a>5<#9;i1?k54oe394?"6:j0o465rb0ca>5<4290;w)??9;f5?M77k2B:>95+13a9`0=#!75k39m76g:18'57e=l110qo?nc;297?6=8r.:<44>6:J24f=O9;>0(<o50;&26f<4n21b?o4?:%31g?5a32eo=7>5$00`>a><3th:mi4?:283>5}#9931=;5G11a8L4433-;9o7?:;%6a>7?13`9j6=4+13a97c=2b80b>=hl80;6)?=c;f;?>{e9ho1<7=50;2x 46>2m<0D<>l;I310>"6:j0o96*;b;0:2>o4i3:1(<n4k8:9~f4g529096=4?{%33=?263A;;o6F>259'57e=9k1/8o4=979j7<<72-;9o7=i;:mg5?6=,88h6i64H00a?>{e9h91<7<50;2x 46>2=;0D<>l;I310>"6:j0:n6*;b;0:2>o413:1(<5}#99318<5G11a8L4433-;9o7?m;%6a>7?13`926=4+13a97c=2b8g<>N6:k10qo?n5;297?6=8r.:<44>6:J24f=O9;>0(<o50;&26f<4n21b?o4?:%31g?5a32eo=7>5$00`>a><3th:m;4?:283>5}#9931=;5G11a8L4433-;9o7?:;%6a>7?13`9j6=4+13a97c=2b80b>=hl80;6)?=c;f;?>{e9h=1<7=50;2x 46>2m<0D<>l;I310>"6:j0o96*;b;0:2>o4i3:1(<n4k8:9~f4?e29086=4?{%33=?713A;;o6F>259'57e=9<1/8o4=979j7d<72-;9o7=i;:k0f?6=,88h6>h4;nf2>5<#9;i1h554}c3:g?6=:3:108875>N68j1C=?:4$00`>4d<,=h1>484i2;94?"6:j08j65`d083>!75k3n37E?=b:9~f4?c29096=4?{%33=?263A;;o6F>259'57e=9k1/8o4=979j7<<72-;9o7=i;:mg5?6=,88h6i64H00a?>{e90o1<7=50;2x 46>28<0D<>l;I310>"6:j0:96*;b;0:2>o4i3:1(<n4k8:9~f4?a29086=4?{%33=?b13A;;o6F>259'57e=l<1/8o4=979j7d<72-;9o7=i;:k0f?6=,88h6>h4;nf2>5<#9;i1h554}c3:0?6=;3:108822>N68j1C=?:4$00`>43<,=h1>484i2c94?"6:j08j65f3c83>!75k39m76ak1;29 44d2m207pl>9483>7<729q/==75409K55e<@88?7)?=c;3a?!2e2;3=7d=6:18'57e=;o10ci?50;&26fo54}c3:2?6=:3:108875>N68j1C=?:4$00`>4d<,=h1>484i2;94?"6:j08j65`d083>!75k3n37E?=b:9~f4?029086=4?{%33=?713A;;o6F>259'57e=9<1/8o4=979j7d<72-;9o7=i;:k0f?6=,88h6>h4;nf2>5<#9;i1h554}c3:088g2>N68j1C=?:4$00`>a3<,=h1>484i2c94?"6:j08j65f3c83>!75k39m76ak1;29 44d2m207pl>8d83>7<729q/==75409K55e<@88?7)?=c;3a?!2e2;3=7d=6:18'57e=;o10ci?50;&26fo54}c356?6=:3:108875>N68j1C=?:4$00`>4d<,=h1>484i2;94?"6:j08j65`d083>!75k3n37E?=b:9~f40429086=4?{%33=?713A;;o6F>259'57e=9<1/8o4=979j7d<72-;9o7=i;:k0f?6=,88h6>h4;nf2>5<#9;i1h554}c350?6=:3:108875>N68j1C=?:4$00`>4d<,=h1>484i2;94?"6:j08j65`d083>!75k3n37E?=b:9~f0g=8391<7>t$02:>40<@8:h7E?=4:&26f<6=2.?n7<66:k0e?6=,88h6>h4;h1a>5<#9;i1?k54oe394?"6:j0o465rb4`94?2=83:p(<>6:0:8L46d3A;986*>2b821>"3j382:6gm50;&26f<4n21dh<4?:%31g?b?32wi9n4?:383>5}#99318<5G11a8L4433-;9o7?m;%6a>7?13`926=4+13a97c=2b8g<>N6:k10qo;k:180>5<7s-;;57?9;I33g>N6:=1/=?m5149'0g<51?1b?l4?:%31g?5a32c8n7>5$00`>6`<3fn:6=4+13a9`==o4j3:1(<259'57e=9k1/8o4=979j7<<72-;9o7=i;:mg5?6=,88h6i64H00a?>{ej;0;6>4?:1y'55?=9?1C==m4H007?!75k3;>7):m:3;5?l5f290/=?m53g98m6d=83.:>n4i6?79;h1b>5<#9;i1?k54i2`94?"6:j08j65`d083>!75k3n376smab83>7<729q/==75409K55e<@88?7)?=c;3a?!2e2;3=7d=6:18'57e=;o10ci?50;&26fo54}ccg>5<4290;w)??9;35?M77k2B:>95+13a950=#!75k39m76g:18'57e=l110qoo9:180>5<7s-;;57?9;I33g>N6:=1/=?m5149'0g<51?1b?l4?:%31g?5a32c8n7>5$00`>6`<3fn:6=4+13a9`==:7E??c:J261=#9;i1=o5+4c81=3=n;00;6)?=c;1e?>ic93:1(<5}#9931=;5G11a8L4433-;9o7?:;%6a>7?13`9j6=4+13a97c=2b80b>=hl80;6)?=c;f;?>{ei80;6>4?:1y'55?=9?1C==m4H007?!75k3;>7):m:3;5?l5f290/=?m53g98m6d=83.:>n4i6?79;h1:>5<#9;i1?k54oe394?"6:j0o46F>2c98ygg429086=4?{%33=?713A;;o6F>259'57e=9<1/8o4=979j7d<72-;9o7=i;:k0f?6=,88h6>h4;nf2>5<#9;i1h554}c;`>5<4290;w)??9;35?M77k2B:>95+13a950=#!75k39m76g:18'57e=l110qo7k:181>5<7s-;;57:>;I33g>N6:=1/=?m51c9'0g<51?1b?44?:%31g?5a32eo=7>5$00`>a><@88i76sm9d83>6<729q/==75179K55e<@88?7)?=c;36?!2e2;3=7d=n:18'57e=;o10e>l50;&26f<4n21dh<4?:%31g?b?32wi5?4?:283>5}#9931=;5G11a8L4433-;9o7?:;%6a>7?13`9j6=4+13a97c=2b80b>=hl80;6)?=c;f;?>{e1:0;6?4?:1y'55?=<81C==m4H007?!75k3;i7):m:3;5?l5>290/=?m53g98ka7=83.:>n4k8:J26g=o4j3:1(<c29086=4?{%33=?713A;;o6F>259'57e=9<1/8o4=979j7d<72-;9o7=i;:k0f?6=,88h6>h4;nf2>5<#9;i1h554}c:f>5<5290;w)??9;62?M77k2B:>95+13a95g=#!75k39m76ak1;29 44d2m20D<i6?79;h1b>5<#9;i1?k54i2`94?"6:j08j65`d083>!75k3n376sm12d94?5=83:p(<>6:048L46d3A;986*>2b821>"3j382:6g5<7s-;;57:>;I33g>N6:=1/=?m51c9'0g<51?1b?44?:%31g?5a32eo=7>5$00`>a><@88i76sm14794?5=83:p(<>6:048L46d3A;986*>2b821>"3j382:6g5<7s-;;57:>;I33g>N6:=1/=?m51c9'0g<51?1b?44?:%31g?5a32eo=7>5$00`>a><@88i76sm14594?4=83:p(<>6:538L46d3A;986*>2b82f>"3j382:6g<9;29 44d2:l07bj>:18'57e=l11C=?l4;|`21=<72:0;6=u+11;953=O99i0D<<;;%31g?723->i6?79;h1b>5<#9;i1?k54i2`94?"6:j08j65`d083>!75k3n376sm14;94?4=83:p(<>6:538L46d3A;986*>2b82f>"3j382:6g<9;29 44d2:l07bj>:18'57e=l11C=?l4;|`21d<72:0;6=u+11;953=O99i0D<<;;%31g?723->i6?79;h1b>5<#9;i1?k54i2`94?"6:j08j65`d083>!75k3n376sm14`94?4=83:p(<>6:538L46d3A;986*>2b82f>"3j382:6g<9;29 44d2:l07bj>:18'57e=l11C=?l4;|`205<72;0;6=u+11;904=O99i0D<<;;%31g?7e3->i6?79;h1:>5<#9;i1?k54oe394?"6:j0o46F>2c98yg7393:1>7>50z&24<<392B:n4=zj8>96=4=:183!7713>:7E??c:J261=#9;i1=o5+4c81=3=n;00;6)?=c;1e?>ic93:1(<n4>5:&7f?4>>2c8m7>5$00`>6`<3`9i6=4+13a97c=2b8g<>=zj8>?6=4<:183!7713;=7E??c:J261=#9;i1=85+4c81=3=n;h0;6)?=c;1e?>o4j3:1(<50z&24<<6>2B:n4i6?79;h1b>5<#9;i1?k54i2`94?"6:j08j65`d083>!75k3n376sm15594?5=83:p(<>6:e48L46d3A;986*>2b8g1>"3j382:6g5<7s-;;57j9;I33g>N6:=1/=?m5d49'0g<51?1b?l4?:%31g?5a32c8n7>5$00`>6`<3fn:6=4+13a9`==53;294~"6800o:6F>0b9K572<,88h6i;4$5`96<02b80b>=n;k0;6)?=c;1e?>ic93:1(<4?:1y'55?=l?1C==m4H007?!75k3n>7):m:3;5?l5f290/=?m53g98m6d=83.:>n4t$02:>40<@8:h7E?=4:&26f<6=2.?n7<66:k0e?6=,88h6>h4;h1a>5<#9;i1?k54oe394?"6:j0o465rb06g>5<4290;w)??9;35?M77k2B:>95+13a950=#!75k39m76g:18'57e=l110qo?;e;297?6=8r.:<44>6:J24f=O9;>0(<o50;&26f<4n21b?o4?:%31g?5a32eo=7>5$00`>a><3th:8k4?:283>5}#9931=;5G11a8L4433-;9o7?:;%6a>7?13`9j6=4+13a97c=2b80b>=hl80;6)?=c;f;?>{e9<:1<7=50;2x 46>2m<0D<>l;I310>"6:j0o96*;b;0:2>o4i3:1(<n4k8:9~f43629086=4?{%33=?b13A;;o6F>259'57e=l<1/8o4=979j7d<72-;9o7=i;:k0f?6=,88h6>h4;nf2>5<#9;i1h554}c366?6=;3:108822>N68j1C=?:4$00`>43<,=h1>484i2c94?"6:j08j65f3c83>!75k39m76ak1;29 44d2m207pl>5283>6<729q/==75179K55e<@88?7)?=c;36?!2e2;3=7d=n:18'57e=;o10e>l50;&26f<4n21dh<4?:%31g?b?32wi=5650;094?6|,8:269?4H02`?M75<2.:>n4>b:&7f?4>>2c857>5$00`>6`<3fn:6=4+13a9`==O9;h07pl>8483>7<729q/==75409K55e<@88?7)?=c;3a?!2e2;3=7d=6:18'57e=;o10ci?50;&26fo54}c3;5?6=:3:108875>N68j1C=?:4$00`>4d<,=h1>484i2;94?"6:j08j65`d083>!75k3n37E?=b:9~f4>529096=4?{%33=?263A;;o6F>259'57e=9k1/8o4=979j7<<72-;9o7=i;:mg5?6=,88h6i64H00a?>{e9>i1<7<50;2x 46>2=;0D<>l;I310>"6:j0:n6*;b;0:2>o413:1(<5}#99318<5G11a8L4433-;9o7?m;%6a>7?13`926=4+13a97c=2b8g<>N6:k10qo?:f;297?6=8r.:<44>6:J24f=O9;>0(<o50;&26f<4n21b?o4?:%31g?5a32eo=7>5$00`>a><3thi97>53;294~"6800::6F>0b9K572<,88h6<;4$5`96<02b80b>=n;k0;6)?=c;1e?>ic93:1(<3:1>7>50z&24<<392B:n4=zjk=1<7=50;2x 46>28<0D<>l;I310>"6:j0:96*;b;0:2>o4i3:1(<n4k8:9~f45329096=4?{%33=?263A;;o6F>259'57e=9k1/8o4=979j7<<72-;9o7=i;:mg5?6=,88h6i64H00a?>{e::81<7=50;2x 46>28<0D<>l;I310>"6:j0:96*;b;0:2>o4i3:1(<n4k8:9~f75429096=4?{%33=?263A;;o6F>259'57e=9k1/8o4=979j7<<72-;9o7=i;:mg5?6=,88h6i64H00a?>{e::>1<7=50;2x 46>28<0D<>l;I310>"6:j0:96*;b;0:2>o4i3:1(<n4k8:9~f74b29096=4?{%33=?263A;;o6F>259'57e=9k1/8o4=979j7<<72-;9o7=i;:mg5?6=,88h6i64H00a?>{e::l1<7=50;2x 46>28<0D<>l;I310>"6:j0:96*;b;0:2>o4i3:1(<n4k8:9~f72729096=4?{%33=?263A;;o6F>259'57e=9k1/8o4=979j7<<72-;9o7=i;:mg5?6=,88h6i64H00a?>{e9o?1<7<50;2x 46>2=;0D<>l;I310>"6:j0:n6*;b;0:2>o413:1(<5}#99318<5G11a8L4433-;9o7?m;%6a>7?13`926=4+13a97c=2b8g<>N6:k10qoln:180>5<7s-;;57?9;I33g>N6:=1/=?m5149'0g<51?1b?l4?:%31g?5a32c8n7>5$00`>6`<3fn:6=4+13a9`==:7E??c:J261=#9;i1=o5+4c81=3=n;00;6)?=c;1e?>ic93:1(<5}#9931=;5G11a8L4433-;9o7?:;%6a>7?13`9j6=4+13a97c=2b80b>=hl80;6)?=c;f;?>{e0:0;6>4?:1y'55?=9?1C==m4H007?!75k3;>7):m:3;5?l5f290/=?m53g98m6d=83.:>n4i6?79;h1:>5<#9;i1?k54oe394?"6:j0o46F>2c98yg>229086=4?{%33=?713A;;o6F>259'57e=9<1/8o4=979j7d<72-;9o7=i;:k0f?6=,88h6>h4;nf2>5<#9;i1h554}c5f>5<4290;w)??9;35?M77k2B:>95+13a950=#!75k39m76g:18'57e=l110qo9i:181>5<7s-;;57:>;I33g>N6:=1/=?m51c9'0g<51?1b?44?:%31g?5a32eo=7>5$00`>a><@88i76sm8183>6<729q/==75179K55e<@88?7)?=c;36?!2e2;3=7d=n:18'57e=;o10e>l50;&26f<4n21dh<4?:%31g?b?32wi;44?:283>5}#9931=;5G11a8L4433-;9o7?:;%6a>7?13`9j6=4+13a97c=2b80b>=hl80;6)?=c;f;?>{e?h0;6?4?:1y'55?=<81C==m4H007?!75k3;i7):m:3;5?l5>290/=?m53g98ka7=83.:>n4k8:J26g=o4j3:1(<259'57e=9<1/8o4=979j7d<72-;9o7=i;:k0f?6=,88h6>h4;nf2>5<#9;i1h554}c56>5<5290;w)??9;62?M77k2B:>95+13a95g=#!75k39m76ak1;29 44d2m20D<i6?79;h1b>5<#9;i1?k54i2`94?"6:j08j65`d083>!75k3n376sm6g83>6<729q/==75179K55e<@88?7)?=c;36?!2e2;3=7d=n:18'57e=;o10e>l50;&26f<4n21dh<4?:%31g?b?32wi;=4?:383>5}#99318<5G11a8L4433-;9o7?m;%6a>7?13`926=4+13a97c=2b8g<>N6:k10qo9>:180>5<7s-;;57?9;I33g>N6:=1/=?m5149'0g<51?1b?l4?:%31g?5a32c8n7>5$00`>6`<3fn:6=4+13a9`==o4j3:1(<259'57e=9k1/8o4=979j7<<72-;9o7=i;:mg5?6=,88h6i64H00a?>{e>j0;6>4?:1y'55?=9?1C==m4H007?!75k3;>7):m:3;5?l5f290/=?m53g98m6d=83.:>n4i6?79;h1b>5<#9;i1?k54i2`94?"6:j08j65`d083>!75k3n376sm6783>7<729q/==75409K55e<@88?7)?=c;3a?!2e2;3=7d=6:18'57e=;o10ci?50;&26fo54}c44>5<4290;w)??9;35?M77k2B:>95+13a950=#!75k39m76g:18'57e=l110qo8?:180>5<7s-;;57?9;I33g>N6:=1/=?m5149'0g<51?1b?l4?:%31g?5a32c8n7>5$00`>6`<3fn:6=4+13a9`==:7E??c:J261=#9;i1=o5+4c81=3=n;00;6)?=c;1e?>ic93:1(<5}#9931=;5G11a8L4433-;9o7?:;%6a>7?13`9j6=4+13a97c=2b80b>=hl80;6)?=c;f;?>{e010;6>4?:1y'55?=9?1C==m4H007?!75k3;>7):m:3;5?l5f290/=?m53g98m6d=83.:>n4i6?79;h1:>5<#9;i1?k54oe394?"6:j0o46F>2c98yg>f29086=4?{%33=?713A;;o6F>259'57e=9<1/8o4=979j7d<72-;9o7=i;:k0f?6=,88h6>h4;nf2>5<#9;i1h554}c066?6=;3:108822>N68j1C=?:4$00`>43<,=h1>484i2c94?"6:j08j65f3c83>!75k39m76ak1;29 44d2m207pl=5283>7<729q/==75409K55e<@88?7)?=c;3a?!2e2;3=7d=6:18'57e=;o10ci?50;&26fo54}c060?6=:3:108875>N68j1C=?:4$00`>4d<,=h1>484i2;94?"6:j08j65`d083>!75k3n37E?=b:9~f73229086=4?{%33=?713A;;o6F>259'57e=9<1/8o4=979j7d<72-;9o7=i;:k0f?6=,88h6>h4;nf2>5<#9;i1h554}c062?6=;3:1088g2>N68j1C=?:4$00`>a3<,=h1>484i2c94?"6:j08j65f3c83>!75k39m76ak1;29 44d2m207pl67;297?6=8r.:<44>6:J24f=O9;>0(<o50;&26f<4n21b?o4?:%31g?5a32eo=7>5$00`>a><3th247>52;294~"6800?=6F>0b9K572<,88h62b80b>=hl80;6)?=c;f;?M75j21vn4750;194?6|,8:26<84H02`?M75<2.:>n4>5:&7f?4>>2c8m7>5$00`>6`<3`9i6=4+13a97c=2b8g<>=zj;9h6=4=:183!7713>:7E??c:J261=#9;i1=o5+4c81=3=n;00;6)?=c;1e?>ic93:1(<>650;094?6|,8:269?4H02`?M75<2.:>n4>b:&7f?4>>2c857>5$00`>6`<3fn:6=4+13a9`==O9;h07pl=3883>7<729q/==75409K55e<@88?7)?=c;3a?!2e2;3=7d=6:18'57e=;o10ci?50;&26fo54}c057?6=:3:108875>N68j1C=?:4$00`>4d<,=h1>484i2;94?"6:j08j65`d083>!75k3n37E?=b:9~f70c29096=4?{%33=?5b3A;;o6F>259'57e=9k1C895+4480b>"3j382:6g<9;29 44d2:l07bj>:18'57e=l110qo<;a;296?6=8r.:<440(<ic93:1(<6`<,=h1>484i2;94?"6:j08j65`d083>!75k3n376sm25a94?4=83:p(<>6:2g8L46d3A;986*>2b82f>N3<2.?97=i;%6a>7?13`926=4+13a97c=2b8g<>=zj;;<6=4=:183!77139n7E??c:J261=#9;i1=o5G459'00<4n2.?n7<66:k0=?6=,88h6>h4;nf2>5<#9;i1h554}c3e`?6=:3:10880a>N68j1C=?:4$00`>4d<@=>0(9;53g9'0g<51?1b?44?:%31g?5a32eo=7>5$00`>a><3tho<7>52;294~"68008i6F>0b9K572<,88h6?7):::2d8 1d=:0<0e>750;&26f<4n21dh<4?:%31g?b?32wi>;l50;094?6|,8:26>k4H02`?M75<2.:>n4>b:J70>"3=39m7):m:3;5?l5>290/=?m53g98ka7=83.:>n4k8:9~f4c129096=4?{%33=?5b3A;;o6F>259'57e=9k1C895+4480b>"3j382:6g<9;29 44d2:l07bj>:18'57e=l110qo?j8;296?6=8r.:<440(<ic93:1(<6`<,=h1>484i2;94?"6:j08j65`d083>!75k3n376sm21694?4=83:p(<>6:2g8L46d3A;986*>2b82f>N3<2.?97=i;%6a>7?13`926=4+13a97c=2b8g<>=zj;:86=4=:183!77139n7E??c:J261=#9;i1=o5G459'00<4n2.?n7<66:k0=?6=,88h6>h4;nf2>5<#9;i1h554}c3e5?6=:3:1088g6>N68j1C=?:4$00`>4d<@=>0(9;5d99'0g<51?1b?44?:%31g?5a32eo=7>5$00`>a><3th:j>4?:383>5}#9931h?5G11a8L4433-;9o7?m;I67?!222m20(9l52848m6?=83.:>n4t$02:>a4<@8:h7E?=4:&26f<6j2B?86*;5;f;?!2e2;3=7d=6:18'57e=;o10ci?50;&26f5<7s-;;57j=;I33g>N6:=1/=?m51c9K01=#<<0o46*;b;0:2>o413:1(<7>50z&24<!75k39m76ak1;29 44d2m207pl=6883>7<729q/==75d39K55e<@88?7)?=c;3a?M233->>6i64$5`96<02b80b>=hl80;6)?=c;f;?>{e:>;1<7<50;2x 46>2m80D<>l;I310>"6:j0:n6F;4:&71?b?3->i6?79;h1:>5<#9;i1?k54oe394?"6:j0o465rb367>5<5290;w)??9;f1?M77k2B:>95+13a95g=O<=1/884k8:&7f?4>>2c857>5$00`>6`<3fn:6=4+13a9`==51;294~"6:j0m56F>0b9K05=#<<0o46ai9;29 44d2o30D<>8;:a63c=83;1<7>t$00`>c?<@8:h7E:?;%66>a><,=n1io5`f883>!75k3l27E??7:9~f70d290:6=4?{%31g?`>3A;;o6F;0:&71?5a3->o6k=4ig;94?"6:j0m565rb36:>5<6290;w)?=c;d:?M77k2B?<6*;5;1e?!2c2o:0ek750;&26f5<7s-;9o7h6;I33g>N382.?97=i;%6g>a`2b8e=>=zj;>i6=4>:183!75k3l27E??c:J74>"3=39m7):k:g08mc?=83.:>n4i9:9~f771290:6=4?{%31g?`>3A;;o6F;0:&71?5a3->o6k84ig;94?"6:j0m565rb0d`>5<6290;w)?=c;d:?M77k2B?<6*;5;1e?!2c2o>0ek750;&26f>6>h4$5f9``=nn00;6)?=c;d:?>{e:;;1<7?50;2x 44d2o30D<>l;I63?!222:l0(9j5f49jb<<72-;9o7h6;:a63g=83;1<7>t$00`>c?<@8:h7E:?;%66>6`<,=n1j<5ff883>!75k3l276sm1d794?7=83:p(<;7):::2d8 1b=ml1bj44?:%31g?`>32wi=h950;394?6|,88h6k74H02`?M273->>6>h4$5f9af=nn00;6)?=c;d:?>{e9l31<7?50;2x 44d2o30D<>l;I63?!222:l0(9j5ee9jb<<72-;9o7h6;:a654=83;1<7>t$00`>c?<@8:h7E:?;%66>6`<,=n1i>5ff883>!75k3l276sm21294?7=83:p(<;7):::2d8 1b=m;1bj44?:%31g?`>32wi=k>50;394?6|,88h6k74H02`?M273->>6i64$5f9a1=hn00;6)?=c;d:?>{e9o81<7?50;2x 44d2o30D<>l;I63?!222m20(9j5e49lb<<72-;9o7h6;:a5`c=83;1<7>t$00`>c?<@8:h7E:?;%66>a><,=n1i;5`f883>!75k3l276sm25794?7=83:p(<;7):::e:8 1b=m81dj44?:%31g?`>32wi>9j50;394?6|,88h6k74H02`?M273->>6i64$5f9`a=hn00;6)?=c;d:?>{e:?21<7?50;2x 44d2o30D<>l;I63?!222m20(9j5e69lb<<72-;9o7h6;:a626=83;1<7>t$00`>c?<@8:h7E:?;%66>a><,=n1il5`f883>!75k3l276sm25094?7=83:p(<;7):::e:8 1b=m11dj44?:%31g?`>32wi>=j50;394?6|,88h6k74H02`?M273->o6im4+g;94?"6:j0m565rb325>5<6290;w)?=c;d:?M77k2B?<6*;d;g:?.`>290/=?m5f898yg47?3:1=7>50z&26f5$00`>c?<3th95}#9;i1j45G11a8L16<,=n1ho5$f883>!75k3l276sm21:94?7=83:p(<;7):k:g58/c?=83.:>n4i9:9~f762290:6=4?{%31g?`>3A;;o6F;0:&7`?c73"l26=4+13a9b<=51;294~"6:j0m56F>0b9K05=#4<729q/=?m5f89K55e<@=:0(9j5d`9(b<<72-;9o7h6;:p653=839pR?>:;<03a?25348;97h6;|q143<72:qU>=84=32e>14<5;:=6k74}r033?6=;rT9<:52202907=::9=1j45rs32;>5<4sW8;463=10876>;5810m56s|21;94?5|V;:270<>2;61?84713l27p}=0`83>6}Y:9k01??<:508976f2o30q~<:5439>65d=n01v?>k:180[47l279=84;2:?14a:2;8yv7a;3:1>v3>ec820>;6n:0856s|27f94?4|5;8m6>o4=34g>a753z?103<4j27:io4lc:?10d8g80e>;5=908563:a;1b?83e2:k01<;i:2c8975d2:301?=7:2;894`a2m;0q~?ia;297~X6nh16=kl5d09>5cg=n01v?:8:181843>3n:70<;7;1:?xu5u225497f=:9lh1oi5225a9`4=z{;;<6=4:{<02b?5>34;3i7=6;<357?5f3488j7=m;<023?b63ty98h4?:3y>5`d=kl16>9k5389~w4`c2908w0<?0;6;u225197d=::?<1jh521e:97g=::;o1?4528180e>;5>00856s|17g94?4|5;;i6?;4=879`4=z{m:1<7?7{<0;`?7b348:n7?j;<02g?7b3482<7?j;<0;f?7b348287?j;<014?7b348:h7?j;<0;e?7b3482=7?j;<072?5f3483i7?j;<051?7b348=:7?j;<010?7b3489?7?j;<0:6?7b3482?7?j;<3e34n;6i?4}r016?6=;r7:io4=0:?117<4j279>?4k1:p63d=839p1:2;897542:301?8m:e38yv41m3:1?vP=6d9>63`=l816>;k5f89~w7512909w0<<6;df?84093927p}>e783>7}:9lh1j63>e78g5>{t9l21<747<58o36i?4}r3fe?6=:r7:io4>3:?2ad9:50;0x97242m;01?:;:2;8yv4483:1>v3=6g80=>;5;90mi6s|26194?5|5;=h6?;4=35a>cc<58n86>o4}r014?6==r79>=4ie:?2g5<4j27=o7=n;<066?5f348>?7=6;|q1<3<72:q6>5k5fd9>e=<4i27:8>4;6n>0o=63>a380=>;5==0856s|22`94?4|5;9=6kj4=31g>a753z?1<4<5=2794=4ie:?2=`<4i2wx>;?50;:x941c2:k01<=k:2c894522:k01<=8:2c8945>2:k01<=m:2c897062oo01>75389~w743290?w0<=4;df?87>j39i70<<2;1a?81e2:k0q~<;f;295d}::<5224g964=::<5220`964=::8i1><52286964=::;:1><5220f964=::<:1h<52277964=::?<1ji52236964=::;91><52272964=::<52293964=::1:1><5226d964=::>o1><5226f964=::>i1><5226`964=::>k1><522229ba=:9o21><521g;964=z{8l36=4;{<`e>7><58l36kk4=0g2>6g<5?81?l5rs3;1>5<4s482>7hj;<050?5f34;m:7=6;|q114<728;p1?;l:0g8973b28o01?;i:0g897302m;01?8>:0g8970728o01?;k:0g897>628o01?6?:0g8971a28o01?9j:0g8971c28o01?9l:0g8971e28o01?9n:0g8975728o01?=9:0g8yv45l3:1>v3=2g8g5>;5?h0996s|28694?2|583:6>74=3;7>cc<58h26>l4=6397d=z{8li6=4m{<0;`?4634;997=:;<0:4?463483n7<>;<0;e?463482=7<>;<3ef?5>3483i7<>;<0:7?423483o7<>;<0;b?463ty9;94?:2y>62b=:<16>:m5fd9>5f1=;h1v<=n:181842n38>70?u220`9b`=:9mo1?o529580e>{t:;31<7a7<5;;96>74}r3:6?6=:r7ii7??1:?6f?5d3ty95>4?:2y>6<5=nl16=4:53c9>664=;h1v??6:180846k3ln70?k1;1a?8>a2:k0q~?<3;296~;5=j09963>348g5>{t9:21<773<58926i?4}r02a?6=kr79=o4>f:?15f<6n279594>f:?165<6n279=i4>f:?15cf:?123<6n279>94>f:?166<6n27:j54>f:?2b<<6n2wx>:750;0x97>62oo01<78:2c8yv7003:1>v3=90811>;>i3n:7p}>6g83>7}::8i1>852918g5>{t::o1<7?>{<3gg?5f34;hi7=n;<3`6?5f34;ii7=m;<3bb?5f34;j47=m;<3b4?5e34;257=m;<075?b6348:97<6;<03b?4>348:<7<6;<020?4>348:=7<6;<03a?4>348:>7<6;<027?4>3ty9>>4?:5y>675=nl16=o:53`9>534=;016;;41?4521b797d=:9kh1?4521`c97d=:9k:1?4521c097<=:9k?1?l521`697<=:90n1?45218497<=:91?1?45219097<=::9>1h<5rs042>5<5s4;=97j>;<0:6?7a3ty9484?:2y>6=b=nl16mi4>?50;0x97522m;01v3>3c80f>;2:3;;=6s|12a94??|589o6i?4=3:2>4`<5;2;64`<5;=n64`<5;=h64`<5;=j6>0;6?u2698g5>;6n00996s|c183>7}:jo0:<<52bd813>{t9?n1<785rs04;>5<5s489<7<:;<4g>a754z?12087j>;<71>7152z?1=1<5=27<>7j>;|q22d<72;q6;:4k1:?166<5=2wx>?850;0x94eb2m;01?>i:2;8yv71j3:1>v38c;f2?845<38>7p}=7483>6}::>o1>85226f9b`=:9ki1?l5rs05f>5<2s4;;<:0>6g<51>1?4527d80e>;0n3927p}>7883>7}:9>k1h<52272960=z{8=i6=4;{<34`?b634?j6>l4=4`97g=:9=81?45rs0:3>5<6;r7::844>f:?a4?5f34h:6>74=``97d=:ij08563n6;1b?8g02:301l?53`9>e7<41272o7=n;<;g>6?<5k?1?l52b780=>;ei39j70lm:2;89<1=;h16554<9:p6=1=839p1?6i:gg89d5=;h16=9;53`9~w4102909w0<60;06?8?a2m;0q~?74;29`~;60?0o=6389;1b?81f2:301::53`9>30<4127=j7=n;<53>6?<5?k1?l526c80=>;1=39j7089:2;8936=;h16:<4<9:p673=838p1{t91=1<79t=0::>a7<5081?l529280=>;?l39j706j:2;89=>=;h16444<9:p624=839p1?9m:378971f2oo01v3:0;335>;6;>08n6s|12494?4|589<6i?4=37g>7352z?2=4=l81v?<8:18187d:3n:70<>0;1:?xu5>;0;6?u2273960=::?>1h<5rs37b>5i7hj;<34e?5d34?:6?64=4697d=:9<=1?45215297<=:9=i1?l5215g97d=z{8=:6=4={<0;e?4234ho6i?4}r76>5<4s4?:6?94=4697g=:=:0o=6s|c883>7}:9;<1h452280960=z{82o6=46{<0;`?7a34;3j7j>;<0:4?7a3483n7?i;<0;e?7a3482=7?i;<0;a?7a3483o7?i;<0;b?7a3ty9954?:6y>60e=nl169=4=7:?23d<4i27:?i4l4=017>6?53z?17=n;<361?5e3ty9;:4?:2y>6=6=:<16>:h5fd9>5d0=;h1v??n:180846l3ln70?l5;1a?8>f2:k0q~?83;296~;e;3n:70<7c;06?xu5=k0;6ou224d9b`=:9>k1?i525381<>;6;o08m63>4`80=>;6=<08m63>5780=>;6=108m63>5880=>;6=h08m63>5c80=>{t:181<7=t=3:b>cc<589m6>l4=ca97d=z{;236=4<{<0:4?`b343n6>o4=067>6g52z?2f`6=`=:<1v?99:180840n38>70<8e;df?87e>39j7p}=2b83>7}:9031h<5220797<=z{8=;6=4={<02`?42342i6i?4}r341?6=:r7j57j>;<0;a?423ty94>4?:2y>6=d=nl16=8653c9>f2<4i2wx>5750;1x97?62oo01<:9:2c8938:70<6g<58=m6>o4=0g3>6?<58n26>o4=0a`>6g<58im6>74=0f1>6?<58i;6>o4=0a0>6?<58i=6>74=0`:>6g<58hj6>74=0`0>6?<58kh6>o4=0c0>6?<58k>6>o4=0;a>6g<583h6>74=0;7>6g<583>6>74=0:;>6?<582:6>74=320>a752z?1;|q2753c9>14<6881v?4;1:?xu5=00;6;u25181<>;6?h08n63=5e8ea>;2;39j70?;1;1:?872:39j7p}>d683>7}:9m21h<521d197d=z{8n36=4={<3g`?b634;n=7=m;|q2`a<72;q6=ik5d09>5`2=;h1v5<5s4;n=7j>;<3f7?5e3ty:i<4?:3y>5`4=l816=h:53c9~w4c52909w0?j3;f2?87c139i7p}>e283>7}:9l>1h<521ec97d=z{8o?6=4={<3g=?b634;on7=n;|q2`<<72;q6=io5d09>5ad=;k1v5<5s4;hj7j>;<3g7?5e3ty:ok4?:3y>5a6=l816=i853c9~w4b72909w0?k1;f2?87c<39j7p}>d083>7}:9m81h<521e697g=z{8n96=4={<3g7?b634;o97=m;|q2`6<72;q6=i:5d09>5fb=;k1v5<5s4;hi7=m;<3``?b63ty:nk4?:3y>5f6=l816=n753`9~w4e72909w0?l3;f2?87d?39i7p}>c283>7}:9j>1h<521bc97g=z{8i?6=4={<3`1?b634;h47=n;|q2g0<72;q6=n85d09>5f>=;k1v0;6?u21b:9`4=:9j;1?o5rs0a;>5<5s4;h57j>;<3`e?5f3ty:o44?:3y>5fg=l816=n?53`9~w4ef2909w0?l2;1a?87d93n:7p}>b983>7}:9k31h<521cf97d=z{8h26=4={<3ae?b634;io7=m;|q2fd<72;q6=ok53b9>5gd=l81v5<5s4;jm7j>;<3a3?5f3ty:ml4?:3y>5g6=l816=o:53c9~w4d72909w0?m1;f2?87e=39i7p}>b083>7}:9k81h<521c497g=z{8h96=4={<3a7?b634;jh7=m;|q2f6<72;q6=o:5d09>5g1=;k1v5<5s4;i;7j>;<3bg?5e3ty:n:4?:3y>5dd=l816=lj53`9~w4ge2909w0?nc;f2?87fm39j7p}>ab83>7}:9hn1h<521`g97g=z{8ko6=4={<3bb?5e34;ji7j>;|q2e4<72;q6=l<5d09>5d3=;k1v1h<5rs0c7>5<5s4;j97j>;<3b3?5f3ty:m84?:3y>5d0=l816=l953c9~w4g12909w0?n8;1b?87f?3n:7p}>9`83>7}:90h1h<5218d97d=z{83i6=4={<3:g?b634;2i7=m;|q2=f<72;q6=l>53b9>5m3n:70?6f;1a?xu61l0;6?u21`297d=:90l1h<5rs0;0>5<5s4;287j>;<3:5<3=l816=4953c9~w4?22909w0?69;1`?87>>3n:7p}>9783>7}:90=1h<5218:97g=z{83<6=4={<3:=?5f34;247j>;|q2<`<72;q6=5h53c9>5=c=l81v<8=:181871:3n:70?93;1a?xu6>:0;6?u21719`4=:9?>1?45rs047>5<5s4;=97=m;<350?b63ty>57>52z?6e?b634?h6>74}r7b>5<5s4?n6>l4=4`9`4=z{7}:=l08m63:d;f2?xufn3:1>v3m3;1b?8d72m;0q~l?:1818d62m;01o<53c9~wg7=838p1o=53c9>f7e`<4i27jn7j>;|qbf?6=:r7jo7j>;6d6d<5hn1h<5rs`794?4|5h31?l52a78g5>{ti?0;6?u2a68g5>;f039i7p}n7;296~;f139i70o7:e38yvg72909w0o;:2c89d7=l81vl?50;0x9d4=l816m>452z?:b?5f343h6i?4}r;`>5<5s43o6i?4=8g97g=z{0n1<77}:1<08m6362;f2?xu>:3:1>v363;f2?8?32:h0q~7<:1818?22:h014:5d09~w=e=838p14>53`9><`a752z?27c4980e>{t9<<1<7a7<58>?6>l4}r363?6=:r7:9:4k1:?20a<4j2wx=8650;0x943?2m;01<:6:2c8yv7213:1>v3>588g5>;6<<08n6s|14c94?4|58?j6i?4=06a>6gn7>52z?21g5280f>{t9=81<76e<58>96i?4}r377?6=:r7:8>4k1:?202<4j2wx=9:50;0x94232m;01<:7:2`8yv73=3:1>v3>448g5>;6<008n6s|15494?4|58>=6i?4=06a>6d52z?202=838p1<:7:e38942c2:k0q~?;9;296~;6<00o=63>4d80f>{t9=h1<7a7<58>m6>o4}r37g?6=:r7:8n4k1:?215<4i2wx=9j50;0x942c2m;01<;?:2`8yv73m3:1>v3>4d8g5>;6=808m6s|15d94?4|58>m6i?4=072>6d<7>52z?215:e3894342:k0q~?:2;296~;6==08n63>538g5>{t9<91<76g<58?86i?4}r3;12:h01<6::e38yv7?93:1>v3>8280e>;6080o=6s|19094?4|58286>l4=0:1>a752z?23a<4j27:;n4k1:p50c=838p1<;j:e38943a2:h0q~?:f;296~;6>908563>5g8g5>{tj=0;6?u2b980e>;e=3n:7p}m5;296~;e>3n:70l8:2`8yvd12909w0l7:2`89g1=l81v<=;:181874=39i70?<4;f2?xu5;;0;6?u222797d=:::81h<5rs310>5<5s488?7j>;<000?5e3ty9?94?:3y>663=;k16>>:5d09~w74b2909w0<=f;1a?845m3n:7p}=3g83>7}::=;1?l5222d9`4=z{;>;6=4={<075?5e348?<7j>;|q2b0<72;q6=k953`9>5c3=l81vv3md;1b?8df2m;0q~ln:1818de2m;01om53c9~wgd=838p1oj53c9>ff<3<4i273?7j>;|q;7?6=:r7387j>;<:6>6d6d<51?1h<5rs6f94?4|51;1?l527d8g5>{t?l0;6?u27g8g5>;?839i7p}8f;296~;?939i706?:e38yv1?2909w09l:2c892?=l81v:750;0x92g=l816;o452z?43?5f34=?6i?4}r57>5<5s4=>6i?4=6497g=z{>?1<77}:?;08m639f;f2?xu1n3:1>v380;f2?8162:h0q~9?:1818152:h01:?5d09~w3?=838p1;j53`9>2d2ga76g<5??1h<5rs7794?4|5?<1h<526680f>{t>?0;6?u26980f>;1?3n:7p}:f;296~;1;39j708?:e38yv072909w08>:e38934=;k1v;?50;0x935=;k16:?4k1:p<2<72;q64o452z?;=?b6342j6>l4}r::>5<5s42i6>l4=9c9`4=z{;?96=4={<066?b6348>:7=n;|q116<72;q6>8=5d09>603=;k1v?;;:181842?39i70<:4;f2?xu5=<0;6?u22479`4=::<<1?o5rs375>5<5s48>;7=n;<062?b63ty2:7>52z?:e?5f343<6i?4}r;4>5<5s4336i?4=8;97g=z{021<7l4=31`>a752z?17d<4i279?54k1:p66?=838p1?=n:2`8975>2m;0q~<93;296~;5>=08n63=628g5>{t:?i1<7=t^34`?841l39270<9c;d:?xu5<00;6>uQ25;8972f2:301?:6:g;8yv7am3:1?vP>fd9>5c`=;016=kk5f89~w72e2908wS<;b:?10f<412798o4i9:p640=839pR??9;<023?5>348::7h6;|q2bf<72:qU=km4=0dg>6?<58lh6k74}rae>5<4sWim70j?:2;89f`=n01v?<>:180[459279>?4<9:?164;o50;1xZ70f348=n7=6;<05e?`>3ty:i84?:2y]5`3<58o=6>74=0g6>c?53z\2a2=:9l21?4521d59b<=z{8o26=4<{_3f=>;6mh08563>e88e=>{t:981<7=t^321?847<39270uQ212897642:301?>?:g;8yve6290>w03`<4j27=<7=m;|q`6?6=6>l4=7797g=z{j91<7:t=333>a7<5k:1?o526`80f>;?039i7p}l4;297~;5980o=63nb;1a?80a2:h0q~m::187846:3n:70o9:2`89=5=;k16;94<=5d09>e4<4j2wxo:4?:2y>642=l8165n452z?1504=0d2>a7<58l;6k74}r3e6?6=;rT:j?521g19`4=:9o81j45rs0gf>5<4sW;ni63>eg8g5>;6ml0m56s|25794?5|V;>>70<;7;f2?843=3l27p}=4e83>6}Y:=n01?:j:e38972c2o30q~<98;297~X5>116>;75d09>63>=n01v?9?:180[408279;<4k1:?1359<50;1xZ725348?87j>;<076?`>3twe551zJ24f=zf0;?6=4={I33g>{i18?1<79?0;6vF>0b9Jb<<6s:0vqc7>8;295~N68j1vb4?6:181M77k2we551zJ24f=zf0;o6=4={I33g>{i18o1<79o0;6<8tH02`?xh>:90;68uG11a8yk?593:1=vF>0b9~j<452909wE??c:Ke=?7|;3wQ?94>{5f9yxh>::0;6?uG11a8yk?5<3:1>vF>0b9~j<422909wE??c:m=70=838pD<>l;|l:62<728qC==m4}o;15<4sA;;o6sa93c94?4|@8:h7p`62c83>7}O99i0qc7=c;290~N68j1vb4k4?:2yK55e53zJ24f=zf09:6=4<{I33g>{i1:81<7;:0;6?>tH02`?xh>;=0;6>uG11a8yk?4=3:1>vF>0b9~j<51290:4vF>0b9~j<502909wE??c:m=6>=838pD<>l;|l:7<<72kqC==m4}o;0e?6=;rB:5<4sA;;o6sa92a94?4|@8:h7p`63d83>7}O99i0qc75czJ24f=zf0>?6=4={I33g>{i1=?1<7vF>0b9~j<2>2909wE??c:m=1g=83;pD<>l;|l:0g<72;qC==m4}o;7g?6=:rB:5<5sA;;o6sa95g94?4|@8:h7p`64g83><}O99i0qc7:0;297~N68j1vb4;>:181M77k2we58<50;0xL46d3td29>4?:3yK55e87>52zJ24f=zf0?>6=4<{I33g>{i1<<1<7=>0;6?uG11a8yk?203:1>vF>0b9~j<3>2909wE??c:m=0g=838pD<>l;|l:1g<72;qC==m4}o;6`?6=:rB:5<4sA;;o6sa94d94?7|@8:h7p`66183>6}O99i0qc791;295~N68j1vb48=:181M77k2we5;=50;0xL46d3td2:94?:3yK55e51zJ24f=zf0<36=4>{I33g>{i1?31<7=tH02`?xh>>h0;6?uG11a8yk?1j3:1?vF>0b9~j<0d2909wE??c:m=3b=838pD<>l;|l:2c<72:qC==m4}o;44?6=5<5sA;;o6sa96094?4|@8:h7p`67283>7}O99i0qc784;29=~N68j1vb49::186M77k2we5:850;0xL46d3td2;:4?:2yK55e52zJ24f=zf0=26=4={I33g>{i1>k1<7:tH02`?xh>?k0;6?uG11a8yk?0k3:1>vF>0b9~j<1b2909wE??c:m=2`=839pD<>l;|l:<4<72;qC==m4}o;;6?6=:rB:5<5sA;;o6sa99694?4|@8:h7p`68483>7}O99i0qc776;295~N68j1vb468:181M77k2we55650;0xL46d3td24l4?:5yK55e51zJ24f=zf02h6=4>{I33g>{i11n1<7?tH02`?xh>0l0;60b9~jl;|l:=7<728qC==m4}o;:7?6=9rB:5<6sA;;o6sa98794?7|@8:h7p`69783>4}O99i0qc767;295~N68j1vb477:182M77k2we54750;3xL46d3td25l4?:0yK55e51zJ24f=zf03h6=4>{I33g>{i10n1<7?tH02`?xh>1l0;6n3:1=vF>0b9~jl;|l:e7<728qC==m4}o;b7?6=9rB:5<6sA;;o6sa9`794?7|@8:h7p`6a783>4}O99i0qc7n7;295~N68j1vb4o7:182M77k2we5l750;3xL46d3td2ml4?:0yK55e51zJ24f=zf0kh6=4>{I33g>{i1hn1<7?tH02`?xh>il0;60b9~jl;|l:f7<728qC==m4}o;a7?6=9rB:5<6sA;;o6sa9c794?7|@8:h7p`6b783>4}O99i0qc7m7;295~N68j1vb4l7:182M77k2we5o750;3xL46d3td2nl4?:0yK55e51zJ24f=zf0hh6=4>{I33g>{i1kn1<7?tH02`?xh>jl0;60b9~jl;|l:g7<728qC==m4}o;`7?6=9rB:5<6sA;;o6sa9b794?7|@8:h7p`6c783>4}O99i0qc7l7;295~N68j1vb4m7:182M77k2we5n750;3xL46d3td2ol4?:0yK55e51zJ24f=zf0ih6=4>{I33g>{i1jn1<7?tH02`?xh>kl0;60b9~jl;|l:`7<728qC==m4}o;g7?6=9rB:5<6sA;;o6sa9e794?7|@8:h7p`6d783>4}O99i0qc7k7;295~N68j1vb4j7:182M77k2we5i750;3xL46d3td2hl4?:0yK55e51zJ24f=zf0nh6=4>{I33g>{i1mn1<7?tH02`?xh>ll0;60b9~jl;|l:a7<728qC==m4}o;f7?6=9rB:5<6sA;;o6sa9d794?7|@8:h7p`6e783>4}O99i0qc7j7;295~N68j1vb4k7:182M77k2we5h750;3xL46d3td2il4?:0yK55e51zJ24f=zf0oh6=4>{I33g>{i1ln1<7?tH02`?xh>ml0;60b9~j<`7290:wE??c:m=c7=83;pD<>l;|l:b7<728qC==m4}o;e7?6=9rB:5<6sA;;o6sa9g794?7|@8:h7p`6f783>4}O99i0qc7i7;295~N68j1vb4h7:182M77k2we5k750;3xL46d3td2jl4?:0yK55e51zJ24f=zf0lh6=4>{I33g>{i1on1<7?tH02`?xh>nl0;60b9~jd67290:wE??c:me57=83;pD<>l;|lb47<728qC==m4}oc37?6=9rB:5<6sA;;o6saa1794?7|@8:h7p`n0783>4}O99i0qco?7;295~N68j1vbl>7:182M77k2wem=750;3xL46d3tdj51zJ24f=zfh:h6=4>{I33g>{ii9n1<7?tH02`?xhf8l0;60b9~jd77290:wE??c:me47=83;pD<>l;|lb57<728qC==m4}oc27?6=9rB:5<6sA;;o6saa0794?7|@8:h7p`n1783>4}O99i0qco>7;295~N68j1vbl?7:182M77k2wem<750;3xL46d3tdj=l4?:0yK55e51zJ24f=zfh;h6=4>{I33g>{ii8n1<7?tH02`?xhf9l0;60b9~jd47290:wE??c:me77=83;pD<>l;|lb67<728qC==m4}oc17?6=9rB:5<6sA;;o6saa3794?7|@8:h7p`n2783>4}O99i0qco=7;295~N68j1vbl<7:182M77k2wem?750;3xL46d3tdj>l4?:0yK55e51zJ24f=zfh8h6=4>{I33g>{ii;n1<7?tH02`?xhf:l0;60b9~jd57290:wE??c:me67=83;pD<>l;|lb77<728qC==m4}oc07?6=9rB:5<6sA;;o6saa2794?7|@8:h7p`n3783>4}O99i0qco<7;295~N68j1vbl=7:182M77k2wem>750;3xL46d3tdj?l4?:0yK55e51zJ24f=zfh9h6=4>{I33g>{ii:n1<7?tH02`?xhf;l0;60b9~jd27290:wE??c:me17=83;pD<>l;|lb07<728qC==m4}oc77?6=9rB:1=v:k:|me12=83;pD<>l;Hd:>4}62tP887?t4e8~ykg3=3:1=vF>0b9Jb<<6s80vV>:51z6g>x{ii=<1<7?tH02`?L`>28q:6pT<4;3x0a51zJ24f=Nn00:w<4rZ2695~2c2twem9650;3xL46d3@l26:|X00?7|{08~^62=9r>o6psaa5c94?7|@8:h7Dh6:0y2>x\4<3;p8i4r}oc7f?6=9rB:1=v:k:|me1e=83;pD<>l;Hd:>4}62tP887?t4e8~ykg3l3:1=vF>0b9Jb<<6s80vV>:51z6g>x{ii=o1<7?tH02`?L`>28q:6pT<4;3x0a51zJ24f=Nn00:w<4rZ2695~2c2twem8>50;3xL46d3@l26:|X00?7|97>52zJ24f=zfh?=6=4={I33g>{ii<=1<70b9Jb<<6s;0vV>:51z6g>x{ii28q96pT<4;3x0an7>51zJ24f=Nn00:w?4rZ2695~2c2twem8m50;3xL46d3@l26{38~^62=9r>o6psaa4g94?7|@8:h7Dh6:0y1>x\4<3;p8i4r}oc6b?6=9rB:1=v:k:|me36=83;pD<>l;Hd:>4}52tP887?t4e8~yk52k3:1>vF>0b9~j63c290?wE??c:m70c=838pD<>l;|l01c<72;qC==m4}o154?6=9rB:5<6sA;;o6sa32;94?5|@8:h7p`<3`83>4}O99i0qc=<2;297~N68j1vb;m50;0xyk03290:wp`7d;296~{i0<0;6{|lg`?6=9rwej?4?:0y~j46>290:wp`>2983>4}zf82;6=4>{|l2f5<728qvb5<6std9=>4?:0y~j<772908wDh6:8y0>6<42:086>4<:282>xN68j1Q?94>{5f9yxh>090;6>uG11a8yk?3?3:1==3:1=vsa2`f94?7|ug8h?7>51zm6a1=83;pqcl3:1>vsa38794?7|ug9ii7>52zm7g0=83;pqc=k4;295~{i;l31<7?t}o1ea?6=9rwe8<=50;3xyk2503:1=vsa42f94?7|ug>>>7>51zm031=83;pqc:79;296~{i<>l1<7?t}o6:f?6=9rwe8o<50;3xyk2dk3:1=vsa4d294?7|ug>m97>51zm=5g=839pEk759z197?5=;391?7=53;39yM77k2P887?t4e8~yk?2k3:1?vF>0b9~j046290:wp`60c83>6}Nn002w>4<:280>6<42:086<4rH02`?_5328q?h7srn84f>5<4sA;;o6sa91d94?5|Ao315v=53;197?5=;391?7?5}I33g>\4<3;p8i4r}o;;=?6=;rB:5<4s@l264u<:280>6<42:086>4>:|J24f=];=0:w9j5}|l:3a<72:qC==m4}o;3=?6=;rCm577t3;197?5=;391?7=51;K55e1=v:k:|m=30=839pD<>l;|l:4a<72:qBj446{280>6<42:086>4<:08~L46d3S9?60b9~j0b0290:wpsr}AB@gca21k<6;Fbpd:6=3:5=>5>6;KMTPR=Lh~j0<;50?386g=52Mkm1?50?32?77Qa012784<76m196R`?014?5?69l281Sc>?84>2>58d3;0h|xQn<083:3=5WG934<<42^L0<=YneyU9SC=780486ZH419;97?QA382\mhvX:VD85=?>;2:87?B;:3:5n6=5D`vb87<76l186D@_UU8G87<768;0?7GAPTV9@drf4;0;2k5<:NWWTPR=Y581<3?;;28LQQVR\3Xnxb{<383:==42X696=0k;28Qavsk|581<3j43;]m45554;0;2h5<:ekumviu4;0;2<5;8:69@95=87i087Jnt`C?7?69m2>1EC^ZT;F?7?699;1?6D@_UU8GeqgF4:0;2k5;:NWWTPR=Y591<3?;;58LQQVR\3Xnxb{<283:==32X686=0k;58Qavsk|591<3j44;]m45714:0;2i5;:^l3411;;3:5j6:5sikepfhs4:0;2<5:1:4a?311095>LHW]]0Omyo35;2=5>113>UE88<>2:5\J135W`g{S:QA44022>1XF=??=?58_O660ZojxV=TB9;;199;>A:0294:>665IOMVP?ew}Vn7;7>1e::9MKVR\3N7;7>1f::9KPRW]]0Z0:4?>068e9;>Zh78:>7;7>1c::9gusXl5=1<3h48;kmpZbh}}6<6=0>0::9wuoci}kbb1950?32?=59G84813M696=0;;E>1:3=C4:0;295K<2<7?A:36=1O080;;E>5:3=C4>0;295K<6<7?Agsi>1Omyo30?:8@drf48:546Jnt`>25;>Bf|h6:97>18:Fbpd:6=730Hlzn<083:2=Ci}k7=374D`vb87<76>1Omyo32?58@drf4:4<7Io{a=6==>Bf|h6>6=08;Ecwe939?2Njxl29>69Geqg;?7=0Hlzn<9<4?Agsi53596Jnt`C;?AgsiH6;255KaucB848?3MkmL2=>`9GeqgF4:0;255KaucB86863L80JI=4FEA7?CBDM=1MHN^<;GFS0>@CXL;0K95H.2:0?BHC92C97D>=;H31?L423@8U996G=7^16?L40W=?0E?9P549J62Y1=2C9;R9:;H0;[53389OTHYCNOU856B_M^FEBZ0f3EZFS@[W2^3g?IVJWD_S>R?P11f8HUKXE\R9S1e9OTHYJ]Q8T=R?=d:NSIZKRP;U:S<=k;MRN[HS_:V;T=9j4LQO\IP^5W8U:9i5CPL]NQ]4X9V;=h6B_M^OV\7Y6W8=o7A^B_LW[6Z7X91n0@]CPMTZ1[4Y61m1G\@QBUY0\5Z47l2F[ARCZX3]2[77c3EZFS@[W2^3\67eJWEVG^T?Q>_5a8HUKXE\R9Sk2F[ARCZX3]0[5gj2GfyuQPn1210g=Je|rTSc>?24`8IhsWVd;b:Onq}YXf9:8>o5Bmtz\[k67;=h0A`{w_bnhwwed3Drd~R\jstnw5c=JpfxT^h}zlu>2:4`?249M5563=2D:<=6:;O3355385A11371>H6882>7C??2178J465:<1E==<95:L247>23G;;?=;4N02060=I999?96@>0246?K77<9?0B<>;249M5523=2D:<96:;O33153>85A11751>H68<2>7C??6178J46?<<1E==675:L24<423G;;5;;4N03340=I98:=96@>1026?K769=?0B649M5447=2D:=?::;O32633H69:<>7C?>3978J4738<1E=<:;5:L251>23G;:9?;4N03600=I98<;96@>17:6?K76?;?0B85A10:71>H691<>7C?>8978J47>8<1E=<7=5:L265>23G;9=9;4N001<0=I9;9;96@>2206?K75;??0B<<<849M5727=2D:>9::;O31033H6:<>>7C?=5778J4418<1E=?8=5:L263223G;9:5;4N00440=I9;=996@>2646?K75?1?0B<<7049M57>3=2D:>58:;O31<=385A13;71>H6:0<>7C?<0178J457:<1E=>>;5:L275>23G;8==;4N01260=I9:;=96@>30:6?K74:9?0B<==449M5641=2D:??6:;O30053H6;<8>7C?<5578J4520<1E=>8?5:L273423G;8:;;4N015<0=I9:=;96@>3666?K74???0B<=8849M56>5=2D:?5::;O30<33H6;0>>7C?<9978J4278<1E=9>=5:L205023G;?<5;4N06241=I;1>?7C=7659M26533G33:95A99:7?K?>8=1E54<;;O;:01=I102?7Cm|209L7>IU::1D^>=4OS60?JT?92Z?7]O]T`9SMKYE]ZCOTo5_IO]AQVHFEL90\_K>;P68U969<2[7=384Q=094;2W;;7>0]1:14:S?1;2W;?790^YB9;Sgpqir?3[oxyaz>199Qavsk|;;<7_k|umv0<>Tb{|f?<74Rdqvhq:7601Yi~{ct=3=f>Tb{|f0?4?>89Qavsk|585n6\jstnw86<7601Yi~{ct=1==>Tb{|f0906;Sgpqir;=730^h}zlu>5:g=Umzgx1950?;8V`urd}6<2l5]erwopZojxk1XEJLZS^KMBJg<[@MTNX]FDY`8WLAXJ\YEM@K;;Raov6=Tme>0XT^J3:T@G<=QAL]TXT^J1e9[MIOIP$RON->!1!QWQG&7&8*J_NGF7:ZPPZAIL8o0T^ZPILROAHCI\H^BCCQLOOQWVDOI\Z;;7U][_SVO[UTHXLX_U:5WSU]UGF0^t|?1Tb=>?069\j5678820Sc>?0132e>Yi89:;Sdc139\j5678Vcf|RQa012353=Xf9:;=<<4_o2344YneyUTb=>?10;8[k678;6;2o5Pn123697=8730Sc>?05>3:g=Xf9:;81?50?;8[k678?6;2o5Pn123297=87;97R`?01;\mhvXWg:;<4?6;^l3440;87;37R`?004?4;YneyUTb=>>6=2=5<=Xf9:::1?1199\j566>5;5Sdc_^l3440;97;27R`?004?6;7?3Vd;<<832?]jiuYXf9:::1<11c9\j566>591<374_o2353:46820Sc>?17>0:ZojxVUe<=?9<2<22>Yi89;<=?5Pn1223ZojxVUe<=?8179\j566001Tb=>>8022<>Yi89;3=<74_o235=76911Tb=>>800;?Zh78829=55Pn122<67?3Vd;<<6;199\j5660<;37R`?00:55==Xf9::4:?7;^l344>?911Tb=>>883b?Zh7882Te`~9;^l344?13Vd;8;^l3476602Ue<=>c9\j564:581<374_o2377:5601Tb=><4=2==>Yi899?0<06;^l3462;:730Sc>?35>0:<=Xf9:881:19:]m45534<427R`?026?2;d7;384_o2372753Vd;<>9Pilr\[k67;>;=7R`?02:4?Zh78:2:46Qa011;54g169\j563<;20Sc>?4502e>Yi89>?Sdc6:]m4522?2Ue<=::199\j563=8;j7R`?057\mhv13Vd;<988;^l3410602Ue<=:910c8[k67;7=3==>Yi89><0?0m;^l3411;;3:556Qa016486813Vd;<967;^l341>6911Tb=>;8334?Zh78=2846Qa016;74g?48323>Yi89>2>55Pn127=77f3Vd;<97Pilr5?Zh78<:37R`?042252=Xf9:>Yi89?:;6Qa01725==Xf9:>=a:]m4535W`g{:6Qa01703>Yi89?8=55Pn126747f3Vd;<8=Pilr5?Zh78<><7R`?0462<>Yi89??=?5431?Zh78:7^knt3=Xf9:>4:5Pn126<4>a:]m453?W`g{:6Qa017:3>Yi89?2=55Pn126=47f3Vd;<87Pilr5?Zh78?:<7R`?0722<>Yi89<;=?7931?Zh78>2Te`~P_o233=713Vd;<5>>2:]m45>7W`g{SR`?09222>Yi8929=?5Pn12;6ZojxVUe<=6=179\j56?;880Sc>?82]jiuYXf9:3?<74_o23<0:76k1Tb=>75=394;0?8735?Zh7812:>6Qa01:;[lkwWVd;<56>6:]m45?09;1Tb=>67^kntZYi893<=55Pn133547?3Vd;==?=169\j5779:20Sc>>0012e>Yi88::Sdc8:]m44659820Sc>>03023>Yi88:9?55Pn133667f3Vd;==>02]jiu>8:]m4463:820Sc>>0512<>Yi88:?8<64_o224136?2Ue<<>;699\j577199\j577=;;<7R`?1170<>Yi88:>?>0632<>Yi88:<><64_o224256?2Ue<<>8499\j577?=;j7R`?115\mhv?3Vd;==7>199\j5771;;37R`?11;052=Xf9;;5964_o224<26i2Ue<<>6_hos<>Yi88;:=<64_o22544602Ue<30:8[k6698>:;6Qa00321==Xf9;:=8?n;^l3547Xadz>7ljkes18fvd3kyrSdc_cqz53=ddbyyo95lpic7?fvhd11h|xQAiss:?fvrWGzce?64cqw\Jwct02i{yRo30?c8gusXi5;1<364cqw\e97902i{yRj30?:8gusXl5;546mu^f?6;>ew}Vn7:3o4cqw\`91=8720o}{Pd=5=3>ew}Vxe{>5ls018`c`03mc}e~a}a:fjrluhz5:5m6jfvhqlv979k2nbzd}`r=094;gobzk1bbyQkotv?4;doi|Vndyy28:11b9jjqYjazeTe`~7;hlw[hita>1bbyQ`uu37?lhsWfSdc_hlw[jss911bbyQ|cmi4?luXzlko=95fs^pfeaYneyUbR|jae3f?ncbxVbbio}ci{0?icck2fnhRgbp^nf`45vhz}Uzn6~`ru]qavsk|k1{czPsdnfgq34rdqfpZojxVxnhz>8:pwhZr~xl20hbjcugg=>ubdliii?n;rgoafrbl8;h7~kcebvf`Zojx11xddh{cmi22>uoao~h`fQfmq]pll`skea:46}gigv`jqd<{acmxn`{<1uoao~bbj<;;rkdj1=tasoj7~bnvdkmp`ha3zfjzhgatdl\J6>?:=1x`lxjiovfjZH401Uba}Q|l`tfmkrbfVD845?i;rnbr`oi|ldTB>7?259phdpbag~nbR@<91]jiuYtdh|neczjn^L0=57?3zfjzh}jlg9pkptdmVlb`h`nmdf8wjsuklUgeckab99ptl028;h37~~f_Oqfh2=tx`Ubby64sqk\iluh02y{eRc`sh:8wuoX{jf`o6}iecwelh;87i0}gkaucjj979k2y{eio{ahl?6;e<{ycomyofn=1=g>uwamkmd`34?a8wuoci}kbb1;1c:qsmagsi`d7:3k4sqkgeqgnf5=1<3m4sqkgeqgnf5=5n6}iecwelhX8k1x|djnt`km[4d<{ycomyofn^0a?vvnlh~jecQuwamkmb{{d:qsmagsif0=0k;rrj`drfg|~7=3j4sqkgeqgh}}692i5|phfbpdir|595h6}iecwejss4=4o7~~fd`vbkpr;=7n0}gkauclqq:16o1x|djnt`mvp91=87n0}gkauclqq:06?1x|dgat008wuonf}Uba}Q|phkmp4c<{}kyxR}gigvjjb4<|j90x`a7;tlpmbhbl01}ma{j_bkm=>pfd|oTc}l:;vkgpm><=vc3:zlvxFGx0>27MNw2c:9B?4=9rY?m7?74;5`>454k>:j6?;l81ym5=4=92d:4>4=;%3;5?70k2wX844>8584g?74;j=;m7<:c928`7d?290:67>51;394~U3i3;3879l:010g26f2;?h4=5+16`9=7=e:k21<7?8:7:9>1ov=n:0`97f<4l38=6a<4j3836?95}[67>7}?2:91q)?89;0a<>J6?l09wE<7c:&217<5m;1v@<9i:0y'6g0=290/=8:527`8j4342;10'?8n:18'502=:?h0b<;<:098/70d290/=8:527`8j4342910c?;j:188k7d>2900c?ll:188k7dc2900c?6k:188f730290j6;4i{%34=?42?2c94l4?::k2`5<722c9nh4?::k2`0<722c99n4?::k2`<<722c9;<4?::k2g`<722c9n44?::m13<<722h99;4?:283>5}#9>31?h5f7g83>>o?83:17b?;c;29?xd5=<0;6>4?:1y'52?=;l1b;k4?::k;4?6=3f;?o7>5;|`114<72:0;6=u+16;97`=n?o0;66g70;29?j73k3:17pl=5383>6<729q/=:753d9j3c<722c3<7>5;n37g?6=3th99>4?:283>5}#9>31?h5f7g83>>o?83:17b?;c;29?xd5==0;684?:1y'52?=9=l0e:h50;9j<5<722c3=7>5;h:`>5<h6=44}r04=?6=:rT9;452244951e52z\15<5sW;o<63=5484b>{t:ko1<7d483>7}Y9m?01?;>:6d8yv42k3:1>vP=5b9>607=091v;<066?>73ty:oh4?:3y]5fc<5;?86:h4}r0a=?6=:rT9n4522419<5=z{8>>6=4={<061?73k2799948f:p510=838p1?;>:06`?842<32;7p}>4683>7}::<81=9m4=377>=752z?116<68:58b9~w42>2909w0<:6;:3?842<3;?o6srb373>5o50h0;66g>d183>>o5jo0;66g>d483>>o5=m0;66g>d883>>o5?;0;66a=7`83>>d54?:1y'52?=;l1b;k4?::k;4?6=3f;?o7>5;|`10`<72:0;6=u+16;97`=n?o0;66g70;29?j73k3:17pl=4c83>6<729q/=:753d9j3c<722c3<7>5;n37g?6=3th98n4?:283>5}#9>31?h5f7g83>>o?83:17b?;c;29?xd55;n37g?6=3ty9;l4?:3y]62g<5;>m6<:l;|q15o4=36e>2`52z\2`5=::=o1;k5rs3`e>5<5sW8ij63=4d8;4>{t9m?1<77}Y:vP>d89>61e=?o1v?9=:181[40:2798n470:p51g=838p1?:j:06`?843l3=m7p}l4;296~;542d348?h76>;|q`2?6=:r798k470:?10a<650;9j6f6=831b=i;50;9j623=831b=i750;9j622=831d>:l50;9a61?=8391<7>t$05:>6cl1<75f8183>>i69;50;194?6|,8=26>k4i6d94?=n090;66a>4b83>>{e:=<1<7=50;2x 41>2:o0e:h50;9j<5<722e:8n4?::a611=83>1<7>t$05:>42b3`=m6=44i9294?=n080;66a>4b83>>{t:>h1<7l0q~965819~w4b22909wS?k5:?100<0n2wx>:;50;0xZ712348?976?;|q2`<<72;qU=i74=365>2`52z\131=::=<14=5rsb594?4|5;>36<:l;<073?1a3tyh47>52z?100<6995819~wf?=838p1?:9:06`?843?32:7p}la;296~;5<003<63=46820f=zuk8?87>56;69=~"6?009895f29c94?=n9m:1<75f2b394?=n9m?1<75f2ef94?=h:>i1<75m25194?5=83:p(<96:2g8m2`=831b4=4?::m20f<722wi>9<50;194?6|,8=26>k4i6d94?=n090;66a>4b83>>{e:=:1<7=50;2x 41>2:o0e:h50;9j<5<722e:8n4?::a617=8391<7>t$05:>42c3`=m6=44i9294?=h9=i1<75rs35`>5<5sW8;5<:09>57g9~w7bc2909wS614=9=i01?:>:6d8yved2909w0<;0;37g>;5<803<6s|ce83>7}::=914=52253951e46|,8=26?=i;h0;e?6=3`;o<7>5;h0`6?6=3`;o97>5;h043?6=3`;o57>5;h0ae?6=3`;hi7>5;h0a4?6=3f85;c00a?6=;3:17880a>o0n3:17d6?:188k42d2900qo<5<53;294~"6?008i6g8f;29?l>72900c<:l:188yg4413:1>7>50z&23<<>92c5;n37g?6=3th9?l4?:283>5}#9>31?h5f7g83>>o?83:17b?;c;29?xd5;k0;6>4?:1y'52?=;l1b;k4?::k;4?6=3f;?o7>5;|`17f<72<0;6=u+16;951`l1<75f8183>>o?93:17d6l:188k42d2900q~<8d;296~X5?m16>>k515a8yv4?i3:1>vP=8`9>66c=?o1v73ty:h84?:3y]5a3<5;936:h4}r043?6=:rT9;:5222:9<5=z{8n26=4={_3g=>;5;h0l0q~>l5819~wfc=838p1?=k:06`?844k3=m7p}lf;296~;5;10:8n5222a9<5=z{m:1<742d3488m76?;|qg5?6=:r79?l4>4b9>66e=081vi<50;0x975e28>h70<v3=3d8;4>;5;j0:8n5r}c003?6=>3>15v*>788172=n:1k1<75f1e294?=n:j91<75f1e794?=n:<31<75`26g94?=e::<1<7=50;2x 41>2:o0e:h50;9j<5<722e:8n4?::a663=8391<7>t$05:>6cl1<75f8183>>i6>:50;194?6|,8=26<:k;h5e>5<;5;?0:8n5rs3:b>5<5sW83m63=3784b>{t9m:1<77}Y:j901?=::928yv7c=3:1>vP>d49>665=?o1v?;6:181[421279?>470:p`1<72;q6>>;515a897532>l0q~j::181844;3;?o63=358;4>{tl?0;6?u22249<5=:::>1=9m4}|`177<72?0?64u+16;96645<5<5<5<4290;w)?89;1f?l1a2900e5>50;9l51e=831vn?5<7s-;<57?;d:k4b?6=3`2;6=44o06`>5<52z\13c=:::;1=9m4}r0;e?6=:rT94l5222393c=z{8n;6=4={_3g4>;5;90l0q~<88;296~X5?116>?k5819~wa1=838p1?=?:06`?845n3=m7p}k8;296~;5:l0:8n5223d9<5=z{m31<7=6<5;8m6<:l;|a67b=83<1877t$05:>74c3`83m7>5;h3g4?6=3`8h:7>5;h3g1?6=3`8oo7>5;n0;5?6=3k89o7>53;294~"6?008i6g8f;29?l>72900c<:l:188yg45j3:1?7>50z&23<<4m2c5;h:3>5<h6=44}c01=?6=;3:17880a>o0n3:17d6?:188k42d2900qo<=a;297?6=8r.:;44>4e9j3c<722c3<7>5;n37g?6=3ty94<4?:3y]6=7<5;8h6<:l;|q15o4=30`>2`52z\2`5=::;h1;k5rs3a5>5<5sW8h:63=2c8;4>{t9m?1<77}Y:mi01?<6:928yvbf2909w0<=b;37g>;5:h07}::;31=9m4=30b>=673489m7?;c:~f74?29096=4?{%34=??63`=n6=44o06`>5<52;294~"6?002=6g8e;29?j73k3:17pll3;290?4==rP:;94={8820?{]<=0:w<85}%34=?d73E;"5110>56*=9c8226=i:hl1?6`=e082?k4e?3in7)4>;:k6e?6=,8??6;:4n070>7=7g86!4>n38n?6*=9986=>"51k0::>5a2`d96>h5m80:7pg:a;29 4332?80b<;<:098m35=83.:99492:l216<732e::>4?::ag7<72:0;6=uU458223-82n7?93:l1ec<53g8n=7?4}h7b>5<#9<>1:?5a14195>=n>:0;6)?:4;41?k72;3:07b?93;29?xu6>:0;6?uQ17189gc=9?90q~?7c;296~;em3?j70m=:040?!70>3;3h6`>7482?xu1=3:1>vP95:?`6?3f3-;<:78;;o341?65+164921=i9>?1>6srbc394?>=>3kpV<9;:5y2b?d=:<0j6pT;4;0x64<5;3w/=:75929O52c=9rB94n5rL05e>=}i:k=1m=5+28d960><,;326;k4$3g3>7=#:0h1=;=4$3fe>4=#:ho1>ik4$3`5>10!72<3=97c?:3;38?l17290/=8:5739m505=:21b:k4?:%360?153g;>?7=4;n35`?6=,8??6<8l;o367?6<3f;=n7>5$077>40d3g;>?7?4;n35=?6=,8??6<8l;o367?4<3f;=47>5$077>40d3g;>?7=4;c3:6?6=:3:1788:5>o0m3:17b?;c;29?xd60k0;6>4?:1y'52?=;l1b;k4?::k;4?6=3f;?o7>5;|`2<<<72:0;6=u+16;97`=n?o0;66g70;29?j73k3:17pll1;297?6=8rP?87?t7;'52?=:l90@<9i:4y'6<`=:l90(?77:4;8 7?e28<87c4>;:k57?6=,8??6;<4n070>5=5<4290;wW:;:0y4>x"6?009i>5C16d91~"51o09i>5+28:91<=#:0h1=;=4n3ce>7=i:l;1=6sf5`83>!72<3<97c?:3;38?l04290/=8:5639m505=821d=;=50;9~fg`=8391<7>tZ5695~1=u-;<57629m6d`=:2d9i<4>;|k6e?6=,8??6;<4n070>4=55856>h6=:0;76a>6283>>{t?80;6>uQ709>5=d=?o16o=493:&233<0:2d:;84>;|q2=5<72;q6=5l515a89f7==h1/=:851838j412281v<86:181[71127ij7?93:&233<6>j1e=:;52:p5=c=839p1<6m:92894>>28>h70m?:4c8 411282m7c?85;38yv0a290?wS8i;<3:6?1b34;3576?;<`e>0g<,8==6:<4n056>6=z{8;d93;=?6*>77822f=i9>?1<6s|7283>7}Y?:16o<493:&233<0:2d:;84?;|q44?6=;rT<<63>8884b>;en3<87)?86;51?k70=380q~?9b;296~X6>k16o=4>629'520=9?i0b<9::09~w40?2909wS?98:?2=7<65;|`165<72;0;6=u+16;9=4=n?l0;66a>4b83>>{e:8o1<7<50;2x 41>20;0e:k50;9l51e=831vn??l:181>5<7s-;<577>;h5f>5<h6=44}c34`?6=93:17884`>i0j3:17pl=1`83>7<729q/=:75909j3`<722e:8n4?::a5=6=83;1<7>t$05:>41f3f;>=7>5;|`15=<72:0969u+16;964>5<6=44b334>5<4290;w)?89;1f?l1a2900e5>50;9l51e=831vn??9:181>5<7s-;<577>;h5f>5<h6=44}r021?6=:rT9=852205951e52z\125=::8=1;k5rs34g>5<5sW8=h63=1784a>{tlm0;6?u22059<5=::8<1=9m4}|`151<72:0969u+16;96425<5<4290;w)?89;37`>o0n3:17d6?:188k42d2900qo<>2;296?6=8r.:;4461:k4a?6=3f;?o7>5;|q154<72;qU>42d3ty9;:4?:3y]621<5;;86:h4}r05`?6=:rT9:i5220093`=z{mo1<7=6<5;;96<:l;|a646=8391>7:t$05:>7773`8im7>5;h0a4?6=3f8;h7>5;c03b?6=;3:17880a>o0n3:17d6?:188k42d2900qo5;|q14a<72;qU>=j4=32e>42d3ty9nl4?:3y]6gg<5;:n6:k4}r0a4?6=:rT9n=5221d9<5=z{ml1<72`<5;:n6<:l;|a65e=8391>7:t$05:>76d3`8>57>5;h0a=?6=3f8;57>5;c03f?6=;3:178820a=n?o0;66g70;29?j73k3:17pl=0`83>7<729q/=:75909j3`<722e:8n4?::p65?=838pR?>6;<03f?73k2wx>8750;0xZ73>348;n79i;|q1f<<72;qU>o74=32b>2c7348;m7?;c:~f76?29086?4;{%34=?4702c:ol4?::k125<722e9<84?::`142<72:0;6=u+16;97`=n?o0;66g70;29?j73k3:17pl=0783>7<729q/=:75909j3`<722e:8n4?::p653=838pR?>:;<033?73k2wx=no50;0xZ4ef348;:79j;|q125<72;qU>;>4=324>=64b83>>{e:981<7:52;7x 41>2;:97d5<5290;w)?89;;2?l1b2900c<:l:188yv7an3:1>vP>fg9>657=9=i0q~=>57d9~w7g>2909wSll50;0xZ7ge348;=76>;|qf6?6=:r79<<48f:?145<6fd9j6d>=831b>l750;9j6dd=831d=kl50;9a5cb=83>1<7>t$05:>6`l1<75f8183>>o?93:17b?;c;29?xd6nj0;6?4?:1y'52?=181b;h4?::m20f<722wx=kl50;0xZ4`e34;mh7?;c:p6d>=838pR?o7;<3e`?1a3ty9m44?:3y]6d?<58lh6:k4}r0bf?6=:rT9mo521gf9<4=z{l91<7=6<58lh6<:l;|a5cg=83>1?78t$05:>4`f3`8j47>5;h0b=?6=3`8jn7>5;n3e2?6=3k;m57>54;294~"6?008j6g8f;29?l>72900e5?50;9l51e=831vn5<7s-;<577>;h5f>5<h6=44}c3e3?6=:3:1788:5>o0m3:17b?;c;29?xu6n?0;6?uQ1g4894`>28>h7p}=a983>7}Y:h201vP=a89>5c1=?l1v?om:181[4fj27:j4471:pa1<72;q6=k757g9>5c>=9=i0q~k::18187a132;70?i7;37g>{zj8l>6=4;:386!7013;m96g=a983>>o5i00;66g=ac83>>i6n;0;66l>f583>1<729q/=:753g9j3c<722c3<7>5;h:2>5<h6=44}c3e7?6=:3:1788:5>o0m3:17b?;c;29?xu6n;0;6?uQ1g0894`328>h7p}=a983>7}Y:h201vP=a89>5c2=091v?om:181[4fj27:j>48e:pa3<72;q6=k:5809>5c5=9=i0qpl>f083>1<42?q/=:751g38m7g?2900e?o6:188m7ge2900c4b83>>{e9ll1<7<50;2x 41>20;0e:k50;9l51e=831vn5<7s-;<577>;h5f>5<h6=44}r3f`?6=:rT:ii521g2951e52z\1e==:9ll1;h5rs3c:>5<5sW8j563>f18;4>{t:hh1<7eg820f=z{l21<7=7<58on6<:l;|a5`e=83>1?78t$05:>4cd3`8j47>5;h0b=?6=3`8jn7>5;n3f54;294~"6?008j6g8f;29?l>72900e5?50;9l51e=831vn5<7s-;<577>;h5f>5<h6=44}c3f=?6=:3:1788:5>o0m3:17b?;c;29?xu6m10;6?uQ1d:894ce28>h7p}=a983>7}Y:h201vP=a89>5`g=?l1v?om:181[4fj27:i448e:pa<<72;q6=hl5819>5`g=9=i0q~kn:18187bj32:70?j9;37g>{zj8o<6=4;:584!7013;n;6g=a983>>o5i00;66g=ac83>>i6m;0;66l>e783>1<729q/=:753g9j3c<722c3<7>5;h:2>5<h6=44}c3f1?6=:3:1788:5>o0m3:17b?;c;29?xd6m:0;6?4?:1y'52?=181b;h4?::m20f<722wi=h:50;094?6|,8=264?4i6g94?=h9=i1<75rs0g1>5<5sW;n>63>e7820f=z{;k36=4={_0b<>;6m<0o0q~km:18187b>3=m70?j5;37g>{tmj0;6?u21d49<5=:9l91=9m4}rgg>5<5s4;n:76>;<3f0?73k2wvn7<3s-;<57?kc:k124<722c9:>4?::m2`<<722h:ho4?:283>5}#9>31?h5f7g83>>o?83:17b?;c;29?xd6lh0;6?4?:1y'52?=181b;h4?::m20f<722wx=i750;0xZ4b>34;on7?;c:p637=838pR?8>;<3ge?1b3ty9:>4?:3y]635<58ni65>4}rgf>5<5s4;on79i;<3ge?73k2wvn7<3s-;<57?k8:k124<722c9:>4?::m2`0<722h:h:4?:283>5}#9>31?h5f7g83>>o?83:17b?;c;29?xd6l?0;6?4?:1y'52?=181b;h4?::m20f<722wx=i;50;0xZ4b234;o;7?;c:p637=838pR?8>;<3g3?1a3ty9:>4?:3y]635<58n=6:k4}rge>5<5s4;o;76?;<3g2?73k2wvn6<2s-;<57?k4:k124<722c9:>4?::m2`5<722h:h>4?:283>5}#9>31?h5f7g83>>o?83:17b?;c;29?xd6l;0;6?4?:1y'52?=181b;h4?::m20f<722wi=i?50;094?6|,8=264?4i6g94?=h9=i1<75rs0f3>5<5sW;o<63>d2820f=z{;<:6=4={_055>;6l;0v3>d284b>;6l;0:8n5rsg394?4|58n865>4=0f2>42d3twi=nh50;194?6|,8=26>k4i6d94?=n090;66a>4b83>>{e9jn1<7=52;6x 41>28io7d50z&23<<65;|`2gg<72;0;6=u+16;9=4=n?l0;66a>4b83>>{t9jk1<7{zj8i26=4::183!7013>;7d6l:188m2`=831b4=4?::k;5?6=3f;?o7>5;|`2f7<72:0;6=u+16;97`=n?o0;66g70;29?j73k3:17pl>b183>6<52=q/=:751c28m7072900e?ol:188k4gc2900n5<7s-;<57?;d:k4b?6=3`2;6=44o06`>5<52;294~"6?002=6g8e;29?j73k3:17p}>ae83>7}Y9hn0190;6?uQ272894gb2>o0q~h7psm1``94?752j0:hv*>7882eg=n9jk1<75f1d094?=n:m21<75f1d:94?=n:m<1<75f1df94?=n:m?1<75f1g094?=n:m>1<75f1g494?=n:m91<75f1g`94?=n:m81<75f1gd94?=n:m;1<75f21194?=n:m:1<75`18g94?=e9h;1<7=50;2x 41>28>o7d9i:188m=6=831d=9m50;9~f4g729096=4?{%34=??63`=n6=44o06`>5<7>53;294~"6?008i6g8f;29?l>72900c<:l:188yg7f;3:1?7>50z&23<<4m2c5;h:3>5<h6=44}c3b0?6=;3:17880a>o0n3:17d6?:188k42d2900qo?n5;297?6=8r.:;445<53;294~"6?008i6g8f;29?l>72900c<:l:188yg7f?3:1?7>50z&23<<4m2c5;h:3>5<h6=44}c3b7880a>o0n3:17d6?:188k42d2900qo?n9;297?6=8r.:;445<59;294~"6?00:9=5f7g83>>o?83:17d6>:188m=e=831b4i4?::k;a?6=3`2m6=44i8294?=h9=i1<75rb0;e>5<4290;w)?89;1f?l1a2900e5>50;9l51e=831v<7j:181[7>m27:m<4>4b9~w4ef2908wS?la:?2e4<0n27:m=48e:p5`4=838pR<58k965>4}r3f;6i:03<6s|1df94?4|V8oo70?n4;5e?xu5l<0;6?uQ2e7894g321:0q~?i2;296~X6n;16=l;57g9~w7b32909wSi=4=0c5>=652z\2bg=:9h=1;k5rs3f1>5<5sW8o>63>a68;4>{t9ol1<77}Y:m;01vP=029>5d?=?o1v?j?:181[4c827:m4470:pb1<72;q6=l>515a894?a2>l0q~h::18187f:3;?o63>a`84b>{tn?0;6?u21`1951e<58kj65>4}rd4>5<5s4;j87?;c:?2ed5d3=9=i012909w0?n6;37g>;6ih03h6s|f`83>7}:9h=1=9m4=0cb>=c9g8;4>{tnl0;6?u21`39<5=:90l1=9m4}|`2=a<72:0;6=u+16;97`=n?o0;66g70;29?j73k3:17pl>9583>6<729q/=:753d9j3c<722c3<7>5;n37g?6=3th:5;4?:283>5}#9>31?h5f7g83>>o?83:17b?;c;29?xd6110;6>4?:1y'52?=;l1b;k4?::k;4?6=3f;?o7>5;|`2=g<72:0;6=u+16;97`=n?o0;66g70;29?j73k3:17pl=de83>0<729q/=:75769j0a<722c?;7>5;h6;>5<229086?4;{%34=?4?=2c9no4?::k1f<<722e94?4?::`1<1<72:0;6=u+16;97`=n?o0;66g70;29?j73k3:17pl=8283>7<729q/=:75909j3`<722e:8n4?::p6=4=838pR?6=;<0;0?73k2wx>ol50;0xZ7de3483879i;|q1f<<72;qU>o74=3:0>2c73483?7?;c:~f712290>6=4?{%34=?103`>o6=44i5594?=n<10;66g;5;29?j72>3:17pl=8c83>1<729q/=:753g9j3c<722c3<7>5;h:2>5<h6=44}c0b7?6=;3818v*>7881e6=n:?o1<75f15`94?=h:h:1<75m2`094?5=83:p(<96:2g8m2`=831b4=4?::m20f<722wi>l?50;094?6|,8=264?4i6g94?=h9=i1<75rs3c3>5<5sW8j<63=a3820f=z{;;5i;0i704b83>>{e:121<7=50;2x 41>28>o7d9i:188m=6=831d=9m50;9~f7g229086=4?{%34=?5b3`=m6=44i9294?=h9=i1<75rb37b>5<4290;w)?89;37`>o0n3:17d6?:188k42d2900qo<7e;296?6=8r.:;4461:k4a?6=3f;?o7>5;|`ae?6=<3;18vT>758231=v95}%34=??e3E;n383j6*=9986=>"51j0:;55+28`9535{n9>21<75f6283>!72<3<97c?:3;28?l3f290/=8:5639m505=921d=;=50;9a525=83>1879t$05:>4143`;<47>5;h40>5<28>o7d9i:188m=6=831d=9m50;9~f40129086=4?{%34=?5b3`=m6=44i9294?=h9=i1<75rb047>5<5290;w)?89;;2?l1b2900c<:l:188yg71=3:1?7>50z&23<<4m2c5;h:3>5<h6=44}r357?6=:rT::>52175951e53z\23==:9?<1;k5217693`=z{?91<7530=9=i0q~??2;296~;6>=0:8n5217793c=z{8:86=4={<353?>734;=97?;c:~w4042909wS?93:?236<6>:1v<97:181[70027:;>4>799~w0g=838pR8o4=050>0g<,8==6;<4n056>4=z{?91<74<3sS;<87?t6;Y01<6s>0v(<96:8c8H41b28qC>5m4}M34b?1|,;h=6984$3;e>7>a3-8247;6;%0:g?7002.95o4>629m6d`=:2d9i<4>;|k23=<722c=?7>5$077>346`>5282?>i6>:0;66l>7283>1<32>q/=:751618m41?2900e;=50;9j1d<722e::>4?::`222<72:0;6=u+16;951bl1<75f8183>>i65<4290;w)?89;1f?l1a2900e5>50;9l51e=831v<8<:181[71;27:::4>4b9~w41?2908wS?88:?223<0n27::948e:p26<72;qU:>521749<5=z{>067820f=z{8:>6=4={<350?73k27::848f:p550=838p1<88:928940228>h7ps|17194?4|V8<870?83;357>{t9>21<77}Y=h16=:=55`9'520=>;1e=:;51:p26<72;qU:>52161926=#9><1:?5a16794>{zjk21<7:51;6x^41328q=6pT;4;3x3?{#9>31mi5C16g95~N50j1v@<9i:9ym6g1=9<>0(?7i:3:e?!4>03?27)<6c;34<>"51k0::>5a2`d96>h5m80:7)4?::`236<72=0?6:u+16;95256283>>d6>>0;6>4?:1y'52?=9=n0e:h50;9j<5<722e:8n4?::a530=8391<7>t$05:>6cl1<75f8183>>i65<5sW;=?63>66820f=z{8=36=4<{_34<>;6>?06584a>{t>:0;6?uQ629>530=091v8o50;0xZ0g<58<>65>4}r333?6=:r7:::48f:?223<67:181871<3;?o63>6484b>{t9931<7=6<58<>6<:l;|p535=838pR<8<;<347?71;2wx=:650;0xZ41?34;<1:?5a16795>{t>:0;6?uQ629>525=>:1/=:85639m523=82wvno950;695?2|R8=?6=}i:k=1=8:4$3;e>7>a3-8247;6;%0:g?7002.95o4>629m6d`=:2d9i<4>;%0a2?213tc:;54?::k57?6=,8??6;<4n070>5=55856>h6=:0:76a>6283>>d6?:0;694;:6y'52?=9>90e<97:188m35=831b9l4?::m226<722h:::4?:283>5}#9>31=9j4i6d94?=n090;66a>4b83>>{e9?<1<7=50;2x 41>2:o0e:h50;9j<5<722e:8n4?::a532=8381<7>t$05:><7o1<75`15a94?=zj8<>6=4<:183!70139n7d9i:188m=6=831d=9m50;9~w4042909wS?93:?222<64?:3y]26=:9?<14=5rs4c94?4|Vv3>6684b>;6>?0:8n5rs02a>5<5s4;=87?;c:?220<0n2wx==m50;0x940021:01<8::06`?x{t9?91<7{t=h0;6?uQ5`9>525==h1/=:85639m523=92wx:>4?:3y]26=:9>91:>5+164927=i9>?1<6srbc494?2=93>pV<9;:0y5>x\3<3;p;7s+16;9eg=K9>o1=vF=8b9~H41a21qe>o951468 7?a2;2m7)<68;7:?!4>k3;<46*=9c8226=i:hl1>6`=e082?!4e>3>=7pg>7983>>o1;3:1(<;;:708j4342910e8o50;&211<1:2d:9>4>;:m226<722h:;>4?:587>2}#9>31=:=4i05;>5<>i6>:0;66l>6683>6<729q/=:7515f8m2`=831b4=4?::m20f<722wi=;850;194?6|,8=26>k4i6d94?=n090;66a>4b83>>{e9?>1<7<50;2x 41>20;0e:k50;9l51e=831vn<8::180>5<7s-;<57=j;h5e>5<;6>>0:8n5rs05;>5<4sW;<463>6784b>;6>=07}Y>:16=;85819~w0g=838pR8o4=046>=652z?222<0n27::;4>4b9~w46b2909w0?94;37g>;6><04=046>42d3twx=;=50;0xZ40434;=838pR<97;<347?7002wx9l4?:3y]1d=:9>919l5+164927=i9>?1=6s|6283>7}Y>:16=:=5629'520=>;1e=:;50:~fg3=83>1=7:tZ057>4}12tP?87?t7;'52?=ih1G=:k51zJ13-82o7?88:&1=g<6>:1e>lh52:l1a4<63-8i:7:9;|k23=<722c=?7>5$077>346`>5282?>i6>:0;66l>7283>1<32>q/=:751618m41?2900e;=50;9j1d<722e::>4?::`222<72:0;6=u+16;951bl1<75f8183>>i65<4290;w)?89;1f?l1a2900e5>50;9l51e=831v<8<:181[71;27:::4>4b9~w41?2908wS?88:?223<0n27::948e:p26<72;qU:>521749<5=z{0;296~;6>>067820f=z{8;:6=4={<350?73k27::848f:p544=838p1<88:928940228>h7ps|17194?4|V8<870?83;357>{t9>21<77}Y=h16=:=55`9'520=>;1e=:;51:p26<72;qU:>52161926=#9><1:?5a16794>{zjk>1<7:51;6x^41328q=6pT;4;3x3?{#9>31m45C16g95~N50j1v@<9i:9ym6g1=9<>0(?7i:3:e?!4>03?27)<6c;34<>"51k0::>5a2`d96>h5m80:7)4?::`236<72=0?6:u+16;95256283>>d6>>0;6>4?:1y'52?=9=n0e:h50;9j<5<722e:8n4?::a530=8391<7>t$05:>6cl1<75f8183>>i65<5sW;=?63>66820f=z{8=36=4<{_34<>;6>?06584a>{t>:0;6?uQ629>530=091v8o50;0xZ0g<58<>65>4}r327?6=:r7:::48f:?223<66484b>{t98?1<7=6<58<>6<:l;|p535=838pR<8<;<347?71;2wx=:650;0xZ41?34;<1:?5a16795>{t>:0;6?uQ629>525=>:1/=:85639m523=82wvno=50;695?2|R8=?6=}i:k=1=8:4$3;e>7>a3-8247;6;%0:g?7002.95o4>629m6d`=:2d9i<4>;%0a2?213tc:;54?::k57?6=,8??6;<4n070>5=55856>h6=:0:76a>6283>>d6?:0;694;:6y'52?=9>90e<97:188m35=831b9l4?::m226<722h:::4?:283>5}#9>31=9j4i6d94?=n090;66a>4b83>>{e9?<1<7=50;2x 41>2:o0e:h50;9j<5<722e:8n4?::a532=8381<7>t$05:><7o1<75`15a94?=zj8<>6=4<:183!70139n7d9i:188m=6=831d=9m50;9~w4042909wS?93:?222<64?:3y]26=:9?<14=5rs4c94?4|V3:1>v3>6684b>;6>?0:8n5rs034>5<5s4;=87?;c:?220<0n2wx=<650;0x940021:01<8::06`?x{t9?91<7{t=h0;6?uQ5`9>525==h1/=:85639m523=92wx:>4?:3y]26=:9>91:>5+164927=i9>?1<6srbc094?2=93>pV<9;:0y5>x\3<3;p;7s+16;9e2=K9>o1=vF=8b9~H41a2>q/>o85479'6<`=:1l0(?77:4;8 7?d28=37)<6b;357>h5io097c;1e=8=50:9j1d<72-;>878=;o367?7<3f;=?7>5;c347?6=<3>1;v*>788236=n9>21<75f6283>>o2i3:17b?93;29?g71?3:1?7>50z&23<<65;|`223<72:0;6=u+16;97`=n?o0;66g70;29?j73k3:17pl>6583>7<729q/=:75909j3`<722e:8n4?::a533=8391<7>t$05:>6cl1<75f8183>>i6{t9>21<7=t^05;?871>3=m70?94;5f?xu1;3:1>vP93:?2235<5s4;=;79i;<352?73k2wx=h70?95;5e?xu69k0;6?u21759<5=:9??1=9m4}|q226<72;qU=;=4=050>4043ty:;54?:3y]52><58=86<97;|q6e?6=:rT>m63>7286e>"6??0=>6`>7482?xu1;3:1>vP93:?236<1;2.:;;492:l230<73twino4?:`80>d}]9>>1>v?n:3`9y_232;q9o7?7:|&23<{I0;g>{K9>l14v`=b68211=#:0l1>5h4$3;;>0?<,;3h6<97;%0:f?71;2d9mk4=;o0f5?5<,;h=6984}h34!72<3<37c?:3;38?l01290/=8:5699m505=:21b:84?:%360?0?3g;>?7=4;h40>5<#9<>1:55a14190>=n=h0;6)?:4;4;?k72;3?07b?9b;29 433286290?6<4;{[340?7|>3wQ894>{68~ 41>20o0@<9j:0yK6=e7>a3-8247;6;%0:g?7002.95o4>629m6d`=:2d9i<4>;|k23=<722c=?7>5$077>346`>5282?>i6>:0;66l>7283>1<32>q/=:751618m41?2900e;=50;9j1d<722e::>4?::`222<72:0;6=u+16;951bl1<75f8183>>i65<4290;w)?89;1f?l1a2900e5>50;9l51e=831v<8<:181[71;27:::4>4b9~w41?2908wS?88:?223<0n27::948e:p26<72;qU:>521749<5=z{c;296~;6>>067820f=z{8;o6=4={<350?73k27::848f:p54c=838p1<88:928940228>h7ps|17194?4|V8<870?83;357>{t9>21<77}Y=h16=:=55`9'520=>;1e=:;51:p26<72;qU:>52161926=#9><1:?5a16794>{zj1:1<7:51;6x^41328q=6pT;4;3x3?{#9>315i5C16g95~N50j1v@<9i:7y'6<`=:1l0(?77:4;8 7?d28=37)<6b;357>h5io097c;1e=8=50:9j1d<72-;>878=;o367?7<3f;=?7>5;c347?6=<3>1;v*>788236=n9>21<75f6283>>o2i3:17b?93;29?g71?3:1?7>50z&23<<65;|`223<72:0;6=u+16;97`=n?o0;66g70;29?j73k3:17pl>6583>7<729q/=:75909j3`<722e:8n4?::a533=8391<7>t$05:>6cl1<75f8183>>i6{t9>21<7=t^05;?871>3=m70?94;5f?xu1;3:1>vP93:?2235<5s4;=;79i;<352?73k2wx=?>50;0x940328>h70?95;5e?xu6:80;6?u21759<5=:9??1=9m4}|q226<72;qU=;=4=050>4043ty:;54?:3y]52><58=86<97;|q6e?6=:rT>m63>7286e>"6??0=>6`>7482?xu1;3:1>vP93:?236<1;2.:;;492:l230<73twi;k4?:582>1}]9>>1=v85}[67>4}02t.:;446c:N23`<6sA83o6sC16d92~"51o094k5+28:91<=#:0i1=:64$3;a>4043g8jj7<4n3g2>4=za8=36=44i7194?"6==0=>6`>5283?>o2i3:1(<;;:708j4342810c<8<:188f414290?6948{%34=?70;2c:;54?::k57?6=3`?j6=44o040>5<72900c<:l:188yg71>3:1?7>50z&23<<4m2c5;h:3>5<h6=44}c350?6=:3:1788:5>o0m3:17b?;c;29?xd6><0;6>4?:1y'52?=;l1b;k4?::k;4?6=3f;?o7>5;|q226<72;qU=;=4=044>42d3ty:;54?:2y]52><58<=6:h4=047>2c32;7p}:a;296~X2i27::8470:p574=838p1<88:6d8940128>h7p}>2283>7}:9?>1=9m4=046>2`52z?2224b9~yv71;3:1>vP>629>525=9?90q~?88;296~X6?116=:=516:8yv3f2909wS;n;<347?3f3-;<:78=;o341?76883>7}Y9?3015>51718 41128799><4<6?1164=4>799>3c<6?11v;850;0xZ30<51;1:>5+16492==i9>?1>6s|5`83>7}Y=h164<4:a:&233<102d:;84:;|q53?6=:rT=;6370;40?!70>3<37c?85;38yv042909wS8<;<:3>0g<,8==6;64n056>1=z{8;0n3;=?6*>77822d=i9>?1<6s|6483>7}Y><16;k4:a:&233<102d:;84<;|q22=<72;qU=;64=939535<,8==6<8n;o341?45<7s-;<57:<;h5f>5<>1<75`15a94?=zj1k1<7=50;2x 41>2=90e:k50;9j31<722e:8n4?::a<<<72:0;6=u+16;906=n?l0;66g84;29?j73k3:17plmd;290?7={78~^12=9r=1q)?89;;:?I70m3;pD?6l;|N23c4l51718j7ga2;1e>h?51:&1f3<3>2wb=:650;9j26<72-;>878=;o367?6<3`?j6=4+146927=i9<91=65`17194?=e9>91<7:54;5x 41>28=87d?88;29?l042900e8o50;9l535=831i=;950;194?6|,8=26<:k;h5e>5<5<53;294~"6?008i6g8f;29?l>72900c<:l:188yv71;3:1>vP>629>531=9=i0q~?88;297~X6?116=;857g9>532=?l1v;=50;0xZ35<58<=65>4}r7b>5<5sW?j70?95;:3?xu6:<0;6?u217593c=:9?<1=9m4}r312?6=:r7::94>4b9>533=?o1v<<8:181871?32;70?95;37g>{z{8<86=4={_357>;6?:0::>5rs05;>5<5sW;<463>72823==z{346=5r}c0`b?6=9;0i6<;tZ057>7}4:39<6pT;4;0x71<4=3w/=:75979O52c=9rB94n5rL05e>g}i:k=1=884$3;e>70a3-8247:k;%0:e?72>2.95;4;b:l1=7<73-82;7:l;o0:7?6<,;3?6=5a28294>"5j?0?:6sf4c83>>o3k3:17d;7:18'502==>1e=8=50:9j13<72-;>87;8;o367?7<3`?>6=4+146912=i9<91>65f5583>!72<3?<7c?:3;18?l34290/=8:5569m505=<21b9<4?:%360?303g;>?7;4;h6e>5<#9<>19:5a14192>=n55;294~"6?00<;6g;d;29?l202900e9650;9j00<722e:9;4?::a5?6==3:178843>o3l3:17d:8:188m1>=831b884?::m213<722wi>7>55;294~"6?00<;6g;d;29?l202900e9650;9j00<722e:9;4?::a1?6==3:178843>o3l3:17d:8:188m1>=831b884?::m213<722wi=?4?:483>5}#9>31;:5f4e83>>o3?3:17d:7:188m13=831d=8850;9~f4b=83?1<7>t$05:>21>o303:17d:::188k4312900qo<6:186>5<7s-;<5798;h6g>5<>o3=3:17b?:6;29?xd5n3:1>7>50z&23<<>92c5;n37g?6=3th8=7>52;294~"6?002=6g8e;29?j73k3:17pl=d;291?6=8r.:;4487:k7`?6=3`><6=44i5:94?=n<<0;66a>5783>>{t6c<0m2wx8n4?:3y]0f=:;807}Y7:k;%342?303g;<9784}r6f>5<5sW>n70?54e9'520==>1e=:;57:p50>=838pR<;7;<09500<,8==6<8?;o341?0m7>52z\21d=:=3;>:6*>778225=i9>?196s|14594?4|V8?<70?51448 41128<;7c?85;58yv72n3:1>vP>5g9>6d<6=?1/=:851728j412281v8650;0xZ0><5;n18i5+164912=i9>?1<6s|5583>7}Y==16=i4;d:&233<2?2d:;84<;|q21f<72;qU=8m4=009500<,8==6<8?;o341?2i7>52z\21`=::00:9;5+16495366?5rs4494?4|V<<01?o54e9'520==>1e=:;51:p16<72;qU9>521387`>"6??0>;6`>7487?xu6>80;6?uQ173897b=9<<0(<99:043?k70=3:0q~?:d;296~X6=m16=i4>579'520=9?:0b<9::29~w03=838pR8;4=3;90a=#9><19:5a16796>{t=80;6?uQ509>1?2c3-;<:7;8;o341?359z?23a<0j279m7:7;<390==::3>370;5499>57<3027:h7:7;<0:>1><5;n1855rs3g94??|5;k188521;66?84=<<1697::;<31>13<58n1885228871>;5n3;?o63=d;66?xu483:15v3=a;64?87=<>16>7:8;<7902=:9;0?;63>d;64?84>2==01>?515a897b=<>1vqo<80;29=?3=jrP:;94={0195<1>v?::059y!70133>7A?8e;3xL7>d3tF:;k4k{o0a3?7292.95k4=6g9'6<>=4o51448 7?12=h0b?7=:19'6<1=4=50:&1=1<392d95=4>;%0:1?6>o393:17d;>:18'502==91e=8=50:9j0c<72-;>87;?;o367?7<3`>n6=4+146915=i9<91>65`14c94?"6==0:945a14194>=h9<21<7*>55821<=i9<91=65`14594?"6==0:945a14196>=e=3:197>50z&23<<0?2c?h7>5;h64>5<>i6=?0;66sm2;291?6=8r.:;4487:k7`?6=3`><6=44i5:94?=n<<0;66a>5783>>{e93:197>50z&23<<0?2c?h7>5;h64>5<>i6=?0;66smf;296?6=8r.:;4461:k4a?6=3f;?o7>5;|`25?6=:3:1788:5>o0m3:17b?;c;29?xu3j3:1>vP;b:?e>2ch6=4={_6`?8762>o0q~:>:187[2634?185522;6;?87=<11v9h50;0xZ1`<5;0?h6*>77864>h6?<0:7p};e;296~X3m27:69j4$055>066?5rs07;>5<5sW;>463=:075?!70>3;>56`>7482?xu6=h0;6?uQ14c890<6=?1/=:8514;8j412291v<;8:181[72?27:6<;9;%342?7212d:;84=;|q65?6=:rT>=63::5f8 4112<:0b<9::19~w46=83>p184;7:?1>11<580?;63>1;37g>{tm3:18v3::57897<3=27:69;4=g820f=zuk8i<7>55;294~"6?00<;6g;d;29?l202900e9650;9j00<722e:9;4?::a6g3=83?1<7>t$05:>2g>o6=<0;66g;5;29?j72>3:17pl=7983>0<729q/=:75769j0a<722c?;7>5;h6;>5<:7>5;|`1ea<72=0968u+16;9=1=K9>o1=vF=8b9~H41a20qe>o95849'6<`=:?l0(?77:5f8 7?f28?=7)<66;6a?k4>:3;0(?78:19m6<5=92.9n;4;6:j0g<722c?h7>5;h343?6=3f;>:7>5;c3;7882<==n9>=1<75f4e83>>i60<0;66l>8683>6<729q/=:753d9j3c<722c3<7>5;n37g?6=3th:4;4?:383>5}#9>315<5f7d83>>i670?77;37g>{t9>=1<73=n7p};d;296~X3l27:4:470:p57>=838p1<68:6d894>128>h7psm1;297?6=8r.:;4485:k7`?6=3`>>6=44o075>5<i6=4={_6a?87=<<1v9j50;0xZ1b<582369j4}r362?6=:rT:9;521;362>{t9>=1<754;294~"6?00<:6g;d;29?l2?2900e9;50;9l500=831vn?o9:18b>15238~ 41>20=0@<9j:0yK6=e;%0:3?6>o2;3:1(<;;:408j4342910e8?50;&211<2:2d:9>4>;:k7b?6=,8??68<4n070>7=55866>h6=:0876a>5b83>!72<3;>n6`>5283?>i6=h0;6)?:4;36f>h6=:0:76a>5983>!72<3;>n6`>5281?>i6=>0;6)?:4;36f>h6=:0876l>2;290?6=8r.:;4486:k7`?6=3`>36=44i5794?=h9<<1<75rb483>1<729q/=:75779j0a<722c?47>5;h66>5<:7>5;|`1>5<3290;w)?89;55?l2c2900e9650;9j00<722e:9;4?::p0g<72;22=?01<4;5:?1>13:6=4:{_62?8752=20184;8:?2>1><5;0?46s|4g83>7}Y7:k;%342?353g;<97<4}r6f>5<5sW>n70?54e9'520==;1e=:;53:p50>=838pR<;7;<09500<,8==6<;m;o341?4m7>52z\21d=:=3;>:6*>77821g=i9>?1=6s|14594?4|V8?<70?51448 41128?i7c?85;18yv362909wS;>;<790a=#9><19?5a16795>{t=:0;6?uQ529>57<3l2.:;;4:2:l230<73ty:9n4?:3y]50e<5881=884$055>43e3g;<97>4}|`1g`<72<0;6=u+16;932=n5<7s-;<5798;h6g>5<>o3=3:17b?:6;29?xd5kj0;684?:1y'52?=?>1b8i4?::k73?6=3`>36=44i5794?=h9<<1<75rb3aa>5<2290;w)?89;54?l2c2900e9950;9j0=<722c?97>5;n362?6=3th9ol4?:483>5}#9>31;:5f4e83>>o3?3:17d:7:188m13=831d=8850;9~f7e>290>6=4?{%34=?103`>o6=44i5594?=n<10;66g;5;29?j72>3:17pl=c983>0<729q/=:75769j0a<722c?;7>5;h6;>5<:7>5;|`1<3<72=0;6=u+16;93<=n5483>>o3=3:17b?:6;29?xd5000;694<:7y'52?=111G=:k51zJ1;h4$3;;>1b<,;3j6<;9;%0:2?2e3g82>7?4$3;4>5=i:091=6*=b7872>{ne29086=4?{%34=?5b3`=m6=44i9294?=h9=i1<75rb0:;>5<42;0?w)?89;3;<>o3:3:17d:k:188k4>22900n<68:180>5<7s-;<57=j;h5e>5<52z\7`>;60?04=0:5>42d3twi=7>55;294~"6?00<46g;d;29?l2?2900e<;::188m13=831d=8850;9~w1d=838pR9l4=0871>{t5=d=0916=5654e9>5?2c3ty:9;4?:3y]500<580:9;5rs5094?5|V=801<6m:6d894>?2=80q~?75;296~;6010:48521;6;?xu60h0;6?u219`951e<580:985r}c0gf?6==3:178843>o3l3:17d:8:188m1>=831b884?::m213<722winn4?:0;9sS;<87x\3<38p87=9:|&23<{I0;g>{K9>l14v`=b68211=#:0l1>5h4$3;;>0?<,;3h6<97;%0:f?71;2d9mk4=;o0f5?><,;h=6984}h34!72<3<;7c?:3;38?l3b290/=8:5619m505=:21b9i4?:%360?073g;>?7=4;h7`>5<#9<>1:=5a14190>=n=k0;6)?:4;43?k72;3?07d8k:18'502=>91e=8=56:9j2f<72-;>878?;o367?1<3`!72<3<;7c?:3;;8?l0>290/=8:5619m505=i21b::4?:%360?073g;>?7l4;h45>5<#9<>1:=5a1419g>=n><0;6)?:4;43?k72;3n07d8<:18'502=>91e=8=5e:9j1d<72-;>878?;o367?`<3f;<>7>5$077>4163g;>?7>4;n344?6=,8??6<9>;o367?7<3f;=j7>5$077>4163g;>?7<4;n35a?6=,8??6<9>;o367?5<3f;=h7>5$077>4163g;>?7:4;n35f?6=,8??6<9>;o367?3<3f;=57>5$077>4163g;>?784;n35;o367?1<3k3;6=4;:087_70<3;p:7sU458224}O:1i0qA?8f;4x 7?a2;2m7)<68;7:?!4>k3;<46*=9c8226=i:hl1>6`=e082?xo6?10;66g93;29 4332?80b<;<:198m0g=83.:99492:l216<632e::>4?::`236<72=0?6:u+16;95256283>>d6>>0;6>4?:1y'52?=9=n0e:h50;9j<5<722e:8n4?::a530=8391<7>t$05:>6cl1<75f8183>>i65<5sW;=?63>66820f=z{8=36=4<{_34<>;6>?06584a>{t>:0;6?uQ629>530=091v8o50;0xZ0g<58<>65>4}r31e?6=:r7:::48f:?223<66484b>{t9;i1<7=6<58<>6<:l;|p535=838pR<8<;<347?71;2wx=:650;0xZ41?34;<1:?5a16795>{t>:0;6?uQ629>525=>:1/=:85639m523=82wvn5h50;695?2|R8=?63}#:0l1>5h4$3;;>0?<,;3h6<97;%0:f?71;2d9mk4=;o0f5?75;h40>5<#9<>1:?5a14194>=n=h0;6)?:4;41?k72;3;07b?93;29?g70;3:187:57z&23<<6?:1b=:650;9j26<722c>m7>5;n357?6=3k;=;7>53;294~"6?00:8i5f7g83>>o?83:17b?;c;29?xd6>?0;6>4?:1y'52?=;l1b;k4?::k;4?6=3f;?o7>5;|`221<72;0;6=u+16;9=4=n?l0;66a>4b83>>{e9??1<7=50;2x 41>2:o0e:h50;9j<5<722e:8n4?::p535=838pR<8<;<353?73k2wx=:650;1xZ41?34;=:79i;<350?1b3ty=?7>52z\57>;6>?03<6s|5`83>7}Y=h16=;;5819~w44c2909w0?97;5e?871>3;?o6s|13g94?4|58k4?:3y>531=0916=;;515a8yxu6>:0;6?uQ1718941428<87p}>7983>7}Y9>201<9<:05;?xu2i3:1>vP:a:?236<2i2.:;;492:l230<63ty=?7>52z\57>;6?:0=?6*>77856>h6?<0;7psm8d83>1<62=qQ=::51z49y_2328q<6p*>788b0>J6?l0:wE<7c:O52`=>r.95k4=8g9'6<>==01/>4m516:8 7?e28<87cm7>5$077>345<7983>>o1;3:17d;n:188k4042900n<88:180>5<7s-;<57?;d:k4b?6=3`2;6=44o06`>5<53;294~"6?008i6g8f;29?l>72900c<:l:188yg71<3:1>7>50z&23<<>92c5;n37g?6=3th::84?:283>5}#9>31?h5f7g83>>o?83:17b?;c;29?xu6>:0;6?uQ1718940028>h7p}>7983>6}Y9>201<89:6d894032>o0q~8<:181[0434;=:76?;|q6e?6=:rT>m63>648;4>{t9::1<72`<58<=6<:l;|q274<72;q6=;:515a894022>l0q~?<2;296~;6>>03<63>64820f=zuz;=?7>52z\226=:9>91=;=4}r344}|`;`?6=<3;18vT>758231=v95}%34=?g43E;"5110>56*=9b823==#:0h1=;=4n3ce>7=i:l;1=6sf16:94?=n>:0;6)?:4;41?k72;3:07d;n:18'502=>;1e=8=51:9l535=831i=:=50;690?1|,8=26<9<;h3450;9l51e=831vn<89:180>5<7s-;<57=j;h5e>5<5<52z\226=:9?=1=9m4}r341;h5rs7194?4|V?901<89:928yv3f2909wS;n;<351?>73ty:?>4?:3y>531=?o16=;8515a8yv74<3:1>v3>65820f=:9??1;k5rs016>5<5s4;=;76?;<351?73k2wv<8<:181[71;27:;>4>629~w41?2909wS?88:?236<6?11v8o50;0xZ0g<58=868o4$055>346<5rs7194?4|V?901<9<:718 4112?80b<9::19~yg>d290?6<4;{[340?7|>3wQ894>{68~ 41>2h80@<9j:0yK6=e7>a3-8247;6;%0:g?7002.95o4>629m6d`=:2d9i<4>;|k23=<722c=?7>5$077>346`>5282?>i6>:0;66l>7283>1<32>q/=:751618m41?2900e;=50;9j1d<722e::>4?::`222<72:0;6=u+16;951bl1<75f8183>>i65<4290;w)?89;1f?l1a2900e5>50;9l51e=831v<8<:181[71;27:::4>4b9~w41?2908wS?88:?223<0n27::948e:p26<72;qU:>521749<5=z{>067820f=z{89<6=4={<350?73k27::848f:p56>=838p1<88:928940228>h7ps|17194?4|V8<870?83;357>{t9>21<77}Y=h16=:=55`9'520=>;1e=:;51:p26<72;qU:>52161926=#9><1:?5a16794>{zj1;1<7:51;6x^41328q=6pT;4;3x3?{#9>31m<5C16g95~N50j1v@<9i:7y'6<`=:1l0(?77:4;8 7?d28=37)<6b;357>h5io097c;1e=8=50:9j1d<72-;>878=;o367?7<3f;=?7>5;c347?6=<3>1;v*>788236=n9>21<75f6283>>o2i3:17b?93;29?g71?3:1?7>50z&23<<65;|`223<72:0;6=u+16;97`=n?o0;66g70;29?j73k3:17pl>6583>7<729q/=:75909j3`<722e:8n4?::a533=8391<7>t$05:>6cl1<75f8183>>i6{t9>21<7=t^05;?871>3=m70?94;5f?xu1;3:1>vP93:?2235<5s4;=;79i;<352?73k2wx=>o50;0x940328>h70?95;5e?xu6;k0;6?u21759<5=:9??1=9m4}|q226<72;qU=;=4=050>4043ty:;54?:3y]52><58=86<97;|q6e?6=:rT>m63>7286e>"6??0=>6`>7482?xu1;3:1>vP93:?236<1;2.:;;492:l230<73twi4=4?:582>1}]9>>1=v85}[67>4}02t.:;44n0:N23`<6sA83o6sC16d92~"51o094k5+28:91<=#:0i1=:64$3;a>4043g8jj7<4n3g2>4=za8=36=44i7194?"6==0=>6`>5283?>o2i3:1(<;;:708j4342810c<8<:188f414290?6948{%34=?70;2c:;54?::k57?6=3`?j6=44o040>5<72900c<:l:188yg71>3:1?7>50z&23<<4m2c5;h:3>5<h6=44}c350?6=:3:1788:5>o0m3:17b?;c;29?xd6><0;6>4?:1y'52?=;l1b;k4?::k;4?6=3f;?o7>5;|q226<72;qU=;=4=044>42d3ty:;54?:2y]52><58<=6:h4=047>2c32;7p}:a;296~X2i27::8470:p56e=838p1<88:6d8940128>h7p}>3e83>7}:9?>1=9m4=046>2`52z?2224b9~yv71;3:1>vP>629>525=9?90q~?88;296~X6?116=:=516:8yv3f2909wS;n;<347?3f3-;<:78=;o341?7{78~^12=9r=1q)?89;;e?I70m3;pD?6l;|N23c<1s-82j7<7f:&1==<212.95n4>799'6878=;o367?6<3`?j6=4+146927=i9<91=65`17194?=e9>91<7:54;5x 41>28=87d?88;29?l042900e8o50;9l535=831i=;950;194?6|,8=26<:k;h5e>5<5<53;294~"6?008i6g8f;29?l>72900c<:l:188yv71;3:1>vP>629>531=9=i0q~?88;297~X6?116=;857g9>532=?l1v;=50;0xZ35<58<=65>4}r7b>5<5sW?j70?95;:3?xu6;o0;6?u217593c=:9?<1=9m4}r374?6=:r7::94>4b9>533=?o1v<:>:181871?32;70?95;37g>{z{8<86=4={_357>;6?:0::>5rs05;>5<5sW;<463>72823==z{346=5r}r7`>5<5sW?h706k:718 4112?:0b<9::59~w41?2902wS?88:?:4?700273j7?88:?;a?700273h7?88:?;g?700273=7?88:?;4?70027"6??0=<6`>7481?xu1j3:1>vP9b:?4b?3f3-;<:78?;o341?>72?90(<99:728j412281v<8m:181[71j273i7?93:&233<6?81e=:;55:p524=838pR<9=;<5e>4043-;<:7?81:l230<73ty::54?:3y]53><50:1=;=4$055>4163g;<9794}r7b>5<5sW?j707?:4c8 4112?:0b<9::g9~w4172909wS?80:?;4?71;2.:;;4>709m523=92wx:;4?:3y]23=:0m0>m6*>77854>h6?<0h7p}9c;296~X1k272<78<;%342?073g;<9794}r4b>5<5sW709m523=:2wx:i4?:3y]2a=:0o0=?6*>77854>h6?<0=7p}>6883>7}Y9?3015h51718 41128=:7c?85;48yv3c2909wS;k;<:`>35<,8==6;>4n056>6=z{8;?l3;=?6*>778234=i9>?186s|6283>7}Y>:164k4:a:&233<182d:;84j;|q55?6=:rT==638f;40?!70>3<;7c?85;28yv022909wS8:;<:f>0g<,8==6;>4n056>a=z{8;?k3;=?6*>778234=i9>?1?6s|6683>7}Y>>164n4:a:&233<182d:;84m;|q6f?6=:rT>n637e;40?!70>3<;7c?85;78yv0>2909wS86;<:2>0g<,8==6;>4n056>d=zuk8oo7>55;294~"6?006=4?{%34=?103`>o6=44i5594?=n<10;66g;5;29?j72>3:17pl=5e83>0<729q/=:75769j0a<722c?;7>5;h6;>5<5;|`;2?6=;3:178877>o0m3:17d9;:188k42d2900qo6<:180>5<7s-;<57:<;h5f>5<>1<75`15a94?=zj1=1<7=50;2x 41>2=90e:k50;9j31<722e:8n4?::a<0<72:0;6=u+16;906=n?l0;66g84;29?j73k3:17pl78;297?6=8r.:;44;3:k4a?6=3`=?6=44o06`>5<87d9j:188m22=831d=9m50;9~f=2=8391<7>t$05:>15o1<75f7583>>i6;;4=c691d=:jk0=?63mc;40?8>428>h7)?86;05f>h6?<0=7p}=6783>0}Y:?<01o;55`9>fg<1=27io78:;<:7>42d3-;<:7<9b:l230<23ty9::4?:5y]631<5k<19l52bb852>;?=3;?o6*>77812g=i9>?186s|27:94?3|V;<370ln:7189g1==h16nn497:?;2?73k2.:;;4=6c9m523=;2wx>;750;1xZ70>34hh6;74=95951e<,8==6?8m;o341?454z\12d=:j10>m63mc;4b?8>?28>h7)?86;05f>h6?<0:7p}=6b83>6}Y:?i0157515a89ge=>k1/=:8527`8j412291v?lm:181[4ej279484=bc9~w70b2909wS<9e:?1e6<5>l1v?8?:186[418279=54=619>65>=:?:01m3=n7p}=5d83>7}Y:64>=:?n01??;:34g?84?j32:70<80;6`?84e<3=n7p}=5g83>6}Y::1v?l6:185[4e12799:4=b89>65e=:k301?6::3`:?8d>2:18h5+16495gb6?5rs06a>5<5sW;?n63=a2820g=z{;==6=4={_042>;el3?j7p}=b`83>6}Y:kk01?=i:3`b?846838im6s|2ca94?4|V;hh706m:06`?xu5jm0;6?uQ2cf897>>28?=7p}=8e83>7}Y:1n01?6j:06`?xu50?0;6?u28c84a>;50?0:9;5rs3`e>5<4s48><7579'520=:j?0b<9::79~w712290?w0<;a;041>;59l0;5ij0:9;522ea950357>54z?172<5=016>=m524;897g22>l01?;n:06`?xu5l00;6?u22ec951e<5;236:h4}r0`0?6=;r79??4=c59>6fc=nj51448 4112;i>7c?85;38yv4fl3:14v3>ce81ea=:90n1;k5218693c=:90<1;k5218:93c=:90h1;k5224c9<5=::hn1=884}r06`?6==r799=4=5e9>g6<1=27i878<;<`1>35<5;?o6<;9;|q13=<72=q6>><526:897bf21:01o65629>62>=9<<0q~<6d;2953}::mn1855226790==::0o1=9m4=353>17<5;h;6964=3`6>432348<47:7;<043?2?348jo7:7;<0b2?26348hi7:7;<0``?2?348ho7:7;<0`f?2?348hm7:7;<0`=?2?348h47:7;<0`3?2?3483:7?:5:?1<<<3:2799n4;8:?11a<302wx>8m50;6x97302;?h70m<:7189g5=>:16>8m51448yv4cj3:1?v3=5`84b>;5im0?h63=dc8213=z{;k?6=4j{<0b1?73k279mi4;b:?1ef<3=279m;4;b:?1g`<3=279oi4;5:?1gf<3=279oo4;5:?1gd<3=279o44;5:?1g=<3=279o:4;5:?1<3<3=279444;b:p6=4=838p1?6::3:1?84d?3>o7p}=de83>1}::=>1>ij4=303>2c<5;no6<;9;<`5>357>53z?23a<0j273m79j;<0gg?2c3ty9o=4?:2y>61g=:j:01?mn:5f897e>28?=7)?86;0`1>h6?<0>7p}>9`83>7}:90h1=9m4=3c5>05<,8==6<76;o341?653z?112<5?816no496:?135<6=>1/=:852618j4122;1v?<::184845>3;?o63m8;34<>;e?3;<463m6;34<>;e=3;<463m4;34<>;e;3;<46s|23394?4|5j91=;=4=c091d=#9><1>?<4n056>4=z{;nh6=4<{<01`?4ck279hl48f:?1`f<6=?1v?9=:180842838<>63mb;44?84083;>46*>778136=i9>?1=6s|18194?4|583?6<:l;<0b2?2b3-;<:7?69:l230<43ty:5:4?:3y>5<>=9=i01?o9:438 41128327c?85;38yv45?3:1>v3=29820f=:jk0:;55rs3a5>5<5s489h73;h:6`>7483?xu6jj0;6?u2bc822<=::>:18k5+16495gb6<5rs3:b>5<69r799:4=8`9>606=:1k01?:n:3:b?843<383m63=3g815o4=311>7>f3489h7<7a:?152>>015:5759~w710290?w0<;59=09;:52b6857>;5?>0:9;5rs0cg>5<5s4;i<7?nd:?1`g<302wx>;=50;7xZ70434;oo7<93:?2`=<5>:16=i:5271894ea21:0(<99:341?k70=3:0q~?m1;296~;6j;0:8n522e`902=z{;n86=4<{<3bf?4c;279ok4>5b9>ff<2k2.:;;4=d69m523=<2wx>5950;0x97>?28>h706n:668yv42j3:1>v3=a48;4>;el3;=?6s|18a94??|583o6<:l;<0`a?20348hh7:8;<0`g?20348hn7:8;<0`e?20348h57:8;<0`12=n0q~?m3;296~;e;3;=?63=5b87`>{t9hi1<7;5i:09m=522bd90g=::>:18o522c093`=z{8hj6=4={<`b>404348i<7:k;|q2f0<72;q6>:;54e9>f0<6>:1v?l?:187844n38i<63=1181f5=:jh0>m63=b18213=z{;;i6=4={<02g?73k279ok4;c:p5g0=838p1?jk:5f89g0=9?90q~?m7;296~;e?3;=?63=7687`>{t:>k1<771f34286:k4$055>7>73g;<9784}r3an?50;1x97232;i:70:6*>7781g0=i9>?186s|1bg94?3|5;?<64b9>fd<6?116n44>799~w4e?2909w0?l9;37g>;5ij0?h6s|1bc94?5|5;:36c`9~w4b7290hw0<:7;3g4>;5=90:h=5225c95a6<5;>?6d19>664=9m:01?;6j;0{t:m?1<7=t=0ca>7b2348hj7?:e:?ag?3b3-;<:75c7=9ln01d49>66`=9m?01?=8:0f6?844:3;o963=2e82`0=::;<1;h521e:95a352z?112<5?0164?48e:&233<5091e=:;57:p5c4=838p16s|1e;94?0|5;?<6d89>66`=9m301?<7:6g894bd28n27p}=bd83>6}::<=1>ok4=3a;>1b<5;i<6<;9;%342?4d=2d:;848;|q2b3<72;q6=ko51g4894ge28l=7p}>9483>7}:90<1=9m4=3c5>1`<,8==6<76;o341?452z?165<6h70lk:05;?xu6no0;6?u221095c`<58ki67}::8k1=9m4=ca952>52z?2<5<6=816>il54e9~w7722909w0<>c;5f?846038:96s|21194?4|5;:?6<:l;<3bf?47;2wx>i<50;1x94ge2;n970;ek3?i7)?86;0g3>h6?<0>7p}=c283>6}:::=1>n=4=3ag>1b<5;ih6<;9;%342?4d=2d:;84=;|q131<72:q6>9o526689gd=>016>:>514c8 4112;=87c?85;28yv47=3:1>v3=2584a>;58109<85rs3ca>5=7<5;:96?om;<3ea?4fj27:jl4=ac9>5c3=:hh01:3ca?87bk38jn63>e681eg=:9j314n522`4950e<,8==6?on;o341?653z?2eg<5l916>nh514589ge=>j1/=:852e58j4122>1v?j9:18087fj38o:63=cg821c=:jj0>j6*>7781`2=i9>?1=6s|21;94?4|5;:h6?>6;<0;a?1b3ty:o94?:3y>6f`==<16nn4>6g9'520=9j<0b<9::39~w7e52908w0<;5kj0?h63=cc8213=#9><1>n;4n056>6=z{;2:6=4={<01`?4?9273579j;%342?4?82d:;84?;|q14a<72;q6><>521f897>?21:0q~?l3;296~;5ko0>863mc;35a>"6??0:o;5a16797>{t:m;1<7=t=0ca>7b6348hj7?:8:?ag?0c3-;<:75dd=:m201?mi:042?8dd2?;0(<99:3f4?k70=3:0q~<>1;296~;59=09=<521c09<5=z{;<:6=4:{_055>;6lj09:<521e:9637<58n?6?8>;<3`b?1a3-;<:7<92:l230<63ty:o?4?:3y>6f`==:16nn4>6e9'520=9j<0b<9::59~w4ba2909w0l>:04a?87>032;7)?86;3f4>h6?<0:7p}=7g83>7}:::81>:h4=9:93`=#9><1>5>4n056>4=z{;k36=4m{<`2>26<5;:?6:h4=321>7g?34;mi7;6m>09m5521b;9<5=::h<1=864$055>7gf3g;<97<4}r3`5?6=:r79ok4:1:?ag?71j2.:;;4>c79m523==2wx>:m50;0x97232;=h706::6g8 4112;2;7c?85;68yv4f?3:19v3l3;7b?8d62?l0138jm6`>7480?xu5i00;6ou2b0845>;58=03<63=0381e<=:9oo1>l74=0db>7g>34;m973;>m6*>7781ed=i9>?1=6s|1b294?4|5;im69h4=ca953?<,8==652z?a5?71127:5;470:&233<6m91e=:;52:p62c=838p1?=8:35f?8>02>o0(<99:3:3?k70=380q~?l5;296~;5ko0>:63mc;344>"6??0:o;5a16795>{t9kl1<71c<5ki1=;64$055>4e13g;<9794}r04f?6=:r798l4=7c9><1<0m2.:;;4=819m523==2wx=h?50;0x9g7=9?n01<7m:928 41128o;7c?85;28yv45;3:1>v3=25820f=:j;0:;55rs0`f>5<5s4hi6<8m;<044?363-;<:7?md:l230<73ty:hi4?:3y>f4<6>116=4:5819'520=9l:0b<9::29~w71c2909w0<;?>3=n7)?86;0;4>h6?<087p}=b283><}::mn18:52267902=::k:18:522c7902=::>218:52265902=::1=9m4}r0a5?6=jr79hi4;5:?130<3=279n=4;5:?1f0<3=279;54;5:?132<3=279ho4;5:?1`f<3=2799n4;5:?11a<3=279n?4>4b9~yx{zHIIp5975f`;743>6uIJIw=sO@Qy~DE \ No newline at end of file +$23:5=639;0=i5>6;Fbpd:6=3:5=>5>6;KMTPR=Lh~j0<;50?386g=52Mkm1?50?32?77Qa012784<76m196R`?014?5?69l281Sc>?84>2>58d3;0h|xQn<083:3=5WG>9><<42^L767YneyU9SC:=20486ZH3:=;97?QA436\mhvX:VD?>9?>;2:87?B;:3:5n6=5D`vb87<76l186D@_UU8G87<768;0?7GAPTV9@drf4;0;2k5<:NWWTPR=Y581<3?;;28LQQVR\3Xnxb{<383:==42X696=0k;28Qavsk|581<3j43;]m45554;0;2h5<:ekumviu4;0;2<5;8:69@95=87i087Jnt`C?7?69m2>1EC^ZT;F?7?699;1?6D@_UU8GeqgF4:0;2k5;:NWWTPR=Y591<3?;;58LQQVR\3Xnxb{<283:==32X686=0k;58Qavsk|591<3j44;]m45714:0;2i5;:^l3411;;3:5j6:5sikepfhs4:0;2<5:1:4a?311095>LHW]]0Omyo35;2=5>113>UE858>2:5\J1>1W`g{S:QA49422>1XF=23=?58_O6;A:0294:>665IOMVP?ew}Vn7;7>1e::9MKVR\3N7;7>1f::9KPRW]]0Z0:4?>068e9;>Zh78:>7;7>1c::9gusXl5=1<3h48;kmpZbh}}6<6=0>0::9wuoci}kbb1950?32?=7L??_C08E=26O64:C:[G2GIL:>0MCJ;6:CM@1D6<2Kh`;4Ammb`1=E]OO37O[\_HLEK4=D:=1H6"}`usafw*GwxeoBE^j SQK5154E9';%zej=8:A9+virzjox#L~ldKJWa)flmxn~Qkebmgaw(q`o80OH=4CMI0?FJU12IDA@G[TDF4?FTBI]OO?6Mck49@hnCi92N?7I2?>59G84813M696=0;;E>1:3=C4:0;295K<2<7?A:36=1O080;;E>5:3=C4>0;295K<6<7?Agsi>1Omyo30?:8@drf48:546Jnt`>25;>Bf|h6:97>18:Fbpd:6=730Hlzn<083:2=Ci}k7=374D`vb87<76>1Omyo32?58@drf4:4<7Io{a=6==>Bf|h6>6=08;Ecwe939?2Njxl29>69Geqg;?7=0Hlzn<9<4?Agsi53596Jnt`C;?AgsiH6;255KaucB848?3MkmL2=>`9GeqgF4:0;255KaucB86863L80JI=4FEA7?CBDM=1MHN^<;GFS0>@CXL;h7KJ]S^O[MIOIPVkohk|s^ffgjbbz8k0JIQBXHNJJ]Yflmxn~Qkebmgaw76<;FLG2>NRWZO_=6G=;H21?L753@8>7D<7_178M7>X9<1B>5Q=5:K1W980E>;4I22\47=N<;1B9?5F639J36=NF_20ER=9_031<>OX;?U:=>64I^15[447?2CT?;Q;369OKBODIEn0@]CPAEF\WPDX901G\@QKFG]2e>JWEVNMJR?=a:NSIZBANV;856B_M^FEBZ5>3EZFSIHI_7c8HUKXE\R9SJWEVG^T?Q>_32g?IVJWD_S>R?P20f8HUKXE\R9Sj1G\@QBUY0\5Z1d3EZFS@[W2^3\R=P0`9OTHYJ]Q8T4l5CPL][KW5X9k1FmijP_o2302dKj}qUTb=>=9c9Nip~XWg:;?=l4Mlw{[Zh78:;i7@czx^]m4555j2GfyuQPn1200g=Je|rToae|rba8I}iuW[oxyaz>f:O{kwYUmzgx1?11g9N|jtXZly~`y2=>0d8I}iuW[oxyaz33?3`?H~hzVUe<=>?1c9N|jtXWg:;8=;4N02670=I99?>96@>0456?K77=0?0B<>9149M5502=2D:<;9:;O332<3H68>=>7C??7878J46?9<1E==6:5:L24=123G;;44;4N02:70=I993>96@>0856?K7710?0BH698=>7C?>1878J475;<1E=<<:5:L257123G;:9<;4N03610=I98?296@>1716?K76>>?0BH6909>7C?>9678J47>1<1E=?><5:L265323G;9<:;4N00250=I9;;>96@>20;6?K75:8?0B<<=749M5752=2D:>>7:;O31043H6:==>7C?=4878J4429<1E=?;<5:L260323G;99:;4N006=0=I9;=>96@>26;6?K751>?0B<<6949M5666=2D:?=;:;O30423H6;8?>7C?<1678J4559<1E=><<5:L277323G;8>4;4N01050=I9:9896@>3256?K74;0?0B<=;149M5622=2D:?99:;O300<3?85A12761>H6;<=>7C?<6078J451;<1E=>8:5:L273?23G;8;<;4N01470=I9:=<96@>36;6?K7408?0B<=7549M56>0=2D:?57:;O30=63H6<93>7C?;1478J4261<1E=9<>5:L207523G;?>:;4N061=0=I9=9:96@>4276?K73;>?0B<:<949M5124=2D:89;:;O37023>=85A15701>H6<7C?;5878J4219<1E=98<5:L203123G;?:4;4N06450=I9==>96@>4656?K73?0>0B9?74:L76520Bn}=1:M0?JT5;2EY?>5@R518KW>63Y>0\L\[a:RJJZDR[@NSn6^FN^@VWKGJM:1[^H?4Q59R85833X6:2;5^<383:1=V4;4=7\2<:1<7?T:46=1Z090;;P>6:1=V4?4=7\28:1<7?T:0681Y?6\[L79Qavsk|11Yi~{ct03;?Wct}e~9=:5]erwop6>9:Pfwpjs49427_k|umv?5;d3l4Rdqvhq:429427_k|umv?7;?89Qavsk|5?556\jstnw838e3[oxyaz37;2==>Tb{|f0:0n;SgpqirXadz:7^l4SHEAQVYNFOEj7^GH_CWPMA^e3ZCLSO[\N@OF0>Udd{90_hb;;U[SA7=R8:1]ON74VHGT[Q_WM8n0TDBFNY/[@G&7&8*XXXL/0/3#EVENA>1S@UGP1258\I^NW8><7UBWI^35g>^KP@U::R[?_158\I^NW8=<7UBWI^3;3>^KP@U:5:5WLYK\651069[H]HX98=0TAVA_064?]J_FV;2:6VCXO]72>^KPGU=:6VCXO]42>^KPGU3;6V\T^EM@4c1S_YQYCB48\adXAm;;7Ujb_LcikwPbzzcdb<>4Xeo\Ilhhz_oydaa3:Zpp3=Xf9:;<:5Pn12344>a:]m4567W`g{=?5Pn1234ZojxVUe<=>?179\j5679880Sc>?00]jiuYXf9:;=<74_o2347:76k1Tb=>?2=394;?c9\j567<5;1<374_o2343:76k1Tb=>?6=394;753Vd;<=7Pilr\[k6780;27R`?004?4;7?3Vd;<<830?]jiuYXf9:::1>1189\j566>5;5=55Pn1222979W`g{SR`?004?5;7>3Vd;<<832?3;?Zh788<7>3Qfmq]\j566>585=o5Pn122295=8730Sc>?17>0:4>^kntZYi89;=0>0>6:]m45709;1Tb=>>7^kntZYi89;<=;5Pn122<<=Xf9::4<>>8:]m457?9830Sc>?19325==Xf9::4<<7;^l344>5911Tb=>>823;?Zh7882?=55Pn122<07?3Vd;<<69199\j5660>;37R`?00:;5==Xf9::44?n;^l344>Xadz=7R`?00;5?Zh78;:<7R`?0322<>Yi898;=?2031?Zh78;;Te`~P_o2364713Vd;>9;^l3467>3Vd;<><30?;8[k67;;6:2o5Pn120694=8730Sc>?33>1:<=Xf9:881>19:]m455348427R`?026?6;?89\j564<5>556Qa0117808>3Vd;<>:36?`8[k67;=6<6=06;^l3462;?7<0Sc>?3631?Zh78:=Te`~P_o2372713Vd;<>68;^l346>602Ue<==710c8[k67;1Uba}84_o2307753Vd;<9:=:5Pn12707>a:]m4523W`g{:6Qa01663>Yi89>>=55Pn127147f3Vd;<9;Pilr5?Zh78=<<7R`?0542<>Yi89>==?46>3:<=Xf9:?;1?19:]m45204;4i7R`?055?7?6912Ue<=:8<2<5?Zh78=237R`?05:25==Xf9:?4??8;^l341>402Ue<=:730c8[k67<1Uba}84_o230<>7:]m452>:11Tb=>;933b?Zh78=3Te`~9;^l3406?3Vd;<8>>169\j5628;20Sc>?5102e>Yi89?;Sdc6:]m4536?2Ue<=;>199\j56298;j7R`?043\mhv13Vd;<8<7;^l340469>1Tb=>:23:8[k67=;8:m6Qa0171[lkw>2Ue<=;<7:]m4534911Tb=>:303b?Zh78<9Te`~9;^l340203Vd;<8:>8:]m453398k0Sc>?55]jiu0?5435?Zh78<<<7R`?0442<>Yi89?==?5658[k67=>;37R`?04525d=Xf9:>;Rgbp79\j5620>1Tb=>:80:8[k67=1;:m6Qa017;[lkw>2Ue<=;67:]m453>911Tb=>:903b?Zh78<3Te`~9;^l343603Vd;<;>>8:]m450798k0Sc>?61]jiu0XadzTSc>?7935?Zh781::>6Qa01:3[lkwWVd;<5>>6:]m45>59;1Tb=>72^kntZYi8929=;5Pn12;744Qfmq]\j56?;830Sc>?84>3:g=Xf9:391?50?48[k670?;97R`?094\mhvXWg:;4;?9;^l34=>6:2Ue<=67_hos[Zh7812::6Qa01;457=Xf9:2;Rgbp^]m45?0911Tb=??103;?Zh799;9=:5Pn13356>a:]m4466W`g{46Qa002154>7:]m4465;11Tb=??223b?Zh7998Te`~7;^l35556911Tb=??3334?Zh7999846Qa002074gQfmq:8[k668=;:46Qa002764>8:]m4463<820Sc>>05723>Yi88:?:55Pn133037f3Vd;==:Pilr;?Zh799?:=55Pn13317703Vd;==;<8:]m4462;8k0Sc>>04]jiu>8:]m4460:820Sc>>06123>Yi88:<855Pn133317f3Vd;==9Pilr;?Zh7993:=55Pn133=77?3Vd;==7<169\j5771=20Sc>>0862e>Yi88:2Sdc8:]m44769820Sc>>1002<>Yi88;:?<64_o225426?2Ue<599\j5769<;j7R`?103\mhv43h;;>6o72:c:3>gclVnno3jz~SC~gi3:8gusXF{ox46mu^c?4;gew}Vn78364cqw\`93902i{yRj36?c8gusXl5=1<364cqw\`919?2i{yR|aw29gbc129fg`03:g=nf}Uocxz31?`8mkrXlf0?0m;hlw[air|595n6gat^flqq:36k1bbyQkotv?1;doi|Vgbb?>c:kmpZkn{fUba}64iov\ijun?2cexRazt068mkrXg|~Te`~Piov\kpr602cexR}llj58mvYumhn37d}Prdcg5<=n{Vxnmi?>b:kp[wcflVcf|h5dedr\llcui{icu>5ceea8h`bXadzT`hj>3:ov|7=ioh1ekRgbp^ld52=iafUxia94nhm\wqd43gen46~`l^c`hw>vhz}Ujoa|6;qmqpZgtm}20|b|{_bnhe>vhz}Uh`fka9:rlvqYci}k37}a}t^mse3=wg{~T}o5osv\v`urd}h0|b|{_rgoafr23{oxiy??;sgpaqYneyUyi~k{199qpiYsqyo37~kcebvf`40<{lfnoykk_hos[vckmj~nh<64sikepfjl9?1xddh{cmi\mhvX{acmxnbd199pll`skg~i7~fffuamp969j2ycekzlnu>2:g=t``locz32?f8wmoa|jd0>4?>c9pll`skg~7?374sikepaiim01xddh{ioe10>unog>0dtja:qoescnf}oej6}cawgjjqciWG>98?:4smcualhsmgUE8?:Pilr\wigqm`dicQA4362<>ukioxiah4snwqg`Yaaeoem`kk;rmvvfcXd`dnbo64sqk5154e02y{eR@|em58wuoXag~37~~f_lkpk==tx`Ufc~g7;rrj[vekcj1x|djnt`km858d3zzbhlznio>2:f=tx`njxlga<3<`?vvnlh~jec2<>b9ptlbf|hce090l;rrj`drfag6>2n5|phfbpdoi4?4n7~~fd`vbmk:0294h7~~fd`vbmk:06k1x|djnt`km[5d<{ycomyofn^3a?vvnlh~jecQ=b:qsmagsi`dT?o5|phfbpdoiW=h0}gkaucjjZ3e3zzbhlznio]5f>uwamkmd`P7`9ptlbf|he~xi5|phfbpdir|5:5h6}iecwejss484o7~~fd`vbkpr;:7n0}gkauclqq:46m1x|djnt`mvp929l2y{eio{anww808c3zzbhlznotv?2;`<{ycomyo`uu>4>58c3zzbhlznotv?3;0<{ycbby?=;rrjmkrXadzT}gfnu3f?vrfz}Uxddh{ioe1?qe43}gd46{ashemaa?<~hf~iRmfn89ueisbWfzi96yfduj;?}e>=9:s`>5wosCDu?c;2JKt?kk:G81>4}T==0:mh478;307f17n399iv`>ab82?k7fl380(1=lk5898276e08o08>h5yF05;>5<6280;w^;;:0cf>=>=9:9h;=h533g8 4?42h90n?kk:1814?0>208pV<6m:by0e?7e2:i1?i4=6;3`>f<413n1?o4=8;04>x\3k38p47=<:|&2=5<5mm1G=l652zJ1g5=#9?=1?<94}M3b=?7|,;oi69k4}h0e4?6=3`8h:7>5;h0:7?6=3`8h;7>5;h0b4?6=3`82>7>5;h354?6=3`8j47>5;h0fb?6=3`83>7>5;h0;7?6=3`8387>5;h0:2?6=,8<26?7:;o355$04:>7?23g;=47?4;*0:3?6=,8<26?7j;o355$04:>7?b3g;=4784;*0:=?6=,8<26?7j;o355$04:>7?b3g;=47:4;*0:f?6=,8<26?7j;o355$04:>7?b3g;=47<4;*0:`?6=,8<26?7j;o355$04:>7?b3g;=47>4;n0;e?6=3f83n7>5;n0;=?6=3f82=7>5;n0`0?6=3f8h47>5;n0fa?6=3f8m=7>5;n0e6?6=3f8h=7>5;c0;5?6=i3<1jv*>9181<4=n:ki1<75f1gc94?=n:o91<75f1gd94?=n:1l1<75f21194?=n:h91<75f1g:94?=n:lo1<75`2``94?=e:1:1<7=50;2x 4?72=>0e5m50;9jt$0;3>12>i6>80;66sm26`94?5=83:p(<7?:568m=e=831b4i4?::m224<722wi>:m50;194?6|,83;69:4i9a94?=n0m0;66a>6083>>{e:>n1<7=50;2x 4?72=>0e5m50;9jt$0;3>4033`2h6=44i9f94?=n0l0;66g68;29?j7193:17p}=ac83>7}Y:hh01?6?:042?xu5jj0;6?uQ2ca897>721i0q~?ia;296~X6nh16>:h58b9~w7`42909wS5h4=35a>=b52z\146=::>i14n5rs3c0>5<5sW8j?63=7b8;`>{t9o21<77}Y:lo01?9k:9f8yvb22909w0<8f;355>;5?l03o6s|d783>7}::>h1=;?4=35f>=b:j51738971b2020q~j6:18184?832o70<8e;355>{zj;=j6=47:48`!7>838>o6nh0;66g=f583>>o6no0;66g=9183>>o58:0;66g=a583>>i5ij0;66l=7883>6<729q/=4>5459j5;n355?6=3th9;54?:283>5}#90:1895f8b83>>o?l3:17b?91;29?xd5?<0;6>4?:1y'5<6=<=1b4n4?::k;`?6=3f;==7>5;|`133<72:0;6=u+182901=n0j0;66g7d;29?j7193:17pl=7683>1<729q/=4>51718m=e=831b4i4?::k;a?6=3f;==7>5;|q1ef<72;qU>lm4=35:>4063ty9nn4?:3y]6ge<5;=265m4}r3ee?6=:rT:jl5226:9;5?103h6s|1gd94?4|V8lm70<85;:`?xu5190;6?uQ2828971221n0q~:858b9~w7g32909wS62>=9?;01?98:9a8yvbe2909w0<85;355>;5?>03h6s|db83>7}::><1=;?4=354>=cc348<;7?91:~f7132903684l{%3:4?40<2c9nn4?::k2bd<722c9j84?::k2bc<722c9m:4?::k146<722c9m;4?::m1ea<722h9;>4?:283>5}#90:1895f8b83>>o?l3:17b?91;29?xd5?;0;6>4?:1y'5<6=<=1b4n4?::k;`?6=3f;==7>5;|`12c<72:0;6=u+182901=n0j0;66g7d;29?j7193:17pl=7183>6<729q/=4>5459j5;n355?6=3th9;<4?:583>5}#90:1=;=4i9a94?=n0m0;66g7e;29?j7193:17p}=ae83>7}Y:hn01?9<:042?xu5jj0;6?uQ2ca8971421i0q~?ia;296~X6nh16>:<58b9~w7`22909wSl94=34e>=b52z\146=::>:14n5rs3c5>5<5sW8j:63=718;`>{tll0;6?u22609537<5;=:65m4}rfe>5<5s48=j7?91:?134626=9?;01?9>:9g8yvc62909w0<83;:g?84093;==6srb34f>5<12=02w)?60;05a>o5jj0;66g>f`83>>o5n?0;66g>fg83>>o49;0;66a=ad83>>d5>m0;6>4?:1y'5<6=<=1b4n4?::k;`?6=3f;==7>5;|`12f<72:0;6=u+182901=n0j0;66g7d;29?j7193:17pl=6`83>6<729q/=4>5459j5;n355?6=3th9:o4?:283>5}#90:1=;<4i9a94?=n0m0;66a>6083>>{t:ho1<7;m58e9~w4`a2909wS?if:?12d609>63d=0j1vh=50;0x970f28<:70<9b;:g?xub<3:1>v3=6e8;`>;5>k0::<5r}c05=?6=i3=1==u+182963?5<5<5<5<5<83>?7d6l:188m=b=831d=;?50;9~f70029086=4?{%3:4?233`2h6=44i9f94?=h9?;1<75rb341>5<4290;w)?60;67?l>d2900e5j50;9l537=831vn?8<:181>5<7s-;2<77j;h:a>5<91870>o?k3:17d6k:188k4062900qo<95;297?6=8r.:5=4;4:k;g?6=3`2o6=44o042>5<55;294~"6190::95f8b83>>o?l3:17d6j:188m<>=831d=;?50;9~w7ga2909wS81v?ll:181[4ek279:547c:p5cg=838pRd3ty9j:4?:3y]6c1<5;<<65j4}r3eb?6=:rT:jk522709;5>;03h6s|21194?4|V;:870<94;:`?xu5mo0;6?uQ2dd8970421h0q~?i8;296~X6n116>;;58b9~w7c02909wS631=9?;01?89:9a8yvc12909w0<92;355>;5>?03h6s|e683>7}::?91=;?4=347>=b;;5173897012020q~kn:181841032o70<96;355>{zj;<:6=49:58:!7>838==6g=bb83>>o6nh0;66g=f983>>o6no0;66g=8b83>>i5j90;66l=6183>6<729q/=4>5459j5;n355?6=3th99k4?:283>5}#90:1895f8b83>>o?l3:17b?91;29?xd5=m0;6>4?:1y'5<6=<=1b4n4?::k;`?6=3f;==7>5;|`11`<72:0;6=u+1829534>i6>80;66s|2c294?4|V;h;70<90;355>{t:ki1<7f`83>7}Y9ok01?;i:9a8yv4a03:1>vP=f99>60`=0m1vc3tynn7>52z?11c<6>816>8k58b9~w`e=838p1?;k:042?842m32o7p}jd;296~;5>903h63=5d8224=zuk8>o7>56;69=~"619099n5f2ca94?=n9ok1<75f2g;94?=n9ol1<75f2`c94?=h:k;1<75m24`94?5=83:p(<7?:568m=e=831b4i4?::m224<722wi>8o50;194?6|,83;69:4i9a94?=n0m0;66a>6083>>{e:<21<7=50;2x 4?72=>0e5m50;9jt$0;3>4053`2h6=44i9f94?=h9?;1<75rs3`2>5<5sW8i=63=5c8224=z{;hh6=4={_0ag>;5=k03o6s|1gc94?4|V8lj70<:a;:`?xu5n00;6?uQ2g;8973f21n0q~?if;296~X6no16>8658b9~w7gf2909wS60g=9?;01?;6:9a8yvca2909w0<:8;355>;5=003h6s|f183>7}::<}#90:1>894i3``>5<5<5<5<4290;w)?60;67?l>d2900e5j50;9l537=831vn?;::180>5<7s-;2<7:;;h:`>5<83>?7d6l:188m=b=831d=;?50;9~f73329086=4?{%3:4?71:2c3o7>5;h:g>5<52244953752z\1ff=::<<14n5rs0db>5<5sW;mm63=548;g>{t:oh1<7fg83>7}Y9ol01?;<:9a8yv5693:1>vP<109>605=0m1vk?50;0x973228<:70<:4;:`?xua:3:1>v3=528224=::<>14i5rsg194?4|5;?=65j4=377>4063twi>8<50;094?6|,83;64k4i9`94?=h9?;1<75rb373>5<5290;w)?60;;f?l>e2900c<8>:188yg43m3:1>7>50z&2=5<>m2c3n7>5;n355?6=3tho87>54;091~\60k09w44>4;Y0f<6s8<1q)?60;a2?I7f03;pD?m?;|N2e<<0s-8o47=>8:&1`4<1;2.9h94>789m6`0=;2d8=;4>;o0fg?`43-8nn7:j;|k5b?6=,8<26;k4n04;>5=6885a>h6>10:76g94;29 40>2?o0b<87:398k41>2900nnh50;194?6|R=i1=v95}%3:4?5602F:m44:{%0gh852:l053<63tc=87>5$04:>3e00=o6`>6983?>i6?00;66smd283>6<729qQ8n4>{68~ 4?72:;37A?n9;7x 7b?2:;37)3;0qd8;:18'53?=>j1e=;651:9j2a<72-;=578l;o355;|q23<<72;qU=:74=bd952?52z?`b?0334n86<96;%3;`?7e?2d:4n4>;|q5b?6=:rT=j63k3;47?!7?l33b<,82o6;k4n0:`>4=z{?>1<7m1/=5j56d9m5=e=:2wvnn<50;:92?g|R82i69u>f;`960i652958 7b52>20(>?::39'6a2=9>30(>?;:09'6`3=;890(?km:5g8yl1c290/=;757b9m53>=821b;o4?:%35=?1d3g;=47?4;h5b>5<#9?31;n5a17:96>=n?00;6)?99;5`?k7103907b?73;29 40>28297c?98;28?j7?93:1(<86:0:1?k7103;07b?8f;29 40>28297c?98;08?j70m3:1(<86:0:1?k7103907o?mc;296?6=8r.:5=46e:k;f?6=3f;==7>5;|`2f0<72:0;6=u+182901=n0j0;66g7d;29?j7193:17pl>b283>6<729q/=4>5459j5;n355?6=3tho>7>53;294~\3k3;p;7s+182974><,;n:6;=4$3f7>41>3g8n:7<4n235>4=za?>1<7*>6885g>h6>10:76g9d;29 40>2?i0b<87:198k41>2900qoj>:180>5<7sS>h6i?5629'6a2=9>30b?k9:39m740=92wb:94?:%35=?0d3g;=47?4;h4g>5<#9?31:n5a17:94>=h9>31<75rbe294?5=83:pV9m51z59y!7>839:46B>a886!4c039:46*=d0857>"5l=0:;45a2d496>h49?0:7pg94;29 40>2?i0b<87:098m3b=83.::449c:l22=<732e:;44?::p3g<72:qU;o521c798e84g>h60j0:7p}>b`83>7}:9k?1=;?4=e0921=#91n1=ol4n0:`>4=z{8=m6=4={_34b>;c83;<56*>8e82<7=i91i1>6s|1c:94?5|58h>65j4=0`0>40634n:6;:4$0:g>4d>3g;3o7?4}r5:>5<3sW=270?mc;:a?87e;32o70j?:768 4>c2>i0b<6l:29~w4>42909wS?73:?g6?7012.:4i4>839m5=e=82wx;i4?:3y]3a=:l;0=h6*>8e84g>h60j0;7p}8a;297~X0i27:n>47c:?g4?0c3-;3h79l;o3;g?452z\2<4=:l80:;45+19f95=45<5sW;bb8224=#91n1=5<4n0:`>6=zuk8?m7>52;294~"61902i6g7b;29?j7193:17pl=4983>7<729q/=4>59d9jt$0;3>:183!7>83227b68:188yg43<3:1>7>50z&2=5<>m2c3n7>5;n355?6=3th:ml4?:083>5}#90:1=4<4o045>5<7>53;090~"619098?5f28194?=n:h:1<75`22d94?=e:=;1<7=50;2x 4?72=>0e5m50;9jt$0;3>;5<80::<5rs3;0>5<5sW82?63=408;g>{t:h:1<753;090~"61909?h5f2`;94?=n:h:1<75`22`94?=e::n1<7=50;2x 4?728<97d6l:188m=b=831d=;?50;9~f75d29096=4?{%3:4??b3`2i6=44o042>5<52z\17g=:::n1=;?4}r0b=?6=:rT9m45222f9;5;j03n6s|f483>7}:::n14i5222a95371}#90:1>>o4i3ge>5<5<83>?7d6l:188m=b=831d=;?50;9~f75?29096=4?{%3:4??b3`2i6=44o042>5<52z\172=:::31=;?4}r0fb?6=:rT9ik5222:9;5;003h6s|f783>7}:::314n5222:95371}#90:1>>84i3:`>5<5<6=4<:183!7>83;=>6g7c;29?l>c2900c<8>:188yg44<3:1>7>50z&2=5<>m2c3n7>5;n355?6=3ty9?>4?:3y]665<5;9>6<8>;|q15m4=316>=e52z\1a`=:::>14o5rsg594?4|5;9>65j4=317>4063twi>><50;196?2|,83;6?==;h3e0?6=3`82?7>5;n01b?6=3k88=7>53;294~"6190?86g7c;29?l>c2900c<8>:188yg4483:1>7>50z&2=5<>m2c3n7>5;n355?6=3ty9>k4?:3y]67`<5;9:6<8>;|q2b1<72;qU=k:4=313>=d52z\1=6=:::;14i5rsg:94?4|5;9:65m4=313>4063twi>?k50;694?6|,83;6984i9a94?=n0m0;66g7e;29?j7193:17pl=2b83>1<52523a8m7ba2900e?k?:188m7c52900c?<6:188f74e290?6=4?{%3:4?213`2h6=44i9f94?=n0l0;66a>6083>>{e:;k1<7<50;2x 4?720o0e5l50;9l537=831v?<6:181[451279>o4>609~w7ba2909wSh>50;0xZ7c73489n76k;|q1a7<72;qU>h<4=30a>=cd3489m7?91:~f74?290?6?4:{%3:4?4502c9hk4?::k1a5<722c9i?4?::m160<722h9>:4?:583>5}#90:18;5f8b83>>o?l3:17d6j:188k4062900qo<=6;296?6=8r.:5=46e:k;f?6=3f;==7>5;|q160<72;qU>?;4=304>4063ty9hk4?:3y]6a`<5;8<65m4}r0f4?6=:rT9i=522349;5:>03i6s|f`83>7}::;=14i52234953794?:580>3}#90:1>?:4i3fe>5<5<5<3290;w)?60;65?l>d2900e5j50;9j<`<722e::<4?::a674=8381<7>t$0;3>833n7d6m:188k4062900q~<=0;296~X5:916>?=51738yv4cn3:1>vP=dg9>674=0k1v?k?:181[4b8279><47b:p6`4=838pR?k=;<017?>b3tymn7>52z?166?4>609~wce=838p1?<<:9f8974628<:7psm20d94?2=:3?p(<7?:33e?l4cn3:17dc;29?g46m3:187>50z&2=5<3>2c3o7>5;h:g>5<833n7d6m:188k4062900q~<>c;296~X59j16>vP=dg9>64c=0j1v?k?:181[4b8279=h47d:p6`4=838pR?k=;<02`?>e3tymh7>52z?15`609~yg46j3:187=56z&2=5<59k1b>ih50;9j6`6=831b>h<50;9l641=831i>7<729q/=4>59d9j=8381<7>t$0;3>;59h0::<5rs3fe>5<5sW8oj63=188;f>{t:l:1<77}Y:l801??7:9`8yv`b2909w0<>a;:`?84613;==6s|fg83>7}::8k14h5220:95373}#90:1><84i3fe>5<5<5<3290;w)?60;65?l>d2900e5j50;9j<`<722e::<4?::a642=8381<7>t$0;3>833n7d6m:188k4062900q~<>2;296~X59;16><;51738yv4cn3:1>vP=dg9>643=0j1v?k?:181[4b8279=947b:p6`4=838pR?k=;<027?>e3ty:<=4?:3y>643=0m16><:51738yv7793:1>v3=148;a>;59:0::<5r}c025?6=<3>1;v*>918154=n:ml1<75f2d294?=n:l81<75`21a94?=e:8:1<7:50;2x 4?72=<0e5m50;9j5;n355?6=3th95}#90:15h5f8c83>>i6>80;66sm21f94?4=83:p(<7?:8g8m=d=831d=;?50;9~f76b29096=4?{%3:4??b3`2i6=44o042>5<52z\14f=::8:1=;?4}r0gb?6=:rT9hk5221d9;58m03n6s|2d094?4|V;o97081v<>;:181846832n70{zj;:=6=4<:387!7>838;:6g=9583>>o51?0;66a=0283>>d58<0;6>4?:1y'5<6=<=1b4n4?::k;`?6=3f;==7>5;|`141<72;0;6=u+1829=`=n0k0;66a>6083>>{t:991<77>53;090~"619090e5m50;9jt$0;3>;5880::<5rs3;7>5<5sW82863=008;g>{t:0<1<70783>7}::9;14i5221295370}#90:1=kk4i3;7>5<5<83>?7d6l:188m=b=831d=;?50;9~f4`d29096=4?{%3:4??b3`2i6=44o042>5<52;294~"61902i6g7b;29?j7193:17p}>f`83>7}Y9ok014063twi=k750;194?6|,83;69:4i9a94?=n0m0;66a>6083>>{e9o=1<7=52;6x 4?728l<7d3:1?7>50z&2=5<6>;1b4n4?::k;`?6=3f;==7>5;|`2b0<72;0;6=u+1829=`=n0k0;66a>6083>>{t9o>1<73;==6s|2d694?4|V;o?70?i5;:a?xu5m:0;6?uQ2d1894`121n0q~??9;296~;6n?03o63>f48224=zuk;m?7>55;294~"6190?;6g68;29?l>d2900e5j50;9j<`<722e::<4?::a5ae=8391<7>t$0;3>12>i6>80;66sm1ec94?5=:3>p(<7?:0fb?l4>;3:17d639j5;n355?6=3th:h54?:383>5}#90:15h5f8c83>>i6>80;66s|1e594?4|V8n<70?k9;355>{t:091<77}Y:l901v3>d88;g>;6l10::<5r}c3g1?6=9;0h64b23`;m87>5;h03g?6=3`9;h7>5;h026?6=3`9;n7>5;h023?6=3`9;m7>5;h02g?6=3`9;57>5;h014?6=3`9;47>5;h011?6=3`9;;7>5;h01=?6=3`9;:7>5;h01`?6=3`9;97>5;n3`53;294~"6190::?5f8b83>>o?l3:17b?91;29?xd6kh0;6?4?:1y'5<6=1l1b4o4?::m224<722wi=nm50;194?6|,83;69:4i9a94?=n0m0;66a>6083>>{e9jn1<7=50;2x 4?72=>0e5m50;9jt$0;3>12>i6>80;66sm1bd94?5=83:p(<7?:568m=e=831b4i4?::m224<722wi=i>50;194?6|,83;69:4i9a94?=n0m0;66a>6083>>{e9m;1<7=50;2x 4?72=>0e5m50;9jt$0;3>12>i6>80;66sm1e194?5=83:p(<7?:568m=e=831b4i4?::m224<722wi=i:50;;94?6|,83;6<8:;h:`>5<>o>03:17d7n:188m5;|`2g<<72:0;6=u+182901=n0j0;66g7d;29?j7193:17p}>c983>7}Y9j201uQ1g6894ee21i01vP=0b9>5fe=0j1v>>k:181[57l27:on47d:p644=838pR??=;<3``?>d3ty8;6kl03h6s|20a94?4|V;;h70?lf;:`?xu4800;6?uQ31;894ea21n0q~<=0;296~X5:916=i>58b9~w66?2909wS=?8:?2`5?;50;0xZ74234;o=76l;|q042<72;qU?=94=0f2>=b52z\16<=:9m814n5rs225>5<5sW9;:63>d38;`>{t:;n1<77}Y;9?01v3>c`8224=:9j314n5rs02`>5<5s4;ho7?91:?2`1609>5a2=111vd58:e>{t98;1<740634;o877m;|q257<72;q6=i<5173894b320i0q~?>3;296~;6l:0::<521e69=a=z{8;?6=4={<3g0?71927:o447d:p543=838p128<:7psm1b594?5=83:p(<7?:568m=e=831b4i4?::m224<722wi=ok50;194?6|,83;69:4i9a94?=n0m0;66a>6083>>{e9j:1<7=50;2x 4?72=>0e5m50;9jt$0;3>12>i6>80;66sm1b794?5=83:p(<7?:568m=e=831b4i4?::m224<722wi?<<50;794?6|,83;65?4i4594?=n=90;66g:2;29?l2c2900c<8m:188yg57n3:1?7>50z&2=5<3<2c3o7>5;h:g>5<9181f2=n:o:1<75f2dg94?=h:k>1<75m2c494?5=83:p(<7?:568m=e=831b4i4?::m224<722wi>o;50;094?6|,83;64k4i9`94?=h9?;1<75rs3`7>5<5sW8i863=b78224=z{;l;6=4={_0e4>;5j?03o6s|2dg94?4|V;on700;66g:0;29?l352900e9j50;9l53d=831vn?li:187>7<2s-;2<7b2900c<8>:188yg4el3:1>7>50z&2=5<>m2c3n7>5;n355?6=3ty9nn4?:3y]6ge<5;hn6<8>;|q225<72;qU=;>4=3`g>=d7>52z\1=7=::ko14i5rs3c3>5<5sW8j<63=bd8;a>{t98=1<7=e<5;ho6<8>;|a6ag=8381<7>t$0;3>833n7d6m:188k4062900qo639j5;n355?6=3th9hn4?:283>5}#90:1895f8b83>>o?l3:17b?91;29?xd50m0;6>4?:1y'5<6=9?80e5m50;9j1<7>t$0;3>10>o?m3:17b?91;29?xd5k;0;6?4?:1y'5<6=1l1b4o4?::m224<722wioo4?:582>1}]91h1=v85}[6`>4}02t.:5=4nc:N2e=<6sA8h<6sC1`;93~"5mk0?i6*=d981g6=#:m;1:>5+2e795=`<,;n?6<96;o0f2?45<6885g>h6>10;76g94;29 40>2?i0b<87:098k41>2900n<66:187>1<0s-;2<7?79:k25;h47>5<5<4290;w)?60;356>o?k3:17d6k:188k4062900qo?8c;297?6=8r.:5=4;4:k;g?6=3`2o6=44o042>5<52;294~"61902i6g7b;29?j7193:17pl>7c83>6<729q/=4>5459j5;n355?6=3ty:;44?:3y]52?<58=o6<8>;|q2=e<58=j65l4}r4g>5<5sWvP94:?23g81vq~?89;296~X6?016=57516;8yv7?n3:1>vP>8g9>5=?=91l0q~8;:181[0334;3578;;%3;`?0d3g;3o7?4}r4g>5<5sW83ki7A?n8;3xL7e73tF:m448{%0ff?2b3-8o478g9'6a2=9>30b?k9:39m740=92wb=5h50;9j2a<72-;=578l;o355<83>?7d6l:188m=b=831d=;?50;9~f41f29096=4?{%3:4??b3`2i6=44o042>5<53;294~"6190?86g7c;29?l>c2900c<8>:188yv7013:1>vP>789>52b=9?;0q~?7f;297~X60o16=:m58b9>52g=0k1v;j50;0xZ3b<58=h65j4}r47>5<5sWi1=;?4}r32g?6=:r7:;l4>609>52d=0j1v{z{8=26=4={_34=>;6000:;45rs0:e>5<5sW;3j63>88821<7>2?>0(<6k:7a8j4>d281v;j50;0xZ3b<58226;j4$0:g>3e5<3280?wW?7b;3x2?{]gc"5l<0:4k5+2e6952?"5mk0?i6sf19d94?=n>m0;6)?99;4`?k7103:07d8;:18'53?=>j1e=;651:9l52?=831i=5750;690?1|,83;6<66;h3;b?6=3`31<75m16f94?5=83:p(<7?:041?l>d2900e5j50;9l537=831vn<9l:180>5<7s-;2<7:;;h:`>5<833n7d6m:188k4062900qo?8b;297?6=8r.:5=4;4:k;g?6=3`2o6=44o042>5<52z\23<=:9>n1=;?4}r3;b?6=;rT:4k5216a9k14o5rs7f94?4|V?n01<9l:9f8yv032909wS8;;<34f?>c3ty:=h4?:3y>52b=0j16=:m51738yv76n3:1>v3>7`8224=:9>h14n5rs003>5<5s4;789~w4>a2909wS?7f:?2<<<60o1v;:50;0xZ32<58226;:4$0:g>3ec2?i0b<6l:19~yge?290?6<4;{[3;f?7|>3wQ8n4>{68~ 4?72kn0@41>3-8o478g9'6a2=9>30b?k9:39m740=92.9io4;e:j5=`=831b:i4?:%35=?0d3g;=47>4;h47>5<#9?31:n5a17:95>=h9>31<75m19;94?2=<3=p(<7?:0::?l7?n3:17d8k:188m32=831d=:750;9a52b=8391<7>t$0;3>4053`2h6=44i9f94?=h9?;1<75rb05`>5<4290;w)?60;67?l>d2900e5j50;9l537=831vn<9n:181>5<7s-;2<77j;h:a>5<91870>o?k3:17d6k:188k4062900q~?89;296~X6?016=:j51738yv7?n3:1?vP>8g9>52e=0j16=:o58c9~w3b=838pR;j4=05`>=b2083>7}:9>n14n5216a95377>52z?23d<6>816=:l58b9~w4442909w0?8d;:g?870j3;==6srs05:>5<5sW;<563>88823<=z{82m6=4={_3;b>;6000:4k5rs7694?4|V?>01<66:768 4>c2?i0b<6l:09~w3b=838pR;j4=0::>3b<,82o6;m4n0:`>5=zuki<6=4;:087_7?j3;p:7sU4b8224}O:j:0qA?n9;:xj7cd28=27)"5l80=?6*=d4821=:74n3g5>7=i;8<1=6*=ec87a>{n91l1<75f6e83>!7113=921d=:750;9a5=?=83>1879t$0;3>4>>3`;3j7>5;h4g>5<1<75`16;94?=e9>n1<7=50;2x 4?728<97d6l:188m=b=831d=;?50;9~f41d29086=4?{%3:4?233`2h6=44i9f94?=h9?;1<75rb05b>5<5290;w)?60;;f?l>e2900c<8>:188yg70j3:1?7>50z&2=5<3<2c3o7>5;h:g>5<53z\2i14n5216c952e=9?;0q~?=5;296~;6?h0::<5216`9c34;2909wS?89:?2<<<6?01v<6i:181[7?n27:444>8g9~w32=838pR;:4=0::>32<,82o6;m4n0:`>4=z{?n1<7>2?n0(<6k:7a8j4>d291vqom9:187>4<3sS;3n7?t6;Y0f<6s>0v(<7?:c`8H4g?28qC>n>4}M3b=?>|f;oh6<96;%0gi:516;8j7c12;1e?<851:&1ag<3m2wb=5h50;9j2a<72-;=578l;o355<83>?7d6l:188m=b=831d=;?50;9~f41f29096=4?{%3:4??b3`2i6=44o042>5<53;294~"6190?86g7c;29?l>c2900c<8>:188yv7013:1>vP>789>52b=9?;0q~?7f;297~X60o16=:m58b9>52g=0k1v;j50;0xZ3b<58=h65j4}r47>5<5sW0;6?u216f9i1=;?4}r31609>52d=0j1v<<6:181870l32o70?8b;355>{z{8=26=4={_34=>;6000:;45rs0:e>5<5sW;3j63>88821<7>2?>0(<6k:7a8j4>d281v;j50;0xZ3b<58226;j4$0:g>3e5<3280?wW?7b;3x2?{]gg"5l<0:4k5+2e6952?"5mk0?i6sf19d94?=n>m0;6)?99;4`?k7103:07d8;:18'53?=>j1e=;651:9l52?=831i=5750;690?1|,83;6<66;h3;b?6=3`31<75m16f94?5=83:p(<7?:041?l>d2900e5j50;9l537=831vn<9l:180>5<7s-;2<7:;;h:`>5<833n7d6m:188k4062900qo?8b;297?6=8r.:5=4;4:k;g?6=3`2o6=44o042>5<52z\23<=:9>n1=;?4}r3;b?6=;rT:4k5216a9k14o5rs7f94?4|V?n01<9l:9f8yv032909wS8;;<34f?>c3ty:>l4?:3y>52b=0j16=:m51738yv75j3:1>v3>7`8224=:9>h14n5rs00`>5<5s4;789~w4>a2909wS?7f:?2<<<60o1v;:50;0xZ32<58226;:4$0:g>3ec2?i0b<6l:19~yge3290?6<4;{[3;f?7|>3wQ8n4>{68~ 4?72k30@41>3-8o478g9'6a2=9>30b?k9:39m740=92.9io4;e:j5=`=831b:i4?:%35=?0d3g;=47>4;h47>5<#9?31:n5a17:95>=h9>31<75m19;94?2=<3=p(<7?:0::?l7?n3:17d8k:188m32=831d=:750;9a52b=8391<7>t$0;3>4053`2h6=44i9f94?=h9?;1<75rb05`>5<4290;w)?60;67?l>d2900e5j50;9l537=831vn<9n:181>5<7s-;2<77j;h:a>5<91870>o?k3:17d6k:188k4062900q~?89;296~X6?016=:j51738yv7?n3:1?vP>8g9>52e=0j16=:o58c9~w3b=838pR;j4=05`>=b2e83>7}:9>n14n5216a953752z?23d<6>816=:l58b9~w44a2909w0?8d;:g?870j3;==6srs05:>5<5sW;<563>88823<=z{82m6=4={_3;b>;6000:4k5rs7694?4|V?>01<66:768 4>c2?i0b<6l:09~w3b=838pR;j4=0::>3b<,82o6;m4n0:`>5=zuki86=4;:087_7?j3;p:7sU4b8224}O:j:0qA?n9;5x 7ce2=o0(?j7:3a0?!4c93<87)"5l=0:;45a2d496>h49?0:7pg>8g83>>o1l3:1(<86:7a8j40?2910e;:50;&22<<1k2d::54>;:m23<<722h:444?:587>2}#90:1=574i0:e>5<>i6?00;66l>7e83>6<729q/=4>51708m=e=831b4i4?::m224<722wi=:m50;194?6|,83;69:4i9a94?=n0m0;66a>6083>>{e9>k1<7<50;2x 4?720o0e5l50;9l537=831vn<9m:180>5<7s-;2<7:;;h:`>5<;6?m0::<5rs0:e>5<4sW;3j63>7b8;g>;6?h03n6s|6e83>7}Y>m16=:m58e9~w32=838pR;:4=05a>=b52z?23a609~w4562909w0?8a;355>;6?k03o6s|12094?4|58=o65j4=05a>4063twx=:750;0xZ41>34;357?89:p5=`=838pR<6i;<3;=?7?n2wx:94?:3y]21=:9131:95+19f92f=i91i1=6s|6e83>7}Y>m16=5756e9'5=b=>j1e=5m50:~ffe=83k1?7otZ0:a>7}6i38i6pT;c;0x6f<603w/=4>5bg9O5d>=9rB9o=5rL0c:>=}i:li1=:74$3f;>7e43-8o=78<;%0g1?7?n2.9h94>789m6`0=:2d8=;4<;%0ff?2b3tc:4k4?::k47?6=,8<26:<4n04;>5=;1<7*>68846>h6>10:76g80;29 40>2>80b<87:398m3`=83.::4482:l22=<432c=h7>5$04:>2400<>6`>6986?>i6080;6)?99;3;4>h6>10;76a>7g83>!7113;3<6`>6982?>i6?l0;6)?99;3;4>h6>10976l7e;290?7={78~^1e=9r=1q)?60;ce?I7f03;pD?m?;|N2e<<1s-8o478g9'6a2=9>30b?k9:39m740=92wb=5h50;9j2a<72-;=578l;o355<83>?7d6l:188m=b=831d=;?50;9~f41f29096=4?{%3:4??b3`2i6=44o042>5<53;294~"6190?86g7c;29?l>c2900c<8>:188yv7013:1>vP>789>52b=9?;0q~?7f;297~X60o16=:m58b9>52g=0k1v;j50;0xZ3b<58=h65j4}r47>5<5sWi1=;?4}r300?6=:r7:;l4>609>52d=0j1v<=::181870l32o70?8b;355>{z{8=26=4={_34=>;6000:;45rs0:e>5<5sW;3j63>88821<7>2?>0(<6k:7a8j4>d281v;j50;0xZ3b<58226;j4$0:g>3e5<3280?wW?7b;3x2?{]dc"5l=0:;45a2d496>h49?0:7pg>8g83>>o1l3:1(<86:7a8j40?2910e;:50;&22<<1k2d::54>;:m23<<722h:444?:587>2}#90:1=574i0:e>5<>i6?00;66l>7e83>6<729q/=4>51708m=e=831b4i4?::m224<722wi=:m50;194?6|,83;69:4i9a94?=n0m0;66a>6083>>{e9>k1<7<50;2x 4?720o0e5l50;9l537=831vn<9m:180>5<7s-;2<7:;;h:`>5<;6?m0::<5rs0:e>5<4sW;3j63>7b8;g>;6?h03n6s|6e83>7}Y>m16=:m58e9~w32=838pR;:4=05a>=b52z?23a609~w4502909w0?8a;355>;6?k03o6s|12:94?4|58=o65j4=05a>4063twx=:750;0xZ41>34;357?89:p5=`=838pR<6i;<3;=?7?n2wx:94?:3y]21=:9131:95+19f92f=i91i1=6s|6e83>7}Y>m16=5756e9'5=b=>j1e=5m50:~f=e=83>1=7:tZ0:a>4}12tP?o7?t7;'5<6=im1G=l651zJ1g5=zD8k26;u+2e:96f5<,;n:6;=4$3f6>4>a3-8o87?89:l1a3<53g9::7?4}h3;b?6=3`!7113889j5=`=831b:i4?::k50?6=3f;<57>5;c34`?6=;3:1918227=n0j0;66g7d;29?j7193:17pl>7b83>6<729q/=4>5459j5;n355?6=3th:;l4?:383>5}#90:15h5f8c83>>i6>80;66sm16`94?5=83:p(<7?:568m=e=831b4i4?::m224<722wx=:750;0xZ41>34;d34;7b8;`>{t>=0;6?uQ659>52d=0m1v<=6:181870l32h70?8c;355>{t9:k1<740634;52d=9?;0qp}>7883>7}Y9>301<66:05:?xu60o0;6?uQ19d894>>282m7p}94;296~X1<27:44494:&2;|q5`?6=:rT=h63>8885`>"60m0=o6`>8b83?x{t9>l1<7c28=27)?7d;3;4>h60j0:7p}>8g83>1}Y91l015k519d89=b=91l015m519d8yv172909wS9?;<:f>3b<,82o6:<4n0:`>7=z{?>1<7=1/=5j5739m5=e==2wx;<4?:3y]34=:0m0=h6*>8e846>h60j0:7p}9d;296~X1l273h78;;%3;`?153g;3o7:4}r3;5?6=:rT:4<528b823<=#91n1=5>4n0:`>5=z{?l1<7=1/=5j5739m5=e=;2wx=:k50;0xZ41b342n6<96;%3;`?7?82d:4n4=;|q47?6=:rT13:1?7>50z&2=5<3j2c3n7>5;h5f>5<5<4290;w)?60;6a?l>e2900e:k50;9l537=831vn4850;194?6|,83;69l4i9`94?=n?l0;66a>6083>>{ekl0;694>:5yY5=d=9r<1qW:l:0y4>x"6190jm6B>a982M4d82wG=l758zl1af<68h1/>i652b18 7b62?90(?j::0:e?!4c<3;<56`=e781?k56>3;0(?km:5g8yl7?n3:17d8k:18'53?=>j1e=;650:9j21<72-;=578l;o355;c3;=?6=<3>1;v*>9182<<=n91l1<75f6e83>>o1<3:17b?89;29?g70l3:1?7>50z&2=5<6>;1b4n4?::k;`?6=3f;==7>5;|`23f<72:0;6=u+182901=n0j0;66g7d;29?j7193:17pl>7`83>7<729q/=4>59d9jt$0;3>12>i6>80;66s|16;94?4|V8=270?8d;355>{t91l1<7=t^0:e?870k32h70?8a;:a?xu1l3:1>vP9d:?23fh14i5rs01`>5<5s4;j50;0x941f28<:70?8b;:`?xu6;l0;6?u216f9h1=;?4}|q23<<72;qU=:74=0::>41>3ty:4k4?:3y]5=`<58226<6i;|q50?6=:rT=863>88850>"60m0=o6`>8b82?xu1l3:1>vP9d:?2<<<1l2.:4i49c:l2g<6=rP:4o4={20972v=;:279y!7>83k<7A?n8;3xL7e73tF:m44m{o0fg?70j2.9h54=a09'6a7==>1/>i=517`8 7ea2nm50:&1ga<73g8h57>4$3ga>1c6=44i4494?=n>;0;6)?99;42?k7103:07d8?:18'53?=>81e=;651:9j1c<72-;=578>;o35!7113<:7c?98;68?l3e290/=;75609m53>==21b944?:%35=?063g;=4784;h7;>5<#9?31:<5a17:93>=h9><1<7*>688230=i9?21<65`16694?"6>00:;85a17:95>=h9>91<7*>688230=i9?21>65`16094?"6>00:;85a17:97>=h9>;1<7*>688230=i9?21865`17d94?"6>00:;85a17:91>=h9?n1<7*>688230=i9?21:65`17a94?"6>00:;85a17:93>=e9h=1<7?50;2x 4?72130c5950;9~f7g=83?1<7>t$0;3>=7>o2:3:17d:k:188k40e2900qo?50;794?6|,83;65?4i4594?=n=90;66g:2;29?l2c2900c<8m:188yg4=83?1<7>t$0;3>=7>o2:3:17d:k:188k40e2900qo;50;794?6|,83;65?4i4594?=n=90;66g:2;29?l2c2900c<8m:188yg75290>6=4?{%3:4?>63`?<6=44i4294?=n=;0;66g;d;29?j71j3:17pl>d;291?6=8r.:5=471:k63?6=3`?;6=44i4094?=n6c83>>{e:00;684?:1y'5<6=081b9:4?::k64?6=3`?96=44i5f94?=h9?h1<75rb3d94?4=83:p(<7?:8g8m=d=831d=;?50;9~f67=8381<7>t$0;3>>7>5;h6g>5<5<5sW?>70=d1/=5j5609m5=e=>2wx954?:3y]1==:93?<7)?7d;42?k7?k3=0q~?9d;296~X6>m16>7?9b:&252z\22f=:93;=n6*>8e8230=i91i1;6s|16694?4|V8=?708b82?xu1:3:1>vP92:?1`?303-;3h78>;o3;g?6d2:1v<9>:181[70927:>7?9b:&240e3-;3h7?85:l252z\54>;5i3?<7)?7d;42?k7?k3;0q~;k:181[3c34;96894$0:g>375<5sW;<:63=d;35f>"60m0:;85a19a94>{t9>81<7h60j087p}:f;296~X2n27957;8;%3;`?063g;3o7<4}r7a>5<5sW?i70;5569'5=b=>81e=5m55:p50d=833p144:2:?1`?353ty9i7>59z?1e?2c34;18i522;6g?83=06<58n19=5228864>;493;==63=d;73?x{e:h81<7755;`x^4>e2;q:?7?6:|X7g?4|9<0:;7s+1829e3=K9h21=vF=c19~H4g>2mqe>hm51648 7b?2;k:7)h5kk0;7)281/>nk50:l1gd<63-8nn7:j;|k61?6=3`?=6=44i5;94?=n=k0;6)?99;7b?k7103:07d;6:18'53?==h1e=;651:9j1=<72-;=57;n;o355$04:>40b3g;=47>4;n35`?6=,8<26<8j;o355$04:>40b3g;=47<4;c794?3=83:p(<7?:938m01=831b9=4?::k66?6=3`>o6=44o04a>5<>7>5;h6g>5<o6=44o04a>5<{t<00;69uQ489>1?3534819?521;71?xu213:1>vP:9:?1>01<,82o68o4n0:`>4=z{<21<75<5sW;=j63::04a?!7?l3;=i6`>8b83?xu6>j0;6?uQ17a894<6>k1/=5j517g8j4>d2;1v8l50;0xZ0d<5<0>;6*>8e86e>h60j0;7p}>0;290~;22<:01?4:0:?2>06<58;1=;?4}rg94?2|5<0?h63=:5f894<3l27m6<8>;|a6`1=83?1<7>t$0;3>=7>o2:3:17d:k:188k40e2900qo5<832:7d;8:188m06=831b9?4?::k7`?6=3f;=n7>5;|`1e<<72<0;6=u+1829<4=n=>0;66g:0;29?l352900e9j50;9l53d=831vn?6::18b><{n=80;66g>5e83>>o6=l0;66g5;n60>5<5<4290;w)?60;36g>J6i00:wc5b9O5d?=9rd9in4i5:j5;n355?6=3th:5:4?:487>=}#90:1485C1`:96~"?i383:6F=c19~H4g>2;q/>hl54g9m6`e=nh1ve9j50;9j12<722c:;:4?::k291871>J6i00:wc5<7s-;2<7::;M3b=?7|f;oh65=4}h:`>5<13E;j47<@;i;7pB>a881!4bj3>m7c4}i:li1?>5ro9594?=zj83:6=4::183!7>83297A?n9;3xj7cd2:<0qd;8:188m04=831b=;o50;9j0a<722e::o4?::p0a<72;qU8i5218390a=z{<=1<7k16=4?517`8yv7f;3:1?v3n2;:4?87>93?970?61;35e>{z{=n1<753z\232=:1o03o63n0;:`?xu60h0;6?uQ19c89<`=0m1v:9a8yv7f=3:1>v3n1;355>;6180>;6s|1`494?4|5h:1=;?4=`3951;294~"6190:5?5C1`;95~h5mj0:<<5ro045>5<53;294~"6190?86B>a882k4bk3;;86sf8b83>>o?l3:17b?91;29?xd61k0;6>4?:1y'5<6=<=1G=l751zl1af<6801ve5m50;9jt$0;3>125<5290;w)?60;;f?I7f13;pb?kl:030?xo?j3:17b?91;29?xd61l0;684?:1y'5<6=<11G=l751zl1af<69>1ve5m50;9j5;h;;>5<5<5sW?:70?67;6g?xu6=m0;6;uQ14f894?221i01<7n:9f894?e21n01<7l:9f894?c21h0q~:>:181[2634;2o7?91:p7c<72;qU?k5218c953786=4={_60?87>j3;==6s|14g94?5|V8?n70?65;:g?87>m32h7p}>5g83>7}Y90:;:5218g953752z?2=36c9~w4g62909w0?67;74?87>13;=:6s|1`094?4|583<6<6n;<3:`?7192wx=l=50;0x94?228<:70?66;:g?x{e:l>1<7:52;7x 4?72h?0@dg<,;n36?o>;%0g5?303-8o?7?9b:&1gc<2=2d9oo4>;%0g4?6>o60l0;66a>6c83>>d6j;0;6>4=:5y'5<6=9k80e<6j:188m01=831d=lh50;9a5g7=8391<7>t$0;3>12>i6>80;66sm1c294?4=83:p(<7?:8g8m=d=831d=;?50;9~w4ga2909wS?nf:?2f4<6>81v<6j:181[7?m27:n=47b:p12<72;qU9:521c39d34;i<7?91:~f4<72:0;6=u+18293c=n=>0;66g;d;29?j71j3:17p}:5;296~X2=27:69j4}r74>5<5sW?<70?m2;74?xu6>k0;6?uQ17`894<6>k1v<6j:181[7?m27:n?4>8d9~w4ga2909w0?m2;3bb>;62<=0qpl=e283>1<729q/=4>5819j12<722c>>7>5;h6g>5<1mvT>8c814c=:=0vV9m52z03>74=u-;2<7o7;M3ba88:k4bk3i:7)"5l80>;6*=d2822g=#:jl1985a2b`95>"5l90;7c4;h7a>5<#9?319n5a17:95>=n=00;6)?99;7`?k7103807d;7:18'53?==j1e=;653:9l527=83.::44>719m53>=821d=;h50;&22<<6?91e=;651:9l53b=83.::44>719m53>=:21d=;m50;&22<<6?91e=;653:9a57<72=0;6=u+1829<5=n=>0;66g:2;29?l2c2900c<8m:188yg3=83>1<7>t$0;3>=6>o3l3:17b?9b;29?xd6290?6=4?{%3:4?>73`?<6=44i4094?=n6c83>>{e:3:187>50z&2=5;7>5;h71>5<o7p};9;291~X3127:>7;=;<7917=:93?970<5539~w0?=838pR874=3863>"60m0>o6`>8b81?xu203:1>vP:8:?2>01<,82o68m4n0:`>6=z{8;528h60j097p}>6g83>7}Y9?l0184>6c9'5=b=9>:0b<6l:09~w40d2909wS?9c:?2>40e3-;3h7?80:l2n7>52z\6f>;22<=0(<6k:4a8j4>d281v8j50;0xZ0b<58819:5+19f91f=i91i1<6s|16394?4|V8=:70?=:04a?!7?l3;<<6`>8b83?x{e;991<7;50;2x 4?721;0e8950;9j15<722c>>7>5;h6g>5<918;5>o2?3:17d;?:188m04=831b8i4?::m22g<722wi?=?50;794?6|,83;65?4i4594?=n=90;66g:2;29?l2c2900c<8m:188yg5783:197>50z&2=5;7>5;h73>5<>i6>k0;66sm2gd94?3=83:p(<7?:938m01=831b9=4?::k66?6=3`>o6=44o04a>5<55;294~"61903=6g:7;29?l372900e8<50;9j0a<722e::o4?::a6cb=83?1<7>t$0;3>=7>o2:3:17d:k:188k40e2900qo6c83>>{e:k21<7:50;2x 4?72190e8950;9j53g=831b8i4?::m22g<722wi>ol50;697?0|,83;6l74L0c;>4}O:j:0qA?n9;;xj7cd2ml0(?j7:3c2?!4c93?<7)"5ko0>96`=cc82?!4c83:0b?ml:09'6`d=5;n35f?6=3k;i97>53;294~"6190?86g7c;29?l>c2900c<8>:188yg7e:3:1?7<54z&2=5<6j;1b8l4?::k63?6=3f;jj7>5;c3a5?6=;3:191870>o?k3:17d6k:188k4062900qo?m0;296?6=8r.:5=46e:k;f?6=3f;==7>5;|q2ec<72;qU=lh4=0`2>4063ty?m7>52z\7e>;6j803o6s|5683>7}Y=>16=o>58c9~w4272909w0?m1;:g?87e83;==6srb083>0<729q/=4>5839j12<722c>>7>5;h35e?6=3`>o6=44o04a>5<6=4={_76?87=65j4=0`1>01<580>;6s|17`94?4|V8d34;i>7:n;|q2ec<72;q6=o<51`d894<2:2wx=o:50;0x94d228<:70?517c8yxd4990;684?:1y'5<6=081b9:4?::k64?6=3`?96=44i5f94?=h9?h1<75rbbf94?7>210:5vT>8c816<403wQ8n4={5802?{#90:1o=5C1`:95~N5k91v@30(?j7:3a0?!4c93<87)"5l=0:;45a2d496>h49?037)2?k0b<87:198m3?=83.::449a:l22=<632c=47>5$04:>3g00=m6`>6980?>o1>3:1(<86:7c8j40?2=10e;;50;&22<<1i2d::54:;:k43?6=,8<26;o4n04;>3=<1<7*>6885e>h6>10<76g85;29 40>2?k0b<87:998m22=83.::449a:l22=<>32c5$04:>3g00=m6`>698a?>o083:1(<86:7c8j40?2j10e;h50;&22<<1i2d::54k;:k5`?6=,8<26;o4n04;>`=1<7*>6885e>h6>10m76a>8983>!7113;3;6`>6983?>i60?0;6)?99;3;3>h6>10:76a>8483>!7113;3;6`>6981?>i60=0;6)?99;3;3>h6>10876a>8283>!7113;3;6`>6987?>i6080;6)?99;3;3>h6>10>76a>7g83>!7113;3;6`>6985?>i6?l0;6)?99;3;3>h6>10<76l6d;290?7={78~^1e=9r=1q)?60;`4?I7f03;pD?m?;|N2e<<1s-8o478g9'6a2=9>30b?k9:39m740=92wb=5h50;9j2a<72-;=578l;o355<83>?7d6l:188m=b=831d=;?50;9~f41f29096=4?{%3:4??b3`2i6=44o042>5<53;294~"6190?86g7c;29?l>c2900c<8>:188yv7013:1>vP>789>52b=9?;0q~?7f;297~X60o16=:m58b9>52g=0k1v;j50;0xZ3b<58=h65j4}r47>5<5sWi1=;?4}r376?6=:r7:;l4>609>52d=0j1v<:<:181870l32o70?8b;355>{z{8=26=4={_34=>;6000:;45rs0:e>5<5sW;3j63>88821<7>2?>0(<6k:7a8j4>d281v;j50;0xZ3b<58226;j4$0:g>3e5<3280?wW?7b;3x2?{]g0"5l=0:;45a2d496>h49?0:7pg>8g83>>o1l3:1(<86:7a8j40?2910e;:50;&22<<1k2d::54>;:m23<<722h:444?:587>2}#90:1=574i0:e>5<>i6?00;66l>7e83>6<729q/=4>51708m=e=831b4i4?::m224<722wi=:m50;194?6|,83;69:4i9a94?=n0m0;66a>6083>>{e9>k1<7<50;2x 4?720o0e5l50;9l537=831vn<9m:180>5<7s-;2<7:;;h:`>5<;6?m0::<5rs0:e>5<4sW;3j63>7b8;g>;6?h03n6s|6e83>7}Y>m16=:m58e9~w32=838pR;:4=05a>=b52z?23a609~w4222909w0?8a;355>;6?k03o6s|15494?4|58=o65j4=05a>4063twx=:750;0xZ41>34;357?89:p5=`=838pR<6i;<3;=?7?n2wx:94?:3y]21=:9131:95+19f92f=i91i1=6s|6e83>7}Y>m16=5756e9'5=b=>j1e=5m50:~f1=7:tZ0:a>4}12tP?o7?t7;'5<6=j<1G=l651zJ1g5=zD8k26;u+2e:96f5<,;n:6;=4$3f6>4>a3-8o87?89:l1a3<53g9::7?4}h3;b?6=3`!7113889j5=`=831b:i4?::k50?6=3f;<57>5;c34`?6=;3:1918227=n0j0;66g7d;29?j7193:17pl>7b83>6<729q/=4>5459j5;n355?6=3th:;l4?:383>5}#90:15h5f8c83>>i6>80;66sm16`94?5=83:p(<7?:568m=e=831b4i4?::m224<722wx=:750;0xZ41>34;d34;7b8;`>{t>=0;6?uQ659>52d=0m1v<:8:181870l32h70?8c;355>{t9=21<740634;52d=9?;0qp}>7883>7}Y9>301<66:05:?xu60o0;6?uQ19d894>>282m7p}94;296~X1<27:44494:&2;|q5`?6=:rT=h63>8885`>"60m0=o6`>8b83?x{e1h0;694>:5yY5=d=9r<1qW:l:0y4>x"6190i86B>a982M4d82wG=l756z&1`=<5k:1/>i?5629'6a3=91l0(?j;:05:?k4b>380b>?9:09~m4>a2900e;j50;&22<<1k2d::54?;:k50?6=,8<26;m4n04;>4=5<32=0o60o0;66g9d;29?l032900c<96:188f41c29086=4?{%3:4?71:2c3o7>5;h:g>5<91870>o?k3:17d6k:188k4062900qo?8a;296?6=8r.:5=46e:k;f?6=3f;==7>5;|`23g<72:0;6=u+182901=n0j0;66g7d;29?j7193:17p}>7883>7}Y9>301<9k:042?xu60o0;6>uQ19d8941d21i01<9n:9`8yv0c2909wS8k;<34g?>c3ty=87>52z\50>;6?k03h6s|15c94?4|58=o65m4=05`>4063ty:8o4?:3y>52g=9?;01<9m:9a8yv73k3:1>v3>7e8;`>;6?k0::<5r}r34=?6=:rT:;45219;952?52z\25<5sW54;390~\60k0:w;4rZ5a95~1=u-;2<7l<;M3ba885!4c038h?6*=d0857>"5l<0:4k5+2e6952?{n91l1<75f6e83>!7113=921d=:750;9a5=?=83>1879t$0;3>4>>3`;3j7>5;h4g>5<1<75`16;94?=e9>n1<7=50;2x 4?728<97d6l:188m=b=831d=;?50;9~f41d29086=4?{%3:4?233`2h6=44i9f94?=h9?;1<75rb05b>5<5290;w)?60;;f?l>e2900c<8>:188yg70j3:1?7>50z&2=5<3<2c3o7>5;h:g>5<53z\2i14n5216c952e=9?;0q~?;e;296~;6?h0::<5216`9m6=4={<34`?>c34;2909wS?89:?2<<<6?01v<6i:181[7?n27:444>8g9~w32=838pR;:4=0::>32<,82o6;m4n0:`>4=z{?n1<7>2?n0(<6k:7a8j4>d291vqo6j:187>4<3sS;3n7?t6;Y0f<6s>0v(<7?:c08H4g?28qC>n>4}M3b=?0|,;n36?m<;%0g5?043-8o97?7f:&1`1<6?01e>h852:l053<63tc:4k4?::k5`?6=,8<26;m4n04;>5=1<7*>6885g>h6>10:76a>7883>>d6000;694;:6y'5<6=9130e<6i:188m3b=831b:94?::m23<<722h:;i4?:283>5}#90:1=;<4i9a94?=n0m0;66a>6083>>{e9>i1<7=50;2x 4?72=>0e5m50;9jt$0;3>83>?7d6l:188m=b=831d=;?50;9~w41>2909wS?89:?23a<6>81v<6i:180[7?n27:;n47c:?23di14i5rs7694?4|V?>01<9m:9f8yv7283:1>v3>7e8;g>;6?j0::<5rs072>5<5s4;31<7{t>=0;6?uQ659>5=?=>=1/=5j56b9m5=e=92wx:i4?:3y]2a=:9131:i5+19f92f=i91i1<6srb9f94?2=93>pV<6m:0y5>x\3k3;p;7s+1829f4=K9h21=vF=c19~H4g>2?q/>i652b18 7b62?90(?j::0:e?!4c<3;<56`=e781?k56>3;0qd?7f;29?l0c290/=;756b9m53>=821b:94?:%35=?0d3g;=47?4;n34=?6=3k;357>54;693~"6190:445f19d94?=n>m0;66g94;29?j7013:17o?8d;297?6=8r.:5=4>639j5;n355?6=3th:;n4?:283>5}#90:1895f8b83>>o?l3:17b?91;29?xd6?h0;6?4?:1y'5<6=1l1b4o4?::m224<722wi=:l50;194?6|,83;69:4i9a94?=n0m0;66a>6083>>{t9>31<7d34;5483>7}:9>n14i5216`95374>a3ty=87>52z\50>;6000=86*>8e85g>h60j0:7p}9d;296~X1l27:4449d:&2h6{I0`4>{K9h31:v*=d981g6=#:m;1:>5+2e795=`<,;n?6<96;o0f2?45<6885g>h6>10;76g94;29 40>2?i0b<87:098k41>2900n<66:187>1<0s-;2<7?79:k25;h47>5<5<4290;w)?60;356>o?k3:17d6k:188k4062900qo?8c;297?6=8r.:5=4;4:k;g?6=3`2o6=44o042>5<52;294~"61902i6g7b;29?j7193:17pl>7c83>6<729q/=4>5459j5;n355?6=3ty:;44?:3y]52?<58=o6<8>;|q2=e<58=j65l4}r4g>5<5sWvP94:?23g0;6?u216c9537<58=i65m4}r3681vq~?89;296~X6?016=57516;8yv7?n3:1>vP>8g9>5=?=91l0q~8;:181[0334;3578;;%3;`?0d3g;3o7?4}r4g>5<5sW3:1>vP96:?:e?0c3-;3h78n;o3;g?259z\2m1/=5j56`9m5=e=:2wx;84?:3y]30=:0j0=86*>8e85e>h60j037p}99;296~X11273h78k;%3;`?0f3g;3o7?4}r3;5?6=:rT:4<529c823<=#91n1=594n0:`>0=z{8236=4={_3;<>;?k3;<56*>8e82<2=i91i1<6s|16g94?4|V8=n707k:05:?!7?l3;3;6`>8b84?xu1<3:1>vP94:?:`?033-;3h78n;o3;g?`52z\2<3=:0m0:;45+19f95=1:014o5659'5=b=>h1e=5m5c:p33<72;qU;;529e85`>"60m0=m6`>8b84?xu0<3:1>vP84:?;`?033-;3h78n;o3;g??52z\2<0=:0l0:;45+19f95=1=014m56e9'5=b=>h1e=5m56:p52`=838pR<9i;<;`>41>3-;3h7?77:l252z\53>;>03789'5=b=91=0b<6l:59~w3b=838pR;j4=8a921=#91n1:l5a19a9a>{t>k0;6?uQ6c9>52z\5b>;>j3789'5=b=91=0b<6l:29~w27=838pR:?4=8:921=#91n1:l5a19a9f>{t><0;6?uQ649>=g<1l2.:4i49a:l252z\47>;?m30<729q/=4>5859j12<722c><7>5;h35e?6=3`>o6=44o04a>5<55;294~"61903=6g:7;29?l372900e8<50;9j0a<722e::o4?::a6<6=83?1<7>t$0;3>=7>o2:3:17d:k:188k40e2900qo7?:180>5<7s-;2<7:m;h:a>5<o1<75`17394?=zj;o26=4=:183!7>833n7d6m:188k4062900qo7>:180>5<7s-;2<7:m;h:a>5<o1<75`17394?=zj0?1<7=50;2x 4?72=h0e5l50;9j3`<722e::<4?::a=7<72:0;6=u+18290g=n0k0;66g8e;29?j7193:17pl7f;297?6=8r.:5=4;b:k;f?6=3`=n6=44o042>5<83>i7d6m:188m2c=831d=;?50;9~f<2=8391<7>t$0;3>1d>i6>80;66s|28594?0|V;3<70mn:7f89f2=>=16on494:?``?03342m6<8>;%3;`?4>m2d:4n48;|q1==<72464=b7921=:kj0=h63ld;4g?8?728<:7)?7d;0:a>h60j0=7p}=9883>0}Y:0301n85659>gf<1n27hh78i;<;2>4063-;3h7<6e:l2;>:3;==6*>8e81=`=i91i186s|28`94?3|V;3i70mm:7f89f>=>=16oi481:?:7?7192.:4i4=9d9m5=e=;2wx>4m50;1xZ7?d34io6:=4=869537<,82o6?7j;o3;g?454z\1=a=:k00=863ld;57?8?228<:7)?7d;0:a>h60j0:7p}=9g83>6}Y:0l0148517389fb=?<1/=5j528g8j4>d291v?6n:181[4?i279484;1:p6=d=838pR?6m;<0;1?243ty9j=4?:3y]6c6<5;h<6?h?;|q1g3<72:qU>n84=3a6>=b<5;2>6<;k;|q1<<<72;qU>574=3:6>6`55z\1=6=::=81>4=4=311>7?434;om7<63:?1`24?50;0xZ7?6343<6<8>;|q1g1<72;qU>n:4=3a6>4063ty9o:4?:2y]6f1<5;i>65k4=3:6>43b3ty9m=4?:7y]6d6<5;>96?o?;<00a?4f8279nk4=a19>6d4==?16>h758c9~w7e?2909wS:279nk4=939>g`<1l2wx>hk50;4xZ7cb3483=7o952dg89fg=>=16>ho517`8yv7b=3:1>v3lc;34a>;5i;0>46*>8e82a2=i91i1>6s|17294?c|V8<;70=>2;6g?84f?3>o70;5lh03n63=c48;g>;5m>0?h63=e`87`>;5ih0?h63=a887`>;4990?h63<1087`>;50o0?h63=9187`>{t:h21<7=t^3c;?8eb2?>01?6::438yv4bn3:1?vP=eg9>63?=:ll01?=n:3ge?xu5n80;6?uQ2g389ol517`8yv4?:3:1>vP=839>6=3=;l1v?6<:181[4?;279484;0:p6=2=838pR?6;;<0;1?253ty9o<4?:3y]6f7<5;i96<8>;|q1f=<72;q65447b:?1f=<6>k1v?h;:180840i38m863=fd863>;5nm0::o5+19f96cg5<3s48<876c9>g3<1l2wx?=750;1x94b22::270=?4;346>;dl3<<7)?7d;13g>h60j087p}=e283>0}:9o=1>h=4=0fb>7c4348n87?7e:?1a6<6>k16?5m4=3f`>=e<5;2o6<8>;|q04`<72;q6?=h5173897df21i0q~7`f3g;3o7?4}r0f0?6=0r7:j:4=e59>5f1=0j16=ok58b9>5f6=0j16=n<58b9>5f3=0j16>5j58e9>6`2=9?h0q~<60;291~;5?h095=52d585b>;d=31}::lo4=22e>=b<5j31:i522`c953d517y>744==;16>l95539>6a1=9?;01?o=:5;897c02<801?kn:04b?84fi3?970;50o0>>63=91866>{t:1l1<7:t=3:2>7>a34n?6;j4=b692a=::1l1=;l4}r124?6=;r794i47c:?1a1<2?278==4>6c9~w7be290nw0;5m=0>963=e287`>;5lm0>963<0287`>;48;0?h63<0087`>;4890?h63=fg87`>;5nl0?h63=fe87`>;5nj0?h63=b987`>;5jk0>96s|2c694?4|5;h<6?l;;<0eg?303ty8=?4?:5y>63c=;8801?:n:9`8967528a68;3>;>?32i70=>1;74?xu5n<0;6>u226696c3<5;lm6894=3df>40e3-;3h75f3=9?;01?jk:4f8 4>c28i87c?7c;28yv4f;3:1?v3=8081e6=:kj0<<63=a3822f=#91n1>l;4n0:`>7=z{;>m6=48{<064?71927h57?7f:?`9l50;0x9a2=9>301n=5659'5=b=:=i0b<6l:09~w6762908w0<:7;125>;48o03o63<10822g=z{;k?6=4<{<04e?4f<27ho79>;<0b6?71l2.:4i4=a49m5=e=92wx=oj50;0x94db28<:708b80?xu6k80;6?u21b09537<5;no68l4$0:g>4e43g;3o7?4}r065?6=:r799?4>609>gf<60o1v?hm:181842?38mn63<02822g=#91n1>ko4n0:`>5=z{8l:6=4={<130?0534io6<67;%3;`?7a82d:4n4?;|q2a3<72;q6on4>7g9>6d4==01/=5j51d58j4>d281v?ll:1825~;50809nn5226c96ge<5;=?6?ll;<05a?4ek279:44=bb9>637=:ki01?;l:3``?842?38io63=bg81ff=:1?0o014;57d9>=7<0m273j79j;<;0>2c<50>1;h5rs3c:>5<3s48=57k1v{t:0<1<7;t^3;5?847>382:63=0381=3=:9oo1>484=0d:>=b<,82o6?7:;o3;g?652z?2`f<6>816?<>5519~w66?2908w0?k5;13<>;48=0:;<52ce852>"60m08{t:k31<7406343<6:k4}r0;a?6=:r79hn47d:?`a?7012wx=n850;;x94e028<:70=?3;73?857:3?;70=?1;73?85783?;70c983>7}:9m?1=n64=3`;>0152z?`0?7012794k4:7:p5a0=838p1n=516;897de2<=0q~?j3;296~;di3;<563=e`863>{t9mo1<7609>752==<16>l<5549~w4c32909w0mm:05:?84b?3?<7p}>dg83>7}::h=19:52c7823<=z{;o<6=4;{<05=?4b?279?l4=e69>gg<1<279i:4>6c9~w7222909w0<;6;355>;48=0>:6s|1d294?4|5:;96894=b5952?52z?`{t:o<1<7=t=34f>7`1349;<7;8;<0eb?71j2.:4i4=f`9m5=e=<2wx=k650;7x97>628l370<99;3e<>;6n00::<52cc825<5s4;m?7?91:?1a6<2?2wx=k:50;1x975528l?70?i7;3e0>;6l<0:j95rs0db>5::51gc8970b28lj70<99;3ee>;5>80:jl5224a95cg<5;?<6e34;mi7?ia:?2`f8b81?xu59>0;6?u220`9641<58n>6??8;|q2bc<72hq6>5?51gd8971f28lm70<84;3eb>;5>l0:jk5227;95c`<5;<:6fg9>606=0k16>=<51gd8yv4fj3:1>v3=8081eg=:0o03n6*>8e81f7=i91i1;6s|20a94?4|5;;m6??l;<3g1?46k2wx>==50;4x97>62;:870<8a;037>;5?=09<>5227;9655<5;?965l4=325>7643ty9j>4?:2y>6=7=:o901?hk:45897`d28h60j0<7p}=2183>7}::;>1>?>4=0f6>7473ty:nk4?:3y>5f6=9?;01?jk:4;8 4>c28i87c?7c;08yv4313:1>v3=4`8224=:100=m50;0x97762;:h70?k5;03g>{t:==1<740634in6<6i;|q16<<72;q6>?m523;894b22;827p}=1383>7}::8<1><<4=0f6>7753ty98>4?:3y>612=9?;01nj519d8yv72i3:1>v3>a`8223=:;8:19:5rs31e>5<5s48?:76m;<076?44n2wx>?j50;0x974b28<:70?k5;01`>{t;9=1<7=t=0f6>660349;87?9f:?``?023-;3h7=?c:l2637=:o201>>=:458966628h60j097p}=a783>6}::>>1>l84=ba936=::h81=;h4$0:g>7g23g;3o7>4}r01b?6=:r798h47b:?177<5:o1v?k=:18a8e52>n01?;5:=09i?5220d96`4<5;;i6?k=;<022?4b:279=<4=e39>5c5=1116>ij51638 4>c2;o:7c?7c;28yv57=3:1?v3>d48040=:;9>1=;m4=bf933=#91n1?=m4n0:`>2=z{::i6=4<{<3g1?57j278<94>759>ga<112.:4i4<0b9m5=e=92wx>>=50;0x97512;98705<4s48=576c9'5=b=:ok0b<6l:29~w7d42909w0<:7;0a7>;>>32i7)?7d;0a6>h60j0;7p}=3683>7}:::k1>>94=3`b>=b52z?041<2m27hh7?74:&28b85?xu48m0;6>u21e7975b<5::?6<99;3d<,82o6>>l;o3;g?652z?17`<5;k16=im58e9~w7?3290>wS<64:?143<51=16>=<5286894`b2;3?70?i9;:`?!7?l38296`>8b82?xu6mj0;6?u231691a=:km0:4>5+19f95c65<5s4i96<6>;<3`6?>c3-;3h760e=:k;014;58c9'5=b=:k80b<6l:09~w7ba290iw0m=:6c8974b21i01?ih4=33a>7ba348::76ab=9?n0(<6k:3g2?k7?k380q~?jb;296~;48=0>n63ld;3;5>"60m0:j=5a19a91>{t:ho1<77gb343965l4$0:g>7d53g;3o7:4}r0ga?6==r7o878;;2?<58l865m4=0a4>=b<5;no6<8l;%3;`?4b92d:4n4<;|q1a5<72kq6o?48b:?16`n4=e19>67>=:l:01?<;:3g3?846n38n<63=1c81a5=::8<1>h>4=332>7c734;m?76j;<0g`?71n2.:4i4=e09m5=e=92wx=ho50;0x96632<301nj516d8 4>c28l;7c?7c;48yv4703:1>v3l2;34b>;6k903h6*>8e814d=i91i1>6s|2c294?4|5;<:6?l?;<;7>=d<,82o6?l=;o3;g?452z?041<1827hh7?76:&2>;:4:89fb=9>o0(<6k:0d3?k7?k3=0q~"60m09n?5a19a91>{t:9h1<765j4$0:g>76f3g;3o7>4}r07`?6=:r798h4>609>g6<60o1v8b83?xu58>0;6?u2c3823`=:9ko14i5+19f965g5rs3ce>5<5s48=57e3-;3h7744==916>l95519>6`1==916>ho5519>6dg==916>l75519>6=`==916>4>5519>6`?=9?;0qpsr}AB@5ok}ABA5{GHYqvLM \ No newline at end of file diff --git a/spi6502b.pnx b/spi6502b.pnx index b04911f..4b243e9 100644 --- a/spi6502b.pnx +++ b/spi6502b.pnx @@ -15,4 +15,4 @@ sr (SLOW|FAST|slow|fast) "SLOW" dir (BIDIR|bidir|INPUT|input|OUTPUT|output) "BIDIR"> ]> - + diff --git a/spi6502b.prj b/spi6502b.prj index dcf3158..7d4ebfb 100644 --- a/spi6502b.prj +++ b/spi6502b.prj @@ -1 +1,2 @@ +vhdl work address_decoder.vhf vhdl work SPI6502B1.1.vhd diff --git a/spi6502b.rpt b/spi6502b.rpt index 8088d76..7880cd0 100644 --- a/spi6502b.rpt +++ b/spi6502b.rpt @@ -1,7 +1,7 @@ cpldfit: version G.38 Xilinx Inc. Fitter Report -Design Name: spi6502b Date: 5- 6-2017, 5:47PM +Design Name: spi6502b Date: 5-11-2017, 2:09AM Device Used: XC9572XL-10-PC44 Fitting Status: Successful @@ -9,38 +9,38 @@ Fitting Status: Successful Macrocells Product Terms Registers Pins Function Block Used Used Used Used Inputs Used -50 /72 ( 69%) 202 /360 ( 56%) 37 /72 ( 51%) 23 /34 ( 68%) 106/216 ( 49%) +56 /72 ( 78%) 209 /360 ( 58%) 38 /72 ( 53%) 32 /34 ( 94%) 116/216 ( 54%) PIN RESOURCES: Signal Type Required Mapped | Pin Type Used Remaining ------------------------------------|--------------------------------------- -Input : 10 10 | I/O : 19 9 -Output : 5 5 | GCK/IO : 3 0 -Bidirectional : 8 8 | GTS/IO : 1 1 -GCK : 0 0 | GSR/IO : 0 1 +Input : 13 13 | I/O : 26 2 +Output : 10 10 | GCK/IO : 3 0 +Bidirectional : 8 8 | GTS/IO : 2 0 +GCK : 1 1 | GSR/IO : 1 0 GTS : 0 0 | GSR : 0 0 | ---- ---- - Total 23 23 + Total 32 32 MACROCELL RESOURCES: Total Macrocells Available 72 -Registered Macrocells 37 -Non-registered Macrocell driving I/O 10 +Registered Macrocells 38 +Non-registered Macrocell driving I/O 15 GLOBAL RESOURCES: -Global clock net(s) unused. +Signal 'extclk' mapped onto global clock net GCK2. Global output enable net(s) unused. Global set/reset net(s) unused. POWER DATA: -There are 50 macrocells in high performance mode (MCHP). +There are 56 macrocells in high performance mode (MCHP). There are 0 macrocells in low power mode (MCLP). -There are a total of 50 macrocells used (MC). +There are a total of 56 macrocells used (MC). End of Resource Summary *************** Summary of Required Resources ****************** @@ -48,68 +48,78 @@ End of Resource Summary ** LOGIC ** Signal Total Signals Loc Pwr Slew Pin Pin Pin Reg Init Name Pt Used Mode Rate # Type Use State -$OpTx$INV$22__$INT 3 5 FB2_6 STD 37 I/O (b) -cpha 5 8 FB1_18 STD (b) (b) RESET -cpol 5 8 FB1_16 STD (b) (b) RESET -cpu_Nirq 1 1 FB3_9 STD FAST 14 I/O O -cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST 2 4 FB4_1 STD (b) (b) -cpu_d<0> 5 10 FB1_5 STD FAST 2 I/O I/O -cpu_d<1> 4 9 FB1_6 STD FAST 3 I/O I/O -cpu_d<2> 4 9 FB1_8 STD FAST 4 I/O I/O -cpu_d<3> 3 8 FB1_15 STD FAST 8 I/O I/O -cpu_d<4> 5 10 FB1_17 STD FAST 9 I/O I/O -cpu_d<5> 4 9 FB3_2 STD FAST 11 I/O I/O -cpu_d<6> 3 8 FB3_5 STD FAST 12 I/O I/O -cpu_d<7> 3 8 FB3_8 STD FAST 13 I/O I/O -divisor<0> 5 8 FB1_14 STD 7 GCK/I/O I RESET -divisor<1> 5 8 FB1_13 STD (b) (b) RESET -divisor<2> 5 8 FB1_12 STD (b) (b) RESET -ece 5 8 FB1_11 STD 6 GCK/I/O I RESET -frx 5 8 FB1_10 STD (b) (b) RESET -ier 5 8 FB4_9 STD (b) (b) RESET +$OpTx$INV$24__$INT 3 5 FB2_6 STD 37 I/O I +add_dec/XLXN_11 1 4 FB3_17 STD 22 I/O I RESET +b10 1 2 FB4_8 STD FAST 27 I/O O +b8 1 2 FB4_2 STD FAST 25 I/O O +b9 1 2 FB4_5 STD FAST 26 I/O O +cpha 5 7 FB1_18 STD (b) (b) RESET +cpol 5 7 FB1_16 STD (b) (b) RESET +cpu_Nirq 1 1 FB2_9 STD FAST 39 GSR/I/O O +cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST 2 4 FB3_18 STD (b) (b) +cpu_d<0> 5 9 FB1_5 STD FAST 2 I/O I/O +cpu_d<1> 4 8 FB1_6 STD FAST 3 I/O I/O +cpu_d<2> 4 8 FB1_8 STD FAST 4 I/O I/O +cpu_d<3> 3 7 FB1_15 STD FAST 8 I/O I/O +cpu_d<4> 5 9 FB1_17 STD FAST 9 I/O I/O +cpu_d<5> 4 8 FB3_2 STD FAST 11 I/O I/O +cpu_d<6> 3 7 FB3_5 STD FAST 12 I/O I/O +cpu_d<7> 3 7 FB3_8 STD FAST 13 I/O I/O +divisor<0> 5 7 FB1_14 STD 7 GCK/I/O I RESET +divisor<1> 5 7 FB1_13 STD (b) (b) RESET +divisor<2> 5 7 FB1_12 STD (b) (b) RESET +ece 5 7 FB1_11 STD 6 GCK/I/O GCK/I RESET +frx 5 7 FB1_10 STD (b) (b) RESET +ier 5 7 FB4_18 STD (b) (b) RESET led 1 3 FB4_14 STD FAST 29 I/O O -shiftcnt<0> 3 4 FB2_5 STD 36 I/O (b) RESET -shiftcnt<1> 4 5 FB2_17 STD 44 I/O I RESET -shiftcnt<2> 4 6 FB2_16 STD (b) (b) RESET -shiftcnt<3> 4 7 FB2_15 STD 43 I/O (b) RESET -shiftdone 3 6 FB2_4 STD (b) (b) RESET +ng 1 3 FB3_15 STD FAST 20 I/O O +noe 2 3 FB3_9 STD FAST 14 I/O O +shiftcnt<0> 3 4 FB2_5 STD 36 I/O I RESET +shiftcnt<1> 4 5 FB4_16 STD (b) (b) RESET +shiftcnt<2> 4 6 FB4_15 STD 33 I/O (b) RESET +shiftcnt<3> 4 7 FB4_13 STD (b) (b) RESET +shiftdone 3 6 FB4_1 STD (b) (b) RESET shifting2 2 3 FB2_3 STD (b) (b) RESET -slaveinten 5 8 FB1_9 STD 5 GCK/I/O I RESET -spi_Nsel 5 8 FB4_11 STD FAST 28 I/O O RESET +slaveinten 5 7 FB1_9 STD 5 GCK/I/O I RESET +spi_Nsel 5 7 FB4_11 STD FAST 28 I/O O RESET spi_mosi 11 16 FB2_2 STD FAST 35 I/O O RESET spi_sclk 6 7 FB4_17 STD FAST 34 I/O O RESET -spidatain<0> 4 6 FB2_14 STD 42 GTS/I/O I RESET -spidatain<1> 4 5 FB2_13 STD (b) (b) RESET -spidatain<2> 4 5 FB2_12 STD (b) (b) RESET -spidatain<3> 4 5 FB2_11 STD 40 GTS/I/O (b) RESET -spidatain<4> 4 5 FB2_10 STD (b) (b) RESET -spidatain<5> 4 5 FB2_9 STD 39 GSR/I/O (b) RESET -spidatain<6> 4 5 FB2_8 STD 38 I/O (b) RESET +spidatain<0> 4 6 FB4_12 STD (b) (b) RESET +spidatain<1> 4 5 FB4_10 STD (b) (b) RESET +spidatain<2> 4 5 FB2_13 STD (b) (b) RESET +spidatain<3> 4 5 FB2_12 STD (b) (b) RESET +spidatain<4> 4 5 FB2_11 STD 40 GTS/I/O I RESET +spidatain<5> 4 5 FB2_10 STD (b) (b) RESET +spidatain<6> 4 5 FB2_8 STD 38 I/O I RESET spidatain<7> 4 5 FB2_7 STD (b) (b) RESET -spidataout<0> 4 8 FB1_4 STD (b) (b) RESET -spidataout<1> 4 8 FB1_3 STD (b) (b) RESET -spidataout<2> 4 8 FB1_2 STD 1 I/O (b) RESET -spidataout<3> 4 8 FB4_8 STD 27 I/O (b) RESET -spidataout<4> 4 8 FB4_7 STD (b) (b) RESET -spidataout<5> 4 8 FB4_6 STD (b) (b) RESET -spidataout<6> 4 8 FB4_5 STD 26 I/O (b) RESET -spidataout<7> 4 8 FB4_4 STD (b) (b) RESET -start_shifting 4 8 FB4_3 STD (b) (b) RESET +spidataout<0> 4 7 FB1_4 STD (b) (b) RESET +spidataout<1> 4 7 FB1_3 STD (b) (b) RESET +spidataout<2> 4 7 FB1_2 STD 1 I/O (b) RESET +spidataout<3> 4 7 FB1_1 STD (b) (b) RESET +spidataout<4> 4 7 FB4_9 STD (b) (b) RESET +spidataout<5> 4 7 FB4_7 STD (b) (b) RESET +spidataout<6> 4 7 FB4_6 STD (b) (b) RESET +spidataout<7> 4 7 FB4_4 STD (b) (b) RESET +start_shifting 4 7 FB4_3 STD (b) (b) RESET start_shifting/start_shifting_RSTF__$INT 1 2 FB2_18 STD (b) (b) -tc 3 5 FB4_2 STD 25 I/O (b) RESET -tmo 5 8 FB1_7 STD (b) (b) RESET +tc 3 4 FB2_4 STD (b) (b) RESET +tmo 5 7 FB1_7 STD (b) (b) RESET ** INPUTS ** Signal Loc Pin Pin Pin Name # Type Use Ncs2 FB3_11 18 I/O I +a10 FB2_8 38 I/O I +a8 FB2_5 36 I/O I +a9 FB2_6 37 I/O I cpu_Nphi2 FB1_9 5 GCK/I/O I cpu_Nres FB3_14 19 I/O I cpu_a<0> FB3_17 22 I/O I cpu_a<1> FB3_16 24 I/O I cpu_rnw FB1_14 7 GCK/I/O I -cs1 FB3_15 20 I/O I -extclk FB1_11 6 GCK/I/O I +extclk FB1_11 6 GCK/I/O GCK/I +nio_sel FB2_11 40 GTS/I/O I +nio_stb FB2_15 43 I/O I spi_int FB2_14 42 GTS/I/O I spi_miso FB2_17 44 I/O I @@ -118,18 +128,18 @@ End of Resources *********************Function Block Resource Summary*********************** Function # of FB Inputs Signals Total O/IO IO Block Macrocells Used Used Pt Used Req Avail -FB1 17 31 31 78 0/5 9 -FB2 17 30 30 67 1/0 9 -FB3 4 14 14 11 1/3 9 -FB4 12 31 31 46 3/0 7 +FB1 18 31 31 82 0/5 9 +FB2 13 31 31 48 2/0 9 +FB3 7 20 20 16 2/3 9 +FB4 18 34 34 63 6/0 7 ---- ----- ----- ----- - 50 202 5/8 34 + 56 209 10/8 34 *********************************** FB1 *********************************** Number of function block inputs used/remaining: 31/23 Number of signals used by logic mapping into function block: 31 Signal Total Imp Exp Unused Loc Pwr Pin Pin Pin Name Pt Pt Pt Pt Mode # Type Use -(unused) 0 0 0 5 FB1_1 (b) +spidataout<3> 4 0 0 1 FB1_1 STD (b) (b) spidataout<2> 4 0 0 1 FB1_2 STD 1 I/O (b) spidataout<1> 4 0 0 1 FB1_3 STD (b) (b) spidataout<0> 4 0 0 1 FB1_4 STD (b) (b) @@ -139,7 +149,7 @@ tmo 5 0 0 0 FB1_7 STD (b) (b) cpu_d<2> 4 0 0 1 FB1_8 STD 4 I/O I/O slaveinten 5 0 0 0 FB1_9 STD 5 GCK/I/O I frx 5 0 0 0 FB1_10 STD (b) (b) -ece 5 0 0 0 FB1_11 STD 6 GCK/I/O I +ece 5 0 0 0 FB1_11 STD 6 GCK/I/O GCK/I divisor<2> 5 0 0 0 FB1_12 STD (b) (b) divisor<1> 5 0 0 0 FB1_13 STD (b) (b) divisor<0> 5 0 0 0 FB1_14 STD 7 GCK/I/O I @@ -149,37 +159,38 @@ cpu_d<4> 5 0 0 0 FB1_17 STD 9 I/O I/O cpha 5 0 0 0 FB1_18 STD (b) (b) Signals Used by Logic in Function Block - 1: cpu_d<0>.PIN 12: cpu_a<1> 22: spi_int - 2: cpu_d<1>.PIN 13: cpu_rnw 23: spidatain<0> - 3: cpu_d<2>.PIN 14: cs1 24: spidatain<1> - 4: cpu_d<3>.PIN 15: divisor<0> 25: spidatain<2> - 5: cpu_d<4>.PIN 16: divisor<1> 26: spidatain<3> - 6: Ncs2 17: divisor<2> 27: spidatain<4> - 7: cpha 18: ece 28: spidataout<0> - 8: cpol 19: frx 29: spidataout<1> - 9: cpu_Nphi2 20: slaveinten 30: spidataout<2> - 10: cpu_Nres 21: spi_Nsel 31: tmo + 1: cpu_d<0>.PIN 12: cpu_a<1> 22: spidatain<0> + 2: cpu_d<1>.PIN 13: cpu_rnw 23: spidatain<1> + 3: cpu_d<2>.PIN 14: divisor<0> 24: spidatain<2> + 4: cpu_d<3>.PIN 15: divisor<1> 25: spidatain<3> + 5: cpu_d<4>.PIN 16: divisor<2> 26: spidatain<4> + 6: Ncs2 17: ece 27: spidataout<0> + 7: cpha 18: frx 28: spidataout<1> + 8: cpol 19: slaveinten 29: spidataout<2> + 9: cpu_Nphi2 20: spi_Nsel 30: spidataout<3> + 10: cpu_Nres 21: spi_int 31: tmo 11: cpu_a<0> Signal 1 2 3 4 Signals FB Name 0----+----0----+----0----+----0----+----0 Used Inputs -spidataout<2> ..X..X...XXXXX...............X.......... 8 8 -spidataout<1> .X...X...XXXXX..............X........... 8 8 -spidataout<0> X....X...XXXXX.............X............ 8 8 -cpu_d<0> .....XX.X.XXXXX.....X.X................. 10 10 -cpu_d<1> .....X.XX.XXXX.X.......X................ 9 9 -tmo ...X.X...XXXXX................X......... 8 8 -cpu_d<2> .....X..X.XXXX..XX......X............... 9 9 -slaveinten ....XX...XXXXX.....X.................... 8 8 -frx ....XX...XXXXX....X..................... 8 8 -ece ..X..X...XXXXX...X...................... 8 8 -divisor<2> ..X..X...XXXXX..X....................... 8 8 -divisor<1> .X...X...XXXXX.X........................ 8 8 -divisor<0> X....X...XXXXXX......................... 8 8 -cpu_d<3> .....X..X.XXXX...........X....X......... 8 8 -cpol .X...X.X.XXXXX.......................... 8 8 -cpu_d<4> .....X..X.XXXX....XX.X....X............. 10 10 -cpha X....XX..XXXXX.......................... 8 8 +spidataout<3> ...X.X...XXXX................X.......... 7 7 +spidataout<2> ..X..X...XXXX...............X........... 7 7 +spidataout<1> .X...X...XXXX..............X............ 7 7 +spidataout<0> X....X...XXXX.............X............. 7 7 +cpu_d<0> .....XX.X.XXXX.....X.X.................. 9 9 +cpu_d<1> .....X.XX.XXX.X.......X................. 8 8 +tmo ...X.X...XXXX.................X......... 7 7 +cpu_d<2> .....X..X.XXX..XX......X................ 8 8 +slaveinten ....XX...XXXX.....X..................... 7 7 +frx ....XX...XXXX....X...................... 7 7 +ece ..X..X...XXXX...X....................... 7 7 +divisor<2> ..X..X...XXXX..X........................ 7 7 +divisor<1> .X...X...XXXX.X......................... 7 7 +divisor<0> X....X...XXXXX.......................... 7 7 +cpu_d<3> .....X..X.XXX...........X.....X......... 7 7 +cpol .X...X.X.XXXX........................... 7 7 +cpu_d<4> .....X..X.XXX....XX.X....X.............. 9 9 +cpha X....XX..XXXX........................... 7 7 0----+----1----+----2----+----3----+----4 0 0 0 0 Legend: @@ -197,63 +208,61 @@ X(@) - Signal used as input (wire-AND input) to the macrocell logic. The number of Signals Used may exceed the number of FB Inputs Used due to wire-ANDing in the switch matrix. *********************************** FB2 *********************************** -Number of function block inputs used/remaining: 30/24 -Number of signals used by logic mapping into function block: 30 +Number of function block inputs used/remaining: 31/23 +Number of signals used by logic mapping into function block: 31 Signal Total Imp Exp Unused Loc Pwr Pin Pin Pin Name Pt Pt Pt Pt Mode # Type Use (unused) 0 0 \/5 0 FB2_1 (b) (b) spi_mosi 11 6<- 0 0 FB2_2 STD 35 I/O O shifting2 2 0 /\1 2 FB2_3 STD (b) (b) -shiftdone 3 0 0 2 FB2_4 STD (b) (b) -shiftcnt<0> 3 0 0 2 FB2_5 STD 36 I/O (b) -$OpTx$INV$22__$INT 3 0 0 2 FB2_6 STD 37 I/O (b) +tc 3 0 0 2 FB2_4 STD (b) (b) +shiftcnt<0> 3 0 0 2 FB2_5 STD 36 I/O I +$OpTx$INV$24__$INT 3 0 0 2 FB2_6 STD 37 I/O I spidatain<7> 4 0 0 1 FB2_7 STD (b) (b) -spidatain<6> 4 0 0 1 FB2_8 STD 38 I/O (b) -spidatain<5> 4 0 0 1 FB2_9 STD 39 GSR/I/O (b) -spidatain<4> 4 0 0 1 FB2_10 STD (b) (b) -spidatain<3> 4 0 0 1 FB2_11 STD 40 GTS/I/O (b) -spidatain<2> 4 0 0 1 FB2_12 STD (b) (b) -spidatain<1> 4 0 0 1 FB2_13 STD (b) (b) -spidatain<0> 4 0 0 1 FB2_14 STD 42 GTS/I/O I -shiftcnt<3> 4 0 0 1 FB2_15 STD 43 I/O (b) -shiftcnt<2> 4 0 0 1 FB2_16 STD (b) (b) -shiftcnt<1> 4 0 0 1 FB2_17 STD 44 I/O I +spidatain<6> 4 0 0 1 FB2_8 STD 38 I/O I +cpu_Nirq 1 0 0 4 FB2_9 STD 39 GSR/I/O O +spidatain<5> 4 0 0 1 FB2_10 STD (b) (b) +spidatain<4> 4 0 0 1 FB2_11 STD 40 GTS/I/O I +spidatain<3> 4 0 0 1 FB2_12 STD (b) (b) +spidatain<2> 4 0 0 1 FB2_13 STD (b) (b) +(unused) 0 0 0 5 FB2_14 42 GTS/I/O I +(unused) 0 0 0 5 FB2_15 43 I/O I +(unused) 0 0 0 5 FB2_16 (b) +(unused) 0 0 0 5 FB2_17 44 I/O I start_shifting/start_shifting_RSTF__$INT 1 0 0 4 FB2_18 STD (b) (b) Signals Used by Logic in Function Block - 1: $OpTx$INV$22__$INT - 11: shifting2 21: spidataout<0> - 2: cpu_Nphi2 12: spi_Nsel 22: spidataout<1> - 3: cpu_Nres 13: spi_miso 23: spidataout<2> - 4: ece 14: spidatain<0> 24: spidataout<3> - 5: extclk 15: spidatain<1> 25: spidataout<4> - 6: shiftcnt<0> 16: spidatain<2> 26: spidataout<5> - 7: shiftcnt<1> 17: spidatain<3> 27: spidataout<6> - 8: shiftcnt<2> 18: spidatain<4> 28: spidataout<7> - 9: shiftcnt<3> 19: spidatain<5> 29: start_shifting - 10: shiftdone 20: spidatain<6> 30: tmo + 1: $OpTx$INV$24__$INT + 12: shiftcnt<2> 22: spidataout<0> + 2: Ncs2 13: shiftcnt<3> 23: spidataout<1> + 3: cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST + 14: shiftdone 24: spidataout<2> + 4: cpu_Nphi2 15: shifting2 25: spidataout<3> + 5: cpu_Nres 16: spidatain<1> 26: spidataout<4> + 6: cpu_a<0> 17: spidatain<2> 27: spidataout<5> + 7: cpu_a<1> 18: spidatain<3> 28: spidataout<6> + 8: ece 19: spidatain<4> 29: spidataout<7> + 9: extclk 20: spidatain<5> 30: start_shifting + 10: shiftcnt<0> 21: spidatain<6> 31: tmo + 11: shiftcnt<1> Signal 1 2 3 4 Signals FB Name 0----+----0----+----0----+----0----+----0 Used Inputs -spi_mosi X.X...XXXXX.........XXXXXXXX.X.......... 16 16 -shifting2 X........X..................X........... 3 3 -shiftdone X.X..XXXX............................... 6 6 -shiftcnt<0> X.X..X....X............................. 4 4 -$OpTx$INV$22__$INT .X.XX.....X.................X........... 5 5 -spidatain<7> X.X..X....X........X.................... 5 5 -spidatain<6> X.X..X....X.......X..................... 5 5 -spidatain<5> X.X..X....X......X...................... 5 5 -spidatain<4> X.X..X....X.....X....................... 5 5 -spidatain<3> X.X..X....X....X........................ 5 5 -spidatain<2> X.X..X....X...X......................... 5 5 -spidatain<1> X.X..X....X..X.......................... 5 5 -spidatain<0> X.X..X....XXX........................... 6 6 -shiftcnt<3> X.X..XXXX.X............................. 7 7 -shiftcnt<2> X.X..XXX..X............................. 6 6 -shiftcnt<1> X.X..XX...X............................. 5 5 +spi_mosi X...X.....XXXXX......XXXXXXXX.X......... 16 16 +shifting2 X............X...............X.......... 3 3 +tc .X...XX......X.......................... 4 4 +shiftcnt<0> X...X....X....X......................... 4 4 +$OpTx$INV$24__$INT ...X...XX.....X..............X.......... 5 5 +spidatain<7> X...X....X....X.....X................... 5 5 +spidatain<6> X...X....X....X....X.................... 5 5 +cpu_Nirq ..X..................................... 1 1 +spidatain<5> X...X....X....X...X..................... 5 5 +spidatain<4> X...X....X....X..X...................... 5 5 +spidatain<3> X...X....X....X.X....................... 5 5 +spidatain<2> X...X....X....XX........................ 5 5 start_shifting/start_shifting_RSTF__$INT - ..X......X.............................. 2 2 + ....X........X.......................... 2 2 0----+----1----+----2----+----3----+----4 0 0 0 0 Legend: @@ -271,8 +280,8 @@ X(@) - Signal used as input (wire-AND input) to the macrocell logic. The number of Signals Used may exceed the number of FB Inputs Used due to wire-ANDing in the switch matrix. *********************************** FB3 *********************************** -Number of function block inputs used/remaining: 14/40 -Number of signals used by logic mapping into function block: 14 +Number of function block inputs used/remaining: 20/34 +Number of signals used by logic mapping into function block: 20 Signal Total Imp Exp Unused Loc Pwr Pin Pin Pin Name Pt Pt Pt Pt Mode # Type Use (unused) 0 0 0 5 FB3_1 (b) @@ -283,31 +292,37 @@ cpu_d<6> 3 0 0 2 FB3_5 STD 12 I/O I/O (unused) 0 0 0 5 FB3_6 (b) (unused) 0 0 0 5 FB3_7 (b) cpu_d<7> 3 0 0 2 FB3_8 STD 13 I/O I/O -cpu_Nirq 1 0 0 4 FB3_9 STD 14 I/O O +noe 2 0 0 3 FB3_9 STD 14 I/O O (unused) 0 0 0 5 FB3_10 (b) (unused) 0 0 0 5 FB3_11 18 I/O I (unused) 0 0 0 5 FB3_12 (b) (unused) 0 0 0 5 FB3_13 (b) (unused) 0 0 0 5 FB3_14 19 I/O I -(unused) 0 0 0 5 FB3_15 20 I/O I +ng 1 0 0 4 FB3_15 STD 20 I/O O (unused) 0 0 0 5 FB3_16 24 I/O I -(unused) 0 0 0 5 FB3_17 22 I/O I -(unused) 0 0 0 5 FB3_18 (b) +add_dec/XLXN_11 1 0 0 4 FB3_17 STD 22 I/O I +cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST + 2 0 0 3 FB3_18 STD (b) (b) Signals Used by Logic in Function Block - 1: Ncs2 6: cpu_rnw 11: spidatain<6> - 2: cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST - 7: cs1 12: spidatain<7> - 3: cpu_Nphi2 8: ier 13: start_shifting - 4: cpu_a<0> 9: shifting2 14: tc - 5: cpu_a<1> 10: spidatain<5> + 1: Ncs2 8: cpu_a<1> 15: spi_int + 2: a10 9: cpu_rnw 16: spidatain<5> + 3: a8 10: ier 17: spidatain<6> + 4: a9 11: nio_sel 18: spidatain<7> + 5: add_dec/XLXN_11 12: nio_stb 19: start_shifting + 6: cpu_Nphi2 13: shifting2 20: tc + 7: cpu_a<0> 14: slaveinten Signal 1 2 3 4 Signals FB Name 0----+----0----+----0----+----0----+----0 Used Inputs -cpu_d<5> X.XXXXX.XX..X........................... 9 9 -cpu_d<6> X.XXXXXX..X............................. 8 8 -cpu_d<7> X.XXXXX....X.X.......................... 8 8 -cpu_Nirq .X...................................... 1 1 +cpu_d<5> X....XXXX...X..X..X..................... 8 8 +cpu_d<6> X....XXXXX......X....................... 7 7 +cpu_d<7> X....XXXX........X.X.................... 7 7 +noe ....X.....XX............................ 3 3 +ng X.........XX............................ 3 3 +add_dec/XLXN_11 .XXX.......X............................ 4 4 +cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST + .........X...XX....X.................... 4 4 0----+----1----+----2----+----3----+----4 0 0 0 0 Legend: @@ -325,59 +340,64 @@ X(@) - Signal used as input (wire-AND input) to the macrocell logic. The number of Signals Used may exceed the number of FB Inputs Used due to wire-ANDing in the switch matrix. *********************************** FB4 *********************************** -Number of function block inputs used/remaining: 31/23 -Number of signals used by logic mapping into function block: 31 +Number of function block inputs used/remaining: 34/20 +Number of signals used by logic mapping into function block: 34 Signal Total Imp Exp Unused Loc Pwr Pin Pin Pin Name Pt Pt Pt Pt Mode # Type Use -cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST - 2 0 0 3 FB4_1 STD (b) (b) -tc 3 0 0 2 FB4_2 STD 25 I/O (b) +shiftdone 3 0 0 2 FB4_1 STD (b) (b) +b8 1 0 0 4 FB4_2 STD 25 I/O O start_shifting 4 0 0 1 FB4_3 STD (b) (b) spidataout<7> 4 0 0 1 FB4_4 STD (b) (b) -spidataout<6> 4 0 0 1 FB4_5 STD 26 I/O (b) -spidataout<5> 4 0 0 1 FB4_6 STD (b) (b) -spidataout<4> 4 0 0 1 FB4_7 STD (b) (b) -spidataout<3> 4 0 0 1 FB4_8 STD 27 I/O (b) -ier 5 0 0 0 FB4_9 STD (b) (b) -(unused) 0 0 0 5 FB4_10 (b) +b9 1 0 0 4 FB4_5 STD 26 I/O O +spidataout<6> 4 0 0 1 FB4_6 STD (b) (b) +spidataout<5> 4 0 0 1 FB4_7 STD (b) (b) +b10 1 0 0 4 FB4_8 STD 27 I/O O +spidataout<4> 4 0 0 1 FB4_9 STD (b) (b) +spidatain<1> 4 0 0 1 FB4_10 STD (b) (b) spi_Nsel 5 0 0 0 FB4_11 STD 28 I/O O -(unused) 0 0 0 5 FB4_12 (b) -(unused) 0 0 0 5 FB4_13 (b) +spidatain<0> 4 0 0 1 FB4_12 STD (b) (b) +shiftcnt<3> 4 0 0 1 FB4_13 STD (b) (b) led 1 0 0 4 FB4_14 STD 29 I/O O -(unused) 0 0 0 5 FB4_15 33 I/O -(unused) 0 0 \/1 4 FB4_16 (b) (b) +shiftcnt<2> 4 0 0 1 FB4_15 STD 33 I/O (b) +shiftcnt<1> 4 0 \/1 0 FB4_16 STD (b) (b) spi_sclk 6 1<- 0 0 FB4_17 STD 34 I/O O -(unused) 0 0 0 5 FB4_18 (b) +ier 5 0 0 0 FB4_18 STD (b) (b) Signals Used by Logic in Function Block - 1: $OpTx$INV$22__$INT - 12: cpu_a<0> 22: spi_Nsel - 2: cpu_d<0>.PIN 13: cpu_a<1> 23: spi_int - 3: cpu_d<3>.PIN 14: cpu_rnw 24: spidataout<3> - 4: cpu_d<4>.PIN 15: cs1 25: spidataout<4> - 5: cpu_d<5>.PIN 16: frx 26: spidataout<5> - 6: cpu_d<6>.PIN 17: ier 27: spidataout<6> - 7: cpu_d<7>.PIN 18: shiftcnt<0> 28: spidataout<7> - 8: Ncs2 19: shiftdone 29: start_shifting - 9: cpha 20: shifting2 30: start_shifting/start_shifting_RSTF__$INT - 10: cpol 21: slaveinten 31: tc - 11: cpu_Nres + 1: $OpTx$INV$24__$INT + 13: cpu_Nres 24: shiftdone + 2: cpu_d<0>.PIN 14: cpu_a<0> 25: shifting2 + 3: cpu_d<4>.PIN 15: cpu_a<1> 26: spi_Nsel + 4: cpu_d<5>.PIN 16: cpu_rnw 27: spi_miso + 5: cpu_d<6>.PIN 17: frx 28: spidatain<0> + 6: cpu_d<7>.PIN 18: ier 29: spidataout<4> + 7: Ncs2 19: nio_sel 30: spidataout<5> + 8: a10 20: shiftcnt<0> 31: spidataout<6> + 9: a8 21: shiftcnt<1> 32: spidataout<7> + 10: a9 22: shiftcnt<2> 33: start_shifting + 11: cpha 23: shiftcnt<3> 34: start_shifting/start_shifting_RSTF__$INT + 12: cpol Signal 1 2 3 4 Signals FB Name 0----+----0----+----0----+----0----+----0 Used Inputs -cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST - ................X...X.X.......X......... 4 4 -tc .......X...XX.X...X..................... 5 5 -start_shifting .......X...XXXXX............XX.......... 8 8 -spidataout<7> ......XX..XXXXX............X............ 8 8 -spidataout<6> .....X.X..XXXXX...........X............. 8 8 -spidataout<5> ....X..X..XXXXX..........X.............. 8 8 -spidataout<4> ...X...X..XXXXX.........X............... 8 8 -spidataout<3> ..X....X..XXXXX........X................ 8 8 -ier .....X.X..XXXXX.X....................... 8 8 -spi_Nsel .X.....X..XXXXX......X.................. 8 8 -led ...................X.X......X........... 3 3 -spi_sclk X.......XXX......XXX.................... 7 7 +shiftdone X...........X......XXXX................. 6 6 +b8 ........X.........X..................... 2 2 +start_shifting ......X......XXXX...............XX...... 7 7 +spidataout<7> .....XX.....XXXX...............X........ 7 7 +b9 .........X........X..................... 2 2 +spidataout<6> ....X.X.....XXXX..............X......... 7 7 +spidataout<5> ...X..X.....XXXX.............X.......... 7 7 +b10 .......X..........X..................... 2 2 +spidataout<4> ..X...X.....XXXX............X........... 7 7 +spidatain<1> X...........X......X....X..X............ 5 5 +spi_Nsel .X....X.....XXXX.........X.............. 7 7 +spidatain<0> X...........X......X....XXX............. 6 6 +shiftcnt<3> X...........X......XXXX.X............... 7 7 +led ........................XX......X....... 3 3 +shiftcnt<2> X...........X......XXX..X............... 6 6 +shiftcnt<1> X...........X......XX...X............... 5 5 +spi_sclk X.........XXX......X...XX............... 7 7 +ier ....X.X.....XXXX.X...................... 7 7 0----+----1----+----2----+----3----+----4 0 0 0 0 Legend: @@ -398,23 +418,31 @@ X(@) - Signal used as input (wire-AND input) to the macrocell logic. ; Implemented Equations. -$OpTx$INV$22__$INT <= ((ece AND NOT extclk) +$OpTx$INV$24__$INT <= ((ece AND NOT extclk) OR (NOT ece AND NOT cpu_Nphi2) OR (NOT start_shifting AND NOT shifting2)); +FDCPE_add_dec/XLXN_11: FDCPE port map (add_dec/XLXN_11,add_dec/XLXN_11_D,extclk,'0','0'); +add_dec/XLXN_11_D <= (NOT nio_stb AND a9 AND a8 AND a10); -FTCPE_cpha: FTCPE port map (cpha,cpha_T,cpha_C,NOT cpu_Nres,'0',NOT cpu_rnw); +b10 <= (a10 AND nio_sel); + + +b8 <= (a8 AND nio_sel); + + +b9 <= (a9 AND nio_sel); + +FTCPE_cpha: FTCPE port map (cpha,cpha_T,Ncs2,NOT cpu_Nres,'0',NOT cpu_rnw); cpha_T <= ((cpha AND NOT cpu_a(1) AND cpu_a(0) AND NOT cpu_d(0).PIN) OR (NOT cpha AND NOT cpu_a(1) AND cpu_a(0) AND cpu_d(0).PIN)); -cpha_C <= NOT ((cs1 AND NOT Ncs2)); -FTCPE_cpol: FTCPE port map (cpol,cpol_T,cpol_C,NOT cpu_Nres,'0',NOT cpu_rnw); +FTCPE_cpol: FTCPE port map (cpol,cpol_T,Ncs2,NOT cpu_Nres,'0',NOT cpu_rnw); cpol_T <= ((cpol AND NOT cpu_a(1) AND cpu_a(0) AND NOT cpu_d(1).PIN) OR (NOT cpol AND NOT cpu_a(1) AND cpu_a(0) AND cpu_d(1).PIN)); -cpol_C <= NOT ((cs1 AND NOT Ncs2)); cpu_Nirq_I <= '0'; @@ -425,128 +453,122 @@ cpu_Nirq_OE <= cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST; cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST <= ((ier AND tc) OR (slaveinten AND NOT spi_int)); -FTCPE_divisor0: FTCPE port map (divisor(0),divisor_T(0),divisor_C(0),NOT cpu_Nres,'0',NOT cpu_rnw); +FTCPE_divisor0: FTCPE port map (divisor(0),divisor_T(0),Ncs2,NOT cpu_Nres,'0',NOT cpu_rnw); divisor_T(0) <= ((divisor(0) AND cpu_a(1) AND NOT cpu_a(0) AND NOT cpu_d(0).PIN) OR (NOT divisor(0) AND cpu_a(1) AND NOT cpu_a(0) AND cpu_d(0).PIN)); -divisor_C(0) <= NOT ((cs1 AND NOT Ncs2)); -FTCPE_divisor1: FTCPE port map (divisor(1),divisor_T(1),divisor_C(1),NOT cpu_Nres,'0',NOT cpu_rnw); +FTCPE_divisor1: FTCPE port map (divisor(1),divisor_T(1),Ncs2,NOT cpu_Nres,'0',NOT cpu_rnw); divisor_T(1) <= ((divisor(1) AND cpu_a(1) AND NOT cpu_a(0) AND NOT cpu_d(1).PIN) OR (NOT divisor(1) AND cpu_a(1) AND NOT cpu_a(0) AND cpu_d(1).PIN)); -divisor_C(1) <= NOT ((cs1 AND NOT Ncs2)); -FTCPE_divisor2: FTCPE port map (divisor(2),divisor_T(2),divisor_C(2),NOT cpu_Nres,'0',NOT cpu_rnw); +FTCPE_divisor2: FTCPE port map (divisor(2),divisor_T(2),Ncs2,NOT cpu_Nres,'0',NOT cpu_rnw); divisor_T(2) <= ((divisor(2) AND cpu_a(1) AND NOT cpu_a(0) AND NOT cpu_d(2).PIN) OR (NOT divisor(2) AND cpu_a(1) AND NOT cpu_a(0) AND cpu_d(2).PIN)); -divisor_C(2) <= NOT ((cs1 AND NOT Ncs2)); -FTCPE_ece: FTCPE port map (ece,ece_T,ece_C,NOT cpu_Nres,'0',NOT cpu_rnw); +FTCPE_ece: FTCPE port map (ece,ece_T,Ncs2,NOT cpu_Nres,'0',NOT cpu_rnw); ece_T <= ((ece AND NOT cpu_a(1) AND cpu_a(0) AND NOT cpu_d(2).PIN) OR (NOT ece AND NOT cpu_a(1) AND cpu_a(0) AND cpu_d(2).PIN)); -ece_C <= NOT ((cs1 AND NOT Ncs2)); -FTCPE_frx: FTCPE port map (frx,frx_T,frx_C,NOT cpu_Nres,'0',NOT cpu_rnw); +FTCPE_frx: FTCPE port map (frx,frx_T,Ncs2,NOT cpu_Nres,'0',NOT cpu_rnw); frx_T <= ((frx AND NOT cpu_a(1) AND cpu_a(0) AND NOT cpu_d(4).PIN) OR (NOT frx AND NOT cpu_a(1) AND cpu_a(0) AND cpu_d(4).PIN)); -frx_C <= NOT ((cs1 AND NOT Ncs2)); -FTCPE_ier: FTCPE port map (ier,ier_T,ier_C,NOT cpu_Nres,'0',NOT cpu_rnw); +FTCPE_ier: FTCPE port map (ier,ier_T,Ncs2,NOT cpu_Nres,'0',NOT cpu_rnw); ier_T <= ((ier AND NOT cpu_a(1) AND cpu_a(0) AND NOT cpu_d(6).PIN) OR (NOT ier AND NOT cpu_a(1) AND cpu_a(0) AND cpu_d(6).PIN)); -ier_C <= NOT ((cs1 AND NOT Ncs2)); -cpu_d_I(0) <= ((cpu_rnw AND spi_Nsel AND cpu_a(1) AND cs1 AND NOT Ncs2 AND +cpu_d_I(0) <= ((NOT Ncs2 AND cpu_rnw AND spi_Nsel AND cpu_a(1) AND cpu_a(0) AND cpu_Nphi2) - OR (cpu_rnw AND cpha AND NOT cpu_a(1) AND cs1 AND NOT Ncs2 AND - cpu_a(0) AND cpu_Nphi2) - OR (cpu_rnw AND divisor(0) AND cpu_a(1) AND cs1 AND NOT Ncs2 AND + OR (NOT Ncs2 AND cpu_rnw AND cpha AND NOT cpu_a(1) AND cpu_a(0) AND + cpu_Nphi2) + OR (NOT Ncs2 AND cpu_rnw AND divisor(0) AND cpu_a(1) AND NOT cpu_a(0) AND cpu_Nphi2) - OR (cpu_rnw AND spidatain(0) AND NOT cpu_a(1) AND cs1 AND NOT Ncs2 AND + OR (NOT Ncs2 AND cpu_rnw AND spidatain(0) AND NOT cpu_a(1) AND NOT cpu_a(0) AND cpu_Nphi2)); cpu_d(0) <= cpu_d_I(0) when cpu_d_OE(0) = '1' else 'Z'; -cpu_d_OE(0) <= (cpu_rnw AND cs1 AND NOT Ncs2 AND cpu_Nphi2); +cpu_d_OE(0) <= (NOT Ncs2 AND cpu_rnw AND cpu_Nphi2); -cpu_d_I(1) <= ((cpu_rnw AND cpol AND NOT cpu_a(1) AND cs1 AND NOT Ncs2 AND - cpu_a(0) AND cpu_Nphi2) - OR (cpu_rnw AND divisor(1) AND cpu_a(1) AND cs1 AND NOT Ncs2 AND +cpu_d_I(1) <= ((NOT Ncs2 AND cpu_rnw AND cpol AND NOT cpu_a(1) AND cpu_a(0) AND + cpu_Nphi2) + OR (NOT Ncs2 AND cpu_rnw AND divisor(1) AND cpu_a(1) AND NOT cpu_a(0) AND cpu_Nphi2) - OR (cpu_rnw AND spidatain(1) AND NOT cpu_a(1) AND cs1 AND NOT Ncs2 AND + OR (NOT Ncs2 AND cpu_rnw AND spidatain(1) AND NOT cpu_a(1) AND NOT cpu_a(0) AND cpu_Nphi2)); cpu_d(1) <= cpu_d_I(1) when cpu_d_OE(1) = '1' else 'Z'; -cpu_d_OE(1) <= (cpu_rnw AND cs1 AND NOT Ncs2 AND cpu_Nphi2); +cpu_d_OE(1) <= (NOT Ncs2 AND cpu_rnw AND cpu_Nphi2); -cpu_d_I(2) <= ((cpu_rnw AND ece AND NOT cpu_a(1) AND cs1 AND NOT Ncs2 AND - cpu_a(0) AND cpu_Nphi2) - OR (cpu_rnw AND divisor(2) AND cpu_a(1) AND cs1 AND NOT Ncs2 AND +cpu_d_I(2) <= ((NOT Ncs2 AND cpu_rnw AND ece AND NOT cpu_a(1) AND cpu_a(0) AND + cpu_Nphi2) + OR (NOT Ncs2 AND cpu_rnw AND divisor(2) AND cpu_a(1) AND NOT cpu_a(0) AND cpu_Nphi2) - OR (cpu_rnw AND spidatain(2) AND NOT cpu_a(1) AND cs1 AND NOT Ncs2 AND + OR (NOT Ncs2 AND cpu_rnw AND spidatain(2) AND NOT cpu_a(1) AND NOT cpu_a(0) AND cpu_Nphi2)); cpu_d(2) <= cpu_d_I(2) when cpu_d_OE(2) = '1' else 'Z'; -cpu_d_OE(2) <= (cpu_rnw AND cs1 AND NOT Ncs2 AND cpu_Nphi2); +cpu_d_OE(2) <= (NOT Ncs2 AND cpu_rnw AND cpu_Nphi2); -cpu_d_I(3) <= ((cpu_rnw AND tmo AND NOT cpu_a(1) AND cs1 AND NOT Ncs2 AND - cpu_a(0) AND cpu_Nphi2) - OR (cpu_rnw AND spidatain(3) AND NOT cpu_a(1) AND cs1 AND NOT Ncs2 AND +cpu_d_I(3) <= ((NOT Ncs2 AND cpu_rnw AND tmo AND NOT cpu_a(1) AND cpu_a(0) AND + cpu_Nphi2) + OR (NOT Ncs2 AND cpu_rnw AND spidatain(3) AND NOT cpu_a(1) AND NOT cpu_a(0) AND cpu_Nphi2)); cpu_d(3) <= cpu_d_I(3) when cpu_d_OE(3) = '1' else 'Z'; -cpu_d_OE(3) <= (cpu_rnw AND cs1 AND NOT Ncs2 AND cpu_Nphi2); +cpu_d_OE(3) <= (NOT Ncs2 AND cpu_rnw AND cpu_Nphi2); -cpu_d_I(4) <= ((cpu_rnw AND frx AND NOT cpu_a(1) AND cs1 AND NOT Ncs2 AND +cpu_d_I(4) <= ((NOT Ncs2 AND cpu_rnw AND frx AND NOT cpu_a(1) AND cpu_a(0) AND + cpu_Nphi2) + OR (NOT Ncs2 AND cpu_rnw AND slaveinten AND cpu_a(1) AND cpu_a(0) AND cpu_Nphi2) - OR (cpu_rnw AND slaveinten AND cpu_a(1) AND cs1 AND NOT Ncs2 AND - cpu_a(0) AND cpu_Nphi2) - OR (cpu_rnw AND spidatain(4) AND NOT cpu_a(1) AND cs1 AND NOT Ncs2 AND + OR (NOT Ncs2 AND cpu_rnw AND spidatain(4) AND NOT cpu_a(1) AND NOT cpu_a(0) AND cpu_Nphi2) - OR (cpu_rnw AND cpu_a(1) AND cs1 AND NOT Ncs2 AND NOT cpu_a(0) AND - NOT spi_int AND cpu_Nphi2)); + OR (NOT Ncs2 AND cpu_rnw AND cpu_a(1) AND NOT cpu_a(0) AND NOT spi_int AND + cpu_Nphi2)); cpu_d(4) <= cpu_d_I(4) when cpu_d_OE(4) = '1' else 'Z'; -cpu_d_OE(4) <= (cpu_rnw AND cs1 AND NOT Ncs2 AND cpu_Nphi2); +cpu_d_OE(4) <= (NOT Ncs2 AND cpu_rnw AND cpu_Nphi2); -cpu_d_I(5) <= ((cpu_rnw AND spidatain(5) AND NOT cpu_a(1) AND cs1 AND NOT Ncs2 AND +cpu_d_I(5) <= ((NOT Ncs2 AND cpu_rnw AND spidatain(5) AND NOT cpu_a(1) AND NOT cpu_a(0) AND cpu_Nphi2) - OR (cpu_rnw AND NOT cpu_a(1) AND start_shifting AND cs1 AND - NOT Ncs2 AND cpu_a(0) AND cpu_Nphi2) - OR (cpu_rnw AND NOT cpu_a(1) AND cs1 AND NOT Ncs2 AND cpu_a(0) AND + OR (NOT Ncs2 AND cpu_rnw AND NOT cpu_a(1) AND start_shifting AND + cpu_a(0) AND cpu_Nphi2) + OR (NOT Ncs2 AND cpu_rnw AND NOT cpu_a(1) AND cpu_a(0) AND shifting2 AND cpu_Nphi2)); cpu_d(5) <= cpu_d_I(5) when cpu_d_OE(5) = '1' else 'Z'; -cpu_d_OE(5) <= (cpu_rnw AND cs1 AND NOT Ncs2 AND cpu_Nphi2); +cpu_d_OE(5) <= (NOT Ncs2 AND cpu_rnw AND cpu_Nphi2); -cpu_d_I(6) <= ((cpu_rnw AND ier AND NOT cpu_a(1) AND cs1 AND NOT Ncs2 AND - cpu_a(0) AND cpu_Nphi2) - OR (cpu_rnw AND spidatain(6) AND NOT cpu_a(1) AND cs1 AND NOT Ncs2 AND +cpu_d_I(6) <= ((NOT Ncs2 AND cpu_rnw AND ier AND NOT cpu_a(1) AND cpu_a(0) AND + cpu_Nphi2) + OR (NOT Ncs2 AND cpu_rnw AND spidatain(6) AND NOT cpu_a(1) AND NOT cpu_a(0) AND cpu_Nphi2)); cpu_d(6) <= cpu_d_I(6) when cpu_d_OE(6) = '1' else 'Z'; -cpu_d_OE(6) <= (cpu_rnw AND cs1 AND NOT Ncs2 AND cpu_Nphi2); +cpu_d_OE(6) <= (NOT Ncs2 AND cpu_rnw AND cpu_Nphi2); -cpu_d_I(7) <= ((cpu_rnw AND spidatain(7) AND NOT cpu_a(1) AND cs1 AND NOT Ncs2 AND +cpu_d_I(7) <= ((NOT Ncs2 AND cpu_rnw AND spidatain(7) AND NOT cpu_a(1) AND NOT cpu_a(0) AND cpu_Nphi2) - OR (cpu_rnw AND NOT cpu_a(1) AND tc AND cs1 AND NOT Ncs2 AND - cpu_a(0) AND cpu_Nphi2)); + OR (NOT Ncs2 AND cpu_rnw AND NOT cpu_a(1) AND tc AND cpu_a(0) AND + cpu_Nphi2)); cpu_d(7) <= cpu_d_I(7) when cpu_d_OE(7) = '1' else 'Z'; -cpu_d_OE(7) <= (cpu_rnw AND cs1 AND NOT Ncs2 AND cpu_Nphi2); +cpu_d_OE(7) <= (NOT Ncs2 AND cpu_rnw AND cpu_Nphi2); -FDCPE_spi_mosi: FDCPE port map (spi_mosi_I,spi_mosi,NOT $OpTx$INV$22__$INT,'0',NOT cpu_Nres); +FDCPE_spi_mosi: FDCPE port map (spi_mosi_I,spi_mosi,NOT $OpTx$INV$24__$INT,'0',NOT cpu_Nres); spi_mosi <= ((EXP6_.EXP) OR (shifting2.EXP) - OR (shiftcnt(3) AND shiftcnt(2) AND NOT shiftcnt(1) AND - NOT shiftdone AND NOT spidataout(1) AND shifting2) - OR (NOT shiftcnt(3) AND shiftcnt(2) AND NOT shiftcnt(1) AND - NOT shiftdone AND NOT spidataout(5) AND shifting2)); + OR (shiftcnt(3) AND shiftcnt(2) AND shiftcnt(1) AND + NOT shiftdone AND NOT spidataout(0) AND shifting2) + OR (NOT shiftcnt(3) AND shiftcnt(2) AND shiftcnt(1) AND + NOT shiftdone AND NOT spidataout(4) AND shifting2)); spi_mosi <= spi_mosi_I when spi_mosi_OE = '1' else 'Z'; spi_mosi_OE <= NOT tmo; -FDCPE_spi_sclk: FDCPE port map (spi_sclk,spi_sclk_D,NOT $OpTx$INV$22__$INT,spi_sclk_CLR,spi_sclk_PRE); +FDCPE_spi_sclk: FDCPE port map (spi_sclk,spi_sclk_D,NOT $OpTx$INV$24__$INT,spi_sclk_CLR,spi_sclk_PRE); spi_sclk_D <= cpol XOR -spi_sclk_D <= ((EXP7_.EXP) +spi_sclk_D <= ((shiftcnt(1).EXP) OR (cpu_Nres AND NOT cpha AND shiftcnt(0) AND NOT shiftdone AND shifting2)); spi_sclk_CLR <= (NOT cpu_Nres AND NOT cpol); @@ -555,144 +577,138 @@ spi_sclk_PRE <= (NOT cpu_Nres AND cpol); led <= (spi_Nsel AND NOT start_shifting AND NOT shifting2); -FDCPE_shiftcnt0: FDCPE port map (shiftcnt(0),shiftcnt_D(0),NOT $OpTx$INV$22__$INT,NOT cpu_Nres,'0'); + +ng <= (Ncs2 AND nio_stb AND nio_sel); + + +noe <= NOT (((NOT nio_stb AND add_dec/XLXN_11) + OR (NOT nio_sel AND add_dec/XLXN_11))); + +FDCPE_shiftcnt0: FDCPE port map (shiftcnt(0),shiftcnt_D(0),NOT $OpTx$INV$24__$INT,NOT cpu_Nres,'0'); shiftcnt_D(0) <= (NOT shiftcnt(0) AND shifting2); -FDCPE_shiftcnt1: FDCPE port map (shiftcnt(1),shiftcnt_D(1),NOT $OpTx$INV$22__$INT,NOT cpu_Nres,'0'); +FDCPE_shiftcnt1: FDCPE port map (shiftcnt(1),shiftcnt_D(1),NOT $OpTx$INV$24__$INT,NOT cpu_Nres,'0'); shiftcnt_D(1) <= ((shiftcnt(0) AND NOT shiftcnt(1) AND shifting2) OR (NOT shiftcnt(0) AND shiftcnt(1) AND shifting2)); -FTCPE_shiftcnt2: FTCPE port map (shiftcnt(2),shiftcnt_T(2),NOT $OpTx$INV$22__$INT,NOT cpu_Nres,'0'); +FTCPE_shiftcnt2: FTCPE port map (shiftcnt(2),shiftcnt_T(2),NOT $OpTx$INV$24__$INT,NOT cpu_Nres,'0'); shiftcnt_T(2) <= ((shiftcnt(2) AND NOT shifting2) OR (shiftcnt(0) AND shiftcnt(1) AND shifting2)); -FTCPE_shiftcnt3: FTCPE port map (shiftcnt(3),shiftcnt_T(3),NOT $OpTx$INV$22__$INT,NOT cpu_Nres,'0'); +FTCPE_shiftcnt3: FTCPE port map (shiftcnt(3),shiftcnt_T(3),NOT $OpTx$INV$24__$INT,NOT cpu_Nres,'0'); shiftcnt_T(3) <= ((shiftcnt(3) AND NOT shifting2) OR (shiftcnt(2) AND shiftcnt(0) AND shiftcnt(1) AND shifting2)); -FDCPE_shiftdone: FDCPE port map (shiftdone,shiftdone_D,NOT $OpTx$INV$22__$INT,NOT cpu_Nres,'0'); +FDCPE_shiftdone: FDCPE port map (shiftdone,shiftdone_D,NOT $OpTx$INV$24__$INT,NOT cpu_Nres,'0'); shiftdone_D <= (shiftcnt(3) AND shiftcnt(2) AND shiftcnt(0) AND shiftcnt(1)); -FDCPE_shifting2: FDCPE port map (shifting2,shifting2_D,NOT $OpTx$INV$22__$INT,'0','0'); +FDCPE_shifting2: FDCPE port map (shifting2,shifting2_D,NOT $OpTx$INV$24__$INT,'0','0'); shifting2_D <= (NOT shiftdone AND start_shifting); -FTCPE_slaveinten: FTCPE port map (slaveinten,slaveinten_T,slaveinten_C,NOT cpu_Nres,'0',NOT cpu_rnw); +FTCPE_slaveinten: FTCPE port map (slaveinten,slaveinten_T,Ncs2,NOT cpu_Nres,'0',NOT cpu_rnw); slaveinten_T <= ((slaveinten AND cpu_a(1) AND cpu_a(0) AND NOT cpu_d(4).PIN) OR (NOT slaveinten AND cpu_a(1) AND cpu_a(0) AND cpu_d(4).PIN)); -slaveinten_C <= NOT ((cs1 AND NOT Ncs2)); -FTCPE_spi_Nsel: FTCPE port map (spi_Nsel,spi_Nsel_T,spi_Nsel_C,'0',NOT cpu_Nres,NOT cpu_rnw); +FTCPE_spi_Nsel: FTCPE port map (spi_Nsel,spi_Nsel_T,Ncs2,'0',NOT cpu_Nres,NOT cpu_rnw); spi_Nsel_T <= ((spi_Nsel AND cpu_a(1) AND cpu_a(0) AND NOT cpu_d(0).PIN) OR (NOT spi_Nsel AND cpu_a(1) AND cpu_a(0) AND cpu_d(0).PIN)); -spi_Nsel_C <= NOT ((cs1 AND NOT Ncs2)); -FDCPE_spidatain0: FDCPE port map (spidatain(0),spidatain_D(0),NOT $OpTx$INV$22__$INT,NOT cpu_Nres,'0',spidatain_CE(0)); +FDCPE_spidatain0: FDCPE port map (spidatain(0),spidatain_D(0),NOT $OpTx$INV$24__$INT,NOT cpu_Nres,'0',spidatain_CE(0)); spidatain_D(0) <= (NOT spi_Nsel AND spi_miso); spidatain_CE(0) <= (shiftcnt(0) AND shifting2); -FDCPE_spidatain1: FDCPE port map (spidatain(1),spidatain(0),NOT $OpTx$INV$22__$INT,NOT cpu_Nres,'0',spidatain_CE(1)); +FDCPE_spidatain1: FDCPE port map (spidatain(1),spidatain(0),NOT $OpTx$INV$24__$INT,NOT cpu_Nres,'0',spidatain_CE(1)); spidatain_CE(1) <= (shiftcnt(0) AND shifting2); -FDCPE_spidatain2: FDCPE port map (spidatain(2),spidatain(1),NOT $OpTx$INV$22__$INT,NOT cpu_Nres,'0',spidatain_CE(2)); +FDCPE_spidatain2: FDCPE port map (spidatain(2),spidatain(1),NOT $OpTx$INV$24__$INT,NOT cpu_Nres,'0',spidatain_CE(2)); spidatain_CE(2) <= (shiftcnt(0) AND shifting2); -FDCPE_spidatain3: FDCPE port map (spidatain(3),spidatain(2),NOT $OpTx$INV$22__$INT,NOT cpu_Nres,'0',spidatain_CE(3)); +FDCPE_spidatain3: FDCPE port map (spidatain(3),spidatain(2),NOT $OpTx$INV$24__$INT,NOT cpu_Nres,'0',spidatain_CE(3)); spidatain_CE(3) <= (shiftcnt(0) AND shifting2); -FDCPE_spidatain4: FDCPE port map (spidatain(4),spidatain(3),NOT $OpTx$INV$22__$INT,NOT cpu_Nres,'0',spidatain_CE(4)); +FDCPE_spidatain4: FDCPE port map (spidatain(4),spidatain(3),NOT $OpTx$INV$24__$INT,NOT cpu_Nres,'0',spidatain_CE(4)); spidatain_CE(4) <= (shiftcnt(0) AND shifting2); -FDCPE_spidatain5: FDCPE port map (spidatain(5),spidatain(4),NOT $OpTx$INV$22__$INT,NOT cpu_Nres,'0',spidatain_CE(5)); +FDCPE_spidatain5: FDCPE port map (spidatain(5),spidatain(4),NOT $OpTx$INV$24__$INT,NOT cpu_Nres,'0',spidatain_CE(5)); spidatain_CE(5) <= (shiftcnt(0) AND shifting2); -FDCPE_spidatain6: FDCPE port map (spidatain(6),spidatain(5),NOT $OpTx$INV$22__$INT,NOT cpu_Nres,'0',spidatain_CE(6)); +FDCPE_spidatain6: FDCPE port map (spidatain(6),spidatain(5),NOT $OpTx$INV$24__$INT,NOT cpu_Nres,'0',spidatain_CE(6)); spidatain_CE(6) <= (shiftcnt(0) AND shifting2); -FDCPE_spidatain7: FDCPE port map (spidatain(7),spidatain(6),NOT $OpTx$INV$22__$INT,NOT cpu_Nres,'0',spidatain_CE(7)); +FDCPE_spidatain7: FDCPE port map (spidatain(7),spidatain(6),NOT $OpTx$INV$24__$INT,NOT cpu_Nres,'0',spidatain_CE(7)); spidatain_CE(7) <= (shiftcnt(0) AND shifting2); -FTCPE_spidataout0: FTCPE port map (spidataout(0),spidataout_T(0),spidataout_C(0),'0','0',spidataout_CE(0)); +FTCPE_spidataout0: FTCPE port map (spidataout(0),spidataout_T(0),Ncs2,'0','0',spidataout_CE(0)); spidataout_T(0) <= ((NOT cpu_a(1) AND spidataout(0) AND NOT cpu_a(0) AND NOT cpu_d(0).PIN) OR (NOT cpu_a(1) AND NOT spidataout(0) AND NOT cpu_a(0) AND cpu_d(0).PIN)); -spidataout_C(0) <= NOT ((cs1 AND NOT Ncs2)); spidataout_CE(0) <= (cpu_Nres AND NOT cpu_rnw); -FTCPE_spidataout1: FTCPE port map (spidataout(1),spidataout_T(1),spidataout_C(1),'0','0',spidataout_CE(1)); +FTCPE_spidataout1: FTCPE port map (spidataout(1),spidataout_T(1),Ncs2,'0','0',spidataout_CE(1)); spidataout_T(1) <= ((NOT cpu_a(1) AND spidataout(1) AND NOT cpu_a(0) AND NOT cpu_d(1).PIN) OR (NOT cpu_a(1) AND NOT spidataout(1) AND NOT cpu_a(0) AND cpu_d(1).PIN)); -spidataout_C(1) <= NOT ((cs1 AND NOT Ncs2)); spidataout_CE(1) <= (cpu_Nres AND NOT cpu_rnw); -FTCPE_spidataout2: FTCPE port map (spidataout(2),spidataout_T(2),spidataout_C(2),'0','0',spidataout_CE(2)); +FTCPE_spidataout2: FTCPE port map (spidataout(2),spidataout_T(2),Ncs2,'0','0',spidataout_CE(2)); spidataout_T(2) <= ((NOT cpu_a(1) AND spidataout(2) AND NOT cpu_a(0) AND NOT cpu_d(2).PIN) OR (NOT cpu_a(1) AND NOT spidataout(2) AND NOT cpu_a(0) AND cpu_d(2).PIN)); -spidataout_C(2) <= NOT ((cs1 AND NOT Ncs2)); spidataout_CE(2) <= (cpu_Nres AND NOT cpu_rnw); -FTCPE_spidataout3: FTCPE port map (spidataout(3),spidataout_T(3),spidataout_C(3),'0','0',spidataout_CE(3)); +FTCPE_spidataout3: FTCPE port map (spidataout(3),spidataout_T(3),Ncs2,'0','0',spidataout_CE(3)); spidataout_T(3) <= ((NOT cpu_a(1) AND spidataout(3) AND NOT cpu_a(0) AND NOT cpu_d(3).PIN) OR (NOT cpu_a(1) AND NOT spidataout(3) AND NOT cpu_a(0) AND cpu_d(3).PIN)); -spidataout_C(3) <= NOT ((cs1 AND NOT Ncs2)); spidataout_CE(3) <= (cpu_Nres AND NOT cpu_rnw); -FTCPE_spidataout4: FTCPE port map (spidataout(4),spidataout_T(4),spidataout_C(4),'0','0',spidataout_CE(4)); +FTCPE_spidataout4: FTCPE port map (spidataout(4),spidataout_T(4),Ncs2,'0','0',spidataout_CE(4)); spidataout_T(4) <= ((NOT cpu_a(1) AND spidataout(4) AND NOT cpu_a(0) AND NOT cpu_d(4).PIN) OR (NOT cpu_a(1) AND NOT spidataout(4) AND NOT cpu_a(0) AND cpu_d(4).PIN)); -spidataout_C(4) <= NOT ((cs1 AND NOT Ncs2)); spidataout_CE(4) <= (cpu_Nres AND NOT cpu_rnw); -FTCPE_spidataout5: FTCPE port map (spidataout(5),spidataout_T(5),spidataout_C(5),'0','0',spidataout_CE(5)); +FTCPE_spidataout5: FTCPE port map (spidataout(5),spidataout_T(5),Ncs2,'0','0',spidataout_CE(5)); spidataout_T(5) <= ((NOT cpu_a(1) AND spidataout(5) AND NOT cpu_a(0) AND NOT cpu_d(5).PIN) OR (NOT cpu_a(1) AND NOT spidataout(5) AND NOT cpu_a(0) AND cpu_d(5).PIN)); -spidataout_C(5) <= NOT ((cs1 AND NOT Ncs2)); spidataout_CE(5) <= (cpu_Nres AND NOT cpu_rnw); -FTCPE_spidataout6: FTCPE port map (spidataout(6),spidataout_T(6),spidataout_C(6),'0','0',spidataout_CE(6)); +FTCPE_spidataout6: FTCPE port map (spidataout(6),spidataout_T(6),Ncs2,'0','0',spidataout_CE(6)); spidataout_T(6) <= ((NOT cpu_a(1) AND spidataout(6) AND NOT cpu_a(0) AND NOT cpu_d(6).PIN) OR (NOT cpu_a(1) AND NOT spidataout(6) AND NOT cpu_a(0) AND cpu_d(6).PIN)); -spidataout_C(6) <= NOT ((cs1 AND NOT Ncs2)); spidataout_CE(6) <= (cpu_Nres AND NOT cpu_rnw); -FTCPE_spidataout7: FTCPE port map (spidataout(7),spidataout_T(7),spidataout_C(7),'0','0',spidataout_CE(7)); +FTCPE_spidataout7: FTCPE port map (spidataout(7),spidataout_T(7),Ncs2,'0','0',spidataout_CE(7)); spidataout_T(7) <= ((NOT cpu_a(1) AND spidataout(7) AND NOT cpu_a(0) AND NOT cpu_d(7).PIN) OR (NOT cpu_a(1) AND NOT spidataout(7) AND NOT cpu_a(0) AND cpu_d(7).PIN)); -spidataout_C(7) <= NOT ((cs1 AND NOT Ncs2)); spidataout_CE(7) <= (cpu_Nres AND NOT cpu_rnw); -FTCPE_start_shifting: FTCPE port map (start_shifting,start_shifting_T,start_shifting_C,NOT start_shifting/start_shifting_RSTF__$INT,'0'); +FTCPE_start_shifting: FTCPE port map (start_shifting,start_shifting_T,Ncs2,NOT start_shifting/start_shifting_RSTF__$INT,'0'); start_shifting_T <= ((NOT cpu_rnw AND NOT cpu_a(1) AND NOT start_shifting AND NOT cpu_a(0)) OR (frx AND NOT cpu_a(1) AND NOT start_shifting AND NOT cpu_a(0))); -start_shifting_C <= NOT ((cs1 AND NOT Ncs2)); start_shifting/start_shifting_RSTF__$INT <= (cpu_Nres AND NOT shiftdone); -FDCPE_tc: FDCPE port map (tc,'0',tc_C,'0',shiftdone,tc_CE); -tc_C <= NOT ((cs1 AND NOT Ncs2)); +FDCPE_tc: FDCPE port map (tc,'0',Ncs2,'0',shiftdone,tc_CE); tc_CE <= (NOT cpu_a(1) AND NOT cpu_a(0)); -FTCPE_tmo: FTCPE port map (tmo,tmo_T,tmo_C,NOT cpu_Nres,'0',NOT cpu_rnw); +FTCPE_tmo: FTCPE port map (tmo,tmo_T,Ncs2,NOT cpu_Nres,'0',NOT cpu_rnw); tmo_T <= ((tmo AND NOT cpu_a(1) AND cpu_a(0) AND NOT cpu_d(3).PIN) OR (NOT tmo AND NOT cpu_a(1) AND cpu_a(0) AND cpu_d(3).PIN)); -tmo_C <= NOT ((cs1 AND NOT Ncs2)); Register Legend: FDCPE (Q,D,C,CLR,PRE,CE); @@ -725,9 +741,9 @@ Pin Signal Pin Signal No. Name No. Name 1 TIE 23 GND 2 cpu_d<0> 24 cpu_a<1> - 3 cpu_d<1> 25 TIE - 4 cpu_d<2> 26 TIE - 5 cpu_Nphi2 27 TIE + 3 cpu_d<1> 25 b8 + 4 cpu_d<2> 26 b9 + 5 cpu_Nphi2 27 b10 6 extclk 28 spi_Nsel 7 cpu_rnw 29 led 8 cpu_d<3> 30 TDO @@ -736,14 +752,14 @@ No. Name No. Name 11 cpu_d<5> 33 TIE 12 cpu_d<6> 34 spi_sclk 13 cpu_d<7> 35 spi_mosi - 14 cpu_Nirq 36 TIE - 15 TDI 37 TIE - 16 TMS 38 TIE - 17 TCK 39 TIE - 18 Ncs2 40 TIE + 14 noe 36 a8 + 15 TDI 37 a9 + 16 TMS 38 a10 + 17 TCK 39 cpu_Nirq + 18 Ncs2 40 nio_sel 19 cpu_Nres 41 VCC - 20 cs1 42 spi_int - 21 VCC 43 TIE + 20 ng 42 spi_int + 21 VCC 43 nio_stb 22 cpu_a<0> 44 spi_miso diff --git a/spi6502b.syr b/spi6502b.syr index 86a74ef..3b0c698 100644 --- a/spi6502b.syr +++ b/spi6502b.syr @@ -66,6 +66,10 @@ wysiwyg : NO ========================================================================= * HDL Compilation * ========================================================================= +Compiling vhdl file C:/sources/AppleIISd/address_decoder.vhf in Library work. +Architecture behavioral of Entity fd_mxilinx_address_decoder is up to date. +Architecture behavioral of Entity fdrs_mxilinx_address_decoder is up to date. +Architecture behavioral of Entity address_decoder is up to date. Compiling vhdl file C:/sources/AppleIISd/SPI6502B1.1.vhd in Library work. Entity (Architecture ) compiled. @@ -73,22 +77,48 @@ Entity (Architecture ) compiled. * HDL Analysis * ========================================================================= Analyzing Entity (Architecture ). -INFO:Xst:1561 - C:/sources/AppleIISd/SPI6502B1.1.vhd line 203: Mux is complete : default of case is discarded -INFO:Xst:1561 - C:/sources/AppleIISd/SPI6502B1.1.vhd line 316: Mux is complete : default of case is discarded +INFO:Xst:1561 - C:/sources/AppleIISd/SPI6502B1.1.vhd line 240: Mux is complete : default of case is discarded +INFO:Xst:1561 - C:/sources/AppleIISd/SPI6502B1.1.vhd line 353: Mux is complete : default of case is discarded Entity analyzed. Unit generated. +Analyzing Entity (Architecture ). + Set user-defined property "HU_SET = XLXI_16_1" for instance in unit . +Entity analyzed. Unit generated. + +Analyzing Entity (Architecture ). + Set user-defined property "HU_SET = U0_0" for instance in unit . +Entity analyzed. Unit generated. + +Analyzing Entity (Architecture ). +Entity analyzed. Unit generated. + ========================================================================= * HDL Synthesis * ========================================================================= +Synthesizing Unit . + Related source file is C:/sources/AppleIISd/address_decoder.vhf. +Unit synthesized. + + +Synthesizing Unit . + Related source file is C:/sources/AppleIISd/address_decoder.vhf. +Unit synthesized. + + +Synthesizing Unit . + Related source file is C:/sources/AppleIISd/address_decoder.vhf. +Unit synthesized. + + Synthesizing Unit . Related source file is C:/sources/AppleIISd/SPI6502B1.1.vhd. Found 8-bit tristate buffer for signal . Found 1-bit tristate buffer for signal . Found 1-bit tristate buffer for signal . - Found 1-bit xor3 for signal <$n0040> created at line 206. - Found 4-bit adder for signal <$n0047> created at line 160. + Found 1-bit xor3 for signal <$n0040> created at line 243. + Found 4-bit adder for signal <$n0047> created at line 197. Found 1-bit register for signal . Found 1-bit register for signal . Found 3-bit down counter for signal . @@ -153,6 +183,12 @@ Macro Statistics Optimizing unit ... +Optimizing unit ... + +Optimizing unit ... + +Optimizing unit ... + ========================================================================= * Final Report * ========================================================================= @@ -169,7 +205,7 @@ Clock Enable : YES wysiwyg : NO Design Statistics -# IOs : 23 +# IOs : 32 Macro Statistics : # Registers : 60 @@ -181,33 +217,37 @@ Macro Statistics : # 1-bit xor2 : 5 Cell Usage : -# BELS : 252 -# AND2 : 119 -# AND3 : 5 +# BELS : 256 +# AND2 : 120 +# AND3 : 6 # AND4 : 1 -# GND : 1 -# INV : 77 -# OR2 : 42 +# GND : 2 +# INV : 76 +# OR2 : 43 # OR3 : 1 -# VCC : 1 +# VCC : 2 # XOR2 : 5 -# FlipFlops/Latches : 37 +# FlipFlops/Latches : 38 # FD : 1 # FDC : 5 # FDCE : 27 -# FDCP : 1 +# FDCP : 2 # FDP : 1 # FDPE : 2 -# IO Buffers : 23 -# IBUF : 10 +# IO Buffers : 32 +# IBUF : 14 # IOBUFE : 8 -# OBUF : 3 +# OBUF : 8 # OBUFE : 2 +# Others : 5 +# AND2B1 : 2 +# AND4B1 : 1 +# NAND2 : 2 ========================================================================= -CPU : 0.65 / 1.07 s | Elapsed : 0.00 / 1.00 s +CPU : 1.05 / 1.48 s | Elapsed : 1.00 / 1.00 s --> -Total memory usage is 68376 kilobytes +Total memory usage is 69656 kilobytes diff --git a/spi6502b.vm6 b/spi6502b.vm6 index 694f27c..cd64402 100644 --- a/spi6502b.vm6 +++ b/spi6502b.vm6 @@ -8,3425 +8,3536 @@ NETWORK | spi6502b | 0 | 0 | 16391 INPUT_INSTANCE | 0 | 0 | NULL | cpu_Nres_IBUF | spi6502b_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | cpu_Nres | 4063 | PI | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | cpu_Nres | 4234 | PI | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX MACROCELL_INSTANCE | Inv+PrldLow+PinTrst+OptxMapped | int_mosi | spi6502b_COPY_0_COPY_0 | 2155889920 | 12 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<3> | 4006 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<3>.Q | shiftcnt<3> | 1 | 0 | MC_UIM +NODE | shiftcnt<3> | 4166 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<3>.Q | shiftcnt<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<2> | 4007 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<2>.Q | shiftcnt<2> | 1 | 0 | MC_UIM +NODE | shiftcnt<2> | 4167 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<2>.Q | shiftcnt<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<1> | 4009 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<1>.Q | shiftcnt<1> | 1 | 0 | MC_UIM +NODE | shiftcnt<1> | 4169 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<1>.Q | shiftcnt<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftdone | 4010 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftdone.Q | shiftdone | 1 | 0 | MC_UIM +NODE | shiftdone | 4170 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftdone.Q | shiftdone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidataout<5> | 4018 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<5>.Q | spidataout<5> | 1 | 0 | MC_UIM +NODE | spidataout<4> | 4177 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<4>.Q | spidataout<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shifting2 | 4032 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM +NODE | shifting2 | 4192 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidataout<1> | 4014 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<1>.Q | spidataout<1> | 1 | 0 | MC_UIM +NODE | spidataout<0> | 4173 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<0>.Q | spidataout<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP6_.EXP | 4389 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | EXP6_.EXP | EXP6_ | 4 | 0 | MC_EXPORT +NODE | EXP6_.EXP | 4588 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | EXP6_.EXP | EXP6_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shifting2.EXP | 4390 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.EXP | shifting2 | 4 | 0 | MC_EXPORT +NODE | shifting2.EXP | 4589 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.EXP | shifting2 | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$INV$22__$INT.UIM | 4060 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$22__$INT.Q | $OpTx$INV$22__$INT | 1 | 0 | MC_UIM +NODE | $OpTx$INV$24__$INT.UIM | 4231 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$24__$INT.Q | $OpTx$INV$24__$INT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | tmo | 3983 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | tmo.Q | tmo | 1 | 0 | MC_UIM +NODE | tmo | 4139 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | tmo.Q | tmo | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | int_mosi | 3972 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_mosi.Q | int_mosi | 0 | 0 | MC_Q +NODE | int_mosi | 4127 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_mosi.Q | int_mosi | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 2 | 0 | MC_OE -NODE | int_mosi$OE | 3973 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_mosi.BUFOE.OUT | int_mosi | 2 | 0 | MC_OE +NODE | int_mosi$OE | 4128 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_mosi.BUFOE.OUT | int_mosi | 2 | 0 | MC_OE SIGNAL_INSTANCE | int_mosi.SI | int_mosi | 0 | 12 | 5 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<3> | 4006 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<3>.Q | shiftcnt<3> | 1 | 0 | MC_UIM +NODE | shiftcnt<3> | 4166 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<3>.Q | shiftcnt<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<2> | 4007 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<2>.Q | shiftcnt<2> | 1 | 0 | MC_UIM +NODE | shiftcnt<2> | 4167 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<2>.Q | shiftcnt<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<1> | 4009 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<1>.Q | shiftcnt<1> | 1 | 0 | MC_UIM +NODE | shiftcnt<1> | 4169 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<1>.Q | shiftcnt<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftdone | 4010 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftdone.Q | shiftdone | 1 | 0 | MC_UIM +NODE | shiftdone | 4170 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftdone.Q | shiftdone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidataout<5> | 4018 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<5>.Q | spidataout<5> | 1 | 0 | MC_UIM +NODE | spidataout<4> | 4177 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<4>.Q | spidataout<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shifting2 | 4032 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM +NODE | shifting2 | 4192 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidataout<1> | 4014 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<1>.Q | spidataout<1> | 1 | 0 | MC_UIM +NODE | spidataout<0> | 4173 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<0>.Q | spidataout<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP6_.EXP | 4389 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | EXP6_.EXP | EXP6_ | 4 | 0 | MC_EXPORT +NODE | EXP6_.EXP | 4588 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | EXP6_.EXP | EXP6_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shifting2.EXP | 4390 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.EXP | shifting2 | 4 | 0 | MC_EXPORT +NODE | shifting2.EXP | 4589 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.EXP | shifting2 | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$INV$22__$INT.UIM | 4060 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$22__$INT.Q | $OpTx$INV$22__$INT | 1 | 0 | MC_UIM +NODE | $OpTx$INV$24__$INT.UIM | 4231 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$24__$INT.Q | $OpTx$INV$24__$INT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | tmo | 3983 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | tmo.Q | tmo | 1 | 0 | MC_UIM +NODE | tmo | 4139 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | tmo.Q | tmo | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | int_mosi.D1 | 4074 | ? | 0 | 4096 | int_mosi | NULL | NULL | int_mosi.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | int_mosi.D1 | 4249 | ? | 0 | 4096 | int_mosi | NULL | NULL | int_mosi.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | int_mosi.D2 | 4075 | ? | 0 | 4096 | int_mosi | NULL | NULL | int_mosi.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | int_mosi.D2 | 4250 | ? | 0 | 4096 | int_mosi | NULL | NULL | int_mosi.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | EXP6_.EXP SPPTERM | 1 | IV_TRUE | shifting2.EXP -SPPTERM | 6 | IV_TRUE | shiftcnt<3> | IV_TRUE | shiftcnt<2> | IV_FALSE | shiftcnt<1> | IV_FALSE | shiftdone | IV_FALSE | spidataout<1> | IV_TRUE | shifting2 -SPPTERM | 6 | IV_FALSE | shiftcnt<3> | IV_TRUE | shiftcnt<2> | IV_FALSE | shiftcnt<1> | IV_FALSE | shiftdone | IV_FALSE | spidataout<5> | IV_TRUE | shifting2 +SPPTERM | 6 | IV_TRUE | shiftcnt<3> | IV_TRUE | shiftcnt<2> | IV_TRUE | shiftcnt<1> | IV_FALSE | shiftdone | IV_FALSE | spidataout<0> | IV_TRUE | shifting2 +SPPTERM | 6 | IV_FALSE | shiftcnt<3> | IV_TRUE | shiftcnt<2> | IV_TRUE | shiftcnt<1> | IV_FALSE | shiftdone | IV_FALSE | spidataout<4> | IV_TRUE | shifting2 OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF -SIGNAL | NODE | int_mosi.CLKF | 4076 | ? | 0 | 4096 | int_mosi | NULL | NULL | int_mosi.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 1 | IV_FALSE | $OpTx$INV$22__$INT.UIM +SIGNAL | NODE | int_mosi.CLKF | 4251 | ? | 0 | 4096 | int_mosi | NULL | NULL | int_mosi.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_FALSE | $OpTx$INV$24__$INT.UIM OUTPUT_NODE_TYPE | 5 | 9 | MC_SI_SETF -SIGNAL | NODE | int_mosi.SETF | 4077 | ? | 0 | 4096 | int_mosi | NULL | NULL | int_mosi.SI | 5 | 9 | MC_SI_SETF +SIGNAL | NODE | int_mosi.SETF | 4252 | ? | 0 | 4096 | int_mosi | NULL | NULL | int_mosi.SI | 5 | 9 | MC_SI_SETF SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF OUTPUT_NODE_TYPE | 4 | 9 | MC_SI_TRST -SIGNAL | NODE | int_mosi.TRST | 4079 | ? | 0 | 4096 | int_mosi | NULL | NULL | int_mosi.SI | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | int_mosi.TRST | 4254 | ? | 0 | 4096 | int_mosi | NULL | NULL | int_mosi.SI | 4 | 9 | MC_SI_TRST SPPTERM | 1 | IV_FALSE | tmo SRFF_INSTANCE | int_mosi.REG | int_mosi | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | int_mosi.D | 4073 | ? | 0 | 0 | int_mosi | NULL | NULL | int_mosi.XOR | 0 | 7 | ALU_F +NODE | int_mosi.D | 4248 | ? | 0 | 0 | int_mosi | NULL | NULL | int_mosi.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -SIGNAL | NODE | int_mosi.CLKF | 4076 | ? | 0 | 4096 | int_mosi | NULL | NULL | int_mosi.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 1 | IV_FALSE | $OpTx$INV$22__$INT.UIM +SIGNAL | NODE | int_mosi.CLKF | 4251 | ? | 0 | 4096 | int_mosi | NULL | NULL | int_mosi.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_FALSE | $OpTx$INV$24__$INT.UIM INPUT_NODE_TYPE | 2 | 8 | SRFF_S -SIGNAL | NODE | int_mosi.SETF | 4077 | ? | 0 | 4096 | int_mosi | NULL | NULL | int_mosi.SI | 5 | 9 | MC_SI_SETF +SIGNAL | NODE | int_mosi.SETF | 4252 | ? | 0 | 4096 | int_mosi | NULL | NULL | int_mosi.SI | 5 | 9 | MC_SI_SETF SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | int_mosi.Q | 4080 | ? | 0 | 0 | int_mosi | NULL | NULL | int_mosi.REG | 0 | 8 | SRFF_Q +NODE | int_mosi.Q | 4255 | ? | 0 | 0 | int_mosi | NULL | NULL | int_mosi.REG | 0 | 8 | SRFF_Q BUF_INSTANCE | int_mosi.BUFOE | int_mosi | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 10 | CTOR_UNKNOWN -SIGNAL | NODE | int_mosi.TRST | 4079 | ? | 0 | 4096 | int_mosi | NULL | NULL | int_mosi.SI | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | int_mosi.TRST | 4254 | ? | 0 | 4096 | int_mosi | NULL | NULL | int_mosi.SI | 4 | 9 | MC_SI_TRST SPPTERM | 1 | IV_FALSE | tmo OUTPUT_NODE_TYPE | 0 | 10 | BUF_OUT -NODE | int_mosi.BUFOE.OUT | 4078 | ? | 0 | 0 | int_mosi | NULL | NULL | int_mosi.BUFOE | 0 | 10 | BUF_OUT - -INPUT_INSTANCE | 0 | 0 | NULL | cpu_rnw_IBUF | spi6502b_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | cpu_rnw | 4064 | PI | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | cpu_rnw_IBUF | 3974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +NODE | int_mosi.BUFOE.OUT | 4253 | ? | 0 | 0 | int_mosi | NULL | NULL | int_mosi.BUFOE | 0 | 10 | BUF_OUT INPUT_INSTANCE | 0 | 0 | NULL | Ncs2_IBUF | spi6502b_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | Ncs2 | 4068 | PI | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | Ncs2 | 4235 | PI | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX -INPUT_INSTANCE | 0 | 0 | NULL | cs1_IBUF | spi6502b_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_INSTANCE | 0 | 0 | NULL | cpu_rnw_IBUF | spi6502b_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | cs1 | 4067 | PI | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | cpu_rnw | 4236 | PI | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +NODE | cpu_rnw_IBUF | 4130 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX -INPUT_INSTANCE | 0 | 0 | NULL | N2889 | spi6502b_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_INSTANCE | 0 | 0 | NULL | N3017 | spi6502b_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | cpu_d<0> | 4054 | PIPO | 0 | 64 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_d<0> | 0 | 6 | OI_OUT +NODE | cpu_d<0> | 4221 | PIPO | 0 | 64 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_d<0> | 0 | 6 | OI_OUT OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | N2889 | 4033 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N2889 | 0 | 5 | II_IMUX +NODE | N3017 | 4196 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3017 | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | cpu_a_0_IBUF | spi6502b_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | cpu_a<0> | 4069 | PI | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | cpu_a<0> | 4244 | PI | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | cpu_a_1_IBUF | spi6502b_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | cpu_a<1> | 4066 | PI | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | cpu_a<1> | 4242 | PI | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | slavesel | spi6502b_COPY_0_COPY_0 | 2424312832 | 8 | 2 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | slavesel | spi6502b_COPY_0_COPY_0 | 2155877376 | 7 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | slavesel | 3976 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slavesel.Q | slavesel | 1 | 0 | MC_UIM +NODE | slavesel | 4132 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slavesel.Q | slavesel | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N2889 | 4033 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N2889 | 0 | 5 | II_IMUX +NODE | N3017 | 4196 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3017 | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_rnw_IBUF | 3974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +NODE | cpu_rnw_IBUF | 4130 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | slavesel$Q | 3975 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slavesel.Q | slavesel | 0 | 0 | MC_Q +NODE | slavesel$Q | 4131 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slavesel.Q | slavesel | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | slavesel | 3976 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slavesel.Q | slavesel | 1 | 0 | MC_UIM +NODE | slavesel | 4132 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slavesel.Q | slavesel | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | slavesel.SI | slavesel | 0 | 8 | 5 +SIGNAL_INSTANCE | slavesel.SI | slavesel | 0 | 7 | 5 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | slavesel | 3976 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slavesel.Q | slavesel | 1 | 0 | MC_UIM +NODE | slavesel | 4132 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slavesel.Q | slavesel | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N2889 | 4033 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N2889 | 0 | 5 | II_IMUX +NODE | N3017 | 4196 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3017 | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_rnw_IBUF | 3974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +NODE | cpu_rnw_IBUF | 4130 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | slavesel.D1 | 4082 | ? | 0 | 4096 | slavesel | NULL | NULL | slavesel.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | slavesel.D1 | 4257 | ? | 0 | 4096 | slavesel | NULL | NULL | slavesel.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | slavesel.D2 | 4083 | ? | 0 | 4096 | slavesel | NULL | NULL | slavesel.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 4 | IV_TRUE | slavesel | IV_TRUE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_FALSE | N2889 -SPPTERM | 4 | IV_FALSE | slavesel | IV_TRUE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_TRUE | N2889 +SIGNAL | NODE | slavesel.D2 | 4258 | ? | 0 | 4096 | slavesel | NULL | NULL | slavesel.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 4 | IV_TRUE | slavesel | IV_TRUE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_FALSE | N3017 +SPPTERM | 4 | IV_FALSE | slavesel | IV_TRUE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_TRUE | N3017 OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF -SIGNAL | NODE | slavesel.CLKF | 4084 | ? | 0 | 4096 | slavesel | NULL | NULL | slavesel.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +SIGNAL | NODE | slavesel.CLKF | 4259 | ? | 0 | 4096 | slavesel | NULL | NULL | slavesel.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | Ncs2_IBUF OUTPUT_NODE_TYPE | 5 | 9 | MC_SI_SETF -SIGNAL | NODE | slavesel.SETF | 4085 | ? | 0 | 4096 | slavesel | NULL | NULL | slavesel.SI | 5 | 9 | MC_SI_SETF +SIGNAL | NODE | slavesel.SETF | 4260 | ? | 0 | 4096 | slavesel | NULL | NULL | slavesel.SI | 5 | 9 | MC_SI_SETF SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | slavesel.CE | 4086 | ? | 0 | 4096 | slavesel | NULL | NULL | slavesel.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | slavesel.CE | 4261 | ? | 0 | 4096 | slavesel | NULL | NULL | slavesel.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_FALSE | cpu_rnw_IBUF SRFF_INSTANCE | slavesel.REG | slavesel | 0 | 4 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | slavesel.D | 4081 | ? | 0 | 0 | slavesel | NULL | NULL | slavesel.XOR | 0 | 7 | ALU_F +NODE | slavesel.D | 4256 | ? | 0 | 0 | slavesel | NULL | NULL | slavesel.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -SIGNAL | NODE | slavesel.CLKF | 4084 | ? | 0 | 4096 | slavesel | NULL | NULL | slavesel.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +SIGNAL | NODE | slavesel.CLKF | 4259 | ? | 0 | 4096 | slavesel | NULL | NULL | slavesel.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | Ncs2_IBUF INPUT_NODE_TYPE | 2 | 8 | SRFF_S -SIGNAL | NODE | slavesel.SETF | 4085 | ? | 0 | 4096 | slavesel | NULL | NULL | slavesel.SI | 5 | 9 | MC_SI_SETF +SIGNAL | NODE | slavesel.SETF | 4260 | ? | 0 | 4096 | slavesel | NULL | NULL | slavesel.SI | 5 | 9 | MC_SI_SETF SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | slavesel.CE | 4086 | ? | 0 | 4096 | slavesel | NULL | NULL | slavesel.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | slavesel.CE | 4261 | ? | 0 | 4096 | slavesel | NULL | NULL | slavesel.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_FALSE | cpu_rnw_IBUF OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | slavesel.Q | 4087 | ? | 0 | 0 | slavesel | NULL | NULL | slavesel.REG | 0 | 8 | SRFF_Q +NODE | slavesel.Q | 4262 | ? | 0 | 0 | slavesel | NULL | NULL | slavesel.REG | 0 | 8 | SRFF_Q -INPUT_INSTANCE | 0 | 0 | NULL | N2891 | spi6502b_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_INSTANCE | 0 | 0 | NULL | N3019 | spi6502b_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | cpu_d<1> | 4055 | PIPO | 0 | 64 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_d<1> | 0 | 6 | OI_OUT +NODE | cpu_d<1> | 4222 | PIPO | 0 | 64 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_d<1> | 0 | 6 | OI_OUT OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | N2891 | 4034 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N2891 | 0 | 5 | II_IMUX +NODE | N3019 | 4197 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3019 | 0 | 5 | II_IMUX -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | cpol | spi6502b_COPY_0_COPY_0 | 2424312832 | 8 | 1 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cpol | spi6502b_COPY_0_COPY_0 | 2155877376 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpol | 3977 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | cpol.Q | cpol | 1 | 0 | MC_UIM +NODE | cpol | 4133 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | cpol.Q | cpol | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N2891 | 4034 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N2891 | 0 | 5 | II_IMUX +NODE | N3019 | 4197 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3019 | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_rnw_IBUF | 3974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +NODE | cpu_rnw_IBUF | 4130 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cpol | 3977 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | cpol.Q | cpol | 1 | 0 | MC_UIM +NODE | cpol | 4133 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | cpol.Q | cpol | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | cpol.SI | cpol | 0 | 8 | 5 +SIGNAL_INSTANCE | cpol.SI | cpol | 0 | 7 | 5 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpol | 3977 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | cpol.Q | cpol | 1 | 0 | MC_UIM +NODE | cpol | 4133 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | cpol.Q | cpol | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N2891 | 4034 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N2891 | 0 | 5 | II_IMUX +NODE | N3019 | 4197 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3019 | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_rnw_IBUF | 3974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +NODE | cpu_rnw_IBUF | 4130 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cpol.D1 | 4089 | ? | 0 | 4096 | cpol | NULL | NULL | cpol.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cpol.D1 | 4264 | ? | 0 | 4096 | cpol | NULL | NULL | cpol.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cpol.D2 | 4090 | ? | 0 | 4096 | cpol | NULL | NULL | cpol.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 4 | IV_TRUE | cpol | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_FALSE | N2891 -SPPTERM | 4 | IV_FALSE | cpol | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_TRUE | N2891 +SIGNAL | NODE | cpol.D2 | 4265 | ? | 0 | 4096 | cpol | NULL | NULL | cpol.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 4 | IV_TRUE | cpol | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_FALSE | N3019 +SPPTERM | 4 | IV_FALSE | cpol | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_TRUE | N3019 OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF -SIGNAL | NODE | cpol.CLKF | 4091 | ? | 0 | 4096 | cpol | NULL | NULL | cpol.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +SIGNAL | NODE | cpol.CLKF | 4266 | ? | 0 | 4096 | cpol | NULL | NULL | cpol.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | Ncs2_IBUF OUTPUT_NODE_TYPE | 6 | 9 | MC_SI_RSTF -SIGNAL | NODE | cpol.RSTF | 4092 | ? | 0 | 4096 | cpol | NULL | NULL | cpol.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | cpol.RSTF | 4267 | ? | 0 | 4096 | cpol | NULL | NULL | cpol.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cpol.CE | 4093 | ? | 0 | 4096 | cpol | NULL | NULL | cpol.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cpol.CE | 4268 | ? | 0 | 4096 | cpol | NULL | NULL | cpol.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_FALSE | cpu_rnw_IBUF SRFF_INSTANCE | cpol.REG | cpol | 0 | 4 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cpol.D | 4088 | ? | 0 | 0 | cpol | NULL | NULL | cpol.XOR | 0 | 7 | ALU_F +NODE | cpol.D | 4263 | ? | 0 | 0 | cpol | NULL | NULL | cpol.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -SIGNAL | NODE | cpol.CLKF | 4091 | ? | 0 | 4096 | cpol | NULL | NULL | cpol.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +SIGNAL | NODE | cpol.CLKF | 4266 | ? | 0 | 4096 | cpol | NULL | NULL | cpol.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | Ncs2_IBUF INPUT_NODE_TYPE | 3 | 8 | SRFF_R -SIGNAL | NODE | cpol.RSTF | 4092 | ? | 0 | 4096 | cpol | NULL | NULL | cpol.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | cpol.RSTF | 4267 | ? | 0 | 4096 | cpol | NULL | NULL | cpol.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cpol.CE | 4093 | ? | 0 | 4096 | cpol | NULL | NULL | cpol.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cpol.CE | 4268 | ? | 0 | 4096 | cpol | NULL | NULL | cpol.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_FALSE | cpu_rnw_IBUF OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cpol.Q | 4094 | ? | 0 | 0 | cpol | NULL | NULL | cpol.REG | 0 | 8 | SRFF_Q +NODE | cpol.Q | 4269 | ? | 0 | 0 | cpol | NULL | NULL | cpol.REG | 0 | 8 | SRFF_Q -INPUT_INSTANCE | 0 | 0 | NULL | N2893 | spi6502b_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_INSTANCE | 0 | 0 | NULL | N3021 | spi6502b_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | cpu_d<2> | 4056 | PIPO | 0 | 64 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_d<2> | 0 | 6 | OI_OUT +NODE | cpu_d<2> | 4223 | PIPO | 0 | 64 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_d<2> | 0 | 6 | OI_OUT OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | N2893 | 4035 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N2893 | 0 | 5 | II_IMUX +NODE | N3021 | 4198 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3021 | 0 | 5 | II_IMUX -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | ece | spi6502b_COPY_0_COPY_0 | 2424312832 | 8 | 1 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | ece | spi6502b_COPY_0_COPY_0 | 2155877376 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ece | 3978 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | ece.Q | ece | 1 | 0 | MC_UIM +NODE | ece | 4134 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | ece.Q | ece | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N2893 | 4035 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N2893 | 0 | 5 | II_IMUX +NODE | N3021 | 4198 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3021 | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_rnw_IBUF | 3974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +NODE | cpu_rnw_IBUF | 4130 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ece | 3978 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | ece.Q | ece | 1 | 0 | MC_UIM +NODE | ece | 4134 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | ece.Q | ece | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | ece.SI | ece | 0 | 8 | 5 +SIGNAL_INSTANCE | ece.SI | ece | 0 | 7 | 5 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ece | 3978 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | ece.Q | ece | 1 | 0 | MC_UIM +NODE | ece | 4134 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | ece.Q | ece | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N2893 | 4035 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N2893 | 0 | 5 | II_IMUX +NODE | N3021 | 4198 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3021 | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_rnw_IBUF | 3974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +NODE | cpu_rnw_IBUF | 4130 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ece.D1 | 4096 | ? | 0 | 4096 | ece | NULL | NULL | ece.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ece.D1 | 4271 | ? | 0 | 4096 | ece | NULL | NULL | ece.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ece.D2 | 4097 | ? | 0 | 4096 | ece | NULL | NULL | ece.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 4 | IV_TRUE | ece | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_FALSE | N2893 -SPPTERM | 4 | IV_FALSE | ece | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_TRUE | N2893 +SIGNAL | NODE | ece.D2 | 4272 | ? | 0 | 4096 | ece | NULL | NULL | ece.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 4 | IV_TRUE | ece | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_FALSE | N3021 +SPPTERM | 4 | IV_FALSE | ece | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_TRUE | N3021 OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF -SIGNAL | NODE | ece.CLKF | 4098 | ? | 0 | 4096 | ece | NULL | NULL | ece.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +SIGNAL | NODE | ece.CLKF | 4273 | ? | 0 | 4096 | ece | NULL | NULL | ece.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | Ncs2_IBUF OUTPUT_NODE_TYPE | 6 | 9 | MC_SI_RSTF -SIGNAL | NODE | ece.RSTF | 4099 | ? | 0 | 4096 | ece | NULL | NULL | ece.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | ece.RSTF | 4274 | ? | 0 | 4096 | ece | NULL | NULL | ece.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | ece.CE | 4100 | ? | 0 | 4096 | ece | NULL | NULL | ece.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | ece.CE | 4275 | ? | 0 | 4096 | ece | NULL | NULL | ece.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_FALSE | cpu_rnw_IBUF SRFF_INSTANCE | ece.REG | ece | 0 | 4 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ece.D | 4095 | ? | 0 | 0 | ece | NULL | NULL | ece.XOR | 0 | 7 | ALU_F +NODE | ece.D | 4270 | ? | 0 | 0 | ece | NULL | NULL | ece.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -SIGNAL | NODE | ece.CLKF | 4098 | ? | 0 | 4096 | ece | NULL | NULL | ece.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +SIGNAL | NODE | ece.CLKF | 4273 | ? | 0 | 4096 | ece | NULL | NULL | ece.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | Ncs2_IBUF INPUT_NODE_TYPE | 3 | 8 | SRFF_R -SIGNAL | NODE | ece.RSTF | 4099 | ? | 0 | 4096 | ece | NULL | NULL | ece.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | ece.RSTF | 4274 | ? | 0 | 4096 | ece | NULL | NULL | ece.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | ece.CE | 4100 | ? | 0 | 4096 | ece | NULL | NULL | ece.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | ece.CE | 4275 | ? | 0 | 4096 | ece | NULL | NULL | ece.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_FALSE | cpu_rnw_IBUF OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ece.Q | 4101 | ? | 0 | 0 | ece | NULL | NULL | ece.REG | 0 | 8 | SRFF_Q +NODE | ece.Q | 4276 | ? | 0 | 0 | ece | NULL | NULL | ece.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | cpha | spi6502b_COPY_0_COPY_0 | 2424312832 | 8 | 1 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cpha | spi6502b_COPY_0_COPY_0 | 2155877376 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpha | 3979 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | cpha.Q | cpha | 1 | 0 | MC_UIM +NODE | cpha | 4135 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | cpha.Q | cpha | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N2889 | 4033 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N2889 | 0 | 5 | II_IMUX +NODE | N3017 | 4196 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3017 | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_rnw_IBUF | 3974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +NODE | cpu_rnw_IBUF | 4130 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cpha | 3979 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | cpha.Q | cpha | 1 | 0 | MC_UIM +NODE | cpha | 4135 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | cpha.Q | cpha | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | cpha.SI | cpha | 0 | 8 | 5 +SIGNAL_INSTANCE | cpha.SI | cpha | 0 | 7 | 5 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpha | 3979 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | cpha.Q | cpha | 1 | 0 | MC_UIM +NODE | cpha | 4135 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | cpha.Q | cpha | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N2889 | 4033 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N2889 | 0 | 5 | II_IMUX +NODE | N3017 | 4196 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3017 | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_rnw_IBUF | 3974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +NODE | cpu_rnw_IBUF | 4130 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cpha.D1 | 4103 | ? | 0 | 4096 | cpha | NULL | NULL | cpha.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cpha.D1 | 4278 | ? | 0 | 4096 | cpha | NULL | NULL | cpha.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cpha.D2 | 4104 | ? | 0 | 4096 | cpha | NULL | NULL | cpha.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 4 | IV_TRUE | cpha | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_FALSE | N2889 -SPPTERM | 4 | IV_FALSE | cpha | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_TRUE | N2889 +SIGNAL | NODE | cpha.D2 | 4279 | ? | 0 | 4096 | cpha | NULL | NULL | cpha.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 4 | IV_TRUE | cpha | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_FALSE | N3017 +SPPTERM | 4 | IV_FALSE | cpha | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_TRUE | N3017 OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF -SIGNAL | NODE | cpha.CLKF | 4105 | ? | 0 | 4096 | cpha | NULL | NULL | cpha.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +SIGNAL | NODE | cpha.CLKF | 4280 | ? | 0 | 4096 | cpha | NULL | NULL | cpha.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | Ncs2_IBUF OUTPUT_NODE_TYPE | 6 | 9 | MC_SI_RSTF -SIGNAL | NODE | cpha.RSTF | 4106 | ? | 0 | 4096 | cpha | NULL | NULL | cpha.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | cpha.RSTF | 4281 | ? | 0 | 4096 | cpha | NULL | NULL | cpha.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cpha.CE | 4107 | ? | 0 | 4096 | cpha | NULL | NULL | cpha.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cpha.CE | 4282 | ? | 0 | 4096 | cpha | NULL | NULL | cpha.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_FALSE | cpu_rnw_IBUF SRFF_INSTANCE | cpha.REG | cpha | 0 | 4 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cpha.D | 4102 | ? | 0 | 0 | cpha | NULL | NULL | cpha.XOR | 0 | 7 | ALU_F +NODE | cpha.D | 4277 | ? | 0 | 0 | cpha | NULL | NULL | cpha.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -SIGNAL | NODE | cpha.CLKF | 4105 | ? | 0 | 4096 | cpha | NULL | NULL | cpha.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +SIGNAL | NODE | cpha.CLKF | 4280 | ? | 0 | 4096 | cpha | NULL | NULL | cpha.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | Ncs2_IBUF INPUT_NODE_TYPE | 3 | 8 | SRFF_R -SIGNAL | NODE | cpha.RSTF | 4106 | ? | 0 | 4096 | cpha | NULL | NULL | cpha.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | cpha.RSTF | 4281 | ? | 0 | 4096 | cpha | NULL | NULL | cpha.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cpha.CE | 4107 | ? | 0 | 4096 | cpha | NULL | NULL | cpha.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cpha.CE | 4282 | ? | 0 | 4096 | cpha | NULL | NULL | cpha.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_FALSE | cpu_rnw_IBUF OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cpha.Q | 4108 | ? | 0 | 0 | cpha | NULL | NULL | cpha.REG | 0 | 8 | SRFF_Q +NODE | cpha.Q | 4283 | ? | 0 | 0 | cpha | NULL | NULL | cpha.REG | 0 | 8 | SRFF_Q -INPUT_INSTANCE | 0 | 0 | NULL | N2897 | spi6502b_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_INSTANCE | 0 | 0 | NULL | N3025 | spi6502b_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | cpu_d<4> | 4057 | PIPO | 0 | 64 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_d<4> | 0 | 6 | OI_OUT +NODE | cpu_d<4> | 4224 | PIPO | 0 | 64 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_d<4> | 0 | 6 | OI_OUT OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | N2897 | 4037 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N2897 | 0 | 5 | II_IMUX +NODE | N3025 | 4200 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3025 | 0 | 5 | II_IMUX -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | frx | spi6502b_COPY_0_COPY_0 | 2424312832 | 8 | 1 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | frx | spi6502b_COPY_0_COPY_0 | 2155877376 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | frx | 3980 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | frx.Q | frx | 1 | 0 | MC_UIM +NODE | frx | 4136 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | frx.Q | frx | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N2897 | 4037 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N2897 | 0 | 5 | II_IMUX +NODE | N3025 | 4200 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3025 | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_rnw_IBUF | 3974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +NODE | cpu_rnw_IBUF | 4130 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | frx | 3980 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | frx.Q | frx | 1 | 0 | MC_UIM +NODE | frx | 4136 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | frx.Q | frx | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | frx.SI | frx | 0 | 8 | 5 +SIGNAL_INSTANCE | frx.SI | frx | 0 | 7 | 5 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | frx | 3980 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | frx.Q | frx | 1 | 0 | MC_UIM +NODE | frx | 4136 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | frx.Q | frx | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N2897 | 4037 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N2897 | 0 | 5 | II_IMUX +NODE | N3025 | 4200 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3025 | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_rnw_IBUF | 3974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +NODE | cpu_rnw_IBUF | 4130 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | frx.D1 | 4110 | ? | 0 | 4096 | frx | NULL | NULL | frx.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | frx.D1 | 4285 | ? | 0 | 4096 | frx | NULL | NULL | frx.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | frx.D2 | 4111 | ? | 0 | 4096 | frx | NULL | NULL | frx.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 4 | IV_TRUE | frx | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_FALSE | N2897 -SPPTERM | 4 | IV_FALSE | frx | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_TRUE | N2897 +SIGNAL | NODE | frx.D2 | 4286 | ? | 0 | 4096 | frx | NULL | NULL | frx.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 4 | IV_TRUE | frx | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_FALSE | N3025 +SPPTERM | 4 | IV_FALSE | frx | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_TRUE | N3025 OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF -SIGNAL | NODE | frx.CLKF | 4112 | ? | 0 | 4096 | frx | NULL | NULL | frx.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +SIGNAL | NODE | frx.CLKF | 4287 | ? | 0 | 4096 | frx | NULL | NULL | frx.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | Ncs2_IBUF OUTPUT_NODE_TYPE | 6 | 9 | MC_SI_RSTF -SIGNAL | NODE | frx.RSTF | 4113 | ? | 0 | 4096 | frx | NULL | NULL | frx.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | frx.RSTF | 4288 | ? | 0 | 4096 | frx | NULL | NULL | frx.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | frx.CE | 4114 | ? | 0 | 4096 | frx | NULL | NULL | frx.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | frx.CE | 4289 | ? | 0 | 4096 | frx | NULL | NULL | frx.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_FALSE | cpu_rnw_IBUF SRFF_INSTANCE | frx.REG | frx | 0 | 4 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | frx.D | 4109 | ? | 0 | 0 | frx | NULL | NULL | frx.XOR | 0 | 7 | ALU_F +NODE | frx.D | 4284 | ? | 0 | 0 | frx | NULL | NULL | frx.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -SIGNAL | NODE | frx.CLKF | 4112 | ? | 0 | 4096 | frx | NULL | NULL | frx.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +SIGNAL | NODE | frx.CLKF | 4287 | ? | 0 | 4096 | frx | NULL | NULL | frx.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | Ncs2_IBUF INPUT_NODE_TYPE | 3 | 8 | SRFF_R -SIGNAL | NODE | frx.RSTF | 4113 | ? | 0 | 4096 | frx | NULL | NULL | frx.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | frx.RSTF | 4288 | ? | 0 | 4096 | frx | NULL | NULL | frx.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | frx.CE | 4114 | ? | 0 | 4096 | frx | NULL | NULL | frx.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | frx.CE | 4289 | ? | 0 | 4096 | frx | NULL | NULL | frx.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_FALSE | cpu_rnw_IBUF OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | frx.Q | 4115 | ? | 0 | 0 | frx | NULL | NULL | frx.REG | 0 | 8 | SRFF_Q +NODE | frx.Q | 4290 | ? | 0 | 0 | frx | NULL | NULL | frx.REG | 0 | 8 | SRFF_Q -INPUT_INSTANCE | 0 | 0 | NULL | N2901 | spi6502b_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_INSTANCE | 0 | 0 | NULL | N3029 | spi6502b_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | cpu_d<6> | 4052 | PIPO | 0 | 64 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_d<6> | 0 | 6 | OI_OUT +NODE | cpu_d<6> | 4218 | PIPO | 0 | 64 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_d<6> | 0 | 6 | OI_OUT OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | N2901 | 4038 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N2901 | 0 | 5 | II_IMUX +NODE | N3029 | 4201 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3029 | 0 | 5 | II_IMUX -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | ier | spi6502b_COPY_0_COPY_0 | 2424312832 | 8 | 1 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | ier | spi6502b_COPY_0_COPY_0 | 2155877376 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ier | 3981 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | ier.Q | ier | 1 | 0 | MC_UIM +NODE | ier | 4137 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | ier.Q | ier | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N2901 | 4038 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N2901 | 0 | 5 | II_IMUX +NODE | N3029 | 4201 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3029 | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_rnw_IBUF | 3974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +NODE | cpu_rnw_IBUF | 4130 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ier | 3981 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | ier.Q | ier | 1 | 0 | MC_UIM +NODE | ier | 4137 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | ier.Q | ier | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | ier.SI | ier | 0 | 8 | 5 +SIGNAL_INSTANCE | ier.SI | ier | 0 | 7 | 5 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ier | 3981 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | ier.Q | ier | 1 | 0 | MC_UIM +NODE | ier | 4137 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | ier.Q | ier | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N2901 | 4038 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N2901 | 0 | 5 | II_IMUX +NODE | N3029 | 4201 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3029 | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_rnw_IBUF | 3974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +NODE | cpu_rnw_IBUF | 4130 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ier.D1 | 4117 | ? | 0 | 4096 | ier | NULL | NULL | ier.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ier.D1 | 4292 | ? | 0 | 4096 | ier | NULL | NULL | ier.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ier.D2 | 4118 | ? | 0 | 4096 | ier | NULL | NULL | ier.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 4 | IV_TRUE | ier | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_FALSE | N2901 -SPPTERM | 4 | IV_FALSE | ier | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_TRUE | N2901 +SIGNAL | NODE | ier.D2 | 4293 | ? | 0 | 4096 | ier | NULL | NULL | ier.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 4 | IV_TRUE | ier | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_FALSE | N3029 +SPPTERM | 4 | IV_FALSE | ier | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_TRUE | N3029 OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF -SIGNAL | NODE | ier.CLKF | 4119 | ? | 0 | 4096 | ier | NULL | NULL | ier.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +SIGNAL | NODE | ier.CLKF | 4294 | ? | 0 | 4096 | ier | NULL | NULL | ier.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | Ncs2_IBUF OUTPUT_NODE_TYPE | 6 | 9 | MC_SI_RSTF -SIGNAL | NODE | ier.RSTF | 4120 | ? | 0 | 4096 | ier | NULL | NULL | ier.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | ier.RSTF | 4295 | ? | 0 | 4096 | ier | NULL | NULL | ier.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | ier.CE | 4121 | ? | 0 | 4096 | ier | NULL | NULL | ier.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | ier.CE | 4296 | ? | 0 | 4096 | ier | NULL | NULL | ier.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_FALSE | cpu_rnw_IBUF SRFF_INSTANCE | ier.REG | ier | 0 | 4 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ier.D | 4116 | ? | 0 | 0 | ier | NULL | NULL | ier.XOR | 0 | 7 | ALU_F +NODE | ier.D | 4291 | ? | 0 | 0 | ier | NULL | NULL | ier.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -SIGNAL | NODE | ier.CLKF | 4119 | ? | 0 | 4096 | ier | NULL | NULL | ier.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +SIGNAL | NODE | ier.CLKF | 4294 | ? | 0 | 4096 | ier | NULL | NULL | ier.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | Ncs2_IBUF INPUT_NODE_TYPE | 3 | 8 | SRFF_R -SIGNAL | NODE | ier.RSTF | 4120 | ? | 0 | 4096 | ier | NULL | NULL | ier.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | ier.RSTF | 4295 | ? | 0 | 4096 | ier | NULL | NULL | ier.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | ier.CE | 4121 | ? | 0 | 4096 | ier | NULL | NULL | ier.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | ier.CE | 4296 | ? | 0 | 4096 | ier | NULL | NULL | ier.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_FALSE | cpu_rnw_IBUF OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ier.Q | 4122 | ? | 0 | 0 | ier | NULL | NULL | ier.REG | 0 | 8 | SRFF_Q +NODE | ier.Q | 4297 | ? | 0 | 0 | ier | NULL | NULL | ier.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | slaveinten | spi6502b_COPY_0_COPY_0 | 2424312832 | 8 | 1 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | slaveinten | spi6502b_COPY_0_COPY_0 | 2155877376 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | slaveinten | 3982 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slaveinten.Q | slaveinten | 1 | 0 | MC_UIM +NODE | slaveinten | 4138 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slaveinten.Q | slaveinten | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N2897 | 4037 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N2897 | 0 | 5 | II_IMUX +NODE | N3025 | 4200 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3025 | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_rnw_IBUF | 3974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +NODE | cpu_rnw_IBUF | 4130 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | slaveinten | 3982 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slaveinten.Q | slaveinten | 1 | 0 | MC_UIM +NODE | slaveinten | 4138 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slaveinten.Q | slaveinten | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | slaveinten.SI | slaveinten | 0 | 8 | 5 +SIGNAL_INSTANCE | slaveinten.SI | slaveinten | 0 | 7 | 5 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | slaveinten | 3982 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slaveinten.Q | slaveinten | 1 | 0 | MC_UIM +NODE | slaveinten | 4138 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slaveinten.Q | slaveinten | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N2897 | 4037 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N2897 | 0 | 5 | II_IMUX +NODE | N3025 | 4200 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3025 | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_rnw_IBUF | 3974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +NODE | cpu_rnw_IBUF | 4130 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | slaveinten.D1 | 4124 | ? | 0 | 4096 | slaveinten | NULL | NULL | slaveinten.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | slaveinten.D1 | 4299 | ? | 0 | 4096 | slaveinten | NULL | NULL | slaveinten.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | slaveinten.D2 | 4125 | ? | 0 | 4096 | slaveinten | NULL | NULL | slaveinten.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 4 | IV_TRUE | slaveinten | IV_TRUE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_FALSE | N2897 -SPPTERM | 4 | IV_FALSE | slaveinten | IV_TRUE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_TRUE | N2897 +SIGNAL | NODE | slaveinten.D2 | 4300 | ? | 0 | 4096 | slaveinten | NULL | NULL | slaveinten.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 4 | IV_TRUE | slaveinten | IV_TRUE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_FALSE | N3025 +SPPTERM | 4 | IV_FALSE | slaveinten | IV_TRUE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_TRUE | N3025 OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF -SIGNAL | NODE | slaveinten.CLKF | 4126 | ? | 0 | 4096 | slaveinten | NULL | NULL | slaveinten.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +SIGNAL | NODE | slaveinten.CLKF | 4301 | ? | 0 | 4096 | slaveinten | NULL | NULL | slaveinten.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | Ncs2_IBUF OUTPUT_NODE_TYPE | 6 | 9 | MC_SI_RSTF -SIGNAL | NODE | slaveinten.RSTF | 4127 | ? | 0 | 4096 | slaveinten | NULL | NULL | slaveinten.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | slaveinten.RSTF | 4302 | ? | 0 | 4096 | slaveinten | NULL | NULL | slaveinten.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | slaveinten.CE | 4128 | ? | 0 | 4096 | slaveinten | NULL | NULL | slaveinten.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | slaveinten.CE | 4303 | ? | 0 | 4096 | slaveinten | NULL | NULL | slaveinten.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_FALSE | cpu_rnw_IBUF SRFF_INSTANCE | slaveinten.REG | slaveinten | 0 | 4 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | slaveinten.D | 4123 | ? | 0 | 0 | slaveinten | NULL | NULL | slaveinten.XOR | 0 | 7 | ALU_F +NODE | slaveinten.D | 4298 | ? | 0 | 0 | slaveinten | NULL | NULL | slaveinten.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -SIGNAL | NODE | slaveinten.CLKF | 4126 | ? | 0 | 4096 | slaveinten | NULL | NULL | slaveinten.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +SIGNAL | NODE | slaveinten.CLKF | 4301 | ? | 0 | 4096 | slaveinten | NULL | NULL | slaveinten.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | Ncs2_IBUF INPUT_NODE_TYPE | 3 | 8 | SRFF_R -SIGNAL | NODE | slaveinten.RSTF | 4127 | ? | 0 | 4096 | slaveinten | NULL | NULL | slaveinten.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | slaveinten.RSTF | 4302 | ? | 0 | 4096 | slaveinten | NULL | NULL | slaveinten.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | slaveinten.CE | 4128 | ? | 0 | 4096 | slaveinten | NULL | NULL | slaveinten.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | slaveinten.CE | 4303 | ? | 0 | 4096 | slaveinten | NULL | NULL | slaveinten.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_FALSE | cpu_rnw_IBUF OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | slaveinten.Q | 4129 | ? | 0 | 0 | slaveinten | NULL | NULL | slaveinten.REG | 0 | 8 | SRFF_Q +NODE | slaveinten.Q | 4304 | ? | 0 | 0 | slaveinten | NULL | NULL | slaveinten.REG | 0 | 8 | SRFF_Q -INPUT_INSTANCE | 0 | 0 | NULL | N2895 | spi6502b_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_INSTANCE | 0 | 0 | NULL | N3023 | spi6502b_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | cpu_d<3> | 4050 | PIPO | 0 | 64 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_d<3> | 0 | 6 | OI_OUT +NODE | cpu_d<3> | 4216 | PIPO | 0 | 64 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_d<3> | 0 | 6 | OI_OUT OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | N2895 | 4036 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N2895 | 0 | 5 | II_IMUX +NODE | N3023 | 4199 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3023 | 0 | 5 | II_IMUX -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | tmo | spi6502b_COPY_0_COPY_0 | 2424312832 | 8 | 1 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | tmo | spi6502b_COPY_0_COPY_0 | 2155877376 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | tmo | 3983 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | tmo.Q | tmo | 1 | 0 | MC_UIM +NODE | tmo | 4139 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | tmo.Q | tmo | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N2895 | 4036 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N2895 | 0 | 5 | II_IMUX +NODE | N3023 | 4199 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3023 | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_rnw_IBUF | 3974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +NODE | cpu_rnw_IBUF | 4130 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | tmo | 3983 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | tmo.Q | tmo | 1 | 0 | MC_UIM +NODE | tmo | 4139 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | tmo.Q | tmo | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | tmo.SI | tmo | 0 | 8 | 5 +SIGNAL_INSTANCE | tmo.SI | tmo | 0 | 7 | 5 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | tmo | 3983 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | tmo.Q | tmo | 1 | 0 | MC_UIM +NODE | tmo | 4139 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | tmo.Q | tmo | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N2895 | 4036 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N2895 | 0 | 5 | II_IMUX +NODE | N3023 | 4199 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3023 | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_rnw_IBUF | 3974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +NODE | cpu_rnw_IBUF | 4130 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | tmo.D1 | 4131 | ? | 0 | 4096 | tmo | NULL | NULL | tmo.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | tmo.D1 | 4306 | ? | 0 | 4096 | tmo | NULL | NULL | tmo.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | tmo.D2 | 4132 | ? | 0 | 4096 | tmo | NULL | NULL | tmo.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 4 | IV_TRUE | tmo | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_FALSE | N2895 -SPPTERM | 4 | IV_FALSE | tmo | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_TRUE | N2895 +SIGNAL | NODE | tmo.D2 | 4307 | ? | 0 | 4096 | tmo | NULL | NULL | tmo.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 4 | IV_TRUE | tmo | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_FALSE | N3023 +SPPTERM | 4 | IV_FALSE | tmo | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_TRUE | N3023 OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF -SIGNAL | NODE | tmo.CLKF | 4133 | ? | 0 | 4096 | tmo | NULL | NULL | tmo.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +SIGNAL | NODE | tmo.CLKF | 4308 | ? | 0 | 4096 | tmo | NULL | NULL | tmo.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | Ncs2_IBUF OUTPUT_NODE_TYPE | 6 | 9 | MC_SI_RSTF -SIGNAL | NODE | tmo.RSTF | 4134 | ? | 0 | 4096 | tmo | NULL | NULL | tmo.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | tmo.RSTF | 4309 | ? | 0 | 4096 | tmo | NULL | NULL | tmo.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | tmo.CE | 4135 | ? | 0 | 4096 | tmo | NULL | NULL | tmo.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | tmo.CE | 4310 | ? | 0 | 4096 | tmo | NULL | NULL | tmo.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_FALSE | cpu_rnw_IBUF SRFF_INSTANCE | tmo.REG | tmo | 0 | 4 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | tmo.D | 4130 | ? | 0 | 0 | tmo | NULL | NULL | tmo.XOR | 0 | 7 | ALU_F +NODE | tmo.D | 4305 | ? | 0 | 0 | tmo | NULL | NULL | tmo.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -SIGNAL | NODE | tmo.CLKF | 4133 | ? | 0 | 4096 | tmo | NULL | NULL | tmo.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +SIGNAL | NODE | tmo.CLKF | 4308 | ? | 0 | 4096 | tmo | NULL | NULL | tmo.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | Ncs2_IBUF INPUT_NODE_TYPE | 3 | 8 | SRFF_R -SIGNAL | NODE | tmo.RSTF | 4134 | ? | 0 | 4096 | tmo | NULL | NULL | tmo.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | tmo.RSTF | 4309 | ? | 0 | 4096 | tmo | NULL | NULL | tmo.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | tmo.CE | 4135 | ? | 0 | 4096 | tmo | NULL | NULL | tmo.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | tmo.CE | 4310 | ? | 0 | 4096 | tmo | NULL | NULL | tmo.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_FALSE | cpu_rnw_IBUF OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | tmo.Q | 4136 | ? | 0 | 0 | tmo | NULL | NULL | tmo.REG | 0 | 8 | SRFF_Q +NODE | tmo.Q | 4311 | ? | 0 | 0 | tmo | NULL | NULL | tmo.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | divisor<0> | spi6502b_COPY_0_COPY_0 | 2424312832 | 8 | 1 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | divisor<0> | spi6502b_COPY_0_COPY_0 | 2155877376 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | divisor<0> | 3984 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | divisor<0>.Q | divisor<0> | 1 | 0 | MC_UIM +NODE | divisor<0> | 4140 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | divisor<0>.Q | divisor<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N2889 | 4033 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N2889 | 0 | 5 | II_IMUX +NODE | N3017 | 4196 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3017 | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_rnw_IBUF | 3974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +NODE | cpu_rnw_IBUF | 4130 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | divisor<0> | 3984 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | divisor<0>.Q | divisor<0> | 1 | 0 | MC_UIM +NODE | divisor<0> | 4140 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | divisor<0>.Q | divisor<0> | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | divisor<0>.SI | divisor<0> | 0 | 8 | 5 +SIGNAL_INSTANCE | divisor<0>.SI | divisor<0> | 0 | 7 | 5 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | divisor<0> | 3984 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | divisor<0>.Q | divisor<0> | 1 | 0 | MC_UIM +NODE | divisor<0> | 4140 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | divisor<0>.Q | divisor<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N2889 | 4033 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N2889 | 0 | 5 | II_IMUX +NODE | N3017 | 4196 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3017 | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_rnw_IBUF | 3974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +NODE | cpu_rnw_IBUF | 4130 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | divisor<0>.D1 | 4138 | ? | 0 | 4096 | divisor<0> | NULL | NULL | divisor<0>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | divisor<0>.D1 | 4313 | ? | 0 | 4096 | divisor<0> | NULL | NULL | divisor<0>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | divisor<0>.D2 | 4139 | ? | 0 | 4096 | divisor<0> | NULL | NULL | divisor<0>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 4 | IV_TRUE | divisor<0> | IV_TRUE | cpu_a_1_IBUF | IV_FALSE | cpu_a_0_IBUF | IV_FALSE | N2889 -SPPTERM | 4 | IV_FALSE | divisor<0> | IV_TRUE | cpu_a_1_IBUF | IV_FALSE | cpu_a_0_IBUF | IV_TRUE | N2889 +SIGNAL | NODE | divisor<0>.D2 | 4314 | ? | 0 | 4096 | divisor<0> | NULL | NULL | divisor<0>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 4 | IV_TRUE | divisor<0> | IV_TRUE | cpu_a_1_IBUF | IV_FALSE | cpu_a_0_IBUF | IV_FALSE | N3017 +SPPTERM | 4 | IV_FALSE | divisor<0> | IV_TRUE | cpu_a_1_IBUF | IV_FALSE | cpu_a_0_IBUF | IV_TRUE | N3017 OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF -SIGNAL | NODE | divisor<0>.CLKF | 4140 | ? | 0 | 4096 | divisor<0> | NULL | NULL | divisor<0>.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +SIGNAL | NODE | divisor<0>.CLKF | 4315 | ? | 0 | 4096 | divisor<0> | NULL | NULL | divisor<0>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | Ncs2_IBUF OUTPUT_NODE_TYPE | 6 | 9 | MC_SI_RSTF -SIGNAL | NODE | divisor<0>.RSTF | 4141 | ? | 0 | 4096 | divisor<0> | NULL | NULL | divisor<0>.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | divisor<0>.RSTF | 4316 | ? | 0 | 4096 | divisor<0> | NULL | NULL | divisor<0>.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | divisor<0>.CE | 4142 | ? | 0 | 4096 | divisor<0> | NULL | NULL | divisor<0>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | divisor<0>.CE | 4317 | ? | 0 | 4096 | divisor<0> | NULL | NULL | divisor<0>.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_FALSE | cpu_rnw_IBUF SRFF_INSTANCE | divisor<0>.REG | divisor<0> | 0 | 4 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | divisor<0>.D | 4137 | ? | 0 | 0 | divisor<0> | NULL | NULL | divisor<0>.XOR | 0 | 7 | ALU_F +NODE | divisor<0>.D | 4312 | ? | 0 | 0 | divisor<0> | NULL | NULL | divisor<0>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -SIGNAL | NODE | divisor<0>.CLKF | 4140 | ? | 0 | 4096 | divisor<0> | NULL | NULL | divisor<0>.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +SIGNAL | NODE | divisor<0>.CLKF | 4315 | ? | 0 | 4096 | divisor<0> | NULL | NULL | divisor<0>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | Ncs2_IBUF INPUT_NODE_TYPE | 3 | 8 | SRFF_R -SIGNAL | NODE | divisor<0>.RSTF | 4141 | ? | 0 | 4096 | divisor<0> | NULL | NULL | divisor<0>.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | divisor<0>.RSTF | 4316 | ? | 0 | 4096 | divisor<0> | NULL | NULL | divisor<0>.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | divisor<0>.CE | 4142 | ? | 0 | 4096 | divisor<0> | NULL | NULL | divisor<0>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | divisor<0>.CE | 4317 | ? | 0 | 4096 | divisor<0> | NULL | NULL | divisor<0>.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_FALSE | cpu_rnw_IBUF OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | divisor<0>.Q | 4143 | ? | 0 | 0 | divisor<0> | NULL | NULL | divisor<0>.REG | 0 | 8 | SRFF_Q +NODE | divisor<0>.Q | 4318 | ? | 0 | 0 | divisor<0> | NULL | NULL | divisor<0>.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | divisor<1> | spi6502b_COPY_0_COPY_0 | 2424312832 | 8 | 1 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | divisor<1> | spi6502b_COPY_0_COPY_0 | 2155877376 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | divisor<1> | 3985 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | divisor<1>.Q | divisor<1> | 1 | 0 | MC_UIM +NODE | divisor<1> | 4141 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | divisor<1>.Q | divisor<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N2891 | 4034 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N2891 | 0 | 5 | II_IMUX +NODE | N3019 | 4197 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3019 | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_rnw_IBUF | 3974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +NODE | cpu_rnw_IBUF | 4130 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | divisor<1> | 3985 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | divisor<1>.Q | divisor<1> | 1 | 0 | MC_UIM +NODE | divisor<1> | 4141 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | divisor<1>.Q | divisor<1> | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | divisor<1>.SI | divisor<1> | 0 | 8 | 5 +SIGNAL_INSTANCE | divisor<1>.SI | divisor<1> | 0 | 7 | 5 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | divisor<1> | 3985 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | divisor<1>.Q | divisor<1> | 1 | 0 | MC_UIM +NODE | divisor<1> | 4141 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | divisor<1>.Q | divisor<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N2891 | 4034 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N2891 | 0 | 5 | II_IMUX +NODE | N3019 | 4197 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3019 | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_rnw_IBUF | 3974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +NODE | cpu_rnw_IBUF | 4130 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | divisor<1>.D1 | 4145 | ? | 0 | 4096 | divisor<1> | NULL | NULL | divisor<1>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | divisor<1>.D1 | 4320 | ? | 0 | 4096 | divisor<1> | NULL | NULL | divisor<1>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | divisor<1>.D2 | 4146 | ? | 0 | 4096 | divisor<1> | NULL | NULL | divisor<1>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 4 | IV_TRUE | divisor<1> | IV_TRUE | cpu_a_1_IBUF | IV_FALSE | cpu_a_0_IBUF | IV_FALSE | N2891 -SPPTERM | 4 | IV_FALSE | divisor<1> | IV_TRUE | cpu_a_1_IBUF | IV_FALSE | cpu_a_0_IBUF | IV_TRUE | N2891 +SIGNAL | NODE | divisor<1>.D2 | 4321 | ? | 0 | 4096 | divisor<1> | NULL | NULL | divisor<1>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 4 | IV_TRUE | divisor<1> | IV_TRUE | cpu_a_1_IBUF | IV_FALSE | cpu_a_0_IBUF | IV_FALSE | N3019 +SPPTERM | 4 | IV_FALSE | divisor<1> | IV_TRUE | cpu_a_1_IBUF | IV_FALSE | cpu_a_0_IBUF | IV_TRUE | N3019 OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF -SIGNAL | NODE | divisor<1>.CLKF | 4147 | ? | 0 | 4096 | divisor<1> | NULL | NULL | divisor<1>.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +SIGNAL | NODE | divisor<1>.CLKF | 4322 | ? | 0 | 4096 | divisor<1> | NULL | NULL | divisor<1>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | Ncs2_IBUF OUTPUT_NODE_TYPE | 6 | 9 | MC_SI_RSTF -SIGNAL | NODE | divisor<1>.RSTF | 4148 | ? | 0 | 4096 | divisor<1> | NULL | NULL | divisor<1>.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | divisor<1>.RSTF | 4323 | ? | 0 | 4096 | divisor<1> | NULL | NULL | divisor<1>.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | divisor<1>.CE | 4149 | ? | 0 | 4096 | divisor<1> | NULL | NULL | divisor<1>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | divisor<1>.CE | 4324 | ? | 0 | 4096 | divisor<1> | NULL | NULL | divisor<1>.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_FALSE | cpu_rnw_IBUF SRFF_INSTANCE | divisor<1>.REG | divisor<1> | 0 | 4 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | divisor<1>.D | 4144 | ? | 0 | 0 | divisor<1> | NULL | NULL | divisor<1>.XOR | 0 | 7 | ALU_F +NODE | divisor<1>.D | 4319 | ? | 0 | 0 | divisor<1> | NULL | NULL | divisor<1>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -SIGNAL | NODE | divisor<1>.CLKF | 4147 | ? | 0 | 4096 | divisor<1> | NULL | NULL | divisor<1>.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +SIGNAL | NODE | divisor<1>.CLKF | 4322 | ? | 0 | 4096 | divisor<1> | NULL | NULL | divisor<1>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | Ncs2_IBUF INPUT_NODE_TYPE | 3 | 8 | SRFF_R -SIGNAL | NODE | divisor<1>.RSTF | 4148 | ? | 0 | 4096 | divisor<1> | NULL | NULL | divisor<1>.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | divisor<1>.RSTF | 4323 | ? | 0 | 4096 | divisor<1> | NULL | NULL | divisor<1>.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | divisor<1>.CE | 4149 | ? | 0 | 4096 | divisor<1> | NULL | NULL | divisor<1>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | divisor<1>.CE | 4324 | ? | 0 | 4096 | divisor<1> | NULL | NULL | divisor<1>.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_FALSE | cpu_rnw_IBUF OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | divisor<1>.Q | 4150 | ? | 0 | 0 | divisor<1> | NULL | NULL | divisor<1>.REG | 0 | 8 | SRFF_Q +NODE | divisor<1>.Q | 4325 | ? | 0 | 0 | divisor<1> | NULL | NULL | divisor<1>.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | divisor<2> | spi6502b_COPY_0_COPY_0 | 2424312832 | 8 | 1 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | divisor<2> | spi6502b_COPY_0_COPY_0 | 2155877376 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | divisor<2> | 3986 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | divisor<2>.Q | divisor<2> | 1 | 0 | MC_UIM +NODE | divisor<2> | 4142 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | divisor<2>.Q | divisor<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N2893 | 4035 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N2893 | 0 | 5 | II_IMUX +NODE | N3021 | 4198 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3021 | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_rnw_IBUF | 3974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +NODE | cpu_rnw_IBUF | 4130 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | divisor<2> | 3986 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | divisor<2>.Q | divisor<2> | 1 | 0 | MC_UIM +NODE | divisor<2> | 4142 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | divisor<2>.Q | divisor<2> | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | divisor<2>.SI | divisor<2> | 0 | 8 | 5 +SIGNAL_INSTANCE | divisor<2>.SI | divisor<2> | 0 | 7 | 5 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | divisor<2> | 3986 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | divisor<2>.Q | divisor<2> | 1 | 0 | MC_UIM +NODE | divisor<2> | 4142 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | divisor<2>.Q | divisor<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N2893 | 4035 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N2893 | 0 | 5 | II_IMUX +NODE | N3021 | 4198 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3021 | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_rnw_IBUF | 3974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +NODE | cpu_rnw_IBUF | 4130 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | divisor<2>.D1 | 4152 | ? | 0 | 4096 | divisor<2> | NULL | NULL | divisor<2>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | divisor<2>.D1 | 4327 | ? | 0 | 4096 | divisor<2> | NULL | NULL | divisor<2>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | divisor<2>.D2 | 4153 | ? | 0 | 4096 | divisor<2> | NULL | NULL | divisor<2>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 4 | IV_TRUE | divisor<2> | IV_TRUE | cpu_a_1_IBUF | IV_FALSE | cpu_a_0_IBUF | IV_FALSE | N2893 -SPPTERM | 4 | IV_FALSE | divisor<2> | IV_TRUE | cpu_a_1_IBUF | IV_FALSE | cpu_a_0_IBUF | IV_TRUE | N2893 +SIGNAL | NODE | divisor<2>.D2 | 4328 | ? | 0 | 4096 | divisor<2> | NULL | NULL | divisor<2>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 4 | IV_TRUE | divisor<2> | IV_TRUE | cpu_a_1_IBUF | IV_FALSE | cpu_a_0_IBUF | IV_FALSE | N3021 +SPPTERM | 4 | IV_FALSE | divisor<2> | IV_TRUE | cpu_a_1_IBUF | IV_FALSE | cpu_a_0_IBUF | IV_TRUE | N3021 OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF -SIGNAL | NODE | divisor<2>.CLKF | 4154 | ? | 0 | 4096 | divisor<2> | NULL | NULL | divisor<2>.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +SIGNAL | NODE | divisor<2>.CLKF | 4329 | ? | 0 | 4096 | divisor<2> | NULL | NULL | divisor<2>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | Ncs2_IBUF OUTPUT_NODE_TYPE | 6 | 9 | MC_SI_RSTF -SIGNAL | NODE | divisor<2>.RSTF | 4155 | ? | 0 | 4096 | divisor<2> | NULL | NULL | divisor<2>.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | divisor<2>.RSTF | 4330 | ? | 0 | 4096 | divisor<2> | NULL | NULL | divisor<2>.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | divisor<2>.CE | 4156 | ? | 0 | 4096 | divisor<2> | NULL | NULL | divisor<2>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | divisor<2>.CE | 4331 | ? | 0 | 4096 | divisor<2> | NULL | NULL | divisor<2>.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_FALSE | cpu_rnw_IBUF SRFF_INSTANCE | divisor<2>.REG | divisor<2> | 0 | 4 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | divisor<2>.D | 4151 | ? | 0 | 0 | divisor<2> | NULL | NULL | divisor<2>.XOR | 0 | 7 | ALU_F +NODE | divisor<2>.D | 4326 | ? | 0 | 0 | divisor<2> | NULL | NULL | divisor<2>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -SIGNAL | NODE | divisor<2>.CLKF | 4154 | ? | 0 | 4096 | divisor<2> | NULL | NULL | divisor<2>.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +SIGNAL | NODE | divisor<2>.CLKF | 4329 | ? | 0 | 4096 | divisor<2> | NULL | NULL | divisor<2>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | Ncs2_IBUF INPUT_NODE_TYPE | 3 | 8 | SRFF_R -SIGNAL | NODE | divisor<2>.RSTF | 4155 | ? | 0 | 4096 | divisor<2> | NULL | NULL | divisor<2>.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | divisor<2>.RSTF | 4330 | ? | 0 | 4096 | divisor<2> | NULL | NULL | divisor<2>.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | divisor<2>.CE | 4156 | ? | 0 | 4096 | divisor<2> | NULL | NULL | divisor<2>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | divisor<2>.CE | 4331 | ? | 0 | 4096 | divisor<2> | NULL | NULL | divisor<2>.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_FALSE | cpu_rnw_IBUF OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | divisor<2>.Q | 4157 | ? | 0 | 0 | divisor<2> | NULL | NULL | divisor<2>.REG | 0 | 8 | SRFF_Q +NODE | divisor<2>.Q | 4332 | ? | 0 | 0 | divisor<2> | NULL | NULL | divisor<2>.REG | 0 | 8 | SRFF_Q INPUT_INSTANCE | 0 | 0 | NULL | spi_miso_IBUF | spi6502b_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | spi_miso | 4065 | PI | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | spi_miso | 4237 | PI | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | spi_miso_IBUF | 3987 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | spi_miso_IBUF | 0 | 5 | II_IMUX +NODE | spi_miso_IBUF | 4143 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | spi_miso_IBUF | 0 | 5 | II_IMUX MACROCELL_INSTANCE | PrldLow+OptxMapped | spidatain<0> | spi6502b_COPY_0_COPY_0 | 2155873280 | 6 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | slavesel | 3976 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slavesel.Q | slavesel | 1 | 0 | MC_UIM +NODE | slavesel | 4132 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slavesel.Q | slavesel | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spi_miso_IBUF | 3987 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | spi_miso_IBUF | 0 | 5 | II_IMUX +NODE | spi_miso_IBUF | 4143 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | spi_miso_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$INV$22__$INT.UIM | 4060 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$22__$INT.Q | $OpTx$INV$22__$INT | 1 | 0 | MC_UIM +NODE | $OpTx$INV$24__$INT.UIM | 4231 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$24__$INT.Q | $OpTx$INV$24__$INT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<0> | 4008 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM +NODE | shiftcnt<0> | 4168 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shifting2 | 4032 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM +NODE | shifting2 | 4192 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | spidatain<0> | 3988 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<0>.Q | spidatain<0> | 1 | 0 | MC_UIM +NODE | spidatain<0> | 4144 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<0>.Q | spidatain<0> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | spidatain<0>.SI | spidatain<0> | 0 | 6 | 5 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | slavesel | 3976 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slavesel.Q | slavesel | 1 | 0 | MC_UIM +NODE | slavesel | 4132 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slavesel.Q | slavesel | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spi_miso_IBUF | 3987 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | spi_miso_IBUF | 0 | 5 | II_IMUX +NODE | spi_miso_IBUF | 4143 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | spi_miso_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$INV$22__$INT.UIM | 4060 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$22__$INT.Q | $OpTx$INV$22__$INT | 1 | 0 | MC_UIM +NODE | $OpTx$INV$24__$INT.UIM | 4231 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$24__$INT.Q | $OpTx$INV$24__$INT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<0> | 4008 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM +NODE | shiftcnt<0> | 4168 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shifting2 | 4032 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM +NODE | shifting2 | 4192 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | spidatain<0>.D1 | 4159 | ? | 0 | 4096 | spidatain<0> | NULL | NULL | spidatain<0>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | spidatain<0>.D1 | 4334 | ? | 0 | 4096 | spidatain<0> | NULL | NULL | spidatain<0>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | spidatain<0>.D2 | 4160 | ? | 0 | 4096 | spidatain<0> | NULL | NULL | spidatain<0>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | spidatain<0>.D2 | 4335 | ? | 0 | 4096 | spidatain<0> | NULL | NULL | spidatain<0>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_FALSE | slavesel | IV_TRUE | spi_miso_IBUF OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF -SIGNAL | NODE | spidatain<0>.CLKF | 4161 | ? | 0 | 4096 | spidatain<0> | NULL | NULL | spidatain<0>.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 1 | IV_FALSE | $OpTx$INV$22__$INT.UIM +SIGNAL | NODE | spidatain<0>.CLKF | 4336 | ? | 0 | 4096 | spidatain<0> | NULL | NULL | spidatain<0>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_FALSE | $OpTx$INV$24__$INT.UIM OUTPUT_NODE_TYPE | 6 | 9 | MC_SI_RSTF -SIGNAL | NODE | spidatain<0>.RSTF | 4162 | ? | 0 | 4096 | spidatain<0> | NULL | NULL | spidatain<0>.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | spidatain<0>.RSTF | 4337 | ? | 0 | 4096 | spidatain<0> | NULL | NULL | spidatain<0>.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | spidatain<0>.CE | 4163 | ? | 0 | 4096 | spidatain<0> | NULL | NULL | spidatain<0>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | spidatain<0>.CE | 4338 | ? | 0 | 4096 | spidatain<0> | NULL | NULL | spidatain<0>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_TRUE | shiftcnt<0> | IV_TRUE | shifting2 SRFF_INSTANCE | spidatain<0>.REG | spidatain<0> | 0 | 4 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | spidatain<0>.D | 4158 | ? | 0 | 0 | spidatain<0> | NULL | NULL | spidatain<0>.XOR | 0 | 7 | ALU_F +NODE | spidatain<0>.D | 4333 | ? | 0 | 0 | spidatain<0> | NULL | NULL | spidatain<0>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -SIGNAL | NODE | spidatain<0>.CLKF | 4161 | ? | 0 | 4096 | spidatain<0> | NULL | NULL | spidatain<0>.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 1 | IV_FALSE | $OpTx$INV$22__$INT.UIM +SIGNAL | NODE | spidatain<0>.CLKF | 4336 | ? | 0 | 4096 | spidatain<0> | NULL | NULL | spidatain<0>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_FALSE | $OpTx$INV$24__$INT.UIM INPUT_NODE_TYPE | 3 | 8 | SRFF_R -SIGNAL | NODE | spidatain<0>.RSTF | 4162 | ? | 0 | 4096 | spidatain<0> | NULL | NULL | spidatain<0>.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | spidatain<0>.RSTF | 4337 | ? | 0 | 4096 | spidatain<0> | NULL | NULL | spidatain<0>.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | spidatain<0>.CE | 4163 | ? | 0 | 4096 | spidatain<0> | NULL | NULL | spidatain<0>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | spidatain<0>.CE | 4338 | ? | 0 | 4096 | spidatain<0> | NULL | NULL | spidatain<0>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_TRUE | shiftcnt<0> | IV_TRUE | shifting2 OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | spidatain<0>.Q | 4164 | ? | 0 | 0 | spidatain<0> | NULL | NULL | spidatain<0>.REG | 0 | 8 | SRFF_Q +NODE | spidatain<0>.Q | 4339 | ? | 0 | 0 | spidatain<0> | NULL | NULL | spidatain<0>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | spidatain<1> | spi6502b_COPY_0_COPY_0 | 2155873280 | 5 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidatain<0> | 3988 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<0>.Q | spidatain<0> | 1 | 0 | MC_UIM +NODE | spidatain<0> | 4144 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<0>.Q | spidatain<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$INV$22__$INT.UIM | 4060 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$22__$INT.Q | $OpTx$INV$22__$INT | 1 | 0 | MC_UIM +NODE | $OpTx$INV$24__$INT.UIM | 4231 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$24__$INT.Q | $OpTx$INV$24__$INT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<0> | 4008 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM +NODE | shiftcnt<0> | 4168 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shifting2 | 4032 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM +NODE | shifting2 | 4192 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | spidatain<1> | 3989 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<1>.Q | spidatain<1> | 1 | 0 | MC_UIM +NODE | spidatain<1> | 4145 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<1>.Q | spidatain<1> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | spidatain<1>.SI | spidatain<1> | 0 | 5 | 5 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidatain<0> | 3988 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<0>.Q | spidatain<0> | 1 | 0 | MC_UIM +NODE | spidatain<0> | 4144 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<0>.Q | spidatain<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$INV$22__$INT.UIM | 4060 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$22__$INT.Q | $OpTx$INV$22__$INT | 1 | 0 | MC_UIM +NODE | $OpTx$INV$24__$INT.UIM | 4231 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$24__$INT.Q | $OpTx$INV$24__$INT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<0> | 4008 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM +NODE | shiftcnt<0> | 4168 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shifting2 | 4032 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM +NODE | shifting2 | 4192 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | spidatain<1>.D1 | 4166 | ? | 0 | 4096 | spidatain<1> | NULL | NULL | spidatain<1>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | spidatain<1>.D1 | 4341 | ? | 0 | 4096 | spidatain<1> | NULL | NULL | spidatain<1>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | spidatain<1>.D2 | 4167 | ? | 0 | 4096 | spidatain<1> | NULL | NULL | spidatain<1>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | spidatain<1>.D2 | 4342 | ? | 0 | 4096 | spidatain<1> | NULL | NULL | spidatain<1>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | spidatain<0> OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF -SIGNAL | NODE | spidatain<1>.CLKF | 4168 | ? | 0 | 4096 | spidatain<1> | NULL | NULL | spidatain<1>.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 1 | IV_FALSE | $OpTx$INV$22__$INT.UIM +SIGNAL | NODE | spidatain<1>.CLKF | 4343 | ? | 0 | 4096 | spidatain<1> | NULL | NULL | spidatain<1>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_FALSE | $OpTx$INV$24__$INT.UIM OUTPUT_NODE_TYPE | 6 | 9 | MC_SI_RSTF -SIGNAL | NODE | spidatain<1>.RSTF | 4169 | ? | 0 | 4096 | spidatain<1> | NULL | NULL | spidatain<1>.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | spidatain<1>.RSTF | 4344 | ? | 0 | 4096 | spidatain<1> | NULL | NULL | spidatain<1>.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | spidatain<1>.CE | 4170 | ? | 0 | 4096 | spidatain<1> | NULL | NULL | spidatain<1>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | spidatain<1>.CE | 4345 | ? | 0 | 4096 | spidatain<1> | NULL | NULL | spidatain<1>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_TRUE | shiftcnt<0> | IV_TRUE | shifting2 SRFF_INSTANCE | spidatain<1>.REG | spidatain<1> | 0 | 4 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | spidatain<1>.D | 4165 | ? | 0 | 0 | spidatain<1> | NULL | NULL | spidatain<1>.XOR | 0 | 7 | ALU_F +NODE | spidatain<1>.D | 4340 | ? | 0 | 0 | spidatain<1> | NULL | NULL | spidatain<1>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -SIGNAL | NODE | spidatain<1>.CLKF | 4168 | ? | 0 | 4096 | spidatain<1> | NULL | NULL | spidatain<1>.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 1 | IV_FALSE | $OpTx$INV$22__$INT.UIM +SIGNAL | NODE | spidatain<1>.CLKF | 4343 | ? | 0 | 4096 | spidatain<1> | NULL | NULL | spidatain<1>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_FALSE | $OpTx$INV$24__$INT.UIM INPUT_NODE_TYPE | 3 | 8 | SRFF_R -SIGNAL | NODE | spidatain<1>.RSTF | 4169 | ? | 0 | 4096 | spidatain<1> | NULL | NULL | spidatain<1>.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | spidatain<1>.RSTF | 4344 | ? | 0 | 4096 | spidatain<1> | NULL | NULL | spidatain<1>.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | spidatain<1>.CE | 4170 | ? | 0 | 4096 | spidatain<1> | NULL | NULL | spidatain<1>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | spidatain<1>.CE | 4345 | ? | 0 | 4096 | spidatain<1> | NULL | NULL | spidatain<1>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_TRUE | shiftcnt<0> | IV_TRUE | shifting2 OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | spidatain<1>.Q | 4171 | ? | 0 | 0 | spidatain<1> | NULL | NULL | spidatain<1>.REG | 0 | 8 | SRFF_Q +NODE | spidatain<1>.Q | 4346 | ? | 0 | 0 | spidatain<1> | NULL | NULL | spidatain<1>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | spidatain<2> | spi6502b_COPY_0_COPY_0 | 2155873280 | 5 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidatain<1> | 3989 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<1>.Q | spidatain<1> | 1 | 0 | MC_UIM +NODE | spidatain<1> | 4145 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<1>.Q | spidatain<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$INV$22__$INT.UIM | 4060 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$22__$INT.Q | $OpTx$INV$22__$INT | 1 | 0 | MC_UIM +NODE | $OpTx$INV$24__$INT.UIM | 4231 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$24__$INT.Q | $OpTx$INV$24__$INT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<0> | 4008 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM +NODE | shiftcnt<0> | 4168 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shifting2 | 4032 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM +NODE | shifting2 | 4192 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | spidatain<2> | 3990 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<2>.Q | spidatain<2> | 1 | 0 | MC_UIM +NODE | spidatain<2> | 4146 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<2>.Q | spidatain<2> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | spidatain<2>.SI | spidatain<2> | 0 | 5 | 5 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidatain<1> | 3989 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<1>.Q | spidatain<1> | 1 | 0 | MC_UIM +NODE | spidatain<1> | 4145 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<1>.Q | spidatain<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$INV$22__$INT.UIM | 4060 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$22__$INT.Q | $OpTx$INV$22__$INT | 1 | 0 | MC_UIM +NODE | $OpTx$INV$24__$INT.UIM | 4231 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$24__$INT.Q | $OpTx$INV$24__$INT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<0> | 4008 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM +NODE | shiftcnt<0> | 4168 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shifting2 | 4032 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM +NODE | shifting2 | 4192 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | spidatain<2>.D1 | 4173 | ? | 0 | 4096 | spidatain<2> | NULL | NULL | spidatain<2>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | spidatain<2>.D1 | 4348 | ? | 0 | 4096 | spidatain<2> | NULL | NULL | spidatain<2>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | spidatain<2>.D2 | 4174 | ? | 0 | 4096 | spidatain<2> | NULL | NULL | spidatain<2>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | spidatain<2>.D2 | 4349 | ? | 0 | 4096 | spidatain<2> | NULL | NULL | spidatain<2>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | spidatain<1> OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF -SIGNAL | NODE | spidatain<2>.CLKF | 4175 | ? | 0 | 4096 | spidatain<2> | NULL | NULL | spidatain<2>.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 1 | IV_FALSE | $OpTx$INV$22__$INT.UIM +SIGNAL | NODE | spidatain<2>.CLKF | 4350 | ? | 0 | 4096 | spidatain<2> | NULL | NULL | spidatain<2>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_FALSE | $OpTx$INV$24__$INT.UIM OUTPUT_NODE_TYPE | 6 | 9 | MC_SI_RSTF -SIGNAL | NODE | spidatain<2>.RSTF | 4176 | ? | 0 | 4096 | spidatain<2> | NULL | NULL | spidatain<2>.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | spidatain<2>.RSTF | 4351 | ? | 0 | 4096 | spidatain<2> | NULL | NULL | spidatain<2>.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | spidatain<2>.CE | 4177 | ? | 0 | 4096 | spidatain<2> | NULL | NULL | spidatain<2>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | spidatain<2>.CE | 4352 | ? | 0 | 4096 | spidatain<2> | NULL | NULL | spidatain<2>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_TRUE | shiftcnt<0> | IV_TRUE | shifting2 SRFF_INSTANCE | spidatain<2>.REG | spidatain<2> | 0 | 4 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | spidatain<2>.D | 4172 | ? | 0 | 0 | spidatain<2> | NULL | NULL | spidatain<2>.XOR | 0 | 7 | ALU_F +NODE | spidatain<2>.D | 4347 | ? | 0 | 0 | spidatain<2> | NULL | NULL | spidatain<2>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -SIGNAL | NODE | spidatain<2>.CLKF | 4175 | ? | 0 | 4096 | spidatain<2> | NULL | NULL | spidatain<2>.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 1 | IV_FALSE | $OpTx$INV$22__$INT.UIM +SIGNAL | NODE | spidatain<2>.CLKF | 4350 | ? | 0 | 4096 | spidatain<2> | NULL | NULL | spidatain<2>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_FALSE | $OpTx$INV$24__$INT.UIM INPUT_NODE_TYPE | 3 | 8 | SRFF_R -SIGNAL | NODE | spidatain<2>.RSTF | 4176 | ? | 0 | 4096 | spidatain<2> | NULL | NULL | spidatain<2>.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | spidatain<2>.RSTF | 4351 | ? | 0 | 4096 | spidatain<2> | NULL | NULL | spidatain<2>.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | spidatain<2>.CE | 4177 | ? | 0 | 4096 | spidatain<2> | NULL | NULL | spidatain<2>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | spidatain<2>.CE | 4352 | ? | 0 | 4096 | spidatain<2> | NULL | NULL | spidatain<2>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_TRUE | shiftcnt<0> | IV_TRUE | shifting2 OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | spidatain<2>.Q | 4178 | ? | 0 | 0 | spidatain<2> | NULL | NULL | spidatain<2>.REG | 0 | 8 | SRFF_Q +NODE | spidatain<2>.Q | 4353 | ? | 0 | 0 | spidatain<2> | NULL | NULL | spidatain<2>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | spidatain<3> | spi6502b_COPY_0_COPY_0 | 2155873280 | 5 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidatain<2> | 3990 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<2>.Q | spidatain<2> | 1 | 0 | MC_UIM +NODE | spidatain<2> | 4146 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<2>.Q | spidatain<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$INV$22__$INT.UIM | 4060 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$22__$INT.Q | $OpTx$INV$22__$INT | 1 | 0 | MC_UIM +NODE | $OpTx$INV$24__$INT.UIM | 4231 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$24__$INT.Q | $OpTx$INV$24__$INT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<0> | 4008 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM +NODE | shiftcnt<0> | 4168 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shifting2 | 4032 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM +NODE | shifting2 | 4192 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | spidatain<3> | 3991 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<3>.Q | spidatain<3> | 1 | 0 | MC_UIM +NODE | spidatain<3> | 4147 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<3>.Q | spidatain<3> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | spidatain<3>.SI | spidatain<3> | 0 | 5 | 5 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidatain<2> | 3990 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<2>.Q | spidatain<2> | 1 | 0 | MC_UIM +NODE | spidatain<2> | 4146 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<2>.Q | spidatain<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$INV$22__$INT.UIM | 4060 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$22__$INT.Q | $OpTx$INV$22__$INT | 1 | 0 | MC_UIM +NODE | $OpTx$INV$24__$INT.UIM | 4231 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$24__$INT.Q | $OpTx$INV$24__$INT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<0> | 4008 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM +NODE | shiftcnt<0> | 4168 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shifting2 | 4032 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM +NODE | shifting2 | 4192 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | spidatain<3>.D1 | 4180 | ? | 0 | 4096 | spidatain<3> | NULL | NULL | spidatain<3>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | spidatain<3>.D1 | 4355 | ? | 0 | 4096 | spidatain<3> | NULL | NULL | spidatain<3>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | spidatain<3>.D2 | 4181 | ? | 0 | 4096 | spidatain<3> | NULL | NULL | spidatain<3>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | spidatain<3>.D2 | 4356 | ? | 0 | 4096 | spidatain<3> | NULL | NULL | spidatain<3>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | spidatain<2> OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF -SIGNAL | NODE | spidatain<3>.CLKF | 4182 | ? | 0 | 4096 | spidatain<3> | NULL | NULL | spidatain<3>.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 1 | IV_FALSE | $OpTx$INV$22__$INT.UIM +SIGNAL | NODE | spidatain<3>.CLKF | 4357 | ? | 0 | 4096 | spidatain<3> | NULL | NULL | spidatain<3>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_FALSE | $OpTx$INV$24__$INT.UIM OUTPUT_NODE_TYPE | 6 | 9 | MC_SI_RSTF -SIGNAL | NODE | spidatain<3>.RSTF | 4183 | ? | 0 | 4096 | spidatain<3> | NULL | NULL | spidatain<3>.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | spidatain<3>.RSTF | 4358 | ? | 0 | 4096 | spidatain<3> | NULL | NULL | spidatain<3>.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | spidatain<3>.CE | 4184 | ? | 0 | 4096 | spidatain<3> | NULL | NULL | spidatain<3>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | spidatain<3>.CE | 4359 | ? | 0 | 4096 | spidatain<3> | NULL | NULL | spidatain<3>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_TRUE | shiftcnt<0> | IV_TRUE | shifting2 SRFF_INSTANCE | spidatain<3>.REG | spidatain<3> | 0 | 4 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | spidatain<3>.D | 4179 | ? | 0 | 0 | spidatain<3> | NULL | NULL | spidatain<3>.XOR | 0 | 7 | ALU_F +NODE | spidatain<3>.D | 4354 | ? | 0 | 0 | spidatain<3> | NULL | NULL | spidatain<3>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -SIGNAL | NODE | spidatain<3>.CLKF | 4182 | ? | 0 | 4096 | spidatain<3> | NULL | NULL | spidatain<3>.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 1 | IV_FALSE | $OpTx$INV$22__$INT.UIM +SIGNAL | NODE | spidatain<3>.CLKF | 4357 | ? | 0 | 4096 | spidatain<3> | NULL | NULL | spidatain<3>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_FALSE | $OpTx$INV$24__$INT.UIM INPUT_NODE_TYPE | 3 | 8 | SRFF_R -SIGNAL | NODE | spidatain<3>.RSTF | 4183 | ? | 0 | 4096 | spidatain<3> | NULL | NULL | spidatain<3>.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | spidatain<3>.RSTF | 4358 | ? | 0 | 4096 | spidatain<3> | NULL | NULL | spidatain<3>.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | spidatain<3>.CE | 4184 | ? | 0 | 4096 | spidatain<3> | NULL | NULL | spidatain<3>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | spidatain<3>.CE | 4359 | ? | 0 | 4096 | spidatain<3> | NULL | NULL | spidatain<3>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_TRUE | shiftcnt<0> | IV_TRUE | shifting2 OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | spidatain<3>.Q | 4185 | ? | 0 | 0 | spidatain<3> | NULL | NULL | spidatain<3>.REG | 0 | 8 | SRFF_Q +NODE | spidatain<3>.Q | 4360 | ? | 0 | 0 | spidatain<3> | NULL | NULL | spidatain<3>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | spidatain<4> | spi6502b_COPY_0_COPY_0 | 2155873280 | 5 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidatain<3> | 3991 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<3>.Q | spidatain<3> | 1 | 0 | MC_UIM +NODE | spidatain<3> | 4147 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<3>.Q | spidatain<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$INV$22__$INT.UIM | 4060 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$22__$INT.Q | $OpTx$INV$22__$INT | 1 | 0 | MC_UIM +NODE | $OpTx$INV$24__$INT.UIM | 4231 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$24__$INT.Q | $OpTx$INV$24__$INT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<0> | 4008 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM +NODE | shiftcnt<0> | 4168 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shifting2 | 4032 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM +NODE | shifting2 | 4192 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | spidatain<4> | 3992 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<4>.Q | spidatain<4> | 1 | 0 | MC_UIM +NODE | spidatain<4> | 4148 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<4>.Q | spidatain<4> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | spidatain<4>.SI | spidatain<4> | 0 | 5 | 5 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidatain<3> | 3991 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<3>.Q | spidatain<3> | 1 | 0 | MC_UIM +NODE | spidatain<3> | 4147 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<3>.Q | spidatain<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$INV$22__$INT.UIM | 4060 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$22__$INT.Q | $OpTx$INV$22__$INT | 1 | 0 | MC_UIM +NODE | $OpTx$INV$24__$INT.UIM | 4231 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$24__$INT.Q | $OpTx$INV$24__$INT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<0> | 4008 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM +NODE | shiftcnt<0> | 4168 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shifting2 | 4032 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM +NODE | shifting2 | 4192 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | spidatain<4>.D1 | 4187 | ? | 0 | 4096 | spidatain<4> | NULL | NULL | spidatain<4>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | spidatain<4>.D1 | 4362 | ? | 0 | 4096 | spidatain<4> | NULL | NULL | spidatain<4>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | spidatain<4>.D2 | 4188 | ? | 0 | 4096 | spidatain<4> | NULL | NULL | spidatain<4>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | spidatain<4>.D2 | 4363 | ? | 0 | 4096 | spidatain<4> | NULL | NULL | spidatain<4>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | spidatain<3> OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF -SIGNAL | NODE | spidatain<4>.CLKF | 4189 | ? | 0 | 4096 | spidatain<4> | NULL | NULL | spidatain<4>.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 1 | IV_FALSE | $OpTx$INV$22__$INT.UIM +SIGNAL | NODE | spidatain<4>.CLKF | 4364 | ? | 0 | 4096 | spidatain<4> | NULL | NULL | spidatain<4>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_FALSE | $OpTx$INV$24__$INT.UIM OUTPUT_NODE_TYPE | 6 | 9 | MC_SI_RSTF -SIGNAL | NODE | spidatain<4>.RSTF | 4190 | ? | 0 | 4096 | spidatain<4> | NULL | NULL | spidatain<4>.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | spidatain<4>.RSTF | 4365 | ? | 0 | 4096 | spidatain<4> | NULL | NULL | spidatain<4>.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | spidatain<4>.CE | 4191 | ? | 0 | 4096 | spidatain<4> | NULL | NULL | spidatain<4>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | spidatain<4>.CE | 4366 | ? | 0 | 4096 | spidatain<4> | NULL | NULL | spidatain<4>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_TRUE | shiftcnt<0> | IV_TRUE | shifting2 SRFF_INSTANCE | spidatain<4>.REG | spidatain<4> | 0 | 4 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | spidatain<4>.D | 4186 | ? | 0 | 0 | spidatain<4> | NULL | NULL | spidatain<4>.XOR | 0 | 7 | ALU_F +NODE | spidatain<4>.D | 4361 | ? | 0 | 0 | spidatain<4> | NULL | NULL | spidatain<4>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -SIGNAL | NODE | spidatain<4>.CLKF | 4189 | ? | 0 | 4096 | spidatain<4> | NULL | NULL | spidatain<4>.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 1 | IV_FALSE | $OpTx$INV$22__$INT.UIM +SIGNAL | NODE | spidatain<4>.CLKF | 4364 | ? | 0 | 4096 | spidatain<4> | NULL | NULL | spidatain<4>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_FALSE | $OpTx$INV$24__$INT.UIM INPUT_NODE_TYPE | 3 | 8 | SRFF_R -SIGNAL | NODE | spidatain<4>.RSTF | 4190 | ? | 0 | 4096 | spidatain<4> | NULL | NULL | spidatain<4>.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | spidatain<4>.RSTF | 4365 | ? | 0 | 4096 | spidatain<4> | NULL | NULL | spidatain<4>.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | spidatain<4>.CE | 4191 | ? | 0 | 4096 | spidatain<4> | NULL | NULL | spidatain<4>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | spidatain<4>.CE | 4366 | ? | 0 | 4096 | spidatain<4> | NULL | NULL | spidatain<4>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_TRUE | shiftcnt<0> | IV_TRUE | shifting2 OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | spidatain<4>.Q | 4192 | ? | 0 | 0 | spidatain<4> | NULL | NULL | spidatain<4>.REG | 0 | 8 | SRFF_Q +NODE | spidatain<4>.Q | 4367 | ? | 0 | 0 | spidatain<4> | NULL | NULL | spidatain<4>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | spidatain<5> | spi6502b_COPY_0_COPY_0 | 2155873280 | 5 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidatain<4> | 3992 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<4>.Q | spidatain<4> | 1 | 0 | MC_UIM +NODE | spidatain<4> | 4148 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<4>.Q | spidatain<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$INV$22__$INT.UIM | 4060 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$22__$INT.Q | $OpTx$INV$22__$INT | 1 | 0 | MC_UIM +NODE | $OpTx$INV$24__$INT.UIM | 4231 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$24__$INT.Q | $OpTx$INV$24__$INT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<0> | 4008 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM +NODE | shiftcnt<0> | 4168 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shifting2 | 4032 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM +NODE | shifting2 | 4192 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | spidatain<5> | 3993 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<5>.Q | spidatain<5> | 1 | 0 | MC_UIM +NODE | spidatain<5> | 4149 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<5>.Q | spidatain<5> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | spidatain<5>.SI | spidatain<5> | 0 | 5 | 5 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidatain<4> | 3992 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<4>.Q | spidatain<4> | 1 | 0 | MC_UIM +NODE | spidatain<4> | 4148 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<4>.Q | spidatain<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$INV$22__$INT.UIM | 4060 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$22__$INT.Q | $OpTx$INV$22__$INT | 1 | 0 | MC_UIM +NODE | $OpTx$INV$24__$INT.UIM | 4231 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$24__$INT.Q | $OpTx$INV$24__$INT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<0> | 4008 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM +NODE | shiftcnt<0> | 4168 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shifting2 | 4032 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM +NODE | shifting2 | 4192 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | spidatain<5>.D1 | 4194 | ? | 0 | 4096 | spidatain<5> | NULL | NULL | spidatain<5>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | spidatain<5>.D1 | 4369 | ? | 0 | 4096 | spidatain<5> | NULL | NULL | spidatain<5>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | spidatain<5>.D2 | 4195 | ? | 0 | 4096 | spidatain<5> | NULL | NULL | spidatain<5>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | spidatain<5>.D2 | 4370 | ? | 0 | 4096 | spidatain<5> | NULL | NULL | spidatain<5>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | spidatain<4> OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF -SIGNAL | NODE | spidatain<5>.CLKF | 4196 | ? | 0 | 4096 | spidatain<5> | NULL | NULL | spidatain<5>.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 1 | IV_FALSE | $OpTx$INV$22__$INT.UIM +SIGNAL | NODE | spidatain<5>.CLKF | 4371 | ? | 0 | 4096 | spidatain<5> | NULL | NULL | spidatain<5>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_FALSE | $OpTx$INV$24__$INT.UIM OUTPUT_NODE_TYPE | 6 | 9 | MC_SI_RSTF -SIGNAL | NODE | spidatain<5>.RSTF | 4197 | ? | 0 | 4096 | spidatain<5> | NULL | NULL | spidatain<5>.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | spidatain<5>.RSTF | 4372 | ? | 0 | 4096 | spidatain<5> | NULL | NULL | spidatain<5>.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | spidatain<5>.CE | 4198 | ? | 0 | 4096 | spidatain<5> | NULL | NULL | spidatain<5>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | spidatain<5>.CE | 4373 | ? | 0 | 4096 | spidatain<5> | NULL | NULL | spidatain<5>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_TRUE | shiftcnt<0> | IV_TRUE | shifting2 SRFF_INSTANCE | spidatain<5>.REG | spidatain<5> | 0 | 4 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | spidatain<5>.D | 4193 | ? | 0 | 0 | spidatain<5> | NULL | NULL | spidatain<5>.XOR | 0 | 7 | ALU_F +NODE | spidatain<5>.D | 4368 | ? | 0 | 0 | spidatain<5> | NULL | NULL | spidatain<5>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -SIGNAL | NODE | spidatain<5>.CLKF | 4196 | ? | 0 | 4096 | spidatain<5> | NULL | NULL | spidatain<5>.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 1 | IV_FALSE | $OpTx$INV$22__$INT.UIM +SIGNAL | NODE | spidatain<5>.CLKF | 4371 | ? | 0 | 4096 | spidatain<5> | NULL | NULL | spidatain<5>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_FALSE | $OpTx$INV$24__$INT.UIM INPUT_NODE_TYPE | 3 | 8 | SRFF_R -SIGNAL | NODE | spidatain<5>.RSTF | 4197 | ? | 0 | 4096 | spidatain<5> | NULL | NULL | spidatain<5>.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | spidatain<5>.RSTF | 4372 | ? | 0 | 4096 | spidatain<5> | NULL | NULL | spidatain<5>.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | spidatain<5>.CE | 4198 | ? | 0 | 4096 | spidatain<5> | NULL | NULL | spidatain<5>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | spidatain<5>.CE | 4373 | ? | 0 | 4096 | spidatain<5> | NULL | NULL | spidatain<5>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_TRUE | shiftcnt<0> | IV_TRUE | shifting2 OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | spidatain<5>.Q | 4199 | ? | 0 | 0 | spidatain<5> | NULL | NULL | spidatain<5>.REG | 0 | 8 | SRFF_Q +NODE | spidatain<5>.Q | 4374 | ? | 0 | 0 | spidatain<5> | NULL | NULL | spidatain<5>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | spidatain<6> | spi6502b_COPY_0_COPY_0 | 2155873280 | 5 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidatain<5> | 3993 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<5>.Q | spidatain<5> | 1 | 0 | MC_UIM +NODE | spidatain<5> | 4149 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<5>.Q | spidatain<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$INV$22__$INT.UIM | 4060 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$22__$INT.Q | $OpTx$INV$22__$INT | 1 | 0 | MC_UIM +NODE | $OpTx$INV$24__$INT.UIM | 4231 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$24__$INT.Q | $OpTx$INV$24__$INT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<0> | 4008 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM +NODE | shiftcnt<0> | 4168 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shifting2 | 4032 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM +NODE | shifting2 | 4192 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | spidatain<6> | 3994 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<6>.Q | spidatain<6> | 1 | 0 | MC_UIM +NODE | spidatain<6> | 4150 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<6>.Q | spidatain<6> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | spidatain<6>.SI | spidatain<6> | 0 | 5 | 5 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidatain<5> | 3993 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<5>.Q | spidatain<5> | 1 | 0 | MC_UIM +NODE | spidatain<5> | 4149 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<5>.Q | spidatain<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$INV$22__$INT.UIM | 4060 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$22__$INT.Q | $OpTx$INV$22__$INT | 1 | 0 | MC_UIM +NODE | $OpTx$INV$24__$INT.UIM | 4231 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$24__$INT.Q | $OpTx$INV$24__$INT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<0> | 4008 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM +NODE | shiftcnt<0> | 4168 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shifting2 | 4032 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM +NODE | shifting2 | 4192 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | spidatain<6>.D1 | 4201 | ? | 0 | 4096 | spidatain<6> | NULL | NULL | spidatain<6>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | spidatain<6>.D1 | 4376 | ? | 0 | 4096 | spidatain<6> | NULL | NULL | spidatain<6>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | spidatain<6>.D2 | 4202 | ? | 0 | 4096 | spidatain<6> | NULL | NULL | spidatain<6>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | spidatain<6>.D2 | 4377 | ? | 0 | 4096 | spidatain<6> | NULL | NULL | spidatain<6>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | spidatain<5> OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF -SIGNAL | NODE | spidatain<6>.CLKF | 4203 | ? | 0 | 4096 | spidatain<6> | NULL | NULL | spidatain<6>.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 1 | IV_FALSE | $OpTx$INV$22__$INT.UIM +SIGNAL | NODE | spidatain<6>.CLKF | 4378 | ? | 0 | 4096 | spidatain<6> | NULL | NULL | spidatain<6>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_FALSE | $OpTx$INV$24__$INT.UIM OUTPUT_NODE_TYPE | 6 | 9 | MC_SI_RSTF -SIGNAL | NODE | spidatain<6>.RSTF | 4204 | ? | 0 | 4096 | spidatain<6> | NULL | NULL | spidatain<6>.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | spidatain<6>.RSTF | 4379 | ? | 0 | 4096 | spidatain<6> | NULL | NULL | spidatain<6>.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | spidatain<6>.CE | 4205 | ? | 0 | 4096 | spidatain<6> | NULL | NULL | spidatain<6>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | spidatain<6>.CE | 4380 | ? | 0 | 4096 | spidatain<6> | NULL | NULL | spidatain<6>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_TRUE | shiftcnt<0> | IV_TRUE | shifting2 SRFF_INSTANCE | spidatain<6>.REG | spidatain<6> | 0 | 4 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | spidatain<6>.D | 4200 | ? | 0 | 0 | spidatain<6> | NULL | NULL | spidatain<6>.XOR | 0 | 7 | ALU_F +NODE | spidatain<6>.D | 4375 | ? | 0 | 0 | spidatain<6> | NULL | NULL | spidatain<6>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -SIGNAL | NODE | spidatain<6>.CLKF | 4203 | ? | 0 | 4096 | spidatain<6> | NULL | NULL | spidatain<6>.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 1 | IV_FALSE | $OpTx$INV$22__$INT.UIM +SIGNAL | NODE | spidatain<6>.CLKF | 4378 | ? | 0 | 4096 | spidatain<6> | NULL | NULL | spidatain<6>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_FALSE | $OpTx$INV$24__$INT.UIM INPUT_NODE_TYPE | 3 | 8 | SRFF_R -SIGNAL | NODE | spidatain<6>.RSTF | 4204 | ? | 0 | 4096 | spidatain<6> | NULL | NULL | spidatain<6>.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | spidatain<6>.RSTF | 4379 | ? | 0 | 4096 | spidatain<6> | NULL | NULL | spidatain<6>.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | spidatain<6>.CE | 4205 | ? | 0 | 4096 | spidatain<6> | NULL | NULL | spidatain<6>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | spidatain<6>.CE | 4380 | ? | 0 | 4096 | spidatain<6> | NULL | NULL | spidatain<6>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_TRUE | shiftcnt<0> | IV_TRUE | shifting2 OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | spidatain<6>.Q | 4206 | ? | 0 | 0 | spidatain<6> | NULL | NULL | spidatain<6>.REG | 0 | 8 | SRFF_Q +NODE | spidatain<6>.Q | 4381 | ? | 0 | 0 | spidatain<6> | NULL | NULL | spidatain<6>.REG | 0 | 8 | SRFF_Q + +INPUT_INSTANCE | 0 | 0 | NULL | nio_stb_IBUF | spi6502b_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | nio_stb | 4238 | PI | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | nio_stb_IBUF | 4151 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | nio_stb_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | a9_IBUF | spi6502b_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | a9 | 4239 | PI | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | a9_IBUF | 4152 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | a9_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | a8_IBUF | spi6502b_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | a8 | 4240 | PI | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | a8_IBUF | 4153 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | a8_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | a10_IBUF | spi6502b_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | a10 | 4241 | PI | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | a10_IBUF | 4154 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | a10_IBUF | 0 | 5 | II_IMUX MACROCELL_INSTANCE | PrldLow+OptxMapped | spidatain<7> | spi6502b_COPY_0_COPY_0 | 2155873280 | 5 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidatain<6> | 3994 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<6>.Q | spidatain<6> | 1 | 0 | MC_UIM +NODE | spidatain<6> | 4150 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<6>.Q | spidatain<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$INV$22__$INT.UIM | 4060 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$22__$INT.Q | $OpTx$INV$22__$INT | 1 | 0 | MC_UIM +NODE | $OpTx$INV$24__$INT.UIM | 4231 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$24__$INT.Q | $OpTx$INV$24__$INT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<0> | 4008 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM +NODE | shiftcnt<0> | 4168 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shifting2 | 4032 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM +NODE | shifting2 | 4192 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | spidatain<7> | 3995 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<7>.Q | spidatain<7> | 1 | 0 | MC_UIM +NODE | spidatain<7> | 4155 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<7>.Q | spidatain<7> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | spidatain<7>.SI | spidatain<7> | 0 | 5 | 5 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidatain<6> | 3994 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<6>.Q | spidatain<6> | 1 | 0 | MC_UIM +NODE | spidatain<6> | 4150 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<6>.Q | spidatain<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$INV$22__$INT.UIM | 4060 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$22__$INT.Q | $OpTx$INV$22__$INT | 1 | 0 | MC_UIM +NODE | $OpTx$INV$24__$INT.UIM | 4231 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$24__$INT.Q | $OpTx$INV$24__$INT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<0> | 4008 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM +NODE | shiftcnt<0> | 4168 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shifting2 | 4032 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM +NODE | shifting2 | 4192 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | spidatain<7>.D1 | 4208 | ? | 0 | 4096 | spidatain<7> | NULL | NULL | spidatain<7>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | spidatain<7>.D1 | 4383 | ? | 0 | 4096 | spidatain<7> | NULL | NULL | spidatain<7>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | spidatain<7>.D2 | 4209 | ? | 0 | 4096 | spidatain<7> | NULL | NULL | spidatain<7>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | spidatain<7>.D2 | 4384 | ? | 0 | 4096 | spidatain<7> | NULL | NULL | spidatain<7>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | spidatain<6> OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF -SIGNAL | NODE | spidatain<7>.CLKF | 4210 | ? | 0 | 4096 | spidatain<7> | NULL | NULL | spidatain<7>.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 1 | IV_FALSE | $OpTx$INV$22__$INT.UIM +SIGNAL | NODE | spidatain<7>.CLKF | 4385 | ? | 0 | 4096 | spidatain<7> | NULL | NULL | spidatain<7>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_FALSE | $OpTx$INV$24__$INT.UIM OUTPUT_NODE_TYPE | 6 | 9 | MC_SI_RSTF -SIGNAL | NODE | spidatain<7>.RSTF | 4211 | ? | 0 | 4096 | spidatain<7> | NULL | NULL | spidatain<7>.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | spidatain<7>.RSTF | 4386 | ? | 0 | 4096 | spidatain<7> | NULL | NULL | spidatain<7>.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | spidatain<7>.CE | 4212 | ? | 0 | 4096 | spidatain<7> | NULL | NULL | spidatain<7>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | spidatain<7>.CE | 4387 | ? | 0 | 4096 | spidatain<7> | NULL | NULL | spidatain<7>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_TRUE | shiftcnt<0> | IV_TRUE | shifting2 SRFF_INSTANCE | spidatain<7>.REG | spidatain<7> | 0 | 4 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | spidatain<7>.D | 4207 | ? | 0 | 0 | spidatain<7> | NULL | NULL | spidatain<7>.XOR | 0 | 7 | ALU_F +NODE | spidatain<7>.D | 4382 | ? | 0 | 0 | spidatain<7> | NULL | NULL | spidatain<7>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -SIGNAL | NODE | spidatain<7>.CLKF | 4210 | ? | 0 | 4096 | spidatain<7> | NULL | NULL | spidatain<7>.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 1 | IV_FALSE | $OpTx$INV$22__$INT.UIM +SIGNAL | NODE | spidatain<7>.CLKF | 4385 | ? | 0 | 4096 | spidatain<7> | NULL | NULL | spidatain<7>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_FALSE | $OpTx$INV$24__$INT.UIM INPUT_NODE_TYPE | 3 | 8 | SRFF_R -SIGNAL | NODE | spidatain<7>.RSTF | 4211 | ? | 0 | 4096 | spidatain<7> | NULL | NULL | spidatain<7>.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | spidatain<7>.RSTF | 4386 | ? | 0 | 4096 | spidatain<7> | NULL | NULL | spidatain<7>.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | spidatain<7>.CE | 4212 | ? | 0 | 4096 | spidatain<7> | NULL | NULL | spidatain<7>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | spidatain<7>.CE | 4387 | ? | 0 | 4096 | spidatain<7> | NULL | NULL | spidatain<7>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_TRUE | shiftcnt<0> | IV_TRUE | shifting2 OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | spidatain<7>.Q | 4213 | ? | 0 | 0 | spidatain<7> | NULL | NULL | spidatain<7>.REG | 0 | 8 | SRFF_Q +NODE | spidatain<7>.Q | 4388 | ? | 0 | 0 | spidatain<7> | NULL | NULL | spidatain<7>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | int_sclk | spi6502b_COPY_0_COPY_0 | 2155873280 | 8 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpol | 3977 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | cpol.Q | cpol | 1 | 0 | MC_UIM +NODE | cpol | 4133 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | cpol.Q | cpol | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpha | 3979 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | cpha.Q | cpha | 1 | 0 | MC_UIM +NODE | cpha | 4135 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | cpha.Q | cpha | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<0> | 4008 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM +NODE | shiftcnt<0> | 4168 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftdone | 4010 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftdone.Q | shiftdone | 1 | 0 | MC_UIM +NODE | shiftdone | 4170 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftdone.Q | shiftdone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shifting2 | 4032 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM +NODE | shifting2 | 4192 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$INV$22__$INT.UIM | 4060 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$22__$INT.Q | $OpTx$INV$22__$INT | 1 | 0 | MC_UIM +NODE | $OpTx$INV$24__$INT.UIM | 4231 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$24__$INT.Q | $OpTx$INV$24__$INT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP7_.EXP | 4392 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | EXP7_.EXP | EXP7_ | 4 | 0 | MC_EXPORT +NODE | shiftcnt<1>.EXP | 4591 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<1>.EXP | shiftcnt<1> | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | int_sclk | 3996 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_sclk.Q | int_sclk | 0 | 0 | MC_Q +NODE | int_sclk | 4156 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_sclk.Q | int_sclk | 0 | 0 | MC_Q SIGNAL_INSTANCE | int_sclk.SI | int_sclk | 0 | 8 | 5 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpol | 3977 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | cpol.Q | cpol | 1 | 0 | MC_UIM +NODE | cpol | 4133 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | cpol.Q | cpol | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpha | 3979 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | cpha.Q | cpha | 1 | 0 | MC_UIM +NODE | cpha | 4135 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | cpha.Q | cpha | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<0> | 4008 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM +NODE | shiftcnt<0> | 4168 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftdone | 4010 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftdone.Q | shiftdone | 1 | 0 | MC_UIM +NODE | shiftdone | 4170 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftdone.Q | shiftdone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shifting2 | 4032 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM +NODE | shifting2 | 4192 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$INV$22__$INT.UIM | 4060 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$22__$INT.Q | $OpTx$INV$22__$INT | 1 | 0 | MC_UIM +NODE | $OpTx$INV$24__$INT.UIM | 4231 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$24__$INT.Q | $OpTx$INV$24__$INT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP7_.EXP | 4392 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | EXP7_.EXP | EXP7_ | 4 | 0 | MC_EXPORT +NODE | shiftcnt<1>.EXP | 4591 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<1>.EXP | shiftcnt<1> | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | int_sclk.D1 | 4215 | ? | 0 | 4096 | int_sclk | NULL | NULL | int_sclk.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | int_sclk.D1 | 4390 | ? | 0 | 4096 | int_sclk | NULL | NULL | int_sclk.SI | 1 | 9 | MC_SI_D1 SPPTERM | 1 | IV_TRUE | cpol OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | int_sclk.D2 | 4216 | ? | 0 | 4096 | int_sclk | NULL | NULL | int_sclk.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP7_.EXP +SIGNAL | NODE | int_sclk.D2 | 4391 | ? | 0 | 4096 | int_sclk | NULL | NULL | int_sclk.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | shiftcnt<1>.EXP SPPTERM | 5 | IV_TRUE | cpu_Nres_IBUF | IV_FALSE | cpha | IV_TRUE | shiftcnt<0> | IV_FALSE | shiftdone | IV_TRUE | shifting2 OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF -SIGNAL | NODE | int_sclk.CLKF | 4217 | ? | 0 | 4096 | int_sclk | NULL | NULL | int_sclk.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 1 | IV_FALSE | $OpTx$INV$22__$INT.UIM +SIGNAL | NODE | int_sclk.CLKF | 4392 | ? | 0 | 4096 | int_sclk | NULL | NULL | int_sclk.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_FALSE | $OpTx$INV$24__$INT.UIM OUTPUT_NODE_TYPE | 5 | 9 | MC_SI_SETF -SIGNAL | NODE | int_sclk.SETF | 4218 | ? | 0 | 4096 | int_sclk | NULL | NULL | int_sclk.SI | 5 | 9 | MC_SI_SETF +SIGNAL | NODE | int_sclk.SETF | 4393 | ? | 0 | 4096 | int_sclk | NULL | NULL | int_sclk.SI | 5 | 9 | MC_SI_SETF SPPTERM | 2 | IV_FALSE | cpu_Nres_IBUF | IV_TRUE | cpol OUTPUT_NODE_TYPE | 6 | 9 | MC_SI_RSTF -SIGNAL | NODE | int_sclk.RSTF | 4219 | ? | 0 | 4096 | int_sclk | NULL | NULL | int_sclk.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | int_sclk.RSTF | 4394 | ? | 0 | 4096 | int_sclk | NULL | NULL | int_sclk.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 2 | IV_FALSE | cpu_Nres_IBUF | IV_FALSE | cpol SRFF_INSTANCE | int_sclk.REG | int_sclk | 0 | 4 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | int_sclk.D | 4214 | ? | 0 | 0 | int_sclk | NULL | NULL | int_sclk.XOR | 0 | 7 | ALU_F +NODE | int_sclk.D | 4389 | ? | 0 | 0 | int_sclk | NULL | NULL | int_sclk.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -SIGNAL | NODE | int_sclk.CLKF | 4217 | ? | 0 | 4096 | int_sclk | NULL | NULL | int_sclk.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 1 | IV_FALSE | $OpTx$INV$22__$INT.UIM +SIGNAL | NODE | int_sclk.CLKF | 4392 | ? | 0 | 4096 | int_sclk | NULL | NULL | int_sclk.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_FALSE | $OpTx$INV$24__$INT.UIM INPUT_NODE_TYPE | 2 | 8 | SRFF_S -SIGNAL | NODE | int_sclk.SETF | 4218 | ? | 0 | 4096 | int_sclk | NULL | NULL | int_sclk.SI | 5 | 9 | MC_SI_SETF +SIGNAL | NODE | int_sclk.SETF | 4393 | ? | 0 | 4096 | int_sclk | NULL | NULL | int_sclk.SI | 5 | 9 | MC_SI_SETF SPPTERM | 2 | IV_FALSE | cpu_Nres_IBUF | IV_TRUE | cpol INPUT_NODE_TYPE | 3 | 8 | SRFF_R -SIGNAL | NODE | int_sclk.RSTF | 4219 | ? | 0 | 4096 | int_sclk | NULL | NULL | int_sclk.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | int_sclk.RSTF | 4394 | ? | 0 | 4096 | int_sclk | NULL | NULL | int_sclk.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 2 | IV_FALSE | cpu_Nres_IBUF | IV_FALSE | cpol OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | int_sclk.Q | 4220 | ? | 0 | 0 | int_sclk | NULL | NULL | int_sclk.REG | 0 | 8 | SRFF_Q +NODE | int_sclk.Q | 4395 | ? | 0 | 0 | int_sclk | NULL | NULL | int_sclk.REG | 0 | 8 | SRFF_Q INPUT_INSTANCE | 0 | 0 | NULL | cpu_Nphi2_IBUF | spi6502b_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | cpu_Nphi2 | 4071 | PI | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | cpu_Nphi2 | 4247 | PI | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | cpu_Nphi2_IBUF | 4042 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nphi2_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nphi2_IBUF | 4205 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nphi2_IBUF | 0 | 5 | II_IMUX -MACROCELL_INSTANCE | PinTrst+OptxMapped | int_dout<3> | spi6502b_COPY_0_COPY_0 | 2155888640 | 8 | 2 +MACROCELL_INSTANCE | PinTrst+OptxMapped | int_dout<3> | spi6502b_COPY_0_COPY_0 | 2155888640 | 7 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_rnw_IBUF | 3974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidatain<3> | 3991 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<3>.Q | spidatain<3> | 1 | 0 | MC_UIM +NODE | cpu_rnw_IBUF | 4130 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +NODE | spidatain<3> | 4147 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<3>.Q | spidatain<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nphi2_IBUF | 4205 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nphi2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nphi2_IBUF | 4042 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nphi2_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | tmo | 3983 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | tmo.Q | tmo | 1 | 0 | MC_UIM +NODE | tmo | 4139 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | tmo.Q | tmo | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | int_dout<3> | 3998 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<3>.Q | int_dout<3> | 0 | 0 | MC_Q +NODE | int_dout<3> | 4158 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<3>.Q | int_dout<3> | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 2 | 0 | MC_OE -NODE | int_dout<3>$OE | 3999 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<3>.BUFOE.OUT | int_dout<3> | 2 | 0 | MC_OE +NODE | int_dout<3>$OE | 4159 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<3>.BUFOE.OUT | int_dout<3> | 2 | 0 | MC_OE -SIGNAL_INSTANCE | int_dout<3>.SI | int_dout<3> | 0 | 8 | 3 +SIGNAL_INSTANCE | int_dout<3>.SI | int_dout<3> | 0 | 7 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_rnw_IBUF | 3974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidatain<3> | 3991 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<3>.Q | spidatain<3> | 1 | 0 | MC_UIM +NODE | cpu_rnw_IBUF | 4130 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +NODE | spidatain<3> | 4147 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<3>.Q | spidatain<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nphi2_IBUF | 4205 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nphi2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nphi2_IBUF | 4042 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nphi2_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | tmo | 3983 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | tmo.Q | tmo | 1 | 0 | MC_UIM +NODE | tmo | 4139 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | tmo.Q | tmo | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | int_dout<3>.D1 | 4222 | ? | 0 | 4096 | int_dout<3> | NULL | NULL | int_dout<3>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | int_dout<3>.D1 | 4397 | ? | 0 | 4096 | int_dout<3> | NULL | NULL | int_dout<3>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | int_dout<3>.D2 | 4223 | ? | 0 | 4096 | int_dout<3> | NULL | NULL | int_dout<3>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 7 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | tmo | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_TRUE | cpu_Nphi2_IBUF -SPPTERM | 7 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | spidatain<3> | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_FALSE | cpu_a_0_IBUF | IV_TRUE | cpu_Nphi2_IBUF +SIGNAL | NODE | int_dout<3>.D2 | 4398 | ? | 0 | 4096 | int_dout<3> | NULL | NULL | int_dout<3>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 6 | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | tmo | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_TRUE | cpu_Nphi2_IBUF +SPPTERM | 6 | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | spidatain<3> | IV_FALSE | cpu_a_1_IBUF | IV_FALSE | cpu_a_0_IBUF | IV_TRUE | cpu_Nphi2_IBUF OUTPUT_NODE_TYPE | 4 | 9 | MC_SI_TRST -SIGNAL | NODE | int_dout<3>.TRST | 4225 | ? | 0 | 4096 | int_dout<3> | NULL | NULL | int_dout<3>.SI | 4 | 9 | MC_SI_TRST -SPPTERM | 4 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_Nphi2_IBUF +SIGNAL | NODE | int_dout<3>.TRST | 4400 | ? | 0 | 4096 | int_dout<3> | NULL | NULL | int_dout<3>.SI | 4 | 9 | MC_SI_TRST +SPPTERM | 3 | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | cpu_Nphi2_IBUF SRFF_INSTANCE | int_dout<3>.REG | int_dout<3> | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | int_dout<3>.D | 4221 | ? | 0 | 0 | int_dout<3> | NULL | NULL | int_dout<3>.XOR | 0 | 7 | ALU_F +NODE | int_dout<3>.D | 4396 | ? | 0 | 0 | int_dout<3> | NULL | NULL | int_dout<3>.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | int_dout<3>.Q | 4226 | ? | 0 | 0 | int_dout<3> | NULL | NULL | int_dout<3>.REG | 0 | 8 | SRFF_Q +NODE | int_dout<3>.Q | 4401 | ? | 0 | 0 | int_dout<3> | NULL | NULL | int_dout<3>.REG | 0 | 8 | SRFF_Q BUF_INSTANCE | int_dout<3>.BUFOE | int_dout<3> | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 10 | CTOR_UNKNOWN -SIGNAL | NODE | int_dout<3>.TRST | 4225 | ? | 0 | 4096 | int_dout<3> | NULL | NULL | int_dout<3>.SI | 4 | 9 | MC_SI_TRST -SPPTERM | 4 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_Nphi2_IBUF +SIGNAL | NODE | int_dout<3>.TRST | 4400 | ? | 0 | 4096 | int_dout<3> | NULL | NULL | int_dout<3>.SI | 4 | 9 | MC_SI_TRST +SPPTERM | 3 | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | cpu_Nphi2_IBUF OUTPUT_NODE_TYPE | 0 | 10 | BUF_OUT -NODE | int_dout<3>.BUFOE.OUT | 4224 | ? | 0 | 0 | int_dout<3> | NULL | NULL | int_dout<3>.BUFOE | 0 | 10 | BUF_OUT +NODE | int_dout<3>.BUFOE.OUT | 4399 | ? | 0 | 0 | int_dout<3> | NULL | NULL | int_dout<3>.BUFOE | 0 | 10 | BUF_OUT -MACROCELL_INSTANCE | PinTrst+OptxMapped | int_dout<5> | spi6502b_COPY_0_COPY_0 | 2155888640 | 9 | 2 +MACROCELL_INSTANCE | PinTrst+OptxMapped | int_dout<5> | spi6502b_COPY_0_COPY_0 | 2155888640 | 8 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_rnw_IBUF | 3974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +NODE | cpu_rnw_IBUF | 4130 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | start_shifting | 4011 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | start_shifting.Q | start_shifting | 1 | 0 | MC_UIM +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +NODE | start_shifting | 4171 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | start_shifting.Q | start_shifting | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nphi2_IBUF | 4205 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nphi2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nphi2_IBUF | 4042 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nphi2_IBUF | 0 | 5 | II_IMUX +NODE | shifting2 | 4192 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shifting2 | 4032 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidatain<5> | 3993 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<5>.Q | spidatain<5> | 1 | 0 | MC_UIM +NODE | spidatain<5> | 4149 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<5>.Q | spidatain<5> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | int_dout<5> | 4000 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<5>.Q | int_dout<5> | 0 | 0 | MC_Q +NODE | int_dout<5> | 4160 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<5>.Q | int_dout<5> | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 2 | 0 | MC_OE -NODE | int_dout<5>$OE | 4001 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<5>.BUFOE.OUT | int_dout<5> | 2 | 0 | MC_OE +NODE | int_dout<5>$OE | 4161 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<5>.BUFOE.OUT | int_dout<5> | 2 | 0 | MC_OE -SIGNAL_INSTANCE | int_dout<5>.SI | int_dout<5> | 0 | 9 | 3 +SIGNAL_INSTANCE | int_dout<5>.SI | int_dout<5> | 0 | 8 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_rnw_IBUF | 3974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +NODE | cpu_rnw_IBUF | 4130 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | start_shifting | 4011 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | start_shifting.Q | start_shifting | 1 | 0 | MC_UIM +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +NODE | start_shifting | 4171 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | start_shifting.Q | start_shifting | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nphi2_IBUF | 4205 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nphi2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nphi2_IBUF | 4042 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nphi2_IBUF | 0 | 5 | II_IMUX +NODE | shifting2 | 4192 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shifting2 | 4032 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidatain<5> | 3993 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<5>.Q | spidatain<5> | 1 | 0 | MC_UIM +NODE | spidatain<5> | 4149 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<5>.Q | spidatain<5> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | int_dout<5>.D1 | 4228 | ? | 0 | 4096 | int_dout<5> | NULL | NULL | int_dout<5>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | int_dout<5>.D1 | 4403 | ? | 0 | 4096 | int_dout<5> | NULL | NULL | int_dout<5>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | int_dout<5>.D2 | 4229 | ? | 0 | 4096 | int_dout<5> | NULL | NULL | int_dout<5>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 7 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | spidatain<5> | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_FALSE | cpu_a_0_IBUF | IV_TRUE | cpu_Nphi2_IBUF -SPPTERM | 7 | IV_TRUE | cpu_rnw_IBUF | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | start_shifting | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_TRUE | cpu_Nphi2_IBUF -SPPTERM | 7 | IV_TRUE | cpu_rnw_IBUF | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_TRUE | shifting2 | IV_TRUE | cpu_Nphi2_IBUF +SIGNAL | NODE | int_dout<5>.D2 | 4404 | ? | 0 | 4096 | int_dout<5> | NULL | NULL | int_dout<5>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 6 | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | spidatain<5> | IV_FALSE | cpu_a_1_IBUF | IV_FALSE | cpu_a_0_IBUF | IV_TRUE | cpu_Nphi2_IBUF +SPPTERM | 6 | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_rnw_IBUF | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | start_shifting | IV_TRUE | cpu_a_0_IBUF | IV_TRUE | cpu_Nphi2_IBUF +SPPTERM | 6 | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_rnw_IBUF | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_TRUE | shifting2 | IV_TRUE | cpu_Nphi2_IBUF OUTPUT_NODE_TYPE | 4 | 9 | MC_SI_TRST -SIGNAL | NODE | int_dout<5>.TRST | 4231 | ? | 0 | 4096 | int_dout<5> | NULL | NULL | int_dout<5>.SI | 4 | 9 | MC_SI_TRST -SPPTERM | 4 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_Nphi2_IBUF +SIGNAL | NODE | int_dout<5>.TRST | 4406 | ? | 0 | 4096 | int_dout<5> | NULL | NULL | int_dout<5>.SI | 4 | 9 | MC_SI_TRST +SPPTERM | 3 | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | cpu_Nphi2_IBUF SRFF_INSTANCE | int_dout<5>.REG | int_dout<5> | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | int_dout<5>.D | 4227 | ? | 0 | 0 | int_dout<5> | NULL | NULL | int_dout<5>.XOR | 0 | 7 | ALU_F +NODE | int_dout<5>.D | 4402 | ? | 0 | 0 | int_dout<5> | NULL | NULL | int_dout<5>.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | int_dout<5>.Q | 4232 | ? | 0 | 0 | int_dout<5> | NULL | NULL | int_dout<5>.REG | 0 | 8 | SRFF_Q +NODE | int_dout<5>.Q | 4407 | ? | 0 | 0 | int_dout<5> | NULL | NULL | int_dout<5>.REG | 0 | 8 | SRFF_Q BUF_INSTANCE | int_dout<5>.BUFOE | int_dout<5> | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 10 | CTOR_UNKNOWN -SIGNAL | NODE | int_dout<5>.TRST | 4231 | ? | 0 | 4096 | int_dout<5> | NULL | NULL | int_dout<5>.SI | 4 | 9 | MC_SI_TRST -SPPTERM | 4 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_Nphi2_IBUF +SIGNAL | NODE | int_dout<5>.TRST | 4406 | ? | 0 | 4096 | int_dout<5> | NULL | NULL | int_dout<5>.SI | 4 | 9 | MC_SI_TRST +SPPTERM | 3 | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | cpu_Nphi2_IBUF OUTPUT_NODE_TYPE | 0 | 10 | BUF_OUT -NODE | int_dout<5>.BUFOE.OUT | 4230 | ? | 0 | 0 | int_dout<5> | NULL | NULL | int_dout<5>.BUFOE | 0 | 10 | BUF_OUT +NODE | int_dout<5>.BUFOE.OUT | 4405 | ? | 0 | 0 | int_dout<5> | NULL | NULL | int_dout<5>.BUFOE | 0 | 10 | BUF_OUT -MACROCELL_INSTANCE | PinTrst+OptxMapped | int_dout<6> | spi6502b_COPY_0_COPY_0 | 2155888640 | 8 | 2 +MACROCELL_INSTANCE | PinTrst+OptxMapped | int_dout<6> | spi6502b_COPY_0_COPY_0 | 2155888640 | 7 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_rnw_IBUF | 3974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidatain<6> | 3994 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<6>.Q | spidatain<6> | 1 | 0 | MC_UIM +NODE | cpu_rnw_IBUF | 4130 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +NODE | spidatain<6> | 4150 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<6>.Q | spidatain<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nphi2_IBUF | 4205 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nphi2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nphi2_IBUF | 4042 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nphi2_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ier | 3981 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | ier.Q | ier | 1 | 0 | MC_UIM +NODE | ier | 4137 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | ier.Q | ier | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | int_dout<6> | 4002 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<6>.Q | int_dout<6> | 0 | 0 | MC_Q +NODE | int_dout<6> | 4162 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<6>.Q | int_dout<6> | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 2 | 0 | MC_OE -NODE | int_dout<6>$OE | 4003 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<6>.BUFOE.OUT | int_dout<6> | 2 | 0 | MC_OE +NODE | int_dout<6>$OE | 4163 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<6>.BUFOE.OUT | int_dout<6> | 2 | 0 | MC_OE -SIGNAL_INSTANCE | int_dout<6>.SI | int_dout<6> | 0 | 8 | 3 +SIGNAL_INSTANCE | int_dout<6>.SI | int_dout<6> | 0 | 7 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_rnw_IBUF | 3974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidatain<6> | 3994 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<6>.Q | spidatain<6> | 1 | 0 | MC_UIM +NODE | cpu_rnw_IBUF | 4130 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +NODE | spidatain<6> | 4150 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<6>.Q | spidatain<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nphi2_IBUF | 4205 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nphi2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nphi2_IBUF | 4042 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nphi2_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ier | 3981 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | ier.Q | ier | 1 | 0 | MC_UIM +NODE | ier | 4137 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | ier.Q | ier | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | int_dout<6>.D1 | 4234 | ? | 0 | 4096 | int_dout<6> | NULL | NULL | int_dout<6>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | int_dout<6>.D1 | 4409 | ? | 0 | 4096 | int_dout<6> | NULL | NULL | int_dout<6>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | int_dout<6>.D2 | 4235 | ? | 0 | 4096 | int_dout<6> | NULL | NULL | int_dout<6>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 7 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | ier | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_TRUE | cpu_Nphi2_IBUF -SPPTERM | 7 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | spidatain<6> | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_FALSE | cpu_a_0_IBUF | IV_TRUE | cpu_Nphi2_IBUF +SIGNAL | NODE | int_dout<6>.D2 | 4410 | ? | 0 | 4096 | int_dout<6> | NULL | NULL | int_dout<6>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 6 | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | ier | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_TRUE | cpu_Nphi2_IBUF +SPPTERM | 6 | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | spidatain<6> | IV_FALSE | cpu_a_1_IBUF | IV_FALSE | cpu_a_0_IBUF | IV_TRUE | cpu_Nphi2_IBUF OUTPUT_NODE_TYPE | 4 | 9 | MC_SI_TRST -SIGNAL | NODE | int_dout<6>.TRST | 4237 | ? | 0 | 4096 | int_dout<6> | NULL | NULL | int_dout<6>.SI | 4 | 9 | MC_SI_TRST -SPPTERM | 4 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_Nphi2_IBUF +SIGNAL | NODE | int_dout<6>.TRST | 4412 | ? | 0 | 4096 | int_dout<6> | NULL | NULL | int_dout<6>.SI | 4 | 9 | MC_SI_TRST +SPPTERM | 3 | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | cpu_Nphi2_IBUF SRFF_INSTANCE | int_dout<6>.REG | int_dout<6> | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | int_dout<6>.D | 4233 | ? | 0 | 0 | int_dout<6> | NULL | NULL | int_dout<6>.XOR | 0 | 7 | ALU_F +NODE | int_dout<6>.D | 4408 | ? | 0 | 0 | int_dout<6> | NULL | NULL | int_dout<6>.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | int_dout<6>.Q | 4238 | ? | 0 | 0 | int_dout<6> | NULL | NULL | int_dout<6>.REG | 0 | 8 | SRFF_Q +NODE | int_dout<6>.Q | 4413 | ? | 0 | 0 | int_dout<6> | NULL | NULL | int_dout<6>.REG | 0 | 8 | SRFF_Q BUF_INSTANCE | int_dout<6>.BUFOE | int_dout<6> | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 10 | CTOR_UNKNOWN -SIGNAL | NODE | int_dout<6>.TRST | 4237 | ? | 0 | 4096 | int_dout<6> | NULL | NULL | int_dout<6>.SI | 4 | 9 | MC_SI_TRST -SPPTERM | 4 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_Nphi2_IBUF +SIGNAL | NODE | int_dout<6>.TRST | 4412 | ? | 0 | 4096 | int_dout<6> | NULL | NULL | int_dout<6>.SI | 4 | 9 | MC_SI_TRST +SPPTERM | 3 | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | cpu_Nphi2_IBUF OUTPUT_NODE_TYPE | 0 | 10 | BUF_OUT -NODE | int_dout<6>.BUFOE.OUT | 4236 | ? | 0 | 0 | int_dout<6> | NULL | NULL | int_dout<6>.BUFOE | 0 | 10 | BUF_OUT +NODE | int_dout<6>.BUFOE.OUT | 4411 | ? | 0 | 0 | int_dout<6> | NULL | NULL | int_dout<6>.BUFOE | 0 | 10 | BUF_OUT -MACROCELL_INSTANCE | PinTrst+OptxMapped | int_dout<7> | spi6502b_COPY_0_COPY_0 | 2155888640 | 8 | 2 +MACROCELL_INSTANCE | PinTrst+OptxMapped | int_dout<7> | spi6502b_COPY_0_COPY_0 | 2155888640 | 7 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_rnw_IBUF | 3974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidatain<7> | 3995 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<7>.Q | spidatain<7> | 1 | 0 | MC_UIM +NODE | cpu_rnw_IBUF | 4130 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +NODE | spidatain<7> | 4155 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<7>.Q | spidatain<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nphi2_IBUF | 4205 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nphi2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nphi2_IBUF | 4042 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nphi2_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | tc | 4012 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | tc.Q | tc | 1 | 0 | MC_UIM +NODE | tc | 4172 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | tc.Q | tc | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | int_dout<7> | 4004 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<7>.Q | int_dout<7> | 0 | 0 | MC_Q +NODE | int_dout<7> | 4164 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<7>.Q | int_dout<7> | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 2 | 0 | MC_OE -NODE | int_dout<7>$OE | 4005 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<7>.BUFOE.OUT | int_dout<7> | 2 | 0 | MC_OE +NODE | int_dout<7>$OE | 4165 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<7>.BUFOE.OUT | int_dout<7> | 2 | 0 | MC_OE -SIGNAL_INSTANCE | int_dout<7>.SI | int_dout<7> | 0 | 8 | 3 +SIGNAL_INSTANCE | int_dout<7>.SI | int_dout<7> | 0 | 7 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_rnw_IBUF | 3974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidatain<7> | 3995 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<7>.Q | spidatain<7> | 1 | 0 | MC_UIM +NODE | cpu_rnw_IBUF | 4130 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +NODE | spidatain<7> | 4155 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<7>.Q | spidatain<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nphi2_IBUF | 4205 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nphi2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nphi2_IBUF | 4042 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nphi2_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | tc | 4012 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | tc.Q | tc | 1 | 0 | MC_UIM +NODE | tc | 4172 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | tc.Q | tc | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | int_dout<7>.D1 | 4240 | ? | 0 | 4096 | int_dout<7> | NULL | NULL | int_dout<7>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | int_dout<7>.D1 | 4415 | ? | 0 | 4096 | int_dout<7> | NULL | NULL | int_dout<7>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | int_dout<7>.D2 | 4241 | ? | 0 | 4096 | int_dout<7> | NULL | NULL | int_dout<7>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 7 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | spidatain<7> | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_FALSE | cpu_a_0_IBUF | IV_TRUE | cpu_Nphi2_IBUF -SPPTERM | 7 | IV_TRUE | cpu_rnw_IBUF | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | tc | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_TRUE | cpu_Nphi2_IBUF +SIGNAL | NODE | int_dout<7>.D2 | 4416 | ? | 0 | 4096 | int_dout<7> | NULL | NULL | int_dout<7>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 6 | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | spidatain<7> | IV_FALSE | cpu_a_1_IBUF | IV_FALSE | cpu_a_0_IBUF | IV_TRUE | cpu_Nphi2_IBUF +SPPTERM | 6 | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_rnw_IBUF | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | tc | IV_TRUE | cpu_a_0_IBUF | IV_TRUE | cpu_Nphi2_IBUF OUTPUT_NODE_TYPE | 4 | 9 | MC_SI_TRST -SIGNAL | NODE | int_dout<7>.TRST | 4243 | ? | 0 | 4096 | int_dout<7> | NULL | NULL | int_dout<7>.SI | 4 | 9 | MC_SI_TRST -SPPTERM | 4 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_Nphi2_IBUF +SIGNAL | NODE | int_dout<7>.TRST | 4418 | ? | 0 | 4096 | int_dout<7> | NULL | NULL | int_dout<7>.SI | 4 | 9 | MC_SI_TRST +SPPTERM | 3 | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | cpu_Nphi2_IBUF SRFF_INSTANCE | int_dout<7>.REG | int_dout<7> | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | int_dout<7>.D | 4239 | ? | 0 | 0 | int_dout<7> | NULL | NULL | int_dout<7>.XOR | 0 | 7 | ALU_F +NODE | int_dout<7>.D | 4414 | ? | 0 | 0 | int_dout<7> | NULL | NULL | int_dout<7>.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | int_dout<7>.Q | 4244 | ? | 0 | 0 | int_dout<7> | NULL | NULL | int_dout<7>.REG | 0 | 8 | SRFF_Q +NODE | int_dout<7>.Q | 4419 | ? | 0 | 0 | int_dout<7> | NULL | NULL | int_dout<7>.REG | 0 | 8 | SRFF_Q BUF_INSTANCE | int_dout<7>.BUFOE | int_dout<7> | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 10 | CTOR_UNKNOWN -SIGNAL | NODE | int_dout<7>.TRST | 4243 | ? | 0 | 4096 | int_dout<7> | NULL | NULL | int_dout<7>.SI | 4 | 9 | MC_SI_TRST -SPPTERM | 4 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_Nphi2_IBUF +SIGNAL | NODE | int_dout<7>.TRST | 4418 | ? | 0 | 4096 | int_dout<7> | NULL | NULL | int_dout<7>.SI | 4 | 9 | MC_SI_TRST +SPPTERM | 3 | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | cpu_Nphi2_IBUF OUTPUT_NODE_TYPE | 0 | 10 | BUF_OUT -NODE | int_dout<7>.BUFOE.OUT | 4242 | ? | 0 | 0 | int_dout<7> | NULL | NULL | int_dout<7>.BUFOE | 0 | 10 | BUF_OUT +NODE | int_dout<7>.BUFOE.OUT | 4417 | ? | 0 | 0 | int_dout<7> | NULL | NULL | int_dout<7>.BUFOE | 0 | 10 | BUF_OUT MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | shiftcnt<3> | spi6502b_COPY_0_COPY_0 | 2155877376 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<2> | 4007 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<2>.Q | shiftcnt<2> | 1 | 0 | MC_UIM +NODE | shiftcnt<2> | 4167 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<2>.Q | shiftcnt<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<0> | 4008 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM +NODE | shiftcnt<0> | 4168 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<1> | 4009 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<1>.Q | shiftcnt<1> | 1 | 0 | MC_UIM +NODE | shiftcnt<1> | 4169 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<1>.Q | shiftcnt<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shifting2 | 4032 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM +NODE | shifting2 | 4192 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<3> | 4006 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<3>.Q | shiftcnt<3> | 1 | 0 | MC_UIM +NODE | shiftcnt<3> | 4166 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<3>.Q | shiftcnt<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$INV$22__$INT.UIM | 4060 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$22__$INT.Q | $OpTx$INV$22__$INT | 1 | 0 | MC_UIM +NODE | $OpTx$INV$24__$INT.UIM | 4231 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$24__$INT.Q | $OpTx$INV$24__$INT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | shiftcnt<3> | 4006 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<3>.Q | shiftcnt<3> | 1 | 0 | MC_UIM +NODE | shiftcnt<3> | 4166 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<3>.Q | shiftcnt<3> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | shiftcnt<3>.SI | shiftcnt<3> | 0 | 7 | 4 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<2> | 4007 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<2>.Q | shiftcnt<2> | 1 | 0 | MC_UIM +NODE | shiftcnt<2> | 4167 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<2>.Q | shiftcnt<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<0> | 4008 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM +NODE | shiftcnt<0> | 4168 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<1> | 4009 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<1>.Q | shiftcnt<1> | 1 | 0 | MC_UIM +NODE | shiftcnt<1> | 4169 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<1>.Q | shiftcnt<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shifting2 | 4032 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM +NODE | shifting2 | 4192 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<3> | 4006 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<3>.Q | shiftcnt<3> | 1 | 0 | MC_UIM +NODE | shiftcnt<3> | 4166 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<3>.Q | shiftcnt<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$INV$22__$INT.UIM | 4060 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$22__$INT.Q | $OpTx$INV$22__$INT | 1 | 0 | MC_UIM +NODE | $OpTx$INV$24__$INT.UIM | 4231 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$24__$INT.Q | $OpTx$INV$24__$INT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | shiftcnt<3>.D1 | 4246 | ? | 0 | 4096 | shiftcnt<3> | NULL | NULL | shiftcnt<3>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | shiftcnt<3>.D1 | 4421 | ? | 0 | 4096 | shiftcnt<3> | NULL | NULL | shiftcnt<3>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | shiftcnt<3>.D2 | 4247 | ? | 0 | 4096 | shiftcnt<3> | NULL | NULL | shiftcnt<3>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | shiftcnt<3>.D2 | 4422 | ? | 0 | 4096 | shiftcnt<3> | NULL | NULL | shiftcnt<3>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | shiftcnt<3> | IV_FALSE | shifting2 SPPTERM | 4 | IV_TRUE | shiftcnt<2> | IV_TRUE | shiftcnt<0> | IV_TRUE | shiftcnt<1> | IV_TRUE | shifting2 OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF -SIGNAL | NODE | shiftcnt<3>.CLKF | 4248 | ? | 0 | 4096 | shiftcnt<3> | NULL | NULL | shiftcnt<3>.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 1 | IV_FALSE | $OpTx$INV$22__$INT.UIM +SIGNAL | NODE | shiftcnt<3>.CLKF | 4423 | ? | 0 | 4096 | shiftcnt<3> | NULL | NULL | shiftcnt<3>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_FALSE | $OpTx$INV$24__$INT.UIM OUTPUT_NODE_TYPE | 6 | 9 | MC_SI_RSTF -SIGNAL | NODE | shiftcnt<3>.RSTF | 4249 | ? | 0 | 4096 | shiftcnt<3> | NULL | NULL | shiftcnt<3>.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | shiftcnt<3>.RSTF | 4424 | ? | 0 | 4096 | shiftcnt<3> | NULL | NULL | shiftcnt<3>.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF SRFF_INSTANCE | shiftcnt<3>.REG | shiftcnt<3> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | shiftcnt<3>.D | 4245 | ? | 0 | 0 | shiftcnt<3> | NULL | NULL | shiftcnt<3>.XOR | 0 | 7 | ALU_F +NODE | shiftcnt<3>.D | 4420 | ? | 0 | 0 | shiftcnt<3> | NULL | NULL | shiftcnt<3>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -SIGNAL | NODE | shiftcnt<3>.CLKF | 4248 | ? | 0 | 4096 | shiftcnt<3> | NULL | NULL | shiftcnt<3>.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 1 | IV_FALSE | $OpTx$INV$22__$INT.UIM +SIGNAL | NODE | shiftcnt<3>.CLKF | 4423 | ? | 0 | 4096 | shiftcnt<3> | NULL | NULL | shiftcnt<3>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_FALSE | $OpTx$INV$24__$INT.UIM INPUT_NODE_TYPE | 3 | 8 | SRFF_R -SIGNAL | NODE | shiftcnt<3>.RSTF | 4249 | ? | 0 | 4096 | shiftcnt<3> | NULL | NULL | shiftcnt<3>.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | shiftcnt<3>.RSTF | 4424 | ? | 0 | 4096 | shiftcnt<3> | NULL | NULL | shiftcnt<3>.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | shiftcnt<3>.Q | 4250 | ? | 0 | 0 | shiftcnt<3> | NULL | NULL | shiftcnt<3>.REG | 0 | 8 | SRFF_Q +NODE | shiftcnt<3>.Q | 4425 | ? | 0 | 0 | shiftcnt<3> | NULL | NULL | shiftcnt<3>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | shiftcnt<2> | spi6502b_COPY_0_COPY_0 | 2155877376 | 6 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<0> | 4008 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM +NODE | shiftcnt<0> | 4168 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<1> | 4009 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<1>.Q | shiftcnt<1> | 1 | 0 | MC_UIM +NODE | shiftcnt<1> | 4169 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<1>.Q | shiftcnt<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shifting2 | 4032 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM +NODE | shifting2 | 4192 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<2> | 4007 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<2>.Q | shiftcnt<2> | 1 | 0 | MC_UIM +NODE | shiftcnt<2> | 4167 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<2>.Q | shiftcnt<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$INV$22__$INT.UIM | 4060 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$22__$INT.Q | $OpTx$INV$22__$INT | 1 | 0 | MC_UIM +NODE | $OpTx$INV$24__$INT.UIM | 4231 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$24__$INT.Q | $OpTx$INV$24__$INT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | shiftcnt<2> | 4007 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<2>.Q | shiftcnt<2> | 1 | 0 | MC_UIM +NODE | shiftcnt<2> | 4167 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<2>.Q | shiftcnt<2> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | shiftcnt<2>.SI | shiftcnt<2> | 0 | 6 | 4 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<0> | 4008 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM +NODE | shiftcnt<0> | 4168 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<1> | 4009 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<1>.Q | shiftcnt<1> | 1 | 0 | MC_UIM +NODE | shiftcnt<1> | 4169 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<1>.Q | shiftcnt<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shifting2 | 4032 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM +NODE | shifting2 | 4192 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<2> | 4007 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<2>.Q | shiftcnt<2> | 1 | 0 | MC_UIM +NODE | shiftcnt<2> | 4167 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<2>.Q | shiftcnt<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$INV$22__$INT.UIM | 4060 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$22__$INT.Q | $OpTx$INV$22__$INT | 1 | 0 | MC_UIM +NODE | $OpTx$INV$24__$INT.UIM | 4231 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$24__$INT.Q | $OpTx$INV$24__$INT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | shiftcnt<2>.D1 | 4252 | ? | 0 | 4096 | shiftcnt<2> | NULL | NULL | shiftcnt<2>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | shiftcnt<2>.D1 | 4427 | ? | 0 | 4096 | shiftcnt<2> | NULL | NULL | shiftcnt<2>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | shiftcnt<2>.D2 | 4253 | ? | 0 | 4096 | shiftcnt<2> | NULL | NULL | shiftcnt<2>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | shiftcnt<2>.D2 | 4428 | ? | 0 | 4096 | shiftcnt<2> | NULL | NULL | shiftcnt<2>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | shiftcnt<2> | IV_FALSE | shifting2 SPPTERM | 3 | IV_TRUE | shiftcnt<0> | IV_TRUE | shiftcnt<1> | IV_TRUE | shifting2 OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF -SIGNAL | NODE | shiftcnt<2>.CLKF | 4254 | ? | 0 | 4096 | shiftcnt<2> | NULL | NULL | shiftcnt<2>.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 1 | IV_FALSE | $OpTx$INV$22__$INT.UIM +SIGNAL | NODE | shiftcnt<2>.CLKF | 4429 | ? | 0 | 4096 | shiftcnt<2> | NULL | NULL | shiftcnt<2>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_FALSE | $OpTx$INV$24__$INT.UIM OUTPUT_NODE_TYPE | 6 | 9 | MC_SI_RSTF -SIGNAL | NODE | shiftcnt<2>.RSTF | 4255 | ? | 0 | 4096 | shiftcnt<2> | NULL | NULL | shiftcnt<2>.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | shiftcnt<2>.RSTF | 4430 | ? | 0 | 4096 | shiftcnt<2> | NULL | NULL | shiftcnt<2>.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF SRFF_INSTANCE | shiftcnt<2>.REG | shiftcnt<2> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | shiftcnt<2>.D | 4251 | ? | 0 | 0 | shiftcnt<2> | NULL | NULL | shiftcnt<2>.XOR | 0 | 7 | ALU_F +NODE | shiftcnt<2>.D | 4426 | ? | 0 | 0 | shiftcnt<2> | NULL | NULL | shiftcnt<2>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -SIGNAL | NODE | shiftcnt<2>.CLKF | 4254 | ? | 0 | 4096 | shiftcnt<2> | NULL | NULL | shiftcnt<2>.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 1 | IV_FALSE | $OpTx$INV$22__$INT.UIM +SIGNAL | NODE | shiftcnt<2>.CLKF | 4429 | ? | 0 | 4096 | shiftcnt<2> | NULL | NULL | shiftcnt<2>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_FALSE | $OpTx$INV$24__$INT.UIM INPUT_NODE_TYPE | 3 | 8 | SRFF_R -SIGNAL | NODE | shiftcnt<2>.RSTF | 4255 | ? | 0 | 4096 | shiftcnt<2> | NULL | NULL | shiftcnt<2>.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | shiftcnt<2>.RSTF | 4430 | ? | 0 | 4096 | shiftcnt<2> | NULL | NULL | shiftcnt<2>.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | shiftcnt<2>.Q | 4256 | ? | 0 | 0 | shiftcnt<2> | NULL | NULL | shiftcnt<2>.REG | 0 | 8 | SRFF_Q +NODE | shiftcnt<2>.Q | 4431 | ? | 0 | 0 | shiftcnt<2> | NULL | NULL | shiftcnt<2>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | shiftcnt<0> | spi6502b_COPY_0_COPY_0 | 2155873280 | 4 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<0> | 4008 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM +NODE | shiftcnt<0> | 4168 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shifting2 | 4032 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM +NODE | shifting2 | 4192 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$INV$22__$INT.UIM | 4060 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$22__$INT.Q | $OpTx$INV$22__$INT | 1 | 0 | MC_UIM +NODE | $OpTx$INV$24__$INT.UIM | 4231 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$24__$INT.Q | $OpTx$INV$24__$INT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | shiftcnt<0> | 4008 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM +NODE | shiftcnt<0> | 4168 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | shiftcnt<0>.SI | shiftcnt<0> | 0 | 4 | 4 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<0> | 4008 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM +NODE | shiftcnt<0> | 4168 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shifting2 | 4032 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM +NODE | shifting2 | 4192 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$INV$22__$INT.UIM | 4060 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$22__$INT.Q | $OpTx$INV$22__$INT | 1 | 0 | MC_UIM +NODE | $OpTx$INV$24__$INT.UIM | 4231 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$24__$INT.Q | $OpTx$INV$24__$INT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | shiftcnt<0>.D1 | 4258 | ? | 0 | 4096 | shiftcnt<0> | NULL | NULL | shiftcnt<0>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | shiftcnt<0>.D1 | 4433 | ? | 0 | 4096 | shiftcnt<0> | NULL | NULL | shiftcnt<0>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | shiftcnt<0>.D2 | 4259 | ? | 0 | 4096 | shiftcnt<0> | NULL | NULL | shiftcnt<0>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | shiftcnt<0>.D2 | 4434 | ? | 0 | 4096 | shiftcnt<0> | NULL | NULL | shiftcnt<0>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_FALSE | shiftcnt<0> | IV_TRUE | shifting2 OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF -SIGNAL | NODE | shiftcnt<0>.CLKF | 4260 | ? | 0 | 4096 | shiftcnt<0> | NULL | NULL | shiftcnt<0>.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 1 | IV_FALSE | $OpTx$INV$22__$INT.UIM +SIGNAL | NODE | shiftcnt<0>.CLKF | 4435 | ? | 0 | 4096 | shiftcnt<0> | NULL | NULL | shiftcnt<0>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_FALSE | $OpTx$INV$24__$INT.UIM OUTPUT_NODE_TYPE | 6 | 9 | MC_SI_RSTF -SIGNAL | NODE | shiftcnt<0>.RSTF | 4261 | ? | 0 | 4096 | shiftcnt<0> | NULL | NULL | shiftcnt<0>.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | shiftcnt<0>.RSTF | 4436 | ? | 0 | 4096 | shiftcnt<0> | NULL | NULL | shiftcnt<0>.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF SRFF_INSTANCE | shiftcnt<0>.REG | shiftcnt<0> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | shiftcnt<0>.D | 4257 | ? | 0 | 0 | shiftcnt<0> | NULL | NULL | shiftcnt<0>.XOR | 0 | 7 | ALU_F +NODE | shiftcnt<0>.D | 4432 | ? | 0 | 0 | shiftcnt<0> | NULL | NULL | shiftcnt<0>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -SIGNAL | NODE | shiftcnt<0>.CLKF | 4260 | ? | 0 | 4096 | shiftcnt<0> | NULL | NULL | shiftcnt<0>.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 1 | IV_FALSE | $OpTx$INV$22__$INT.UIM +SIGNAL | NODE | shiftcnt<0>.CLKF | 4435 | ? | 0 | 4096 | shiftcnt<0> | NULL | NULL | shiftcnt<0>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_FALSE | $OpTx$INV$24__$INT.UIM INPUT_NODE_TYPE | 3 | 8 | SRFF_R -SIGNAL | NODE | shiftcnt<0>.RSTF | 4261 | ? | 0 | 4096 | shiftcnt<0> | NULL | NULL | shiftcnt<0>.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | shiftcnt<0>.RSTF | 4436 | ? | 0 | 4096 | shiftcnt<0> | NULL | NULL | shiftcnt<0>.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | shiftcnt<0>.Q | 4262 | ? | 0 | 0 | shiftcnt<0> | NULL | NULL | shiftcnt<0>.REG | 0 | 8 | SRFF_Q +NODE | shiftcnt<0>.Q | 4437 | ? | 0 | 0 | shiftcnt<0> | NULL | NULL | shiftcnt<0>.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+OptxMapped | shiftcnt<1> | spi6502b_COPY_0_COPY_0 | 2155873280 | 5 | 1 +MACROCELL_INSTANCE | PrldLow+OptxMapped | shiftcnt<1> | spi6502b_COPY_0_COPY_0 | 2155873280 | 7 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<0> | 4008 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM +NODE | shiftcnt<0> | 4168 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<1> | 4009 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<1>.Q | shiftcnt<1> | 1 | 0 | MC_UIM +NODE | shiftcnt<1> | 4169 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<1>.Q | shiftcnt<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shifting2 | 4032 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM +NODE | shifting2 | 4192 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$INV$22__$INT.UIM | 4060 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$22__$INT.Q | $OpTx$INV$22__$INT | 1 | 0 | MC_UIM +NODE | $OpTx$INV$24__$INT.UIM | 4231 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$24__$INT.Q | $OpTx$INV$24__$INT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpha | 4135 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | cpha.Q | cpha | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shiftdone | 4170 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftdone.Q | shiftdone | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | shiftcnt<1> | 4009 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<1>.Q | shiftcnt<1> | 1 | 0 | MC_UIM +NODE | shiftcnt<1> | 4169 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<1>.Q | shiftcnt<1> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | shiftcnt<1>.EXP | 4591 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<1>.EXP | shiftcnt<1> | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | shiftcnt<1>.SI | shiftcnt<1> | 0 | 5 | 4 +SIGNAL_INSTANCE | shiftcnt<1>.SI | shiftcnt<1> | 0 | 7 | 5 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<0> | 4008 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM +NODE | shiftcnt<0> | 4168 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<1> | 4009 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<1>.Q | shiftcnt<1> | 1 | 0 | MC_UIM +NODE | shiftcnt<1> | 4169 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<1>.Q | shiftcnt<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shifting2 | 4032 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM +NODE | shifting2 | 4192 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$INV$22__$INT.UIM | 4060 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$22__$INT.Q | $OpTx$INV$22__$INT | 1 | 0 | MC_UIM +NODE | $OpTx$INV$24__$INT.UIM | 4231 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$24__$INT.Q | $OpTx$INV$24__$INT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpha | 4135 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | cpha.Q | cpha | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shiftdone | 4170 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftdone.Q | shiftdone | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | shiftcnt<1>.D1 | 4264 | ? | 0 | 4096 | shiftcnt<1> | NULL | NULL | shiftcnt<1>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | shiftcnt<1>.D1 | 4439 | ? | 0 | 4096 | shiftcnt<1> | NULL | NULL | shiftcnt<1>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | shiftcnt<1>.D2 | 4265 | ? | 0 | 4096 | shiftcnt<1> | NULL | NULL | shiftcnt<1>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | shiftcnt<1>.D2 | 4440 | ? | 0 | 4096 | shiftcnt<1> | NULL | NULL | shiftcnt<1>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | shiftcnt<0> | IV_FALSE | shiftcnt<1> | IV_TRUE | shifting2 SPPTERM | 3 | IV_FALSE | shiftcnt<0> | IV_TRUE | shiftcnt<1> | IV_TRUE | shifting2 OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF -SIGNAL | NODE | shiftcnt<1>.CLKF | 4266 | ? | 0 | 4096 | shiftcnt<1> | NULL | NULL | shiftcnt<1>.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 1 | IV_FALSE | $OpTx$INV$22__$INT.UIM +SIGNAL | NODE | shiftcnt<1>.CLKF | 4441 | ? | 0 | 4096 | shiftcnt<1> | NULL | NULL | shiftcnt<1>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_FALSE | $OpTx$INV$24__$INT.UIM OUTPUT_NODE_TYPE | 6 | 9 | MC_SI_RSTF -SIGNAL | NODE | shiftcnt<1>.RSTF | 4267 | ? | 0 | 4096 | shiftcnt<1> | NULL | NULL | shiftcnt<1>.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | shiftcnt<1>.RSTF | 4442 | ? | 0 | 4096 | shiftcnt<1> | NULL | NULL | shiftcnt<1>.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | shiftcnt<1>.EXP | 4590 | ? | 0 | 0 | shiftcnt<1> | NULL | NULL | shiftcnt<1>.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 5 | IV_TRUE | cpu_Nres_IBUF | IV_TRUE | cpha | IV_FALSE | shiftcnt<0> | IV_FALSE | shiftdone | IV_TRUE | shifting2 SRFF_INSTANCE | shiftcnt<1>.REG | shiftcnt<1> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | shiftcnt<1>.D | 4263 | ? | 0 | 0 | shiftcnt<1> | NULL | NULL | shiftcnt<1>.XOR | 0 | 7 | ALU_F +NODE | shiftcnt<1>.D | 4438 | ? | 0 | 0 | shiftcnt<1> | NULL | NULL | shiftcnt<1>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -SIGNAL | NODE | shiftcnt<1>.CLKF | 4266 | ? | 0 | 4096 | shiftcnt<1> | NULL | NULL | shiftcnt<1>.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 1 | IV_FALSE | $OpTx$INV$22__$INT.UIM +SIGNAL | NODE | shiftcnt<1>.CLKF | 4441 | ? | 0 | 4096 | shiftcnt<1> | NULL | NULL | shiftcnt<1>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_FALSE | $OpTx$INV$24__$INT.UIM INPUT_NODE_TYPE | 3 | 8 | SRFF_R -SIGNAL | NODE | shiftcnt<1>.RSTF | 4267 | ? | 0 | 4096 | shiftcnt<1> | NULL | NULL | shiftcnt<1>.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | shiftcnt<1>.RSTF | 4442 | ? | 0 | 4096 | shiftcnt<1> | NULL | NULL | shiftcnt<1>.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | shiftcnt<1>.Q | 4268 | ? | 0 | 0 | shiftcnt<1> | NULL | NULL | shiftcnt<1>.REG | 0 | 8 | SRFF_Q +NODE | shiftcnt<1>.Q | 4443 | ? | 0 | 0 | shiftcnt<1> | NULL | NULL | shiftcnt<1>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | shiftdone | spi6502b_COPY_0_COPY_0 | 2155873280 | 6 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<3> | 4006 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<3>.Q | shiftcnt<3> | 1 | 0 | MC_UIM +NODE | shiftcnt<3> | 4166 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<3>.Q | shiftcnt<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<2> | 4007 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<2>.Q | shiftcnt<2> | 1 | 0 | MC_UIM +NODE | shiftcnt<2> | 4167 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<2>.Q | shiftcnt<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<0> | 4008 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM +NODE | shiftcnt<0> | 4168 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<1> | 4009 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<1>.Q | shiftcnt<1> | 1 | 0 | MC_UIM +NODE | shiftcnt<1> | 4169 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<1>.Q | shiftcnt<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$INV$22__$INT.UIM | 4060 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$22__$INT.Q | $OpTx$INV$22__$INT | 1 | 0 | MC_UIM +NODE | $OpTx$INV$24__$INT.UIM | 4231 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$24__$INT.Q | $OpTx$INV$24__$INT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | shiftdone | 4010 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftdone.Q | shiftdone | 1 | 0 | MC_UIM +NODE | shiftdone | 4170 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftdone.Q | shiftdone | 1 | 0 | MC_UIM SIGNAL_INSTANCE | shiftdone.SI | shiftdone | 0 | 6 | 4 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<3> | 4006 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<3>.Q | shiftcnt<3> | 1 | 0 | MC_UIM +NODE | shiftcnt<3> | 4166 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<3>.Q | shiftcnt<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<2> | 4007 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<2>.Q | shiftcnt<2> | 1 | 0 | MC_UIM +NODE | shiftcnt<2> | 4167 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<2>.Q | shiftcnt<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<0> | 4008 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM +NODE | shiftcnt<0> | 4168 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<1> | 4009 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<1>.Q | shiftcnt<1> | 1 | 0 | MC_UIM +NODE | shiftcnt<1> | 4169 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<1>.Q | shiftcnt<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$INV$22__$INT.UIM | 4060 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$22__$INT.Q | $OpTx$INV$22__$INT | 1 | 0 | MC_UIM +NODE | $OpTx$INV$24__$INT.UIM | 4231 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$24__$INT.Q | $OpTx$INV$24__$INT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | shiftdone.D1 | 4270 | ? | 0 | 4096 | shiftdone | NULL | NULL | shiftdone.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | shiftdone.D1 | 4445 | ? | 0 | 4096 | shiftdone | NULL | NULL | shiftdone.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | shiftdone.D2 | 4271 | ? | 0 | 4096 | shiftdone | NULL | NULL | shiftdone.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | shiftdone.D2 | 4446 | ? | 0 | 4096 | shiftdone | NULL | NULL | shiftdone.SI | 2 | 9 | MC_SI_D2 SPPTERM | 4 | IV_TRUE | shiftcnt<3> | IV_TRUE | shiftcnt<2> | IV_TRUE | shiftcnt<0> | IV_TRUE | shiftcnt<1> OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF -SIGNAL | NODE | shiftdone.CLKF | 4272 | ? | 0 | 4096 | shiftdone | NULL | NULL | shiftdone.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 1 | IV_FALSE | $OpTx$INV$22__$INT.UIM +SIGNAL | NODE | shiftdone.CLKF | 4447 | ? | 0 | 4096 | shiftdone | NULL | NULL | shiftdone.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_FALSE | $OpTx$INV$24__$INT.UIM OUTPUT_NODE_TYPE | 6 | 9 | MC_SI_RSTF -SIGNAL | NODE | shiftdone.RSTF | 4273 | ? | 0 | 4096 | shiftdone | NULL | NULL | shiftdone.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | shiftdone.RSTF | 4448 | ? | 0 | 4096 | shiftdone | NULL | NULL | shiftdone.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF SRFF_INSTANCE | shiftdone.REG | shiftdone | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | shiftdone.D | 4269 | ? | 0 | 0 | shiftdone | NULL | NULL | shiftdone.XOR | 0 | 7 | ALU_F +NODE | shiftdone.D | 4444 | ? | 0 | 0 | shiftdone | NULL | NULL | shiftdone.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -SIGNAL | NODE | shiftdone.CLKF | 4272 | ? | 0 | 4096 | shiftdone | NULL | NULL | shiftdone.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 1 | IV_FALSE | $OpTx$INV$22__$INT.UIM +SIGNAL | NODE | shiftdone.CLKF | 4447 | ? | 0 | 4096 | shiftdone | NULL | NULL | shiftdone.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_FALSE | $OpTx$INV$24__$INT.UIM INPUT_NODE_TYPE | 3 | 8 | SRFF_R -SIGNAL | NODE | shiftdone.RSTF | 4273 | ? | 0 | 4096 | shiftdone | NULL | NULL | shiftdone.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | shiftdone.RSTF | 4448 | ? | 0 | 4096 | shiftdone | NULL | NULL | shiftdone.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | shiftdone.Q | 4274 | ? | 0 | 0 | shiftdone | NULL | NULL | shiftdone.REG | 0 | 8 | SRFF_Q +NODE | shiftdone.Q | 4449 | ? | 0 | 0 | shiftdone | NULL | NULL | shiftdone.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | start_shifting | spi6502b_COPY_0_COPY_0 | 2424312832 | 8 | 1 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | start_shifting | spi6502b_COPY_0_COPY_0 | 2155877376 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | frx | 3980 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | frx.Q | frx | 1 | 0 | MC_UIM +NODE | frx | 4136 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | frx.Q | frx | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | start_shifting | 4011 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | start_shifting.Q | start_shifting | 1 | 0 | MC_UIM +NODE | start_shifting | 4171 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | start_shifting.Q | start_shifting | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_rnw_IBUF | 3974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +NODE | cpu_rnw_IBUF | 4130 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | start_shifting/start_shifting_RSTF__$INT.UIM | 4061 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | start_shifting/start_shifting_RSTF__$INT.Q | start_shifting/start_shifting_RSTF__$INT | 1 | 0 | MC_UIM +NODE | start_shifting/start_shifting_RSTF__$INT.UIM | 4232 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | start_shifting/start_shifting_RSTF__$INT.Q | start_shifting/start_shifting_RSTF__$INT | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | start_shifting | 4011 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | start_shifting.Q | start_shifting | 1 | 0 | MC_UIM +NODE | start_shifting | 4171 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | start_shifting.Q | start_shifting | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | start_shifting.SI | start_shifting | 0 | 8 | 4 +SIGNAL_INSTANCE | start_shifting.SI | start_shifting | 0 | 7 | 4 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | frx | 3980 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | frx.Q | frx | 1 | 0 | MC_UIM +NODE | frx | 4136 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | frx.Q | frx | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | start_shifting | 4011 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | start_shifting.Q | start_shifting | 1 | 0 | MC_UIM +NODE | start_shifting | 4171 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | start_shifting.Q | start_shifting | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_rnw_IBUF | 3974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +NODE | cpu_rnw_IBUF | 4130 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | start_shifting/start_shifting_RSTF__$INT.UIM | 4061 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | start_shifting/start_shifting_RSTF__$INT.Q | start_shifting/start_shifting_RSTF__$INT | 1 | 0 | MC_UIM +NODE | start_shifting/start_shifting_RSTF__$INT.UIM | 4232 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | start_shifting/start_shifting_RSTF__$INT.Q | start_shifting/start_shifting_RSTF__$INT | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | start_shifting.D1 | 4276 | ? | 0 | 4096 | start_shifting | NULL | NULL | start_shifting.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | start_shifting.D1 | 4451 | ? | 0 | 4096 | start_shifting | NULL | NULL | start_shifting.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | start_shifting.D2 | 4277 | ? | 0 | 6144 | start_shifting | NULL | NULL | start_shifting.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | start_shifting.D2 | 4452 | ? | 0 | 6144 | start_shifting | NULL | NULL | start_shifting.SI | 2 | 9 | MC_SI_D2 SPPTERM | 4 | IV_FALSE | cpu_rnw_IBUF | IV_FALSE | cpu_a_1_IBUF | IV_FALSE | start_shifting | IV_FALSE | cpu_a_0_IBUF SPPTERM | 4 | IV_TRUE | frx | IV_FALSE | cpu_a_1_IBUF | IV_FALSE | start_shifting | IV_FALSE | cpu_a_0_IBUF OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF -SIGNAL | NODE | start_shifting.CLKF | 4278 | ? | 0 | 4096 | start_shifting | NULL | NULL | start_shifting.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +SIGNAL | NODE | start_shifting.CLKF | 4453 | ? | 0 | 4096 | start_shifting | NULL | NULL | start_shifting.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | Ncs2_IBUF OUTPUT_NODE_TYPE | 6 | 9 | MC_SI_RSTF -SIGNAL | NODE | start_shifting.RSTF | 4279 | ? | 0 | 4096 | start_shifting | NULL | NULL | start_shifting.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | start_shifting.RSTF | 4454 | ? | 0 | 4096 | start_shifting | NULL | NULL | start_shifting.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_FALSE | start_shifting/start_shifting_RSTF__$INT.UIM SRFF_INSTANCE | start_shifting.REG | start_shifting | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | start_shifting.D | 4275 | ? | 0 | 0 | start_shifting | NULL | NULL | start_shifting.XOR | 0 | 7 | ALU_F +NODE | start_shifting.D | 4450 | ? | 0 | 0 | start_shifting | NULL | NULL | start_shifting.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -SIGNAL | NODE | start_shifting.CLKF | 4278 | ? | 0 | 4096 | start_shifting | NULL | NULL | start_shifting.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +SIGNAL | NODE | start_shifting.CLKF | 4453 | ? | 0 | 4096 | start_shifting | NULL | NULL | start_shifting.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | Ncs2_IBUF INPUT_NODE_TYPE | 3 | 8 | SRFF_R -SIGNAL | NODE | start_shifting.RSTF | 4279 | ? | 0 | 4096 | start_shifting | NULL | NULL | start_shifting.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | start_shifting.RSTF | 4454 | ? | 0 | 4096 | start_shifting | NULL | NULL | start_shifting.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_FALSE | start_shifting/start_shifting_RSTF__$INT.UIM OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | start_shifting.Q | 4280 | ? | 0 | 0 | start_shifting | NULL | NULL | start_shifting.REG | 0 | 8 | SRFF_Q +NODE | start_shifting.Q | 4455 | ? | 0 | 0 | start_shifting | NULL | NULL | start_shifting.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | tc | spi6502b_COPY_0_COPY_0 | 2424308736 | 5 | 1 +MACROCELL_INSTANCE | PrldLow+OptxMapped | tc | spi6502b_COPY_0_COPY_0 | 2155873280 | 4 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +NODE | shiftdone | 4170 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftdone.Q | shiftdone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftdone | 4010 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftdone.Q | shiftdone | 1 | 0 | MC_UIM +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | tc | 4012 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | tc.Q | tc | 1 | 0 | MC_UIM +NODE | tc | 4172 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | tc.Q | tc | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | tc.SI | tc | 0 | 5 | 5 +SIGNAL_INSTANCE | tc.SI | tc | 0 | 4 | 5 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +NODE | shiftdone | 4170 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftdone.Q | shiftdone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftdone | 4010 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftdone.Q | shiftdone | 1 | 0 | MC_UIM +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | tc.D1 | 4282 | ? | 0 | 4096 | tc | NULL | NULL | tc.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | tc.D1 | 4457 | ? | 0 | 4096 | tc | NULL | NULL | tc.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | tc.D2 | 4283 | ? | 0 | 6144 | tc | NULL | NULL | tc.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | tc.D2 | 4458 | ? | 0 | 6144 | tc | NULL | NULL | tc.SI | 2 | 9 | MC_SI_D2 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF -SIGNAL | NODE | tc.CLKF | 4284 | ? | 0 | 4096 | tc | NULL | NULL | tc.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +SIGNAL | NODE | tc.CLKF | 4459 | ? | 0 | 4096 | tc | NULL | NULL | tc.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | Ncs2_IBUF OUTPUT_NODE_TYPE | 5 | 9 | MC_SI_SETF -SIGNAL | NODE | tc.SETF | 4285 | ? | 0 | 4096 | tc | NULL | NULL | tc.SI | 5 | 9 | MC_SI_SETF +SIGNAL | NODE | tc.SETF | 4460 | ? | 0 | 4096 | tc | NULL | NULL | tc.SI | 5 | 9 | MC_SI_SETF SPPTERM | 1 | IV_TRUE | shiftdone OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | tc.CE | 4286 | ? | 0 | 4096 | tc | NULL | NULL | tc.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | tc.CE | 4461 | ? | 0 | 4096 | tc | NULL | NULL | tc.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_FALSE | cpu_a_1_IBUF | IV_FALSE | cpu_a_0_IBUF SRFF_INSTANCE | tc.REG | tc | 0 | 4 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | tc.D | 4281 | ? | 0 | 0 | tc | NULL | NULL | tc.XOR | 0 | 7 | ALU_F +NODE | tc.D | 4456 | ? | 0 | 0 | tc | NULL | NULL | tc.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -SIGNAL | NODE | tc.CLKF | 4284 | ? | 0 | 4096 | tc | NULL | NULL | tc.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +SIGNAL | NODE | tc.CLKF | 4459 | ? | 0 | 4096 | tc | NULL | NULL | tc.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | Ncs2_IBUF INPUT_NODE_TYPE | 2 | 8 | SRFF_S -SIGNAL | NODE | tc.SETF | 4285 | ? | 0 | 4096 | tc | NULL | NULL | tc.SI | 5 | 9 | MC_SI_SETF +SIGNAL | NODE | tc.SETF | 4460 | ? | 0 | 4096 | tc | NULL | NULL | tc.SI | 5 | 9 | MC_SI_SETF SPPTERM | 1 | IV_TRUE | shiftdone INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | tc.CE | 4286 | ? | 0 | 4096 | tc | NULL | NULL | tc.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | tc.CE | 4461 | ? | 0 | 4096 | tc | NULL | NULL | tc.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_FALSE | cpu_a_1_IBUF | IV_FALSE | cpu_a_0_IBUF OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | tc.Q | 4287 | ? | 0 | 0 | tc | NULL | NULL | tc.REG | 0 | 8 | SRFF_Q +NODE | tc.Q | 4462 | ? | 0 | 0 | tc | NULL | NULL | tc.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | spidataout<0> | spi6502b_COPY_0_COPY_0 | 2424312832 | 8 | 1 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | spidataout<0> | spi6502b_COPY_0_COPY_0 | 2155877376 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidataout<0> | 4013 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<0>.Q | spidataout<0> | 1 | 0 | MC_UIM +NODE | spidataout<0> | 4173 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<0>.Q | spidataout<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N2889 | 4033 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N2889 | 0 | 5 | II_IMUX +NODE | N3017 | 4196 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3017 | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_rnw_IBUF | 3974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +NODE | cpu_rnw_IBUF | 4130 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | spidataout<0> | 4013 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<0>.Q | spidataout<0> | 1 | 0 | MC_UIM +NODE | spidataout<0> | 4173 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<0>.Q | spidataout<0> | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | spidataout<0>.SI | spidataout<0> | 0 | 8 | 4 +SIGNAL_INSTANCE | spidataout<0>.SI | spidataout<0> | 0 | 7 | 4 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidataout<0> | 4013 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<0>.Q | spidataout<0> | 1 | 0 | MC_UIM +NODE | spidataout<0> | 4173 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<0>.Q | spidataout<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N2889 | 4033 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N2889 | 0 | 5 | II_IMUX +NODE | N3017 | 4196 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3017 | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_rnw_IBUF | 3974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +NODE | cpu_rnw_IBUF | 4130 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | spidataout<0>.D1 | 4289 | ? | 0 | 4096 | spidataout<0> | NULL | NULL | spidataout<0>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | spidataout<0>.D1 | 4464 | ? | 0 | 4096 | spidataout<0> | NULL | NULL | spidataout<0>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | spidataout<0>.D2 | 4290 | ? | 0 | 4096 | spidataout<0> | NULL | NULL | spidataout<0>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 4 | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | spidataout<0> | IV_FALSE | cpu_a_0_IBUF | IV_FALSE | N2889 -SPPTERM | 4 | IV_FALSE | cpu_a_1_IBUF | IV_FALSE | spidataout<0> | IV_FALSE | cpu_a_0_IBUF | IV_TRUE | N2889 +SIGNAL | NODE | spidataout<0>.D2 | 4465 | ? | 0 | 4096 | spidataout<0> | NULL | NULL | spidataout<0>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 4 | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | spidataout<0> | IV_FALSE | cpu_a_0_IBUF | IV_FALSE | N3017 +SPPTERM | 4 | IV_FALSE | cpu_a_1_IBUF | IV_FALSE | spidataout<0> | IV_FALSE | cpu_a_0_IBUF | IV_TRUE | N3017 OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF -SIGNAL | NODE | spidataout<0>.CLKF | 4291 | ? | 0 | 4096 | spidataout<0> | NULL | NULL | spidataout<0>.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +SIGNAL | NODE | spidataout<0>.CLKF | 4466 | ? | 0 | 4096 | spidataout<0> | NULL | NULL | spidataout<0>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | Ncs2_IBUF OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | spidataout<0>.CE | 4292 | ? | 0 | 4096 | spidataout<0> | NULL | NULL | spidataout<0>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | spidataout<0>.CE | 4467 | ? | 0 | 4096 | spidataout<0> | NULL | NULL | spidataout<0>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_TRUE | cpu_Nres_IBUF | IV_FALSE | cpu_rnw_IBUF SRFF_INSTANCE | spidataout<0>.REG | spidataout<0> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | spidataout<0>.D | 4288 | ? | 0 | 0 | spidataout<0> | NULL | NULL | spidataout<0>.XOR | 0 | 7 | ALU_F +NODE | spidataout<0>.D | 4463 | ? | 0 | 0 | spidataout<0> | NULL | NULL | spidataout<0>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -SIGNAL | NODE | spidataout<0>.CLKF | 4291 | ? | 0 | 4096 | spidataout<0> | NULL | NULL | spidataout<0>.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +SIGNAL | NODE | spidataout<0>.CLKF | 4466 | ? | 0 | 4096 | spidataout<0> | NULL | NULL | spidataout<0>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | Ncs2_IBUF INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | spidataout<0>.CE | 4292 | ? | 0 | 4096 | spidataout<0> | NULL | NULL | spidataout<0>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | spidataout<0>.CE | 4467 | ? | 0 | 4096 | spidataout<0> | NULL | NULL | spidataout<0>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_TRUE | cpu_Nres_IBUF | IV_FALSE | cpu_rnw_IBUF OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | spidataout<0>.Q | 4293 | ? | 0 | 0 | spidataout<0> | NULL | NULL | spidataout<0>.REG | 0 | 8 | SRFF_Q +NODE | spidataout<0>.Q | 4468 | ? | 0 | 0 | spidataout<0> | NULL | NULL | spidataout<0>.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | spidataout<1> | spi6502b_COPY_0_COPY_0 | 2424312832 | 8 | 1 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | spidataout<1> | spi6502b_COPY_0_COPY_0 | 2155877376 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidataout<1> | 4014 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<1>.Q | spidataout<1> | 1 | 0 | MC_UIM +NODE | spidataout<1> | 4174 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<1>.Q | spidataout<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N2891 | 4034 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N2891 | 0 | 5 | II_IMUX +NODE | N3019 | 4197 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3019 | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_rnw_IBUF | 3974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +NODE | cpu_rnw_IBUF | 4130 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | spidataout<1> | 4014 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<1>.Q | spidataout<1> | 1 | 0 | MC_UIM +NODE | spidataout<1> | 4174 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<1>.Q | spidataout<1> | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | spidataout<1>.SI | spidataout<1> | 0 | 8 | 4 +SIGNAL_INSTANCE | spidataout<1>.SI | spidataout<1> | 0 | 7 | 4 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidataout<1> | 4014 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<1>.Q | spidataout<1> | 1 | 0 | MC_UIM +NODE | spidataout<1> | 4174 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<1>.Q | spidataout<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N2891 | 4034 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N2891 | 0 | 5 | II_IMUX +NODE | N3019 | 4197 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3019 | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_rnw_IBUF | 3974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +NODE | cpu_rnw_IBUF | 4130 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | spidataout<1>.D1 | 4295 | ? | 0 | 4096 | spidataout<1> | NULL | NULL | spidataout<1>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | spidataout<1>.D1 | 4470 | ? | 0 | 4096 | spidataout<1> | NULL | NULL | spidataout<1>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | spidataout<1>.D2 | 4296 | ? | 0 | 4096 | spidataout<1> | NULL | NULL | spidataout<1>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 4 | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | spidataout<1> | IV_FALSE | cpu_a_0_IBUF | IV_FALSE | N2891 -SPPTERM | 4 | IV_FALSE | cpu_a_1_IBUF | IV_FALSE | spidataout<1> | IV_FALSE | cpu_a_0_IBUF | IV_TRUE | N2891 +SIGNAL | NODE | spidataout<1>.D2 | 4471 | ? | 0 | 4096 | spidataout<1> | NULL | NULL | spidataout<1>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 4 | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | spidataout<1> | IV_FALSE | cpu_a_0_IBUF | IV_FALSE | N3019 +SPPTERM | 4 | IV_FALSE | cpu_a_1_IBUF | IV_FALSE | spidataout<1> | IV_FALSE | cpu_a_0_IBUF | IV_TRUE | N3019 OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF -SIGNAL | NODE | spidataout<1>.CLKF | 4297 | ? | 0 | 4096 | spidataout<1> | NULL | NULL | spidataout<1>.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +SIGNAL | NODE | spidataout<1>.CLKF | 4472 | ? | 0 | 4096 | spidataout<1> | NULL | NULL | spidataout<1>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | Ncs2_IBUF OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | spidataout<1>.CE | 4298 | ? | 0 | 4096 | spidataout<1> | NULL | NULL | spidataout<1>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | spidataout<1>.CE | 4473 | ? | 0 | 4096 | spidataout<1> | NULL | NULL | spidataout<1>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_TRUE | cpu_Nres_IBUF | IV_FALSE | cpu_rnw_IBUF SRFF_INSTANCE | spidataout<1>.REG | spidataout<1> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | spidataout<1>.D | 4294 | ? | 0 | 0 | spidataout<1> | NULL | NULL | spidataout<1>.XOR | 0 | 7 | ALU_F +NODE | spidataout<1>.D | 4469 | ? | 0 | 0 | spidataout<1> | NULL | NULL | spidataout<1>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -SIGNAL | NODE | spidataout<1>.CLKF | 4297 | ? | 0 | 4096 | spidataout<1> | NULL | NULL | spidataout<1>.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +SIGNAL | NODE | spidataout<1>.CLKF | 4472 | ? | 0 | 4096 | spidataout<1> | NULL | NULL | spidataout<1>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | Ncs2_IBUF INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | spidataout<1>.CE | 4298 | ? | 0 | 4096 | spidataout<1> | NULL | NULL | spidataout<1>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | spidataout<1>.CE | 4473 | ? | 0 | 4096 | spidataout<1> | NULL | NULL | spidataout<1>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_TRUE | cpu_Nres_IBUF | IV_FALSE | cpu_rnw_IBUF OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | spidataout<1>.Q | 4299 | ? | 0 | 0 | spidataout<1> | NULL | NULL | spidataout<1>.REG | 0 | 8 | SRFF_Q +NODE | spidataout<1>.Q | 4474 | ? | 0 | 0 | spidataout<1> | NULL | NULL | spidataout<1>.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | spidataout<2> | spi6502b_COPY_0_COPY_0 | 2424312832 | 8 | 1 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | spidataout<2> | spi6502b_COPY_0_COPY_0 | 2155877376 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidataout<2> | 4015 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<2>.Q | spidataout<2> | 1 | 0 | MC_UIM +NODE | spidataout<2> | 4175 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<2>.Q | spidataout<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N2893 | 4035 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N2893 | 0 | 5 | II_IMUX +NODE | N3021 | 4198 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3021 | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_rnw_IBUF | 3974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +NODE | cpu_rnw_IBUF | 4130 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | spidataout<2> | 4015 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<2>.Q | spidataout<2> | 1 | 0 | MC_UIM +NODE | spidataout<2> | 4175 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<2>.Q | spidataout<2> | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | spidataout<2>.SI | spidataout<2> | 0 | 8 | 4 +SIGNAL_INSTANCE | spidataout<2>.SI | spidataout<2> | 0 | 7 | 4 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidataout<2> | 4015 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<2>.Q | spidataout<2> | 1 | 0 | MC_UIM +NODE | spidataout<2> | 4175 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<2>.Q | spidataout<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N2893 | 4035 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N2893 | 0 | 5 | II_IMUX +NODE | N3021 | 4198 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3021 | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_rnw_IBUF | 3974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +NODE | cpu_rnw_IBUF | 4130 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | spidataout<2>.D1 | 4301 | ? | 0 | 4096 | spidataout<2> | NULL | NULL | spidataout<2>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | spidataout<2>.D1 | 4476 | ? | 0 | 4096 | spidataout<2> | NULL | NULL | spidataout<2>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | spidataout<2>.D2 | 4302 | ? | 0 | 4096 | spidataout<2> | NULL | NULL | spidataout<2>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 4 | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | spidataout<2> | IV_FALSE | cpu_a_0_IBUF | IV_FALSE | N2893 -SPPTERM | 4 | IV_FALSE | cpu_a_1_IBUF | IV_FALSE | spidataout<2> | IV_FALSE | cpu_a_0_IBUF | IV_TRUE | N2893 +SIGNAL | NODE | spidataout<2>.D2 | 4477 | ? | 0 | 4096 | spidataout<2> | NULL | NULL | spidataout<2>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 4 | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | spidataout<2> | IV_FALSE | cpu_a_0_IBUF | IV_FALSE | N3021 +SPPTERM | 4 | IV_FALSE | cpu_a_1_IBUF | IV_FALSE | spidataout<2> | IV_FALSE | cpu_a_0_IBUF | IV_TRUE | N3021 OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF -SIGNAL | NODE | spidataout<2>.CLKF | 4303 | ? | 0 | 4096 | spidataout<2> | NULL | NULL | spidataout<2>.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +SIGNAL | NODE | spidataout<2>.CLKF | 4478 | ? | 0 | 4096 | spidataout<2> | NULL | NULL | spidataout<2>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | Ncs2_IBUF OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | spidataout<2>.CE | 4304 | ? | 0 | 4096 | spidataout<2> | NULL | NULL | spidataout<2>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | spidataout<2>.CE | 4479 | ? | 0 | 4096 | spidataout<2> | NULL | NULL | spidataout<2>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_TRUE | cpu_Nres_IBUF | IV_FALSE | cpu_rnw_IBUF SRFF_INSTANCE | spidataout<2>.REG | spidataout<2> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | spidataout<2>.D | 4300 | ? | 0 | 0 | spidataout<2> | NULL | NULL | spidataout<2>.XOR | 0 | 7 | ALU_F +NODE | spidataout<2>.D | 4475 | ? | 0 | 0 | spidataout<2> | NULL | NULL | spidataout<2>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -SIGNAL | NODE | spidataout<2>.CLKF | 4303 | ? | 0 | 4096 | spidataout<2> | NULL | NULL | spidataout<2>.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +SIGNAL | NODE | spidataout<2>.CLKF | 4478 | ? | 0 | 4096 | spidataout<2> | NULL | NULL | spidataout<2>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | Ncs2_IBUF INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | spidataout<2>.CE | 4304 | ? | 0 | 4096 | spidataout<2> | NULL | NULL | spidataout<2>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | spidataout<2>.CE | 4479 | ? | 0 | 4096 | spidataout<2> | NULL | NULL | spidataout<2>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_TRUE | cpu_Nres_IBUF | IV_FALSE | cpu_rnw_IBUF OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | spidataout<2>.Q | 4305 | ? | 0 | 0 | spidataout<2> | NULL | NULL | spidataout<2>.REG | 0 | 8 | SRFF_Q +NODE | spidataout<2>.Q | 4480 | ? | 0 | 0 | spidataout<2> | NULL | NULL | spidataout<2>.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | spidataout<3> | spi6502b_COPY_0_COPY_0 | 2424312832 | 8 | 1 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | spidataout<3> | spi6502b_COPY_0_COPY_0 | 2155877376 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidataout<3> | 4016 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<3>.Q | spidataout<3> | 1 | 0 | MC_UIM +NODE | spidataout<3> | 4176 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<3>.Q | spidataout<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N2895 | 4036 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N2895 | 0 | 5 | II_IMUX +NODE | N3023 | 4199 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3023 | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_rnw_IBUF | 3974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +NODE | cpu_rnw_IBUF | 4130 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | spidataout<3> | 4016 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<3>.Q | spidataout<3> | 1 | 0 | MC_UIM +NODE | spidataout<3> | 4176 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<3>.Q | spidataout<3> | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | spidataout<3>.SI | spidataout<3> | 0 | 8 | 4 +SIGNAL_INSTANCE | spidataout<3>.SI | spidataout<3> | 0 | 7 | 4 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidataout<3> | 4016 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<3>.Q | spidataout<3> | 1 | 0 | MC_UIM +NODE | spidataout<3> | 4176 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<3>.Q | spidataout<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N2895 | 4036 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N2895 | 0 | 5 | II_IMUX +NODE | N3023 | 4199 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3023 | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_rnw_IBUF | 3974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +NODE | cpu_rnw_IBUF | 4130 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | spidataout<3>.D1 | 4307 | ? | 0 | 4096 | spidataout<3> | NULL | NULL | spidataout<3>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | spidataout<3>.D1 | 4482 | ? | 0 | 4096 | spidataout<3> | NULL | NULL | spidataout<3>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | spidataout<3>.D2 | 4308 | ? | 0 | 4096 | spidataout<3> | NULL | NULL | spidataout<3>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 4 | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | spidataout<3> | IV_FALSE | cpu_a_0_IBUF | IV_FALSE | N2895 -SPPTERM | 4 | IV_FALSE | cpu_a_1_IBUF | IV_FALSE | spidataout<3> | IV_FALSE | cpu_a_0_IBUF | IV_TRUE | N2895 +SIGNAL | NODE | spidataout<3>.D2 | 4483 | ? | 0 | 4096 | spidataout<3> | NULL | NULL | spidataout<3>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 4 | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | spidataout<3> | IV_FALSE | cpu_a_0_IBUF | IV_FALSE | N3023 +SPPTERM | 4 | IV_FALSE | cpu_a_1_IBUF | IV_FALSE | spidataout<3> | IV_FALSE | cpu_a_0_IBUF | IV_TRUE | N3023 OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF -SIGNAL | NODE | spidataout<3>.CLKF | 4309 | ? | 0 | 4096 | spidataout<3> | NULL | NULL | spidataout<3>.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +SIGNAL | NODE | spidataout<3>.CLKF | 4484 | ? | 0 | 4096 | spidataout<3> | NULL | NULL | spidataout<3>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | Ncs2_IBUF OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | spidataout<3>.CE | 4310 | ? | 0 | 4096 | spidataout<3> | NULL | NULL | spidataout<3>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | spidataout<3>.CE | 4485 | ? | 0 | 4096 | spidataout<3> | NULL | NULL | spidataout<3>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_TRUE | cpu_Nres_IBUF | IV_FALSE | cpu_rnw_IBUF SRFF_INSTANCE | spidataout<3>.REG | spidataout<3> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | spidataout<3>.D | 4306 | ? | 0 | 0 | spidataout<3> | NULL | NULL | spidataout<3>.XOR | 0 | 7 | ALU_F +NODE | spidataout<3>.D | 4481 | ? | 0 | 0 | spidataout<3> | NULL | NULL | spidataout<3>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -SIGNAL | NODE | spidataout<3>.CLKF | 4309 | ? | 0 | 4096 | spidataout<3> | NULL | NULL | spidataout<3>.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +SIGNAL | NODE | spidataout<3>.CLKF | 4484 | ? | 0 | 4096 | spidataout<3> | NULL | NULL | spidataout<3>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | Ncs2_IBUF INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | spidataout<3>.CE | 4310 | ? | 0 | 4096 | spidataout<3> | NULL | NULL | spidataout<3>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | spidataout<3>.CE | 4485 | ? | 0 | 4096 | spidataout<3> | NULL | NULL | spidataout<3>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_TRUE | cpu_Nres_IBUF | IV_FALSE | cpu_rnw_IBUF OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | spidataout<3>.Q | 4311 | ? | 0 | 0 | spidataout<3> | NULL | NULL | spidataout<3>.REG | 0 | 8 | SRFF_Q +NODE | spidataout<3>.Q | 4486 | ? | 0 | 0 | spidataout<3> | NULL | NULL | spidataout<3>.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | spidataout<4> | spi6502b_COPY_0_COPY_0 | 2424312832 | 8 | 1 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | spidataout<4> | spi6502b_COPY_0_COPY_0 | 2155877376 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidataout<4> | 4017 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<4>.Q | spidataout<4> | 1 | 0 | MC_UIM +NODE | spidataout<4> | 4177 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<4>.Q | spidataout<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N2897 | 4037 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N2897 | 0 | 5 | II_IMUX +NODE | N3025 | 4200 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3025 | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_rnw_IBUF | 3974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +NODE | cpu_rnw_IBUF | 4130 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | spidataout<4> | 4017 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<4>.Q | spidataout<4> | 1 | 0 | MC_UIM +NODE | spidataout<4> | 4177 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<4>.Q | spidataout<4> | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | spidataout<4>.SI | spidataout<4> | 0 | 8 | 4 +SIGNAL_INSTANCE | spidataout<4>.SI | spidataout<4> | 0 | 7 | 4 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidataout<4> | 4017 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<4>.Q | spidataout<4> | 1 | 0 | MC_UIM +NODE | spidataout<4> | 4177 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<4>.Q | spidataout<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N2897 | 4037 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N2897 | 0 | 5 | II_IMUX +NODE | N3025 | 4200 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3025 | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_rnw_IBUF | 3974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +NODE | cpu_rnw_IBUF | 4130 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | spidataout<4>.D1 | 4313 | ? | 0 | 4096 | spidataout<4> | NULL | NULL | spidataout<4>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | spidataout<4>.D1 | 4488 | ? | 0 | 4096 | spidataout<4> | NULL | NULL | spidataout<4>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | spidataout<4>.D2 | 4314 | ? | 0 | 4096 | spidataout<4> | NULL | NULL | spidataout<4>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 4 | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | spidataout<4> | IV_FALSE | cpu_a_0_IBUF | IV_FALSE | N2897 -SPPTERM | 4 | IV_FALSE | cpu_a_1_IBUF | IV_FALSE | spidataout<4> | IV_FALSE | cpu_a_0_IBUF | IV_TRUE | N2897 +SIGNAL | NODE | spidataout<4>.D2 | 4489 | ? | 0 | 4096 | spidataout<4> | NULL | NULL | spidataout<4>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 4 | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | spidataout<4> | IV_FALSE | cpu_a_0_IBUF | IV_FALSE | N3025 +SPPTERM | 4 | IV_FALSE | cpu_a_1_IBUF | IV_FALSE | spidataout<4> | IV_FALSE | cpu_a_0_IBUF | IV_TRUE | N3025 OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF -SIGNAL | NODE | spidataout<4>.CLKF | 4315 | ? | 0 | 4096 | spidataout<4> | NULL | NULL | spidataout<4>.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +SIGNAL | NODE | spidataout<4>.CLKF | 4490 | ? | 0 | 4096 | spidataout<4> | NULL | NULL | spidataout<4>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | Ncs2_IBUF OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | spidataout<4>.CE | 4316 | ? | 0 | 4096 | spidataout<4> | NULL | NULL | spidataout<4>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | spidataout<4>.CE | 4491 | ? | 0 | 4096 | spidataout<4> | NULL | NULL | spidataout<4>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_TRUE | cpu_Nres_IBUF | IV_FALSE | cpu_rnw_IBUF SRFF_INSTANCE | spidataout<4>.REG | spidataout<4> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | spidataout<4>.D | 4312 | ? | 0 | 0 | spidataout<4> | NULL | NULL | spidataout<4>.XOR | 0 | 7 | ALU_F +NODE | spidataout<4>.D | 4487 | ? | 0 | 0 | spidataout<4> | NULL | NULL | spidataout<4>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -SIGNAL | NODE | spidataout<4>.CLKF | 4315 | ? | 0 | 4096 | spidataout<4> | NULL | NULL | spidataout<4>.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +SIGNAL | NODE | spidataout<4>.CLKF | 4490 | ? | 0 | 4096 | spidataout<4> | NULL | NULL | spidataout<4>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | Ncs2_IBUF INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | spidataout<4>.CE | 4316 | ? | 0 | 4096 | spidataout<4> | NULL | NULL | spidataout<4>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | spidataout<4>.CE | 4491 | ? | 0 | 4096 | spidataout<4> | NULL | NULL | spidataout<4>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_TRUE | cpu_Nres_IBUF | IV_FALSE | cpu_rnw_IBUF OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | spidataout<4>.Q | 4317 | ? | 0 | 0 | spidataout<4> | NULL | NULL | spidataout<4>.REG | 0 | 8 | SRFF_Q +NODE | spidataout<4>.Q | 4492 | ? | 0 | 0 | spidataout<4> | NULL | NULL | spidataout<4>.REG | 0 | 8 | SRFF_Q -INPUT_INSTANCE | 0 | 0 | NULL | N2899 | spi6502b_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_INSTANCE | 0 | 0 | NULL | N3027 | spi6502b_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | cpu_d<5> | 4051 | PIPO | 0 | 64 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_d<5> | 0 | 6 | OI_OUT +NODE | cpu_d<5> | 4217 | PIPO | 0 | 64 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_d<5> | 0 | 6 | OI_OUT OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | N2899 | 4040 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N2899 | 0 | 5 | II_IMUX +NODE | N3027 | 4203 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3027 | 0 | 5 | II_IMUX -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | spidataout<5> | spi6502b_COPY_0_COPY_0 | 2424312832 | 8 | 1 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | spidataout<5> | spi6502b_COPY_0_COPY_0 | 2155877376 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidataout<5> | 4018 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<5>.Q | spidataout<5> | 1 | 0 | MC_UIM +NODE | spidataout<5> | 4178 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<5>.Q | spidataout<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N2899 | 4040 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N2899 | 0 | 5 | II_IMUX +NODE | N3027 | 4203 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3027 | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_rnw_IBUF | 3974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +NODE | cpu_rnw_IBUF | 4130 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | spidataout<5> | 4018 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<5>.Q | spidataout<5> | 1 | 0 | MC_UIM +NODE | spidataout<5> | 4178 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<5>.Q | spidataout<5> | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | spidataout<5>.SI | spidataout<5> | 0 | 8 | 4 +SIGNAL_INSTANCE | spidataout<5>.SI | spidataout<5> | 0 | 7 | 4 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidataout<5> | 4018 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<5>.Q | spidataout<5> | 1 | 0 | MC_UIM +NODE | spidataout<5> | 4178 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<5>.Q | spidataout<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N2899 | 4040 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N2899 | 0 | 5 | II_IMUX +NODE | N3027 | 4203 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3027 | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_rnw_IBUF | 3974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +NODE | cpu_rnw_IBUF | 4130 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | spidataout<5>.D1 | 4319 | ? | 0 | 4096 | spidataout<5> | NULL | NULL | spidataout<5>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | spidataout<5>.D1 | 4494 | ? | 0 | 4096 | spidataout<5> | NULL | NULL | spidataout<5>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | spidataout<5>.D2 | 4320 | ? | 0 | 4096 | spidataout<5> | NULL | NULL | spidataout<5>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 4 | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | spidataout<5> | IV_FALSE | cpu_a_0_IBUF | IV_FALSE | N2899 -SPPTERM | 4 | IV_FALSE | cpu_a_1_IBUF | IV_FALSE | spidataout<5> | IV_FALSE | cpu_a_0_IBUF | IV_TRUE | N2899 +SIGNAL | NODE | spidataout<5>.D2 | 4495 | ? | 0 | 4096 | spidataout<5> | NULL | NULL | spidataout<5>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 4 | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | spidataout<5> | IV_FALSE | cpu_a_0_IBUF | IV_FALSE | N3027 +SPPTERM | 4 | IV_FALSE | cpu_a_1_IBUF | IV_FALSE | spidataout<5> | IV_FALSE | cpu_a_0_IBUF | IV_TRUE | N3027 OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF -SIGNAL | NODE | spidataout<5>.CLKF | 4321 | ? | 0 | 4096 | spidataout<5> | NULL | NULL | spidataout<5>.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +SIGNAL | NODE | spidataout<5>.CLKF | 4496 | ? | 0 | 4096 | spidataout<5> | NULL | NULL | spidataout<5>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | Ncs2_IBUF OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | spidataout<5>.CE | 4322 | ? | 0 | 4096 | spidataout<5> | NULL | NULL | spidataout<5>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | spidataout<5>.CE | 4497 | ? | 0 | 4096 | spidataout<5> | NULL | NULL | spidataout<5>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_TRUE | cpu_Nres_IBUF | IV_FALSE | cpu_rnw_IBUF SRFF_INSTANCE | spidataout<5>.REG | spidataout<5> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | spidataout<5>.D | 4318 | ? | 0 | 0 | spidataout<5> | NULL | NULL | spidataout<5>.XOR | 0 | 7 | ALU_F +NODE | spidataout<5>.D | 4493 | ? | 0 | 0 | spidataout<5> | NULL | NULL | spidataout<5>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -SIGNAL | NODE | spidataout<5>.CLKF | 4321 | ? | 0 | 4096 | spidataout<5> | NULL | NULL | spidataout<5>.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +SIGNAL | NODE | spidataout<5>.CLKF | 4496 | ? | 0 | 4096 | spidataout<5> | NULL | NULL | spidataout<5>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | Ncs2_IBUF INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | spidataout<5>.CE | 4322 | ? | 0 | 4096 | spidataout<5> | NULL | NULL | spidataout<5>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | spidataout<5>.CE | 4497 | ? | 0 | 4096 | spidataout<5> | NULL | NULL | spidataout<5>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_TRUE | cpu_Nres_IBUF | IV_FALSE | cpu_rnw_IBUF OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | spidataout<5>.Q | 4323 | ? | 0 | 0 | spidataout<5> | NULL | NULL | spidataout<5>.REG | 0 | 8 | SRFF_Q +NODE | spidataout<5>.Q | 4498 | ? | 0 | 0 | spidataout<5> | NULL | NULL | spidataout<5>.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | spidataout<6> | spi6502b_COPY_0_COPY_0 | 2424312832 | 8 | 1 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | spidataout<6> | spi6502b_COPY_0_COPY_0 | 2155877376 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidataout<6> | 4019 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<6>.Q | spidataout<6> | 1 | 0 | MC_UIM +NODE | spidataout<6> | 4179 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<6>.Q | spidataout<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N2901 | 4038 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N2901 | 0 | 5 | II_IMUX +NODE | N3029 | 4201 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3029 | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_rnw_IBUF | 3974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +NODE | cpu_rnw_IBUF | 4130 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | spidataout<6> | 4019 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<6>.Q | spidataout<6> | 1 | 0 | MC_UIM +NODE | spidataout<6> | 4179 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<6>.Q | spidataout<6> | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | spidataout<6>.SI | spidataout<6> | 0 | 8 | 4 +SIGNAL_INSTANCE | spidataout<6>.SI | spidataout<6> | 0 | 7 | 4 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidataout<6> | 4019 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<6>.Q | spidataout<6> | 1 | 0 | MC_UIM +NODE | spidataout<6> | 4179 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<6>.Q | spidataout<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N2901 | 4038 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N2901 | 0 | 5 | II_IMUX +NODE | N3029 | 4201 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3029 | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_rnw_IBUF | 3974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +NODE | cpu_rnw_IBUF | 4130 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | spidataout<6>.D1 | 4325 | ? | 0 | 4096 | spidataout<6> | NULL | NULL | spidataout<6>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | spidataout<6>.D1 | 4500 | ? | 0 | 4096 | spidataout<6> | NULL | NULL | spidataout<6>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | spidataout<6>.D2 | 4326 | ? | 0 | 4096 | spidataout<6> | NULL | NULL | spidataout<6>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 4 | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | spidataout<6> | IV_FALSE | cpu_a_0_IBUF | IV_FALSE | N2901 -SPPTERM | 4 | IV_FALSE | cpu_a_1_IBUF | IV_FALSE | spidataout<6> | IV_FALSE | cpu_a_0_IBUF | IV_TRUE | N2901 +SIGNAL | NODE | spidataout<6>.D2 | 4501 | ? | 0 | 4096 | spidataout<6> | NULL | NULL | spidataout<6>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 4 | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | spidataout<6> | IV_FALSE | cpu_a_0_IBUF | IV_FALSE | N3029 +SPPTERM | 4 | IV_FALSE | cpu_a_1_IBUF | IV_FALSE | spidataout<6> | IV_FALSE | cpu_a_0_IBUF | IV_TRUE | N3029 OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF -SIGNAL | NODE | spidataout<6>.CLKF | 4327 | ? | 0 | 4096 | spidataout<6> | NULL | NULL | spidataout<6>.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +SIGNAL | NODE | spidataout<6>.CLKF | 4502 | ? | 0 | 4096 | spidataout<6> | NULL | NULL | spidataout<6>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | Ncs2_IBUF OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | spidataout<6>.CE | 4328 | ? | 0 | 4096 | spidataout<6> | NULL | NULL | spidataout<6>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | spidataout<6>.CE | 4503 | ? | 0 | 4096 | spidataout<6> | NULL | NULL | spidataout<6>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_TRUE | cpu_Nres_IBUF | IV_FALSE | cpu_rnw_IBUF SRFF_INSTANCE | spidataout<6>.REG | spidataout<6> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | spidataout<6>.D | 4324 | ? | 0 | 0 | spidataout<6> | NULL | NULL | spidataout<6>.XOR | 0 | 7 | ALU_F +NODE | spidataout<6>.D | 4499 | ? | 0 | 0 | spidataout<6> | NULL | NULL | spidataout<6>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -SIGNAL | NODE | spidataout<6>.CLKF | 4327 | ? | 0 | 4096 | spidataout<6> | NULL | NULL | spidataout<6>.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +SIGNAL | NODE | spidataout<6>.CLKF | 4502 | ? | 0 | 4096 | spidataout<6> | NULL | NULL | spidataout<6>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | Ncs2_IBUF INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | spidataout<6>.CE | 4328 | ? | 0 | 4096 | spidataout<6> | NULL | NULL | spidataout<6>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | spidataout<6>.CE | 4503 | ? | 0 | 4096 | spidataout<6> | NULL | NULL | spidataout<6>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_TRUE | cpu_Nres_IBUF | IV_FALSE | cpu_rnw_IBUF OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | spidataout<6>.Q | 4329 | ? | 0 | 0 | spidataout<6> | NULL | NULL | spidataout<6>.REG | 0 | 8 | SRFF_Q +NODE | spidataout<6>.Q | 4504 | ? | 0 | 0 | spidataout<6> | NULL | NULL | spidataout<6>.REG | 0 | 8 | SRFF_Q -INPUT_INSTANCE | 0 | 0 | NULL | N2903 | spi6502b_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_INSTANCE | 0 | 0 | NULL | N3031 | spi6502b_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | cpu_d<7> | 4053 | PIPO | 0 | 64 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_d<7> | 0 | 6 | OI_OUT +NODE | cpu_d<7> | 4219 | PIPO | 0 | 64 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_d<7> | 0 | 6 | OI_OUT OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | N2903 | 4039 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N2903 | 0 | 5 | II_IMUX +NODE | N3031 | 4202 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3031 | 0 | 5 | II_IMUX -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | spidataout<7> | spi6502b_COPY_0_COPY_0 | 2424312832 | 8 | 1 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | spidataout<7> | spi6502b_COPY_0_COPY_0 | 2155877376 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidataout<7> | 4020 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<7>.Q | spidataout<7> | 1 | 0 | MC_UIM +NODE | spidataout<7> | 4180 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<7>.Q | spidataout<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N2903 | 4039 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N2903 | 0 | 5 | II_IMUX +NODE | N3031 | 4202 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3031 | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_rnw_IBUF | 3974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +NODE | cpu_rnw_IBUF | 4130 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | spidataout<7> | 4020 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<7>.Q | spidataout<7> | 1 | 0 | MC_UIM +NODE | spidataout<7> | 4180 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<7>.Q | spidataout<7> | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | spidataout<7>.SI | spidataout<7> | 0 | 8 | 4 +SIGNAL_INSTANCE | spidataout<7>.SI | spidataout<7> | 0 | 7 | 4 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidataout<7> | 4020 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<7>.Q | spidataout<7> | 1 | 0 | MC_UIM +NODE | spidataout<7> | 4180 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<7>.Q | spidataout<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N2903 | 4039 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N2903 | 0 | 5 | II_IMUX +NODE | N3031 | 4202 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3031 | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_rnw_IBUF | 3974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +NODE | cpu_rnw_IBUF | 4130 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | spidataout<7>.D1 | 4331 | ? | 0 | 4096 | spidataout<7> | NULL | NULL | spidataout<7>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | spidataout<7>.D1 | 4506 | ? | 0 | 4096 | spidataout<7> | NULL | NULL | spidataout<7>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | spidataout<7>.D2 | 4332 | ? | 0 | 4096 | spidataout<7> | NULL | NULL | spidataout<7>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 4 | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | spidataout<7> | IV_FALSE | cpu_a_0_IBUF | IV_FALSE | N2903 -SPPTERM | 4 | IV_FALSE | cpu_a_1_IBUF | IV_FALSE | spidataout<7> | IV_FALSE | cpu_a_0_IBUF | IV_TRUE | N2903 +SIGNAL | NODE | spidataout<7>.D2 | 4507 | ? | 0 | 4096 | spidataout<7> | NULL | NULL | spidataout<7>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 4 | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | spidataout<7> | IV_FALSE | cpu_a_0_IBUF | IV_FALSE | N3031 +SPPTERM | 4 | IV_FALSE | cpu_a_1_IBUF | IV_FALSE | spidataout<7> | IV_FALSE | cpu_a_0_IBUF | IV_TRUE | N3031 OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF -SIGNAL | NODE | spidataout<7>.CLKF | 4333 | ? | 0 | 4096 | spidataout<7> | NULL | NULL | spidataout<7>.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +SIGNAL | NODE | spidataout<7>.CLKF | 4508 | ? | 0 | 4096 | spidataout<7> | NULL | NULL | spidataout<7>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | Ncs2_IBUF OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | spidataout<7>.CE | 4334 | ? | 0 | 4096 | spidataout<7> | NULL | NULL | spidataout<7>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | spidataout<7>.CE | 4509 | ? | 0 | 4096 | spidataout<7> | NULL | NULL | spidataout<7>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_TRUE | cpu_Nres_IBUF | IV_FALSE | cpu_rnw_IBUF SRFF_INSTANCE | spidataout<7>.REG | spidataout<7> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | spidataout<7>.D | 4330 | ? | 0 | 0 | spidataout<7> | NULL | NULL | spidataout<7>.XOR | 0 | 7 | ALU_F +NODE | spidataout<7>.D | 4505 | ? | 0 | 0 | spidataout<7> | NULL | NULL | spidataout<7>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -SIGNAL | NODE | spidataout<7>.CLKF | 4333 | ? | 0 | 4096 | spidataout<7> | NULL | NULL | spidataout<7>.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +SIGNAL | NODE | spidataout<7>.CLKF | 4508 | ? | 0 | 4096 | spidataout<7> | NULL | NULL | spidataout<7>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | Ncs2_IBUF INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | spidataout<7>.CE | 4334 | ? | 0 | 4096 | spidataout<7> | NULL | NULL | spidataout<7>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | spidataout<7>.CE | 4509 | ? | 0 | 4096 | spidataout<7> | NULL | NULL | spidataout<7>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_TRUE | cpu_Nres_IBUF | IV_FALSE | cpu_rnw_IBUF OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | spidataout<7>.Q | 4335 | ? | 0 | 0 | spidataout<7> | NULL | NULL | spidataout<7>.REG | 0 | 8 | SRFF_Q +NODE | spidataout<7>.Q | 4510 | ? | 0 | 0 | spidataout<7> | NULL | NULL | spidataout<7>.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PinTrst+OptxMapped | int_dout<0> | spi6502b_COPY_0_COPY_0 | 2155888640 | 10 | 2 +INPUT_INSTANCE | 0 | 0 | NULL | nio_sel_IBUF | spi6502b_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | nio_sel | 4243 | PI | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | nio_sel_IBUF | 4181 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | nio_sel_IBUF | 0 | 5 | II_IMUX + +MACROCELL_INSTANCE | OptxMapped | ng_OBUF | spi6502b_COPY_0_COPY_0 | 2155872256 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_rnw_IBUF | 3974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidatain<0> | 3988 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<0>.Q | spidatain<0> | 1 | 0 | MC_UIM +NODE | nio_stb_IBUF | 4151 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | nio_stb_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nphi2_IBUF | 4042 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nphi2_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | divisor<0> | 3984 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | divisor<0>.Q | divisor<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpha | 3979 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | cpha.Q | cpha | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | slavesel | 3976 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slavesel.Q | slavesel | 1 | 0 | MC_UIM +NODE | nio_sel_IBUF | 4181 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | nio_sel_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | int_dout<0> | 4021 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<0>.Q | int_dout<0> | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 2 | 0 | MC_OE -NODE | int_dout<0>$OE | 4022 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<0>.BUFOE.OUT | int_dout<0> | 2 | 0 | MC_OE +NODE | ng_OBUF | 4182 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | ng_OBUF.Q | ng_OBUF | 0 | 0 | MC_Q -SIGNAL_INSTANCE | int_dout<0>.SI | int_dout<0> | 0 | 10 | 3 +SIGNAL_INSTANCE | ng_OBUF.SI | ng_OBUF | 0 | 3 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_rnw_IBUF | 3974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidatain<0> | 3988 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<0>.Q | spidatain<0> | 1 | 0 | MC_UIM +NODE | nio_stb_IBUF | 4151 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | nio_stb_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nphi2_IBUF | 4042 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nphi2_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | divisor<0> | 3984 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | divisor<0>.Q | divisor<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpha | 3979 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | cpha.Q | cpha | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | slavesel | 3976 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slavesel.Q | slavesel | 1 | 0 | MC_UIM +NODE | nio_sel_IBUF | 4181 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | nio_sel_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | int_dout<0>.D1 | 4337 | ? | 0 | 4096 | int_dout<0> | NULL | NULL | int_dout<0>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ng_OBUF.D1 | 4512 | ? | 0 | 4096 | ng_OBUF | NULL | NULL | ng_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | int_dout<0>.D2 | 4338 | ? | 0 | 4096 | int_dout<0> | NULL | NULL | int_dout<0>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 7 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | slavesel | IV_TRUE | cpu_a_1_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_TRUE | cpu_Nphi2_IBUF -SPPTERM | 7 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | cpha | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_TRUE | cpu_Nphi2_IBUF -SPPTERM | 7 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | divisor<0> | IV_TRUE | cpu_a_1_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_FALSE | cpu_a_0_IBUF | IV_TRUE | cpu_Nphi2_IBUF -SPPTERM | 7 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | spidatain<0> | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_FALSE | cpu_a_0_IBUF | IV_TRUE | cpu_Nphi2_IBUF +SIGNAL | NODE | ng_OBUF.D2 | 4513 | ? | 0 | 4096 | ng_OBUF | NULL | NULL | ng_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | Ncs2_IBUF | IV_TRUE | nio_stb_IBUF | IV_TRUE | nio_sel_IBUF + +SRFF_INSTANCE | ng_OBUF.REG | ng_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ng_OBUF.D | 4511 | ? | 0 | 0 | ng_OBUF | NULL | NULL | ng_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ng_OBUF.Q | 4514 | ? | 0 | 0 | ng_OBUF | NULL | NULL | ng_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PinTrst+OptxMapped | int_dout<0> | spi6502b_COPY_0_COPY_0 | 2155888640 | 9 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_rnw_IBUF | 4130 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | spidatain<0> | 4144 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<0>.Q | spidatain<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_Nphi2_IBUF | 4205 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nphi2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | divisor<0> | 4140 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | divisor<0>.Q | divisor<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpha | 4135 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | cpha.Q | cpha | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | slavesel | 4132 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slavesel.Q | slavesel | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | int_dout<0> | 4183 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<0>.Q | int_dout<0> | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 2 | 0 | MC_OE +NODE | int_dout<0>$OE | 4184 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<0>.BUFOE.OUT | int_dout<0> | 2 | 0 | MC_OE + +SIGNAL_INSTANCE | int_dout<0>.SI | int_dout<0> | 0 | 9 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_rnw_IBUF | 4130 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | spidatain<0> | 4144 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<0>.Q | spidatain<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_Nphi2_IBUF | 4205 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nphi2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | divisor<0> | 4140 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | divisor<0>.Q | divisor<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpha | 4135 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | cpha.Q | cpha | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | slavesel | 4132 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slavesel.Q | slavesel | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | int_dout<0>.D1 | 4516 | ? | 0 | 4096 | int_dout<0> | NULL | NULL | int_dout<0>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | int_dout<0>.D2 | 4517 | ? | 0 | 4096 | int_dout<0> | NULL | NULL | int_dout<0>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 6 | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | slavesel | IV_TRUE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_TRUE | cpu_Nphi2_IBUF +SPPTERM | 6 | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | cpha | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_TRUE | cpu_Nphi2_IBUF +SPPTERM | 6 | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | divisor<0> | IV_TRUE | cpu_a_1_IBUF | IV_FALSE | cpu_a_0_IBUF | IV_TRUE | cpu_Nphi2_IBUF +SPPTERM | 6 | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | spidatain<0> | IV_FALSE | cpu_a_1_IBUF | IV_FALSE | cpu_a_0_IBUF | IV_TRUE | cpu_Nphi2_IBUF OUTPUT_NODE_TYPE | 4 | 9 | MC_SI_TRST -SIGNAL | NODE | int_dout<0>.TRST | 4340 | ? | 0 | 4096 | int_dout<0> | NULL | NULL | int_dout<0>.SI | 4 | 9 | MC_SI_TRST -SPPTERM | 4 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_Nphi2_IBUF +SIGNAL | NODE | int_dout<0>.TRST | 4519 | ? | 0 | 4096 | int_dout<0> | NULL | NULL | int_dout<0>.SI | 4 | 9 | MC_SI_TRST +SPPTERM | 3 | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | cpu_Nphi2_IBUF SRFF_INSTANCE | int_dout<0>.REG | int_dout<0> | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | int_dout<0>.D | 4336 | ? | 0 | 0 | int_dout<0> | NULL | NULL | int_dout<0>.XOR | 0 | 7 | ALU_F +NODE | int_dout<0>.D | 4515 | ? | 0 | 0 | int_dout<0> | NULL | NULL | int_dout<0>.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | int_dout<0>.Q | 4341 | ? | 0 | 0 | int_dout<0> | NULL | NULL | int_dout<0>.REG | 0 | 8 | SRFF_Q +NODE | int_dout<0>.Q | 4520 | ? | 0 | 0 | int_dout<0> | NULL | NULL | int_dout<0>.REG | 0 | 8 | SRFF_Q BUF_INSTANCE | int_dout<0>.BUFOE | int_dout<0> | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 10 | CTOR_UNKNOWN -SIGNAL | NODE | int_dout<0>.TRST | 4340 | ? | 0 | 4096 | int_dout<0> | NULL | NULL | int_dout<0>.SI | 4 | 9 | MC_SI_TRST -SPPTERM | 4 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_Nphi2_IBUF +SIGNAL | NODE | int_dout<0>.TRST | 4519 | ? | 0 | 4096 | int_dout<0> | NULL | NULL | int_dout<0>.SI | 4 | 9 | MC_SI_TRST +SPPTERM | 3 | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | cpu_Nphi2_IBUF OUTPUT_NODE_TYPE | 0 | 10 | BUF_OUT -NODE | int_dout<0>.BUFOE.OUT | 4339 | ? | 0 | 0 | int_dout<0> | NULL | NULL | int_dout<0>.BUFOE | 0 | 10 | BUF_OUT +NODE | int_dout<0>.BUFOE.OUT | 4518 | ? | 0 | 0 | int_dout<0> | NULL | NULL | int_dout<0>.BUFOE | 0 | 10 | BUF_OUT -MACROCELL_INSTANCE | PinTrst+OptxMapped | int_dout<1> | spi6502b_COPY_0_COPY_0 | 2155888640 | 9 | 2 +MACROCELL_INSTANCE | PinTrst+OptxMapped | int_dout<1> | spi6502b_COPY_0_COPY_0 | 2155888640 | 8 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_rnw_IBUF | 3974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidatain<1> | 3989 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<1>.Q | spidatain<1> | 1 | 0 | MC_UIM +NODE | cpu_rnw_IBUF | 4130 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +NODE | spidatain<1> | 4145 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<1>.Q | spidatain<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nphi2_IBUF | 4205 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nphi2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nphi2_IBUF | 4042 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nphi2_IBUF | 0 | 5 | II_IMUX +NODE | cpol | 4133 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | cpol.Q | cpol | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpol | 3977 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | cpol.Q | cpol | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | divisor<1> | 3985 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | divisor<1>.Q | divisor<1> | 1 | 0 | MC_UIM +NODE | divisor<1> | 4141 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | divisor<1>.Q | divisor<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | int_dout<1> | 4023 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<1>.Q | int_dout<1> | 0 | 0 | MC_Q +NODE | int_dout<1> | 4185 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<1>.Q | int_dout<1> | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 2 | 0 | MC_OE -NODE | int_dout<1>$OE | 4024 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<1>.BUFOE.OUT | int_dout<1> | 2 | 0 | MC_OE +NODE | int_dout<1>$OE | 4186 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<1>.BUFOE.OUT | int_dout<1> | 2 | 0 | MC_OE -SIGNAL_INSTANCE | int_dout<1>.SI | int_dout<1> | 0 | 9 | 3 +SIGNAL_INSTANCE | int_dout<1>.SI | int_dout<1> | 0 | 8 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_rnw_IBUF | 3974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidatain<1> | 3989 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<1>.Q | spidatain<1> | 1 | 0 | MC_UIM +NODE | cpu_rnw_IBUF | 4130 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +NODE | spidatain<1> | 4145 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<1>.Q | spidatain<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nphi2_IBUF | 4205 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nphi2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nphi2_IBUF | 4042 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nphi2_IBUF | 0 | 5 | II_IMUX +NODE | cpol | 4133 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | cpol.Q | cpol | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpol | 3977 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | cpol.Q | cpol | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | divisor<1> | 3985 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | divisor<1>.Q | divisor<1> | 1 | 0 | MC_UIM +NODE | divisor<1> | 4141 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | divisor<1>.Q | divisor<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | int_dout<1>.D1 | 4343 | ? | 0 | 4096 | int_dout<1> | NULL | NULL | int_dout<1>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | int_dout<1>.D1 | 4522 | ? | 0 | 4096 | int_dout<1> | NULL | NULL | int_dout<1>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | int_dout<1>.D2 | 4344 | ? | 0 | 4096 | int_dout<1> | NULL | NULL | int_dout<1>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 7 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | cpol | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_TRUE | cpu_Nphi2_IBUF -SPPTERM | 7 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | divisor<1> | IV_TRUE | cpu_a_1_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_FALSE | cpu_a_0_IBUF | IV_TRUE | cpu_Nphi2_IBUF -SPPTERM | 7 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | spidatain<1> | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_FALSE | cpu_a_0_IBUF | IV_TRUE | cpu_Nphi2_IBUF +SIGNAL | NODE | int_dout<1>.D2 | 4523 | ? | 0 | 4096 | int_dout<1> | NULL | NULL | int_dout<1>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 6 | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | cpol | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_TRUE | cpu_Nphi2_IBUF +SPPTERM | 6 | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | divisor<1> | IV_TRUE | cpu_a_1_IBUF | IV_FALSE | cpu_a_0_IBUF | IV_TRUE | cpu_Nphi2_IBUF +SPPTERM | 6 | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | spidatain<1> | IV_FALSE | cpu_a_1_IBUF | IV_FALSE | cpu_a_0_IBUF | IV_TRUE | cpu_Nphi2_IBUF OUTPUT_NODE_TYPE | 4 | 9 | MC_SI_TRST -SIGNAL | NODE | int_dout<1>.TRST | 4346 | ? | 0 | 4096 | int_dout<1> | NULL | NULL | int_dout<1>.SI | 4 | 9 | MC_SI_TRST -SPPTERM | 4 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_Nphi2_IBUF +SIGNAL | NODE | int_dout<1>.TRST | 4525 | ? | 0 | 4096 | int_dout<1> | NULL | NULL | int_dout<1>.SI | 4 | 9 | MC_SI_TRST +SPPTERM | 3 | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | cpu_Nphi2_IBUF SRFF_INSTANCE | int_dout<1>.REG | int_dout<1> | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | int_dout<1>.D | 4342 | ? | 0 | 0 | int_dout<1> | NULL | NULL | int_dout<1>.XOR | 0 | 7 | ALU_F +NODE | int_dout<1>.D | 4521 | ? | 0 | 0 | int_dout<1> | NULL | NULL | int_dout<1>.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | int_dout<1>.Q | 4347 | ? | 0 | 0 | int_dout<1> | NULL | NULL | int_dout<1>.REG | 0 | 8 | SRFF_Q +NODE | int_dout<1>.Q | 4526 | ? | 0 | 0 | int_dout<1> | NULL | NULL | int_dout<1>.REG | 0 | 8 | SRFF_Q BUF_INSTANCE | int_dout<1>.BUFOE | int_dout<1> | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 10 | CTOR_UNKNOWN -SIGNAL | NODE | int_dout<1>.TRST | 4346 | ? | 0 | 4096 | int_dout<1> | NULL | NULL | int_dout<1>.SI | 4 | 9 | MC_SI_TRST -SPPTERM | 4 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_Nphi2_IBUF +SIGNAL | NODE | int_dout<1>.TRST | 4525 | ? | 0 | 4096 | int_dout<1> | NULL | NULL | int_dout<1>.SI | 4 | 9 | MC_SI_TRST +SPPTERM | 3 | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | cpu_Nphi2_IBUF OUTPUT_NODE_TYPE | 0 | 10 | BUF_OUT -NODE | int_dout<1>.BUFOE.OUT | 4345 | ? | 0 | 0 | int_dout<1> | NULL | NULL | int_dout<1>.BUFOE | 0 | 10 | BUF_OUT +NODE | int_dout<1>.BUFOE.OUT | 4524 | ? | 0 | 0 | int_dout<1> | NULL | NULL | int_dout<1>.BUFOE | 0 | 10 | BUF_OUT -MACROCELL_INSTANCE | PinTrst+OptxMapped | int_dout<2> | spi6502b_COPY_0_COPY_0 | 2155888640 | 9 | 2 +MACROCELL_INSTANCE | PinTrst+OptxMapped | int_dout<2> | spi6502b_COPY_0_COPY_0 | 2155888640 | 8 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_rnw_IBUF | 3974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidatain<2> | 3990 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<2>.Q | spidatain<2> | 1 | 0 | MC_UIM +NODE | cpu_rnw_IBUF | 4130 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +NODE | spidatain<2> | 4146 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<2>.Q | spidatain<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nphi2_IBUF | 4205 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nphi2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nphi2_IBUF | 4042 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nphi2_IBUF | 0 | 5 | II_IMUX +NODE | ece | 4134 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | ece.Q | ece | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ece | 3978 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | ece.Q | ece | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | divisor<2> | 3986 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | divisor<2>.Q | divisor<2> | 1 | 0 | MC_UIM +NODE | divisor<2> | 4142 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | divisor<2>.Q | divisor<2> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | int_dout<2> | 4025 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<2>.Q | int_dout<2> | 0 | 0 | MC_Q +NODE | int_dout<2> | 4187 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<2>.Q | int_dout<2> | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 2 | 0 | MC_OE -NODE | int_dout<2>$OE | 4026 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<2>.BUFOE.OUT | int_dout<2> | 2 | 0 | MC_OE +NODE | int_dout<2>$OE | 4188 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<2>.BUFOE.OUT | int_dout<2> | 2 | 0 | MC_OE -SIGNAL_INSTANCE | int_dout<2>.SI | int_dout<2> | 0 | 9 | 3 +SIGNAL_INSTANCE | int_dout<2>.SI | int_dout<2> | 0 | 8 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_rnw_IBUF | 3974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidatain<2> | 3990 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<2>.Q | spidatain<2> | 1 | 0 | MC_UIM +NODE | cpu_rnw_IBUF | 4130 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +NODE | spidatain<2> | 4146 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<2>.Q | spidatain<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nphi2_IBUF | 4205 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nphi2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nphi2_IBUF | 4042 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nphi2_IBUF | 0 | 5 | II_IMUX +NODE | ece | 4134 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | ece.Q | ece | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ece | 3978 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | ece.Q | ece | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | divisor<2> | 3986 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | divisor<2>.Q | divisor<2> | 1 | 0 | MC_UIM +NODE | divisor<2> | 4142 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | divisor<2>.Q | divisor<2> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | int_dout<2>.D1 | 4349 | ? | 0 | 4096 | int_dout<2> | NULL | NULL | int_dout<2>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | int_dout<2>.D1 | 4528 | ? | 0 | 4096 | int_dout<2> | NULL | NULL | int_dout<2>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | int_dout<2>.D2 | 4350 | ? | 0 | 4096 | int_dout<2> | NULL | NULL | int_dout<2>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 7 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | ece | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_TRUE | cpu_Nphi2_IBUF -SPPTERM | 7 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | divisor<2> | IV_TRUE | cpu_a_1_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_FALSE | cpu_a_0_IBUF | IV_TRUE | cpu_Nphi2_IBUF -SPPTERM | 7 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | spidatain<2> | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_FALSE | cpu_a_0_IBUF | IV_TRUE | cpu_Nphi2_IBUF +SIGNAL | NODE | int_dout<2>.D2 | 4529 | ? | 0 | 4096 | int_dout<2> | NULL | NULL | int_dout<2>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 6 | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | ece | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_TRUE | cpu_Nphi2_IBUF +SPPTERM | 6 | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | divisor<2> | IV_TRUE | cpu_a_1_IBUF | IV_FALSE | cpu_a_0_IBUF | IV_TRUE | cpu_Nphi2_IBUF +SPPTERM | 6 | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | spidatain<2> | IV_FALSE | cpu_a_1_IBUF | IV_FALSE | cpu_a_0_IBUF | IV_TRUE | cpu_Nphi2_IBUF OUTPUT_NODE_TYPE | 4 | 9 | MC_SI_TRST -SIGNAL | NODE | int_dout<2>.TRST | 4352 | ? | 0 | 4096 | int_dout<2> | NULL | NULL | int_dout<2>.SI | 4 | 9 | MC_SI_TRST -SPPTERM | 4 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_Nphi2_IBUF +SIGNAL | NODE | int_dout<2>.TRST | 4531 | ? | 0 | 4096 | int_dout<2> | NULL | NULL | int_dout<2>.SI | 4 | 9 | MC_SI_TRST +SPPTERM | 3 | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | cpu_Nphi2_IBUF SRFF_INSTANCE | int_dout<2>.REG | int_dout<2> | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | int_dout<2>.D | 4348 | ? | 0 | 0 | int_dout<2> | NULL | NULL | int_dout<2>.XOR | 0 | 7 | ALU_F +NODE | int_dout<2>.D | 4527 | ? | 0 | 0 | int_dout<2> | NULL | NULL | int_dout<2>.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | int_dout<2>.Q | 4353 | ? | 0 | 0 | int_dout<2> | NULL | NULL | int_dout<2>.REG | 0 | 8 | SRFF_Q +NODE | int_dout<2>.Q | 4532 | ? | 0 | 0 | int_dout<2> | NULL | NULL | int_dout<2>.REG | 0 | 8 | SRFF_Q BUF_INSTANCE | int_dout<2>.BUFOE | int_dout<2> | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 10 | CTOR_UNKNOWN -SIGNAL | NODE | int_dout<2>.TRST | 4352 | ? | 0 | 4096 | int_dout<2> | NULL | NULL | int_dout<2>.SI | 4 | 9 | MC_SI_TRST -SPPTERM | 4 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_Nphi2_IBUF +SIGNAL | NODE | int_dout<2>.TRST | 4531 | ? | 0 | 4096 | int_dout<2> | NULL | NULL | int_dout<2>.SI | 4 | 9 | MC_SI_TRST +SPPTERM | 3 | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | cpu_Nphi2_IBUF OUTPUT_NODE_TYPE | 0 | 10 | BUF_OUT -NODE | int_dout<2>.BUFOE.OUT | 4351 | ? | 0 | 0 | int_dout<2> | NULL | NULL | int_dout<2>.BUFOE | 0 | 10 | BUF_OUT +NODE | int_dout<2>.BUFOE.OUT | 4530 | ? | 0 | 0 | int_dout<2> | NULL | NULL | int_dout<2>.BUFOE | 0 | 10 | BUF_OUT INPUT_INSTANCE | 0 | 0 | NULL | spi_int_IBUF | spi6502b_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | spi_int | 4070 | PI | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | spi_int | 4246 | PI | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | spi_int_IBUF | 4041 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | spi_int_IBUF | 0 | 5 | II_IMUX +NODE | spi_int_IBUF | 4204 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | spi_int_IBUF | 0 | 5 | II_IMUX -MACROCELL_INSTANCE | PinTrst+OptxMapped | int_dout<4> | spi6502b_COPY_0_COPY_0 | 2155888640 | 10 | 2 +MACROCELL_INSTANCE | PinTrst+OptxMapped | int_dout<4> | spi6502b_COPY_0_COPY_0 | 2155888640 | 9 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_rnw_IBUF | 3974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidatain<4> | 3992 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<4>.Q | spidatain<4> | 1 | 0 | MC_UIM +NODE | cpu_rnw_IBUF | 4130 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +NODE | spidatain<4> | 4148 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<4>.Q | spidatain<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nphi2_IBUF | 4205 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nphi2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nphi2_IBUF | 4042 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nphi2_IBUF | 0 | 5 | II_IMUX +NODE | spi_int_IBUF | 4204 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | spi_int_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spi_int_IBUF | 4041 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | spi_int_IBUF | 0 | 5 | II_IMUX +NODE | frx | 4136 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | frx.Q | frx | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | frx | 3980 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | frx.Q | frx | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | slaveinten | 3982 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slaveinten.Q | slaveinten | 1 | 0 | MC_UIM +NODE | slaveinten | 4138 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slaveinten.Q | slaveinten | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | int_dout<4> | 4027 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<4>.Q | int_dout<4> | 0 | 0 | MC_Q +NODE | int_dout<4> | 4189 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<4>.Q | int_dout<4> | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 2 | 0 | MC_OE -NODE | int_dout<4>$OE | 4028 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<4>.BUFOE.OUT | int_dout<4> | 2 | 0 | MC_OE +NODE | int_dout<4>$OE | 4190 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<4>.BUFOE.OUT | int_dout<4> | 2 | 0 | MC_OE -SIGNAL_INSTANCE | int_dout<4>.SI | int_dout<4> | 0 | 10 | 3 +SIGNAL_INSTANCE | int_dout<4>.SI | int_dout<4> | 0 | 9 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_rnw_IBUF | 3974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 4129 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidatain<4> | 3992 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<4>.Q | spidatain<4> | 1 | 0 | MC_UIM +NODE | cpu_rnw_IBUF | 4130 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_1_IBUF | 3997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +NODE | spidatain<4> | 4148 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<4>.Q | spidatain<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs1_IBUF | 4029 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_1_IBUF | 4157 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | Ncs2_IBUF | 4030 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +NODE | cpu_a_0_IBUF | 4191 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_a_0_IBUF | 4031 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nphi2_IBUF | 4205 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nphi2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nphi2_IBUF | 4042 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nphi2_IBUF | 0 | 5 | II_IMUX +NODE | spi_int_IBUF | 4204 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | spi_int_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spi_int_IBUF | 4041 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | spi_int_IBUF | 0 | 5 | II_IMUX +NODE | frx | 4136 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | frx.Q | frx | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | frx | 3980 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | frx.Q | frx | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | slaveinten | 3982 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slaveinten.Q | slaveinten | 1 | 0 | MC_UIM +NODE | slaveinten | 4138 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slaveinten.Q | slaveinten | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | int_dout<4>.D1 | 4355 | ? | 0 | 4096 | int_dout<4> | NULL | NULL | int_dout<4>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | int_dout<4>.D1 | 4534 | ? | 0 | 4096 | int_dout<4> | NULL | NULL | int_dout<4>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | int_dout<4>.D2 | 4356 | ? | 0 | 4096 | int_dout<4> | NULL | NULL | int_dout<4>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 7 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | frx | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_TRUE | cpu_Nphi2_IBUF -SPPTERM | 7 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | slaveinten | IV_TRUE | cpu_a_1_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_TRUE | cpu_Nphi2_IBUF -SPPTERM | 7 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | spidatain<4> | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_FALSE | cpu_a_0_IBUF | IV_TRUE | cpu_Nphi2_IBUF -SPPTERM | 7 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | cpu_a_1_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_FALSE | cpu_a_0_IBUF | IV_FALSE | spi_int_IBUF | IV_TRUE | cpu_Nphi2_IBUF +SIGNAL | NODE | int_dout<4>.D2 | 4535 | ? | 0 | 4096 | int_dout<4> | NULL | NULL | int_dout<4>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 6 | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | frx | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_TRUE | cpu_Nphi2_IBUF +SPPTERM | 6 | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | slaveinten | IV_TRUE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_TRUE | cpu_Nphi2_IBUF +SPPTERM | 6 | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | spidatain<4> | IV_FALSE | cpu_a_1_IBUF | IV_FALSE | cpu_a_0_IBUF | IV_TRUE | cpu_Nphi2_IBUF +SPPTERM | 6 | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | cpu_a_1_IBUF | IV_FALSE | cpu_a_0_IBUF | IV_FALSE | spi_int_IBUF | IV_TRUE | cpu_Nphi2_IBUF OUTPUT_NODE_TYPE | 4 | 9 | MC_SI_TRST -SIGNAL | NODE | int_dout<4>.TRST | 4358 | ? | 0 | 4096 | int_dout<4> | NULL | NULL | int_dout<4>.SI | 4 | 9 | MC_SI_TRST -SPPTERM | 4 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_Nphi2_IBUF +SIGNAL | NODE | int_dout<4>.TRST | 4537 | ? | 0 | 4096 | int_dout<4> | NULL | NULL | int_dout<4>.SI | 4 | 9 | MC_SI_TRST +SPPTERM | 3 | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | cpu_Nphi2_IBUF SRFF_INSTANCE | int_dout<4>.REG | int_dout<4> | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | int_dout<4>.D | 4354 | ? | 0 | 0 | int_dout<4> | NULL | NULL | int_dout<4>.XOR | 0 | 7 | ALU_F +NODE | int_dout<4>.D | 4533 | ? | 0 | 0 | int_dout<4> | NULL | NULL | int_dout<4>.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | int_dout<4>.Q | 4359 | ? | 0 | 0 | int_dout<4> | NULL | NULL | int_dout<4>.REG | 0 | 8 | SRFF_Q +NODE | int_dout<4>.Q | 4538 | ? | 0 | 0 | int_dout<4> | NULL | NULL | int_dout<4>.REG | 0 | 8 | SRFF_Q BUF_INSTANCE | int_dout<4>.BUFOE | int_dout<4> | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 10 | CTOR_UNKNOWN -SIGNAL | NODE | int_dout<4>.TRST | 4358 | ? | 0 | 4096 | int_dout<4> | NULL | NULL | int_dout<4>.SI | 4 | 9 | MC_SI_TRST -SPPTERM | 4 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_Nphi2_IBUF +SIGNAL | NODE | int_dout<4>.TRST | 4537 | ? | 0 | 4096 | int_dout<4> | NULL | NULL | int_dout<4>.SI | 4 | 9 | MC_SI_TRST +SPPTERM | 3 | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | cpu_Nphi2_IBUF OUTPUT_NODE_TYPE | 0 | 10 | BUF_OUT -NODE | int_dout<4>.BUFOE.OUT | 4357 | ? | 0 | 0 | int_dout<4> | NULL | NULL | int_dout<4>.BUFOE | 0 | 10 | BUF_OUT +NODE | int_dout<4>.BUFOE.OUT | 4536 | ? | 0 | 0 | int_dout<4> | NULL | NULL | int_dout<4>.BUFOE | 0 | 10 | BUF_OUT MACROCELL_INSTANCE | PrldLow+OptxMapped | shifting2 | spi6502b_COPY_0_COPY_0 | 2155873280 | 8 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftdone | 4010 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftdone.Q | shiftdone | 1 | 0 | MC_UIM +NODE | shiftdone | 4170 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftdone.Q | shiftdone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | start_shifting | 4011 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | start_shifting.Q | start_shifting | 1 | 0 | MC_UIM +NODE | start_shifting | 4171 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | start_shifting.Q | start_shifting | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$INV$22__$INT.UIM | 4060 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$22__$INT.Q | $OpTx$INV$22__$INT | 1 | 0 | MC_UIM +NODE | $OpTx$INV$24__$INT.UIM | 4231 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$24__$INT.Q | $OpTx$INV$24__$INT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<3> | 4006 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<3>.Q | shiftcnt<3> | 1 | 0 | MC_UIM +NODE | shiftcnt<3> | 4166 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<3>.Q | shiftcnt<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<2> | 4007 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<2>.Q | shiftcnt<2> | 1 | 0 | MC_UIM +NODE | shiftcnt<2> | 4167 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<2>.Q | shiftcnt<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<1> | 4009 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<1>.Q | shiftcnt<1> | 1 | 0 | MC_UIM +NODE | shiftcnt<1> | 4169 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<1>.Q | shiftcnt<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidataout<6> | 4019 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<6>.Q | spidataout<6> | 1 | 0 | MC_UIM +NODE | spidataout<1> | 4174 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<1>.Q | spidataout<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shifting2 | 4032 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM +NODE | shifting2 | 4192 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | shifting2 | 4032 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM +NODE | shifting2 | 4192 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | shifting2.EXP | 4390 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.EXP | shifting2 | 4 | 0 | MC_EXPORT +NODE | shifting2.EXP | 4589 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.EXP | shifting2 | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | shifting2.SI | shifting2 | 0 | 8 | 4 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftdone | 4010 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftdone.Q | shiftdone | 1 | 0 | MC_UIM +NODE | shiftdone | 4170 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftdone.Q | shiftdone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | start_shifting | 4011 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | start_shifting.Q | start_shifting | 1 | 0 | MC_UIM +NODE | start_shifting | 4171 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | start_shifting.Q | start_shifting | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$INV$22__$INT.UIM | 4060 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$22__$INT.Q | $OpTx$INV$22__$INT | 1 | 0 | MC_UIM +NODE | $OpTx$INV$24__$INT.UIM | 4231 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$24__$INT.Q | $OpTx$INV$24__$INT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<3> | 4006 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<3>.Q | shiftcnt<3> | 1 | 0 | MC_UIM +NODE | shiftcnt<3> | 4166 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<3>.Q | shiftcnt<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<2> | 4007 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<2>.Q | shiftcnt<2> | 1 | 0 | MC_UIM +NODE | shiftcnt<2> | 4167 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<2>.Q | shiftcnt<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<1> | 4009 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<1>.Q | shiftcnt<1> | 1 | 0 | MC_UIM +NODE | shiftcnt<1> | 4169 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<1>.Q | shiftcnt<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidataout<6> | 4019 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<6>.Q | spidataout<6> | 1 | 0 | MC_UIM +NODE | spidataout<1> | 4174 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<1>.Q | spidataout<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shifting2 | 4032 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM +NODE | shifting2 | 4192 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | shifting2.D1 | 4361 | ? | 0 | 4096 | shifting2 | NULL | NULL | shifting2.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | shifting2.D1 | 4540 | ? | 0 | 4096 | shifting2 | NULL | NULL | shifting2.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | shifting2.D2 | 4362 | ? | 0 | 4096 | shifting2 | NULL | NULL | shifting2.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | shifting2.D2 | 4541 | ? | 0 | 4096 | shifting2 | NULL | NULL | shifting2.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_FALSE | shiftdone | IV_TRUE | start_shifting OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF -SIGNAL | NODE | shifting2.CLKF | 4363 | ? | 0 | 4096 | shifting2 | NULL | NULL | shifting2.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 1 | IV_FALSE | $OpTx$INV$22__$INT.UIM +SIGNAL | NODE | shifting2.CLKF | 4542 | ? | 0 | 4096 | shifting2 | NULL | NULL | shifting2.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_FALSE | $OpTx$INV$24__$INT.UIM OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | shifting2.EXP | 4388 | ? | 0 | 0 | shifting2 | NULL | NULL | shifting2.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 6 | IV_FALSE | shiftcnt<3> | IV_FALSE | shiftcnt<2> | IV_TRUE | shiftcnt<1> | IV_FALSE | shiftdone | IV_FALSE | spidataout<6> | IV_TRUE | shifting2 +SIGNAL | NODE | shifting2.EXP | 4587 | ? | 0 | 0 | shifting2 | NULL | NULL | shifting2.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 6 | IV_TRUE | shiftcnt<3> | IV_TRUE | shiftcnt<2> | IV_FALSE | shiftcnt<1> | IV_FALSE | shiftdone | IV_FALSE | spidataout<1> | IV_TRUE | shifting2 SRFF_INSTANCE | shifting2.REG | shifting2 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | shifting2.D | 4360 | ? | 0 | 0 | shifting2 | NULL | NULL | shifting2.XOR | 0 | 7 | ALU_F +NODE | shifting2.D | 4539 | ? | 0 | 0 | shifting2 | NULL | NULL | shifting2.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -SIGNAL | NODE | shifting2.CLKF | 4363 | ? | 0 | 4096 | shifting2 | NULL | NULL | shifting2.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 1 | IV_FALSE | $OpTx$INV$22__$INT.UIM +SIGNAL | NODE | shifting2.CLKF | 4542 | ? | 0 | 4096 | shifting2 | NULL | NULL | shifting2.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_FALSE | $OpTx$INV$24__$INT.UIM OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | shifting2.Q | 4364 | ? | 0 | 0 | shifting2 | NULL | NULL | shifting2.REG | 0 | 8 | SRFF_Q +NODE | shifting2.Q | 4543 | ? | 0 | 0 | shifting2 | NULL | NULL | shifting2.REG | 0 | 8 | SRFF_Q -INPUT_INSTANCE | 0 | 0 | NULL | extclk_IBUF | spi6502b_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_INSTANCE | 0 | 0 | NULL | extclk_IBUF | spi6502b_COPY_0_COPY_0 | 16 | 1 | 2 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | extclk | 4072 | PI | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | extclk | 4245 | PI | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | extclk_IBUF | 4043 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | extclk_IBUF | 0 | 5 | II_IMUX +NODE | extclk_IBUF | 4193 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | extclk_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 4194 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | extclk_IBUF | 3 | 5 | II_FCLK + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | add_dec/XLXN_11 | spi6502b_COPY_0_COPY_0 | 2155873536 | 5 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nio_stb_IBUF | 4151 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | nio_stb_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | a9_IBUF | 4152 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | a9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | a8_IBUF | 4153 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | a8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | a10_IBUF | 4154 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | a10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 4194 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | extclk_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | add_dec/XLXN_11 | 4195 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | add_dec/XLXN_11.Q | add_dec/XLXN_11 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | add_dec/XLXN_11.SI | add_dec/XLXN_11 | 0 | 4 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nio_stb_IBUF | 4151 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | nio_stb_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | a9_IBUF | 4152 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | a9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | a8_IBUF | 4153 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | a8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | a10_IBUF | 4154 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | a10_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | add_dec/XLXN_11.D1 | 4545 | ? | 0 | 4096 | add_dec/XLXN_11 | NULL | NULL | add_dec/XLXN_11.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | add_dec/XLXN_11.D2 | 4546 | ? | 0 | 4096 | add_dec/XLXN_11 | NULL | NULL | add_dec/XLXN_11.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 4 | IV_FALSE | nio_stb_IBUF | IV_TRUE | a9_IBUF | IV_TRUE | a8_IBUF | IV_TRUE | a10_IBUF + +SRFF_INSTANCE | add_dec/XLXN_11.REG | add_dec/XLXN_11 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | add_dec/XLXN_11.D | 4544 | ? | 0 | 0 | add_dec/XLXN_11 | NULL | NULL | add_dec/XLXN_11.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 4194 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | extclk_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | add_dec/XLXN_11.Q | 4547 | ? | 0 | 0 | add_dec/XLXN_11 | NULL | NULL | add_dec/XLXN_11.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | b10_OBUF | spi6502b_COPY_0_COPY_0 | 2155872256 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | a10_IBUF | 4154 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | a10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nio_sel_IBUF | 4181 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | nio_sel_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | b10_OBUF | 4206 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | b10_OBUF.Q | b10_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | b10_OBUF.SI | b10_OBUF | 0 | 2 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | a10_IBUF | 4154 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | a10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nio_sel_IBUF | 4181 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | nio_sel_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | b10_OBUF.D1 | 4549 | ? | 0 | 4096 | b10_OBUF | NULL | NULL | b10_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | b10_OBUF.D2 | 4550 | ? | 0 | 4096 | b10_OBUF | NULL | NULL | b10_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | a10_IBUF | IV_TRUE | nio_sel_IBUF + +SRFF_INSTANCE | b10_OBUF.REG | b10_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | b10_OBUF.D | 4548 | ? | 0 | 0 | b10_OBUF | NULL | NULL | b10_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | b10_OBUF.Q | 4551 | ? | 0 | 0 | b10_OBUF | NULL | NULL | b10_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | b8_OBUF | spi6502b_COPY_0_COPY_0 | 2155872256 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | a8_IBUF | 4153 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | a8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nio_sel_IBUF | 4181 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | nio_sel_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | b8_OBUF | 4207 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | b8_OBUF.Q | b8_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | b8_OBUF.SI | b8_OBUF | 0 | 2 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | a8_IBUF | 4153 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | a8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nio_sel_IBUF | 4181 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | nio_sel_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | b8_OBUF.D1 | 4553 | ? | 0 | 4096 | b8_OBUF | NULL | NULL | b8_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | b8_OBUF.D2 | 4554 | ? | 0 | 4096 | b8_OBUF | NULL | NULL | b8_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | a8_IBUF | IV_TRUE | nio_sel_IBUF + +SRFF_INSTANCE | b8_OBUF.REG | b8_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | b8_OBUF.D | 4552 | ? | 0 | 0 | b8_OBUF | NULL | NULL | b8_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | b8_OBUF.Q | 4555 | ? | 0 | 0 | b8_OBUF | NULL | NULL | b8_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | b9_OBUF | spi6502b_COPY_0_COPY_0 | 2155872256 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | a9_IBUF | 4152 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | a9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nio_sel_IBUF | 4181 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | nio_sel_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | b9_OBUF | 4208 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | b9_OBUF.Q | b9_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | b9_OBUF.SI | b9_OBUF | 0 | 2 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | a9_IBUF | 4152 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | a9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nio_sel_IBUF | 4181 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | nio_sel_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | b9_OBUF.D1 | 4557 | ? | 0 | 4096 | b9_OBUF | NULL | NULL | b9_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | b9_OBUF.D2 | 4558 | ? | 0 | 4096 | b9_OBUF | NULL | NULL | b9_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | a9_IBUF | IV_TRUE | nio_sel_IBUF + +SRFF_INSTANCE | b9_OBUF.REG | b9_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | b9_OBUF.D | 4556 | ? | 0 | 0 | b9_OBUF | NULL | NULL | b9_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | b9_OBUF.Q | 4559 | ? | 0 | 0 | b9_OBUF | NULL | NULL | b9_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | OptxMapped | led_OBUF | spi6502b_COPY_0_COPY_0 | 2155872256 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | slavesel | 3976 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slavesel.Q | slavesel | 1 | 0 | MC_UIM +NODE | slavesel | 4132 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slavesel.Q | slavesel | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | start_shifting | 4011 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | start_shifting.Q | start_shifting | 1 | 0 | MC_UIM +NODE | start_shifting | 4171 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | start_shifting.Q | start_shifting | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shifting2 | 4032 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM +NODE | shifting2 | 4192 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | led_OBUF | 4044 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | led_OBUF.Q | led_OBUF | 0 | 0 | MC_Q +NODE | led_OBUF | 4209 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | led_OBUF.Q | led_OBUF | 0 | 0 | MC_Q SIGNAL_INSTANCE | led_OBUF.SI | led_OBUF | 0 | 3 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | slavesel | 3976 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slavesel.Q | slavesel | 1 | 0 | MC_UIM +NODE | slavesel | 4132 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slavesel.Q | slavesel | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | start_shifting | 4011 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | start_shifting.Q | start_shifting | 1 | 0 | MC_UIM +NODE | start_shifting | 4171 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | start_shifting.Q | start_shifting | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shifting2 | 4032 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM +NODE | shifting2 | 4192 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | led_OBUF.D1 | 4366 | ? | 0 | 4096 | led_OBUF | NULL | NULL | led_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | led_OBUF.D1 | 4561 | ? | 0 | 4096 | led_OBUF | NULL | NULL | led_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | led_OBUF.D2 | 4367 | ? | 0 | 4096 | led_OBUF | NULL | NULL | led_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | led_OBUF.D2 | 4562 | ? | 0 | 4096 | led_OBUF | NULL | NULL | led_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | slavesel | IV_FALSE | start_shifting | IV_FALSE | shifting2 SRFF_INSTANCE | led_OBUF.REG | led_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | led_OBUF.D | 4365 | ? | 0 | 0 | led_OBUF | NULL | NULL | led_OBUF.XOR | 0 | 7 | ALU_F +NODE | led_OBUF.D | 4560 | ? | 0 | 0 | led_OBUF | NULL | NULL | led_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | led_OBUF.Q | 4368 | ? | 0 | 0 | led_OBUF | NULL | NULL | led_OBUF.REG | 0 | 8 | SRFF_Q +NODE | led_OBUF.Q | 4563 | ? | 0 | 0 | led_OBUF | NULL | NULL | led_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+OptxMapped | noe_OBUF | spi6502b_COPY_0_COPY_0 | 2155872512 | 3 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nio_sel_IBUF | 4181 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | nio_sel_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | add_dec/XLXN_11 | 4195 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | add_dec/XLXN_11.Q | add_dec/XLXN_11 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nio_stb_IBUF | 4151 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | nio_stb_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | noe_OBUF | 4210 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | noe_OBUF.Q | noe_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | noe_OBUF.SI | noe_OBUF | 0 | 3 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nio_sel_IBUF | 4181 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | nio_sel_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | add_dec/XLXN_11 | 4195 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | add_dec/XLXN_11.Q | add_dec/XLXN_11 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nio_stb_IBUF | 4151 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | nio_stb_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | noe_OBUF.D1 | 4565 | ? | 0 | 4096 | noe_OBUF | NULL | NULL | noe_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | noe_OBUF.D2 | 4566 | ? | 0 | 4096 | noe_OBUF | NULL | NULL | noe_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_FALSE | nio_stb_IBUF | IV_TRUE | add_dec/XLXN_11 +SPPTERM | 2 | IV_FALSE | nio_sel_IBUF | IV_TRUE | add_dec/XLXN_11 + +SRFF_INSTANCE | noe_OBUF.REG | noe_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | noe_OBUF.D | 4564 | ? | 0 | 0 | noe_OBUF | NULL | NULL | noe_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | noe_OBUF.Q | 4567 | ? | 0 | 0 | noe_OBUF | NULL | NULL | noe_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | FbkInv+PinTrst+Merge+OptxMapped | cpu_Nirq_OBUFE | spi6502b_COPY_0_COPY_0 | 2155923456 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST.UIM | 4062 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST.Q | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST | 1 | 0 | MC_UIM +NODE | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST.UIM | 4233 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST.Q | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | cpu_Nirq_OBUFE$Q | 4045 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | cpu_Nirq_OBUFE.Q | cpu_Nirq_OBUFE | 0 | 0 | MC_Q +NODE | cpu_Nirq_OBUFE$Q | 4211 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | cpu_Nirq_OBUFE.Q | cpu_Nirq_OBUFE | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 2 | 0 | MC_OE -NODE | cpu_Nirq_OBUFE$OE | 4046 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | cpu_Nirq_OBUFE.BUFOE.OUT | cpu_Nirq_OBUFE | 2 | 0 | MC_OE +NODE | cpu_Nirq_OBUFE$OE | 4212 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | cpu_Nirq_OBUFE.BUFOE.OUT | cpu_Nirq_OBUFE | 2 | 0 | MC_OE SIGNAL_INSTANCE | cpu_Nirq_OBUFE.SI | cpu_Nirq_OBUFE | 0 | 1 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST.UIM | 4062 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST.Q | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST | 1 | 0 | MC_UIM +NODE | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST.UIM | 4233 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST.Q | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cpu_Nirq_OBUFE.D1 | 4370 | ? | 0 | 4096 | cpu_Nirq_OBUFE | NULL | NULL | cpu_Nirq_OBUFE.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cpu_Nirq_OBUFE.D1 | 4569 | ? | 0 | 4096 | cpu_Nirq_OBUFE | NULL | NULL | cpu_Nirq_OBUFE.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cpu_Nirq_OBUFE.D2 | 4371 | ? | 0 | 4096 | cpu_Nirq_OBUFE | NULL | NULL | cpu_Nirq_OBUFE.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cpu_Nirq_OBUFE.D2 | 4570 | ? | 0 | 4096 | cpu_Nirq_OBUFE | NULL | NULL | cpu_Nirq_OBUFE.SI | 2 | 9 | MC_SI_D2 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 4 | 9 | MC_SI_TRST -SIGNAL | NODE | cpu_Nirq_OBUFE.TRST | 4373 | ? | 0 | 4096 | cpu_Nirq_OBUFE | NULL | NULL | cpu_Nirq_OBUFE.SI | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | cpu_Nirq_OBUFE.TRST | 4572 | ? | 0 | 4096 | cpu_Nirq_OBUFE | NULL | NULL | cpu_Nirq_OBUFE.SI | 4 | 9 | MC_SI_TRST SPPTERM | 1 | IV_TRUE | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST.UIM SRFF_INSTANCE | cpu_Nirq_OBUFE.REG | cpu_Nirq_OBUFE | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cpu_Nirq_OBUFE.D | 4369 | ? | 0 | 0 | cpu_Nirq_OBUFE | NULL | NULL | cpu_Nirq_OBUFE.XOR | 0 | 7 | ALU_F +NODE | cpu_Nirq_OBUFE.D | 4568 | ? | 0 | 0 | cpu_Nirq_OBUFE | NULL | NULL | cpu_Nirq_OBUFE.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cpu_Nirq_OBUFE.Q | 4374 | ? | 0 | 0 | cpu_Nirq_OBUFE | NULL | NULL | cpu_Nirq_OBUFE.REG | 0 | 8 | SRFF_Q +NODE | cpu_Nirq_OBUFE.Q | 4573 | ? | 0 | 0 | cpu_Nirq_OBUFE | NULL | NULL | cpu_Nirq_OBUFE.REG | 0 | 8 | SRFF_Q BUF_INSTANCE | cpu_Nirq_OBUFE.BUFOE | cpu_Nirq_OBUFE | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 10 | CTOR_UNKNOWN -SIGNAL | NODE | cpu_Nirq_OBUFE.TRST | 4373 | ? | 0 | 4096 | cpu_Nirq_OBUFE | NULL | NULL | cpu_Nirq_OBUFE.SI | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | cpu_Nirq_OBUFE.TRST | 4572 | ? | 0 | 4096 | cpu_Nirq_OBUFE | NULL | NULL | cpu_Nirq_OBUFE.SI | 4 | 9 | MC_SI_TRST SPPTERM | 1 | IV_TRUE | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST.UIM OUTPUT_NODE_TYPE | 0 | 10 | BUF_OUT -NODE | cpu_Nirq_OBUFE.BUFOE.OUT | 4372 | ? | 0 | 0 | cpu_Nirq_OBUFE | NULL | NULL | cpu_Nirq_OBUFE.BUFOE | 0 | 10 | BUF_OUT +NODE | cpu_Nirq_OBUFE.BUFOE.OUT | 4571 | ? | 0 | 0 | cpu_Nirq_OBUFE | NULL | NULL | cpu_Nirq_OBUFE.BUFOE | 0 | 10 | BUF_OUT OUTPUT_INSTANCE | 0 | spi_mosi | spi6502b_COPY_0_COPY_0 | 7 | 2 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | int_mosi | 3972 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_mosi.Q | int_mosi | 0 | 0 | MC_Q +NODE | int_mosi | 4127 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_mosi.Q | int_mosi | 0 | 0 | MC_Q INPUT_NODE_TYPE | 2 | 6 | OI_OE -NODE | int_mosi$OE | 3973 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_mosi.BUFOE.OUT | int_mosi | 2 | 0 | MC_OE +NODE | int_mosi$OE | 4128 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_mosi.BUFOE.OUT | int_mosi | 2 | 0 | MC_OE OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | spi_mosi | 4047 | PO | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | spi_mosi | 0 | 6 | OI_OUT +NODE | spi_mosi | 4213 | PO | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | spi_mosi | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | spi_Nsel | spi6502b_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | slavesel$Q | 3975 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slavesel.Q | slavesel | 0 | 0 | MC_Q +NODE | slavesel$Q | 4131 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slavesel.Q | slavesel | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | spi_Nsel | 4048 | PO | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | spi_Nsel | 0 | 6 | OI_OUT +NODE | spi_Nsel | 4214 | PO | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | spi_Nsel | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | spi_sclk | spi6502b_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | int_sclk | 3996 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_sclk.Q | int_sclk | 0 | 0 | MC_Q +NODE | int_sclk | 4156 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_sclk.Q | int_sclk | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | spi_sclk | 4049 | PO | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | spi_sclk | 0 | 6 | OI_OUT +NODE | spi_sclk | 4215 | PO | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | spi_sclk | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | cpu_d<3> | spi6502b_COPY_0_COPY_0 | 7 | 2 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | int_dout<3> | 3998 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<3>.Q | int_dout<3> | 0 | 0 | MC_Q +NODE | int_dout<3> | 4158 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<3>.Q | int_dout<3> | 0 | 0 | MC_Q INPUT_NODE_TYPE | 2 | 6 | OI_OE -NODE | int_dout<3>$OE | 3999 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<3>.BUFOE.OUT | int_dout<3> | 2 | 0 | MC_OE +NODE | int_dout<3>$OE | 4159 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<3>.BUFOE.OUT | int_dout<3> | 2 | 0 | MC_OE OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | cpu_d<3> | 4050 | PIPO | 0 | 64 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_d<3> | 0 | 6 | OI_OUT +NODE | cpu_d<3> | 4216 | PIPO | 0 | 64 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_d<3> | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | cpu_d<5> | spi6502b_COPY_0_COPY_0 | 7 | 2 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | int_dout<5> | 4000 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<5>.Q | int_dout<5> | 0 | 0 | MC_Q +NODE | int_dout<5> | 4160 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<5>.Q | int_dout<5> | 0 | 0 | MC_Q INPUT_NODE_TYPE | 2 | 6 | OI_OE -NODE | int_dout<5>$OE | 4001 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<5>.BUFOE.OUT | int_dout<5> | 2 | 0 | MC_OE +NODE | int_dout<5>$OE | 4161 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<5>.BUFOE.OUT | int_dout<5> | 2 | 0 | MC_OE OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | cpu_d<5> | 4051 | PIPO | 0 | 64 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_d<5> | 0 | 6 | OI_OUT +NODE | cpu_d<5> | 4217 | PIPO | 0 | 64 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_d<5> | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | cpu_d<6> | spi6502b_COPY_0_COPY_0 | 7 | 2 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | int_dout<6> | 4002 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<6>.Q | int_dout<6> | 0 | 0 | MC_Q +NODE | int_dout<6> | 4162 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<6>.Q | int_dout<6> | 0 | 0 | MC_Q INPUT_NODE_TYPE | 2 | 6 | OI_OE -NODE | int_dout<6>$OE | 4003 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<6>.BUFOE.OUT | int_dout<6> | 2 | 0 | MC_OE +NODE | int_dout<6>$OE | 4163 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<6>.BUFOE.OUT | int_dout<6> | 2 | 0 | MC_OE OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | cpu_d<6> | 4052 | PIPO | 0 | 64 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_d<6> | 0 | 6 | OI_OUT +NODE | cpu_d<6> | 4218 | PIPO | 0 | 64 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_d<6> | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | cpu_d<7> | spi6502b_COPY_0_COPY_0 | 7 | 2 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | int_dout<7> | 4004 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<7>.Q | int_dout<7> | 0 | 0 | MC_Q +NODE | int_dout<7> | 4164 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<7>.Q | int_dout<7> | 0 | 0 | MC_Q INPUT_NODE_TYPE | 2 | 6 | OI_OE -NODE | int_dout<7>$OE | 4005 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<7>.BUFOE.OUT | int_dout<7> | 2 | 0 | MC_OE +NODE | int_dout<7>$OE | 4165 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<7>.BUFOE.OUT | int_dout<7> | 2 | 0 | MC_OE OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | cpu_d<7> | 4053 | PIPO | 0 | 64 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_d<7> | 0 | 6 | OI_OUT +NODE | cpu_d<7> | 4219 | PIPO | 0 | 64 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_d<7> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | ng | spi6502b_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | ng_OBUF | 4182 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | ng_OBUF.Q | ng_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | ng | 4220 | PO | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | ng | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | cpu_d<0> | spi6502b_COPY_0_COPY_0 | 7 | 2 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | int_dout<0> | 4021 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<0>.Q | int_dout<0> | 0 | 0 | MC_Q +NODE | int_dout<0> | 4183 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<0>.Q | int_dout<0> | 0 | 0 | MC_Q INPUT_NODE_TYPE | 2 | 6 | OI_OE -NODE | int_dout<0>$OE | 4022 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<0>.BUFOE.OUT | int_dout<0> | 2 | 0 | MC_OE +NODE | int_dout<0>$OE | 4184 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<0>.BUFOE.OUT | int_dout<0> | 2 | 0 | MC_OE OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | cpu_d<0> | 4054 | PIPO | 0 | 64 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_d<0> | 0 | 6 | OI_OUT +NODE | cpu_d<0> | 4221 | PIPO | 0 | 64 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_d<0> | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | cpu_d<1> | spi6502b_COPY_0_COPY_0 | 7 | 2 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | int_dout<1> | 4023 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<1>.Q | int_dout<1> | 0 | 0 | MC_Q +NODE | int_dout<1> | 4185 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<1>.Q | int_dout<1> | 0 | 0 | MC_Q INPUT_NODE_TYPE | 2 | 6 | OI_OE -NODE | int_dout<1>$OE | 4024 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<1>.BUFOE.OUT | int_dout<1> | 2 | 0 | MC_OE +NODE | int_dout<1>$OE | 4186 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<1>.BUFOE.OUT | int_dout<1> | 2 | 0 | MC_OE OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | cpu_d<1> | 4055 | PIPO | 0 | 64 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_d<1> | 0 | 6 | OI_OUT +NODE | cpu_d<1> | 4222 | PIPO | 0 | 64 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_d<1> | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | cpu_d<2> | spi6502b_COPY_0_COPY_0 | 7 | 2 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | int_dout<2> | 4025 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<2>.Q | int_dout<2> | 0 | 0 | MC_Q +NODE | int_dout<2> | 4187 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<2>.Q | int_dout<2> | 0 | 0 | MC_Q INPUT_NODE_TYPE | 2 | 6 | OI_OE -NODE | int_dout<2>$OE | 4026 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<2>.BUFOE.OUT | int_dout<2> | 2 | 0 | MC_OE +NODE | int_dout<2>$OE | 4188 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<2>.BUFOE.OUT | int_dout<2> | 2 | 0 | MC_OE OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | cpu_d<2> | 4056 | PIPO | 0 | 64 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_d<2> | 0 | 6 | OI_OUT +NODE | cpu_d<2> | 4223 | PIPO | 0 | 64 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_d<2> | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | cpu_d<4> | spi6502b_COPY_0_COPY_0 | 7 | 2 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | int_dout<4> | 4027 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<4>.Q | int_dout<4> | 0 | 0 | MC_Q +NODE | int_dout<4> | 4189 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<4>.Q | int_dout<4> | 0 | 0 | MC_Q INPUT_NODE_TYPE | 2 | 6 | OI_OE -NODE | int_dout<4>$OE | 4028 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<4>.BUFOE.OUT | int_dout<4> | 2 | 0 | MC_OE +NODE | int_dout<4>$OE | 4190 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<4>.BUFOE.OUT | int_dout<4> | 2 | 0 | MC_OE OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | cpu_d<4> | 4057 | PIPO | 0 | 64 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_d<4> | 0 | 6 | OI_OUT +NODE | cpu_d<4> | 4224 | PIPO | 0 | 64 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_d<4> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | b10 | spi6502b_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | b10_OBUF | 4206 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | b10_OBUF.Q | b10_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | b10 | 4225 | PO | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | b10 | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | b8 | spi6502b_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | b8_OBUF | 4207 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | b8_OBUF.Q | b8_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | b8 | 4226 | PO | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | b8 | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | b9 | spi6502b_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | b9_OBUF | 4208 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | b9_OBUF.Q | b9_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | b9 | 4227 | PO | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | b9 | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | led | spi6502b_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | led_OBUF | 4044 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | led_OBUF.Q | led_OBUF | 0 | 0 | MC_Q +NODE | led_OBUF | 4209 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | led_OBUF.Q | led_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | led | 4058 | PO | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | led | 0 | 6 | OI_OUT +NODE | led | 4228 | PO | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | led | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | noe | spi6502b_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | noe_OBUF | 4210 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | noe_OBUF.Q | noe_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | noe | 4229 | PO | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | noe | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | cpu_Nirq | spi6502b_COPY_0_COPY_0 | 7 | 2 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | cpu_Nirq_OBUFE$Q | 4045 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | cpu_Nirq_OBUFE.Q | cpu_Nirq_OBUFE | 0 | 0 | MC_Q +NODE | cpu_Nirq_OBUFE$Q | 4211 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | cpu_Nirq_OBUFE.Q | cpu_Nirq_OBUFE | 0 | 0 | MC_Q INPUT_NODE_TYPE | 2 | 6 | OI_OE -NODE | cpu_Nirq_OBUFE$OE | 4046 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | cpu_Nirq_OBUFE.BUFOE.OUT | cpu_Nirq_OBUFE | 2 | 0 | MC_OE +NODE | cpu_Nirq_OBUFE$OE | 4212 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | cpu_Nirq_OBUFE.BUFOE.OUT | cpu_Nirq_OBUFE | 2 | 0 | MC_OE OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | cpu_Nirq | 4059 | PO | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nirq | 0 | 6 | OI_OUT +NODE | cpu_Nirq | 4230 | PO | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nirq | 0 | 6 | OI_OUT -MACROCELL_INSTANCE | SoftPfbk | $OpTx$INV$22__$INT | spi6502b_COPY_0_COPY_0 | 2181038080 | 5 | 1 +MACROCELL_INSTANCE | SoftPfbk | $OpTx$INV$24__$INT | spi6502b_COPY_0_COPY_0 | 2181038080 | 5 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ece | 3978 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | ece.Q | ece | 1 | 0 | MC_UIM +NODE | ece | 4134 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | ece.Q | ece | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nphi2_IBUF | 4042 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nphi2_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nphi2_IBUF | 4205 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nphi2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | extclk_IBUF | 4043 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | extclk_IBUF | 0 | 5 | II_IMUX +NODE | extclk_IBUF | 4193 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | extclk_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | start_shifting | 4011 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | start_shifting.Q | start_shifting | 1 | 0 | MC_UIM +NODE | start_shifting | 4171 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | start_shifting.Q | start_shifting | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shifting2 | 4032 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM +NODE | shifting2 | 4192 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | $OpTx$INV$22__$INT.UIM | 4060 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$22__$INT.Q | $OpTx$INV$22__$INT | 1 | 0 | MC_UIM +NODE | $OpTx$INV$24__$INT.UIM | 4231 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$24__$INT.Q | $OpTx$INV$24__$INT | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | $OpTx$INV$22__$INT.SI | $OpTx$INV$22__$INT | 0 | 5 | 2 +SIGNAL_INSTANCE | $OpTx$INV$24__$INT.SI | $OpTx$INV$24__$INT | 0 | 5 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ece | 3978 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | ece.Q | ece | 1 | 0 | MC_UIM +NODE | ece | 4134 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | ece.Q | ece | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nphi2_IBUF | 4042 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nphi2_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nphi2_IBUF | 4205 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nphi2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | extclk_IBUF | 4043 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | extclk_IBUF | 0 | 5 | II_IMUX +NODE | extclk_IBUF | 4193 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | extclk_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | start_shifting | 4011 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | start_shifting.Q | start_shifting | 1 | 0 | MC_UIM +NODE | start_shifting | 4171 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | start_shifting.Q | start_shifting | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shifting2 | 4032 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM +NODE | shifting2 | 4192 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | $OpTx$INV$22__$INT.D1 | 4376 | ? | 0 | 4096 | $OpTx$INV$22__$INT | NULL | NULL | $OpTx$INV$22__$INT.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | $OpTx$INV$24__$INT.D1 | 4575 | ? | 0 | 4096 | $OpTx$INV$24__$INT | NULL | NULL | $OpTx$INV$24__$INT.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | $OpTx$INV$22__$INT.D2 | 4377 | ? | 0 | 4096 | $OpTx$INV$22__$INT | NULL | NULL | $OpTx$INV$22__$INT.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | $OpTx$INV$24__$INT.D2 | 4576 | ? | 0 | 4096 | $OpTx$INV$24__$INT | NULL | NULL | $OpTx$INV$24__$INT.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | ece | IV_FALSE | extclk_IBUF SPPTERM | 2 | IV_FALSE | ece | IV_FALSE | cpu_Nphi2_IBUF SPPTERM | 2 | IV_FALSE | start_shifting | IV_FALSE | shifting2 -SRFF_INSTANCE | $OpTx$INV$22__$INT.REG | $OpTx$INV$22__$INT | 0 | 1 | 1 +SRFF_INSTANCE | $OpTx$INV$24__$INT.REG | $OpTx$INV$24__$INT | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | $OpTx$INV$22__$INT.D | 4375 | ? | 0 | 0 | $OpTx$INV$22__$INT | NULL | NULL | $OpTx$INV$22__$INT.XOR | 0 | 7 | ALU_F +NODE | $OpTx$INV$24__$INT.D | 4574 | ? | 0 | 0 | $OpTx$INV$24__$INT | NULL | NULL | $OpTx$INV$24__$INT.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | $OpTx$INV$22__$INT.Q | 4378 | ? | 0 | 0 | $OpTx$INV$22__$INT | NULL | NULL | $OpTx$INV$22__$INT.REG | 0 | 8 | SRFF_Q +NODE | $OpTx$INV$24__$INT.Q | 4577 | ? | 0 | 0 | $OpTx$INV$24__$INT | NULL | NULL | $OpTx$INV$24__$INT.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | SoftPfbk | start_shifting/start_shifting_RSTF__$INT | spi6502b_COPY_0_COPY_0 | 2181038080 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftdone | 4010 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftdone.Q | shiftdone | 1 | 0 | MC_UIM +NODE | shiftdone | 4170 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftdone.Q | shiftdone | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | start_shifting/start_shifting_RSTF__$INT.UIM | 4061 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | start_shifting/start_shifting_RSTF__$INT.Q | start_shifting/start_shifting_RSTF__$INT | 1 | 0 | MC_UIM +NODE | start_shifting/start_shifting_RSTF__$INT.UIM | 4232 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | start_shifting/start_shifting_RSTF__$INT.Q | start_shifting/start_shifting_RSTF__$INT | 1 | 0 | MC_UIM SIGNAL_INSTANCE | start_shifting/start_shifting_RSTF__$INT.SI | start_shifting/start_shifting_RSTF__$INT | 0 | 2 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4126 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftdone | 4010 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftdone.Q | shiftdone | 1 | 0 | MC_UIM +NODE | shiftdone | 4170 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftdone.Q | shiftdone | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | start_shifting/start_shifting_RSTF__$INT.D1 | 4380 | ? | 0 | 4096 | start_shifting/start_shifting_RSTF__$INT | NULL | NULL | start_shifting/start_shifting_RSTF__$INT.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | start_shifting/start_shifting_RSTF__$INT.D1 | 4579 | ? | 0 | 4096 | start_shifting/start_shifting_RSTF__$INT | NULL | NULL | start_shifting/start_shifting_RSTF__$INT.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | start_shifting/start_shifting_RSTF__$INT.D2 | 4381 | ? | 0 | 4096 | start_shifting/start_shifting_RSTF__$INT | NULL | NULL | start_shifting/start_shifting_RSTF__$INT.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | start_shifting/start_shifting_RSTF__$INT.D2 | 4580 | ? | 0 | 4096 | start_shifting/start_shifting_RSTF__$INT | NULL | NULL | start_shifting/start_shifting_RSTF__$INT.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | cpu_Nres_IBUF | IV_FALSE | shiftdone SRFF_INSTANCE | start_shifting/start_shifting_RSTF__$INT.REG | start_shifting/start_shifting_RSTF__$INT | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | start_shifting/start_shifting_RSTF__$INT.D | 4379 | ? | 0 | 0 | start_shifting/start_shifting_RSTF__$INT | NULL | NULL | start_shifting/start_shifting_RSTF__$INT.XOR | 0 | 7 | ALU_F +NODE | start_shifting/start_shifting_RSTF__$INT.D | 4578 | ? | 0 | 0 | start_shifting/start_shifting_RSTF__$INT | NULL | NULL | start_shifting/start_shifting_RSTF__$INT.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | start_shifting/start_shifting_RSTF__$INT.Q | 4382 | ? | 0 | 0 | start_shifting/start_shifting_RSTF__$INT | NULL | NULL | start_shifting/start_shifting_RSTF__$INT.REG | 0 | 8 | SRFF_Q +NODE | start_shifting/start_shifting_RSTF__$INT.Q | 4581 | ? | 0 | 0 | start_shifting/start_shifting_RSTF__$INT | NULL | NULL | start_shifting/start_shifting_RSTF__$INT.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | SoftPfbk | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST | spi6502b_COPY_0_COPY_0 | 2181038080 | 4 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ier | 3981 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | ier.Q | ier | 1 | 0 | MC_UIM +NODE | ier | 4137 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | ier.Q | ier | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | tc | 4012 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | tc.Q | tc | 1 | 0 | MC_UIM +NODE | tc | 4172 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | tc.Q | tc | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | slaveinten | 3982 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slaveinten.Q | slaveinten | 1 | 0 | MC_UIM +NODE | slaveinten | 4138 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slaveinten.Q | slaveinten | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spi_int_IBUF | 4041 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | spi_int_IBUF | 0 | 5 | II_IMUX +NODE | spi_int_IBUF | 4204 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | spi_int_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST.UIM | 4062 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST.Q | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST | 1 | 0 | MC_UIM +NODE | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST.UIM | 4233 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST.Q | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST.SI | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST | 0 | 4 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ier | 3981 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | ier.Q | ier | 1 | 0 | MC_UIM +NODE | ier | 4137 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | ier.Q | ier | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | tc | 4012 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | tc.Q | tc | 1 | 0 | MC_UIM +NODE | tc | 4172 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | tc.Q | tc | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | slaveinten | 3982 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slaveinten.Q | slaveinten | 1 | 0 | MC_UIM +NODE | slaveinten | 4138 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slaveinten.Q | slaveinten | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spi_int_IBUF | 4041 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | spi_int_IBUF | 0 | 5 | II_IMUX +NODE | spi_int_IBUF | 4204 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | spi_int_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST.D1 | 4384 | ? | 0 | 4096 | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST | NULL | NULL | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST.D1 | 4583 | ? | 0 | 4096 | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST | NULL | NULL | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST.D2 | 4385 | ? | 0 | 4096 | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST | NULL | NULL | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST.D2 | 4584 | ? | 0 | 4096 | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST | NULL | NULL | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | ier | IV_TRUE | tc SPPTERM | 2 | IV_TRUE | slaveinten | IV_FALSE | spi_int_IBUF SRFF_INSTANCE | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST.REG | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST.D | 4383 | ? | 0 | 0 | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST | NULL | NULL | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST.XOR | 0 | 7 | ALU_F +NODE | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST.D | 4582 | ? | 0 | 0 | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST | NULL | NULL | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST.Q | 4386 | ? | 0 | 0 | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST | NULL | NULL | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST.REG | 0 | 8 | SRFF_Q +NODE | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST.Q | 4585 | ? | 0 | 0 | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST | NULL | NULL | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | NULL | EXP6_ | spi6502b_COPY_0_COPY_0 | 2147483648 | 10 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<3> | 4006 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<3>.Q | shiftcnt<3> | 1 | 0 | MC_UIM +NODE | shiftcnt<3> | 4166 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<3>.Q | shiftcnt<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<2> | 4007 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<2>.Q | shiftcnt<2> | 1 | 0 | MC_UIM +NODE | shiftcnt<2> | 4167 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<2>.Q | shiftcnt<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<1> | 4009 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<1>.Q | shiftcnt<1> | 1 | 0 | MC_UIM +NODE | shiftcnt<1> | 4169 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<1>.Q | shiftcnt<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftdone | 4010 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftdone.Q | shiftdone | 1 | 0 | MC_UIM +NODE | shiftdone | 4170 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftdone.Q | shiftdone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidataout<0> | 4013 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<0>.Q | spidataout<0> | 1 | 0 | MC_UIM +NODE | spidataout<2> | 4175 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<2>.Q | spidataout<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shifting2 | 4032 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM +NODE | shifting2 | 4192 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidataout<2> | 4015 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<2>.Q | spidataout<2> | 1 | 0 | MC_UIM +NODE | spidataout<3> | 4176 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<3>.Q | spidataout<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidataout<3> | 4016 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<3>.Q | spidataout<3> | 1 | 0 | MC_UIM +NODE | spidataout<5> | 4178 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<5>.Q | spidataout<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidataout<4> | 4017 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<4>.Q | spidataout<4> | 1 | 0 | MC_UIM +NODE | spidataout<6> | 4179 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<6>.Q | spidataout<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidataout<7> | 4020 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<7>.Q | spidataout<7> | 1 | 0 | MC_UIM +NODE | spidataout<7> | 4180 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<7>.Q | spidataout<7> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP6_.EXP | 4389 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | EXP6_.EXP | EXP6_ | 4 | 0 | MC_EXPORT +NODE | EXP6_.EXP | 4588 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | EXP6_.EXP | EXP6_ | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | EXP6_.SI | EXP6_ | 0 | 10 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<3> | 4006 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<3>.Q | shiftcnt<3> | 1 | 0 | MC_UIM +NODE | shiftcnt<3> | 4166 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<3>.Q | shiftcnt<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<2> | 4007 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<2>.Q | shiftcnt<2> | 1 | 0 | MC_UIM +NODE | shiftcnt<2> | 4167 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<2>.Q | shiftcnt<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<1> | 4009 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<1>.Q | shiftcnt<1> | 1 | 0 | MC_UIM +NODE | shiftcnt<1> | 4169 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<1>.Q | shiftcnt<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftdone | 4010 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftdone.Q | shiftdone | 1 | 0 | MC_UIM +NODE | shiftdone | 4170 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftdone.Q | shiftdone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidataout<0> | 4013 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<0>.Q | spidataout<0> | 1 | 0 | MC_UIM +NODE | spidataout<2> | 4175 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<2>.Q | spidataout<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shifting2 | 4032 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM +NODE | shifting2 | 4192 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidataout<2> | 4015 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<2>.Q | spidataout<2> | 1 | 0 | MC_UIM +NODE | spidataout<3> | 4176 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<3>.Q | spidataout<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidataout<3> | 4016 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<3>.Q | spidataout<3> | 1 | 0 | MC_UIM +NODE | spidataout<5> | 4178 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<5>.Q | spidataout<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidataout<4> | 4017 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<4>.Q | spidataout<4> | 1 | 0 | MC_UIM +NODE | spidataout<6> | 4179 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<6>.Q | spidataout<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | spidataout<7> | 4020 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<7>.Q | spidataout<7> | 1 | 0 | MC_UIM +NODE | spidataout<7> | 4180 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<7>.Q | spidataout<7> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP6_.EXP | 4387 | ? | 0 | 0 | EXP6_ | NULL | NULL | EXP6_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 6 | IV_TRUE | shiftcnt<3> | IV_TRUE | shiftcnt<2> | IV_TRUE | shiftcnt<1> | IV_FALSE | shiftdone | IV_FALSE | spidataout<0> | IV_TRUE | shifting2 +SIGNAL | NODE | EXP6_.EXP | 4586 | ? | 0 | 0 | EXP6_ | NULL | NULL | EXP6_.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 6 | IV_TRUE | shiftcnt<3> | IV_FALSE | shiftcnt<2> | IV_TRUE | shiftcnt<1> | IV_FALSE | shiftdone | IV_FALSE | spidataout<2> | IV_TRUE | shifting2 SPPTERM | 6 | IV_TRUE | shiftcnt<3> | IV_FALSE | shiftcnt<2> | IV_FALSE | shiftcnt<1> | IV_FALSE | shiftdone | IV_FALSE | spidataout<3> | IV_TRUE | shifting2 -SPPTERM | 6 | IV_FALSE | shiftcnt<3> | IV_TRUE | shiftcnt<2> | IV_TRUE | shiftcnt<1> | IV_FALSE | shiftdone | IV_FALSE | spidataout<4> | IV_TRUE | shifting2 +SPPTERM | 6 | IV_FALSE | shiftcnt<3> | IV_TRUE | shiftcnt<2> | IV_FALSE | shiftcnt<1> | IV_FALSE | shiftdone | IV_FALSE | spidataout<5> | IV_TRUE | shifting2 +SPPTERM | 6 | IV_FALSE | shiftcnt<3> | IV_FALSE | shiftcnt<2> | IV_TRUE | shiftcnt<1> | IV_FALSE | shiftdone | IV_FALSE | spidataout<6> | IV_TRUE | shifting2 SPPTERM | 6 | IV_FALSE | shiftcnt<3> | IV_FALSE | shiftcnt<2> | IV_FALSE | shiftcnt<1> | IV_FALSE | shiftdone | IV_FALSE | spidataout<7> | IV_TRUE | shifting2 -MACROCELL_INSTANCE | NULL | EXP7_ | spi6502b_COPY_0_COPY_0 | 2147483648 | 5 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpha | 3979 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | cpha.Q | cpha | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<0> | 4008 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftdone | 4010 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftdone.Q | shiftdone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shifting2 | 4032 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP7_.EXP | 4392 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | EXP7_.EXP | EXP7_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP7_.SI | EXP7_ | 0 | 5 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpu_Nres_IBUF | 3971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cpha | 3979 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | cpha.Q | cpha | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftcnt<0> | 4008 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shiftdone | 4010 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftdone.Q | shiftdone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | shifting2 | 4032 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP7_.EXP | 4391 | ? | 0 | 0 | EXP7_ | NULL | NULL | EXP7_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 5 | IV_TRUE | cpu_Nres_IBUF | IV_TRUE | cpha | IV_FALSE | shiftcnt<0> | IV_FALSE | shiftdone | IV_TRUE | shifting2 - FB_INSTANCE | FOOBAR1_ | spi6502b_COPY_0_COPY_0 | 0 | 0 | 0 +FBPIN | 1 | spidataout<3> | 1 | NULL | 0 | NULL | 0 FBPIN | 2 | spidataout<2> | 1 | NULL | 0 | NULL | 0 | 1 | 49152 FBPIN | 3 | spidataout<1> | 1 | NULL | 0 | NULL | 0 FBPIN | 4 | spidataout<0> | 1 | NULL | 0 | NULL | 0 -FBPIN | 5 | int_dout<0> | 1 | N2889 | 1 | cpu_d<0> | 1 | 2 | 49152 -FBPIN | 6 | int_dout<1> | 1 | N2891 | 1 | cpu_d<1> | 1 | 3 | 49152 +FBPIN | 5 | int_dout<0> | 1 | N3017 | 1 | cpu_d<0> | 1 | 2 | 49152 +FBPIN | 6 | int_dout<1> | 1 | N3019 | 1 | cpu_d<1> | 1 | 3 | 49152 FBPIN | 7 | tmo | 1 | NULL | 0 | NULL | 0 -FBPIN | 8 | int_dout<2> | 1 | N2893 | 1 | cpu_d<2> | 1 | 4 | 49152 +FBPIN | 8 | int_dout<2> | 1 | N3021 | 1 | cpu_d<2> | 1 | 4 | 49152 FBPIN | 9 | slaveinten | 1 | cpu_Nphi2_IBUF | 0 | NULL | 0 | 5 | 57344 FBPIN | 10 | frx | 1 | NULL | 0 | NULL | 0 FBPIN | 11 | ece | 1 | extclk_IBUF | 0 | NULL | 0 | 6 | 57344 FBPIN | 12 | divisor<2> | 1 | NULL | 0 | NULL | 0 FBPIN | 13 | divisor<1> | 1 | NULL | 0 | NULL | 0 FBPIN | 14 | divisor<0> | 1 | cpu_rnw_IBUF | 0 | NULL | 0 | 7 | 57344 -FBPIN | 15 | int_dout<3> | 1 | N2895 | 1 | cpu_d<3> | 1 | 8 | 49152 +FBPIN | 15 | int_dout<3> | 1 | N3023 | 1 | cpu_d<3> | 1 | 8 | 49152 FBPIN | 16 | cpol | 1 | NULL | 0 | NULL | 0 -FBPIN | 17 | int_dout<4> | 1 | N2897 | 1 | cpu_d<4> | 1 | 9 | 49152 +FBPIN | 17 | int_dout<4> | 1 | N3025 | 1 | cpu_d<4> | 1 | 9 | 49152 FBPIN | 18 | cpha | 1 | NULL | 0 | NULL | 0 FB_INSTANCE | FOOBAR2_ | spi6502b_COPY_0_COPY_0 | 0 | 0 | 0 FBPIN | 1 | EXP6_ | 1 | NULL | 0 | NULL | 0 FBPIN | 2 | int_mosi | 1 | NULL | 0 | spi_mosi | 1 | 35 | 49152 FBPIN | 3 | shifting2 | 1 | NULL | 0 | NULL | 0 -FBPIN | 4 | shiftdone | 1 | NULL | 0 | NULL | 0 -FBPIN | 5 | shiftcnt<0> | 1 | NULL | 0 | NULL | 0 | 36 | 49152 -FBPIN | 6 | $OpTx$INV$22__$INT | 1 | NULL | 0 | NULL | 0 | 37 | 49152 +FBPIN | 4 | tc | 1 | NULL | 0 | NULL | 0 +FBPIN | 5 | shiftcnt<0> | 1 | a8_IBUF | 0 | NULL | 0 | 36 | 49152 +FBPIN | 6 | $OpTx$INV$24__$INT | 1 | a9_IBUF | 0 | NULL | 0 | 37 | 49152 FBPIN | 7 | spidatain<7> | 1 | NULL | 0 | NULL | 0 -FBPIN | 8 | spidatain<6> | 1 | NULL | 0 | NULL | 0 | 38 | 49152 -FBPIN | 9 | spidatain<5> | 1 | NULL | 0 | NULL | 0 | 39 | 51200 -FBPIN | 10 | spidatain<4> | 1 | NULL | 0 | NULL | 0 -FBPIN | 11 | spidatain<3> | 1 | NULL | 0 | NULL | 0 | 40 | 53248 -FBPIN | 12 | spidatain<2> | 1 | NULL | 0 | NULL | 0 -FBPIN | 13 | spidatain<1> | 1 | NULL | 0 | NULL | 0 -FBPIN | 14 | spidatain<0> | 1 | spi_int_IBUF | 0 | NULL | 0 | 42 | 53248 -FBPIN | 15 | shiftcnt<3> | 1 | NULL | 0 | NULL | 0 | 43 | 49152 -FBPIN | 16 | shiftcnt<2> | 1 | NULL | 0 | NULL | 0 -FBPIN | 17 | shiftcnt<1> | 1 | spi_miso_IBUF | 0 | NULL | 0 | 44 | 49152 +FBPIN | 8 | spidatain<6> | 1 | a10_IBUF | 0 | NULL | 0 | 38 | 49152 +FBPIN | 9 | cpu_Nirq_OBUFE | 1 | NULL | 0 | cpu_Nirq | 1 | 39 | 51200 +FBPIN | 10 | spidatain<5> | 1 | NULL | 0 | NULL | 0 +FBPIN | 11 | spidatain<4> | 1 | nio_sel_IBUF | 0 | NULL | 0 | 40 | 53248 +FBPIN | 12 | spidatain<3> | 1 | NULL | 0 | NULL | 0 +FBPIN | 13 | spidatain<2> | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | NULL | 0 | spi_int_IBUF | 0 | NULL | 0 | 42 | 53248 +FBPIN | 15 | NULL | 0 | nio_stb_IBUF | 0 | NULL | 0 | 43 | 49152 +FBPIN | 17 | NULL | 0 | spi_miso_IBUF | 0 | NULL | 0 | 44 | 49152 FBPIN | 18 | start_shifting/start_shifting_RSTF__$INT | 1 | NULL | 0 | NULL | 0 FB_INSTANCE | FOOBAR3_ | spi6502b_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 2 | int_dout<5> | 1 | N2899 | 1 | cpu_d<5> | 1 | 11 | 49152 -FBPIN | 5 | int_dout<6> | 1 | N2901 | 1 | cpu_d<6> | 1 | 12 | 49152 -FBPIN | 8 | int_dout<7> | 1 | N2903 | 1 | cpu_d<7> | 1 | 13 | 49152 -FBPIN | 9 | cpu_Nirq_OBUFE | 1 | NULL | 0 | cpu_Nirq | 1 | 14 | 49152 +FBPIN | 2 | int_dout<5> | 1 | N3027 | 1 | cpu_d<5> | 1 | 11 | 49152 +FBPIN | 5 | int_dout<6> | 1 | N3029 | 1 | cpu_d<6> | 1 | 12 | 49152 +FBPIN | 8 | int_dout<7> | 1 | N3031 | 1 | cpu_d<7> | 1 | 13 | 49152 +FBPIN | 9 | noe_OBUF | 1 | NULL | 0 | noe | 1 | 14 | 49152 FBPIN | 11 | NULL | 0 | Ncs2_IBUF | 0 | NULL | 0 | 18 | 49152 FBPIN | 14 | NULL | 0 | cpu_Nres_IBUF | 0 | NULL | 0 | 19 | 49152 -FBPIN | 15 | NULL | 0 | cs1_IBUF | 0 | NULL | 0 | 20 | 49152 +FBPIN | 15 | ng_OBUF | 1 | NULL | 0 | ng | 1 | 20 | 49152 FBPIN | 16 | NULL | 0 | cpu_a_1_IBUF | 0 | NULL | 0 | 24 | 49152 -FBPIN | 17 | NULL | 0 | cpu_a_0_IBUF | 0 | NULL | 0 | 22 | 49152 +FBPIN | 17 | add_dec/XLXN_11 | 1 | cpu_a_0_IBUF | 0 | NULL | 0 | 22 | 49152 +FBPIN | 18 | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST | 1 | NULL | 0 | NULL | 0 FB_INSTANCE | FOOBAR4_ | spi6502b_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 1 | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST | 1 | NULL | 0 | NULL | 0 -FBPIN | 2 | tc | 1 | NULL | 0 | NULL | 0 | 25 | 49152 +FBPIN | 1 | shiftdone | 1 | NULL | 0 | NULL | 0 +FBPIN | 2 | b8_OBUF | 1 | NULL | 0 | b8 | 1 | 25 | 49152 FBPIN | 3 | start_shifting | 1 | NULL | 0 | NULL | 0 FBPIN | 4 | spidataout<7> | 1 | NULL | 0 | NULL | 0 -FBPIN | 5 | spidataout<6> | 1 | NULL | 0 | NULL | 0 | 26 | 49152 -FBPIN | 6 | spidataout<5> | 1 | NULL | 0 | NULL | 0 -FBPIN | 7 | spidataout<4> | 1 | NULL | 0 | NULL | 0 -FBPIN | 8 | spidataout<3> | 1 | NULL | 0 | NULL | 0 | 27 | 49152 -FBPIN | 9 | ier | 1 | NULL | 0 | NULL | 0 +FBPIN | 5 | b9_OBUF | 1 | NULL | 0 | b9 | 1 | 26 | 49152 +FBPIN | 6 | spidataout<6> | 1 | NULL | 0 | NULL | 0 +FBPIN | 7 | spidataout<5> | 1 | NULL | 0 | NULL | 0 +FBPIN | 8 | b10_OBUF | 1 | NULL | 0 | b10 | 1 | 27 | 49152 +FBPIN | 9 | spidataout<4> | 1 | NULL | 0 | NULL | 0 +FBPIN | 10 | spidatain<1> | 1 | NULL | 0 | NULL | 0 FBPIN | 11 | slavesel | 1 | NULL | 0 | spi_Nsel | 1 | 28 | 49152 +FBPIN | 12 | spidatain<0> | 1 | NULL | 0 | NULL | 0 +FBPIN | 13 | shiftcnt<3> | 1 | NULL | 0 | NULL | 0 FBPIN | 14 | led_OBUF | 1 | NULL | 0 | led | 1 | 29 | 49152 -FBPIN | 16 | EXP7_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 15 | shiftcnt<2> | 1 | NULL | 0 | NULL | 0 | 33 | 49152 +FBPIN | 16 | shiftcnt<1> | 1 | NULL | 0 | NULL | 0 FBPIN | 17 | int_sclk | 1 | NULL | 0 | spi_sclk | 1 | 34 | 49152 +FBPIN | 18 | ier | 1 | NULL | 0 | NULL | 0 FB_INSTANCE | INPUTPINS_FOOBAR5_ | spi6502b_COPY_0_COPY_0 | 0 | 0 | 0 BUSINFO | CPU_A<1:0> | 2 | 0 | 0 | cpu_a<0> | 1 | cpu_a<1> | 0 BUSINFO | CPU_D<7:0> | 8 | 0 | 2 | cpu_d<0> | 7 | cpu_d<1> | 6 | cpu_d<2> | 5 | cpu_d<3> | 4 | cpu_d<4> | 3 | cpu_d<5> | 2 | cpu_d<6> | 1 | cpu_d<7> | 0 -FB_ORDER_OF_INPUTS | FOOBAR1_ | 0 | cpu_rnw | 7 | 1 | spidataout<2> | NULL | 3 | spidataout<0> | NULL | 5 | Ncs2 | 18 | 6 | tmo | NULL -FB_ORDER_OF_INPUTS | FOOBAR1_ | 8 | spi_int | 42 | 9 | spidatain<4> | NULL | 10 | slavesel | NULL | 11 | spidatain<2> | NULL | 13 | cpu_a<0> | 22 -FB_ORDER_OF_INPUTS | FOOBAR1_ | 15 | cpol | NULL | 17 | cpu_Nres | 19 | 19 | cpu_d<2> | 4 | 20 | cpu_d<0> | 2 | 21 | spidatain<3> | NULL -FB_ORDER_OF_INPUTS | FOOBAR1_ | 24 | cs1 | 20 | 26 | divisor<1> | NULL | 28 | slaveinten | NULL | 29 | spidatain<0> | NULL | 30 | divisor<0> | NULL -FB_ORDER_OF_INPUTS | FOOBAR1_ | 33 | cpu_d<1> | 3 | 36 | spidatain<1> | NULL | 37 | spidataout<1> | NULL | 38 | divisor<2> | NULL | 39 | cpu_a<1> | 24 -FB_ORDER_OF_INPUTS | FOOBAR1_ | 43 | cpha | NULL | 44 | cpu_d<4> | 9 | 45 | frx | NULL | 50 | ece | NULL | 51 | cpu_Nphi2 | 5 -FB_ORDER_OF_INPUTS | FOOBAR1_ | 53 | cpu_d<3> | 8 +FB_ORDER_OF_INPUTS | FOOBAR1_ | 0 | spidataout<3> | NULL | 1 | spidataout<2> | NULL | 2 | spidataout<1> | NULL | 3 | spidataout<0> | NULL | 4 | cpu_d<3> | 8 +FB_ORDER_OF_INPUTS | FOOBAR1_ | 6 | tmo | NULL | 8 | slaveinten | NULL | 9 | spidatain<1> | NULL | 10 | ece | NULL | 11 | divisor<2> | NULL +FB_ORDER_OF_INPUTS | FOOBAR1_ | 12 | divisor<1> | NULL | 13 | divisor<0> | NULL | 17 | cpu_Nres | 19 | 21 | spidatain<4> | NULL | 22 | spi_int | 42 +FB_ORDER_OF_INPUTS | FOOBAR1_ | 29 | cpu_d<0> | 2 | 31 | cpu_rnw | 7 | 32 | cpu_d<4> | 9 | 33 | cpu_d<1> | 3 | 36 | cpu_a<0> | 22 +FB_ORDER_OF_INPUTS | FOOBAR1_ | 39 | cpu_a<1> | 24 | 40 | cpu_d<2> | 4 | 41 | spidatain<2> | NULL | 42 | slavesel | NULL | 43 | cpha | NULL +FB_ORDER_OF_INPUTS | FOOBAR1_ | 44 | spidatain<0> | NULL | 45 | frx | NULL | 47 | Ncs2 | 18 | 50 | spidatain<3> | NULL | 52 | cpol | NULL +FB_ORDER_OF_INPUTS | FOOBAR1_ | 53 | cpu_Nphi2 | 5 -FB_IMUX_INDEX | FOOBAR1_ | 126 | 1 | -1 | 3 | -1 | 131 | 6 | -1 | 98 | 27 | 64 | 29 | -1 | 121 | -1 | 15 | -1 | 125 | -1 | 114 | 108 | 28 | -1 | -1 | 123 | -1 | 12 | -1 | 8 | 31 | 13 | -1 | -1 | 110 | -1 | -1 | 30 | 2 | 11 | 111 | -1 | -1 | -1 | 17 | 132 | 9 | -1 | -1 | -1 | -1 | 10 | 120 | -1 | 130 +FB_IMUX_INDEX | FOOBAR1_ | 0 | 1 | 2 | 3 | 130 | -1 | 6 | -1 | 8 | 63 | 10 | 11 | 12 | 13 | -1 | -1 | -1 | 125 | -1 | -1 | -1 | 28 | 98 | -1 | -1 | -1 | -1 | -1 | -1 | 108 | -1 | 126 | 132 | 110 | -1 | -1 | 121 | -1 | -1 | 111 | 114 | 30 | 64 | 17 | 65 | 9 | -1 | 131 | -1 | -1 | 29 | -1 | 15 | 120 -FB_ORDER_OF_INPUTS | FOOBAR2_ | 1 | spidataout<2> | NULL | 2 | start_shifting | NULL | 3 | spidataout<7> | NULL | 4 | spidataout<6> | NULL | 5 | spidataout<5> | NULL -FB_ORDER_OF_INPUTS | FOOBAR2_ | 6 | tmo | NULL | 7 | spidataout<3> | NULL | 9 | spidatain<4> | NULL | 10 | slavesel | NULL | 11 | spidatain<2> | NULL -FB_ORDER_OF_INPUTS | FOOBAR2_ | 13 | spidatain<0> | NULL | 14 | shiftcnt<3> | NULL | 16 | shiftcnt<1> | NULL | 17 | cpu_Nres | 19 | 18 | shiftdone | NULL -FB_ORDER_OF_INPUTS | FOOBAR2_ | 19 | spi_miso | 44 | 20 | shiftcnt<0> | NULL | 21 | spidatain<3> | NULL | 24 | spidatain<6> | NULL | 25 | shifting2 | NULL -FB_ORDER_OF_INPUTS | FOOBAR2_ | 26 | $OpTx$INV$22__$INT.UIM | NULL | 29 | spidataout<1> | NULL | 32 | spidatain<5> | NULL | 36 | spidatain<1> | NULL | 39 | spidataout<4> | NULL -FB_ORDER_OF_INPUTS | FOOBAR2_ | 44 | shiftcnt<2> | NULL | 46 | spidataout<0> | NULL | 48 | extclk | 6 | 50 | ece | NULL | 51 | cpu_Nphi2 | 5 +FB_ORDER_OF_INPUTS | FOOBAR2_ | 0 | shiftdone | NULL | 2 | start_shifting | NULL | 3 | spidataout<7> | NULL | 5 | spidataout<6> | NULL | 7 | spidatain<6> | NULL +FB_ORDER_OF_INPUTS | FOOBAR2_ | 9 | spidatain<5> | NULL | 10 | spidatain<4> | NULL | 11 | spidatain<3> | NULL | 12 | spidatain<2> | NULL | 14 | extclk | 6 +FB_ORDER_OF_INPUTS | FOOBAR2_ | 15 | shiftcnt<1> | NULL | 17 | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST.UIM | NULL | 18 | cpu_a<1> | 24 | 27 | shiftcnt<2> | NULL | 28 | cpu_Nres | 19 +FB_ORDER_OF_INPUTS | FOOBAR2_ | 31 | $OpTx$INV$24__$INT.UIM | NULL | 33 | spidataout<3> | NULL | 36 | spidataout<4> | NULL | 37 | spidataout<1> | NULL | 38 | spidatain<1> | NULL +FB_ORDER_OF_INPUTS | FOOBAR2_ | 39 | tmo | NULL | 40 | shiftcnt<0> | NULL | 43 | cpu_a<0> | 22 | 44 | spidataout<2> | NULL | 46 | spidataout<0> | NULL +FB_ORDER_OF_INPUTS | FOOBAR2_ | 47 | Ncs2 | 18 | 48 | shifting2 | NULL | 49 | spidataout<5> | NULL | 50 | ece | NULL | 51 | shiftcnt<3> | NULL +FB_ORDER_OF_INPUTS | FOOBAR2_ | 53 | cpu_Nphi2 | 5 -FB_IMUX_INDEX | FOOBAR2_ | -1 | 1 | 56 | 57 | 58 | 59 | 6 | 61 | -1 | 27 | 64 | 29 | -1 | 31 | 32 | -1 | 34 | 125 | 21 | 104 | 22 | 28 | -1 | -1 | 25 | 20 | 23 | -1 | -1 | 2 | -1 | -1 | 26 | -1 | -1 | -1 | 30 | -1 | -1 | 60 | -1 | -1 | -1 | -1 | 33 | -1 | 3 | -1 | 122 | -1 | 10 | 120 | -1 | -1 +FB_IMUX_INDEX | FOOBAR2_ | 54 | -1 | 56 | 57 | -1 | 59 | -1 | 25 | -1 | 27 | 28 | 29 | 30 | -1 | 122 | 69 | -1 | 53 | 111 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 68 | 125 | -1 | -1 | 23 | -1 | 0 | -1 | -1 | 62 | 2 | 63 | 6 | 22 | -1 | -1 | 121 | 1 | -1 | 3 | 131 | 20 | 60 | 10 | 66 | -1 | 120 -FB_ORDER_OF_INPUTS | FOOBAR3_ | 0 | cpu_rnw | 7 | 1 | tc | NULL | 2 | start_shifting | NULL | 5 | Ncs2 | 18 | 6 | spidatain<7> | NULL -FB_ORDER_OF_INPUTS | FOOBAR3_ | 7 | spidatain<6> | NULL | 13 | cpu_a<0> | 22 | 24 | cs1 | 20 | 25 | shifting2 | NULL | 32 | spidatain<5> | NULL -FB_ORDER_OF_INPUTS | FOOBAR3_ | 33 | ier | NULL | 37 | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST.UIM | NULL | 39 | cpu_a<1> | 24 | 51 | cpu_Nphi2 | 5 +FB_ORDER_OF_INPUTS | FOOBAR3_ | 0 | cpu_rnw | 7 | 2 | shifting2 | NULL | 3 | tc | NULL | 5 | Ncs2 | 18 | 6 | spidatain<7> | NULL +FB_ORDER_OF_INPUTS | FOOBAR3_ | 8 | a8 | 36 | 10 | a9 | 37 | 12 | a10 | 38 | 16 | add_dec/XLXN_11 | NULL | 17 | ier | NULL +FB_ORDER_OF_INPUTS | FOOBAR3_ | 18 | cpu_a<1> | 24 | 22 | spi_int | 42 | 28 | spidatain<6> | NULL | 36 | cpu_a<0> | 22 | 41 | slaveinten | NULL +FB_ORDER_OF_INPUTS | FOOBAR3_ | 43 | start_shifting | NULL | 45 | nio_stb | 43 | 50 | nio_sel | 40 | 52 | spidatain<5> | NULL | 53 | cpu_Nphi2 | 5 -FB_IMUX_INDEX | FOOBAR3_ | 126 | 55 | 56 | -1 | -1 | 131 | 24 | 25 | -1 | -1 | -1 | -1 | -1 | 121 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 123 | 20 | -1 | -1 | -1 | -1 | -1 | -1 | 26 | 62 | -1 | -1 | -1 | 54 | -1 | 111 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 120 | -1 | -1 +FB_IMUX_INDEX | FOOBAR3_ | 126 | -1 | 20 | 21 | -1 | 131 | 24 | -1 | 80 | -1 | 82 | -1 | 84 | -1 | -1 | -1 | 52 | 71 | 111 | -1 | -1 | -1 | 98 | -1 | -1 | -1 | -1 | -1 | 25 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 121 | -1 | -1 | -1 | -1 | 8 | -1 | 56 | -1 | 102 | -1 | -1 | -1 | -1 | 92 | -1 | 27 | 120 -FB_ORDER_OF_INPUTS | FOOBAR4_ | 0 | cpu_rnw | 7 | 1 | tc | NULL | 2 | start_shifting | NULL | 3 | spidataout<7> | NULL | 4 | spidataout<6> | NULL -FB_ORDER_OF_INPUTS | FOOBAR4_ | 5 | Ncs2 | 18 | 6 | spidataout<4> | NULL | 7 | spidataout<3> | NULL | 8 | spi_int | 42 | 9 | frx | NULL -FB_ORDER_OF_INPUTS | FOOBAR4_ | 10 | slavesel | NULL | 13 | cpu_a<0> | 22 | 15 | cpol | NULL | 16 | cpu_d<7> | 13 | 17 | cpu_Nres | 19 -FB_ORDER_OF_INPUTS | FOOBAR4_ | 18 | shiftdone | NULL | 20 | cpu_d<0> | 2 | 21 | cpu_d<6> | 12 | 24 | cs1 | 20 | 25 | shifting2 | NULL -FB_ORDER_OF_INPUTS | FOOBAR4_ | 26 | $OpTx$INV$22__$INT.UIM | NULL | 28 | slaveinten | NULL | 30 | spidataout<5> | NULL | 33 | ier | NULL | 39 | cpu_a<1> | 24 -FB_ORDER_OF_INPUTS | FOOBAR4_ | 40 | shiftcnt<0> | NULL | 42 | cpu_d<5> | 11 | 43 | cpha | NULL | 44 | cpu_d<4> | 9 | 49 | start_shifting/start_shifting_RSTF__$INT.UIM | NULL -FB_ORDER_OF_INPUTS | FOOBAR4_ | 53 | cpu_d<3> | 8 +FB_ORDER_OF_INPUTS | FOOBAR4_ | 0 | shiftdone | NULL | 2 | shifting2 | NULL | 3 | spidataout<7> | NULL | 4 | shiftcnt<0> | NULL | 5 | Ncs2 | 18 +FB_ORDER_OF_INPUTS | FOOBAR4_ | 6 | spidataout<5> | NULL | 8 | spidataout<4> | NULL | 9 | frx | NULL | 10 | slavesel | NULL | 11 | spidatain<0> | NULL +FB_ORDER_OF_INPUTS | FOOBAR4_ | 12 | shiftcnt<3> | NULL | 13 | cpu_a<0> | 22 | 14 | shiftcnt<2> | NULL | 15 | cpol | NULL | 16 | cpu_d<7> | 13 +FB_ORDER_OF_INPUTS | FOOBAR4_ | 17 | cpha | NULL | 19 | spi_miso | 44 | 21 | cpu_d<6> | 12 | 23 | a8 | 36 | 26 | $OpTx$INV$24__$INT.UIM | NULL +FB_ORDER_OF_INPUTS | FOOBAR4_ | 28 | a9 | 37 | 29 | cpu_d<0> | 2 | 30 | spidataout<6> | NULL | 31 | cpu_rnw | 7 | 32 | a10 | 38 +FB_ORDER_OF_INPUTS | FOOBAR4_ | 35 | shiftcnt<1> | NULL | 39 | cpu_a<1> | 24 | 42 | cpu_d<5> | 11 | 43 | start_shifting | NULL | 44 | cpu_d<4> | 9 +FB_ORDER_OF_INPUTS | FOOBAR4_ | 46 | cpu_Nres | 19 | 47 | ier | NULL | 50 | nio_sel | 40 | 53 | start_shifting/start_shifting_RSTF__$INT.UIM | NULL -FB_IMUX_INDEX | FOOBAR4_ | 126 | 55 | 56 | 57 | 58 | 131 | 60 | 61 | 98 | 9 | 64 | -1 | -1 | 121 | -1 | 15 | 142 | 125 | 21 | -1 | 108 | 138 | -1 | -1 | 123 | 20 | 23 | -1 | 8 | -1 | 59 | -1 | -1 | 62 | -1 | -1 | -1 | -1 | -1 | 111 | 22 | -1 | 134 | 17 | 132 | -1 | -1 | -1 | -1 | 35 | -1 | -1 | -1 | 130 +FB_IMUX_INDEX | FOOBAR4_ | 54 | -1 | 20 | 57 | 22 | 131 | 60 | -1 | 62 | 9 | 64 | 65 | 66 | 121 | 68 | 15 | 142 | 17 | -1 | 104 | -1 | 138 | -1 | 80 | -1 | -1 | 23 | -1 | 82 | 108 | 59 | 126 | 84 | -1 | -1 | 69 | -1 | -1 | -1 | 111 | -1 | -1 | 134 | 56 | 132 | -1 | 125 | 71 | -1 | -1 | 92 | -1 | -1 | 35 + +GLOBAL_FCLK | extclk | 1 | 1 diff --git a/spi6502b.xml b/spi6502b.xml index b769fc7..8efeb2e 100644 --- a/spi6502b.xml +++ b/spi6502b.xml @@ -1,3 +1,3 @@ -spi6502b.rptC:/Xilinx/xc9500xl/data/xc9572xl.chpspi6502b.mfd
+spi6502b.rptC:/Xilinx/xc9500xl/data/xc9572xl.chpspi6502b.mfd
diff --git a/spi6502b_pad.csv b/spi6502b_pad.csv index 84e7d04..a1a112e 100644 --- a/spi6502b_pad.csv +++ b/spi6502b_pad.csv @@ -1,7 +1,7 @@ Release 6.1i - Fit G.38 Copyright(c) 1995-2003 Xilinx Inc. All rights reserved - 5- 6-2017 5:47PM + 5-11-2017 2:09AM NOTE: This file is designed to be imported into a spreadsheet program such as Microsoft Excel for viewing, printing and sorting. The comma ',' @@ -23,7 +23,7 @@ P2,cpu_d<0>,I/O,I/O,BIDIR,,,,,,,,, P3,cpu_d<1>,I/O,I/O,BIDIR,,,,,,,,, P4,cpu_d<2>,I/O,I/O,BIDIR,,,,,,,,, P5,cpu_Nphi2,I,I/O/GCK1,INPUT,,,,,,,,, -P6,extclk,I,I/O/GCK2,INPUT,,,,,,,,, +P6,extclk,GCK/I,I/O/GCK2,,,,,,,,,, P7,cpu_rnw,I,I/O/GCK3,INPUT,,,,,,,,, P8,cpu_d<3>,I/O,I/O,BIDIR,,,,,,,,, P9,cpu_d<4>,I/O,I/O,BIDIR,,,,,,,,, @@ -31,20 +31,20 @@ P10,GND,,GND,,,,,,,,,, P11,cpu_d<5>,I/O,I/O,BIDIR,,,,,,,,, P12,cpu_d<6>,I/O,I/O,BIDIR,,,,,,,,, P13,cpu_d<7>,I/O,I/O,BIDIR,,,,,,,,, -P14,cpu_Nirq,O,I/O,OUTPUT,,,,,,,,, +P14,noe,O,I/O,OUTPUT,,,,,,,,, P15,TDI,,TDI,,,,,,,,,, P16,TMS,,TMS,,,,,,,,,, P17,TCK,,TCK,,,,,,,,,, P18,Ncs2,I,I/O,INPUT,,,,,,,,, P19,cpu_Nres,I,I/O,INPUT,,,,,,,,, -P20,cs1,I,I/O,INPUT,,,,,,,,, +P20,ng,O,I/O,OUTPUT,,,,,,,,, P21,VCC,,VCCINT,,,,,,,,,, P22,cpu_a<0>,I,I/O,INPUT,,,,,,,,, P23,GND,,GND,,,,,,,,,, P24,cpu_a<1>,I,I/O,INPUT,,,,,,,,, -P25,TIE,,I/O,,,,,,,,,, -P26,TIE,,I/O,,,,,,,,,, -P27,TIE,,I/O,,,,,,,,,, +P25,b8,O,I/O,OUTPUT,,,,,,,,, +P26,b9,O,I/O,OUTPUT,,,,,,,,, +P27,b10,O,I/O,OUTPUT,,,,,,,,, P28,spi_Nsel,O,I/O,OUTPUT,,,,,,,,, P29,led,O,I/O,OUTPUT,,,,,,,,, P30,TDO,,TDO,,,,,,,,,, @@ -53,14 +53,14 @@ P32,VCC,,VCCIO,,,,,,,,,, P33,TIE,,I/O,,,,,,,,,, P34,spi_sclk,O,I/O,OUTPUT,,,,,,,,, P35,spi_mosi,O,I/O,OUTPUT,,,,,,,,, -P36,TIE,,I/O,,,,,,,,,, -P37,TIE,,I/O,,,,,,,,,, -P38,TIE,,I/O,,,,,,,,,, -P39,TIE,,I/O/GSR,,,,,,,,,, -P40,TIE,,I/O/GTS2,,,,,,,,,, +P36,a8,I,I/O,INPUT,,,,,,,,, +P37,a9,I,I/O,INPUT,,,,,,,,, +P38,a10,I,I/O,INPUT,,,,,,,,, +P39,cpu_Nirq,O,I/O/GSR,OUTPUT,,,,,,,,, +P40,nio_sel,I,I/O/GTS2,INPUT,,,,,,,,, P41,VCC,,VCCINT,,,,,,,,,, P42,spi_int,I,I/O/GTS1,INPUT,,,,,,,,, -P43,TIE,,I/O,,,,,,,,,, +P43,nio_stb,I,I/O,INPUT,,,,,,,,, P44,spi_miso,I,I/O,INPUT,,,,,,,,, To preserve the pinout above for future design iterations in