From 9ac2ba97ae602cc51a7283528f173a4fa40fd28a Mon Sep 17 00:00:00 2001 From: Zane Kaminski Date: Fri, 19 Mar 2021 06:45:31 -0400 Subject: [PATCH] better --- Documentation/Initialization Sequence | 163 +-- Documentation/Settings | 7 +- Documentation/UFM Load | 76 +- cpld/GR8RAM.v | 861 ++++++-------- cpld/db/GR8RAM.(0).cnf.cdb | Bin 40630 -> 24951 bytes cpld/db/GR8RAM.(0).cnf.hdb | Bin 5478 -> 4588 bytes cpld/db/GR8RAM.asm.qmsg | 12 +- cpld/db/GR8RAM.asm.rdb | Bin 1367 -> 1369 bytes cpld/db/GR8RAM.cmp.cdb | Bin 28919 -> 23580 bytes cpld/db/GR8RAM.cmp.hdb | Bin 18890 -> 17497 bytes cpld/db/GR8RAM.cmp.idb | Bin 1582 -> 1382 bytes cpld/db/GR8RAM.cmp.rdb | Bin 13311 -> 12383 bytes cpld/db/GR8RAM.fit.qmsg | 115 +- cpld/db/GR8RAM.hier_info | 144 +-- cpld/db/GR8RAM.hif | Bin 552 -> 527 bytes cpld/db/GR8RAM.ipinfo | Bin 316 -> 177 bytes cpld/db/GR8RAM.lpc.html | 32 - cpld/db/GR8RAM.lpc.rdb | Bin 509 -> 413 bytes cpld/db/GR8RAM.lpc.txt | 13 +- cpld/db/GR8RAM.map.cdb | Bin 27685 -> 22708 bytes cpld/db/GR8RAM.map.hdb | Bin 18889 -> 17393 bytes cpld/db/GR8RAM.map.qmsg | 66 +- cpld/db/GR8RAM.map.rdb | Bin 1241 -> 1239 bytes cpld/db/GR8RAM.pre_map.hdb | Bin 13863 -> 12709 bytes cpld/db/GR8RAM.root_partition.map.reg_db.cdb | Bin 493 -> 400 bytes cpld/db/GR8RAM.routing.rdb | Bin 1439 -> 1400 bytes cpld/db/GR8RAM.rtlv.hdb | Bin 13746 -> 12592 bytes cpld/db/GR8RAM.rtlv_sg.cdb | Bin 34473 -> 22218 bytes cpld/db/GR8RAM.rtlv_sg_swap.cdb | Bin 817 -> 195 bytes cpld/db/GR8RAM.sgdiff.cdb | Bin 28776 -> 23178 bytes cpld/db/GR8RAM.sgdiff.hdb | Bin 15018 -> 13961 bytes cpld/db/GR8RAM.sta.qmsg | 46 +- cpld/db/GR8RAM.sta.rdb | Bin 11133 -> 12191 bytes cpld/db/GR8RAM.vpr.ammdb | Bin 914 -> 872 bytes cpld/db/prev_cmp_GR8RAM.qmsg | 52 +- .../GR8RAM.root_partition.map.kpt | Bin 3339 -> 2645 bytes cpld/output_files/GR8RAM.asm.rpt | 12 +- cpld/output_files/GR8RAM.done | 2 +- cpld/output_files/GR8RAM.fit.rpt | 1012 ++++++++--------- cpld/output_files/GR8RAM.fit.summary | 8 +- cpld/output_files/GR8RAM.flow.rpt | 20 +- cpld/output_files/GR8RAM.jdi | 2 +- cpld/output_files/GR8RAM.map.rpt | 217 ++-- cpld/output_files/GR8RAM.map.smsg | 4 +- cpld/output_files/GR8RAM.map.summary | 8 +- cpld/output_files/GR8RAM.pof | Bin 7879 -> 7879 bytes cpld/output_files/GR8RAM.sta.rpt | 964 +++++++++------- cpld/output_files/GR8RAM.sta.summary | 16 +- 48 files changed, 1724 insertions(+), 2128 deletions(-) diff --git a/Documentation/Initialization Sequence b/Documentation/Initialization Sequence index 5f0d459..84abe2d 100644 --- a/Documentation/Initialization Sequence +++ b/Documentation/Initialization Sequence @@ -1,164 +1,3 @@ -Init sequence - -Init State SDRAM Flash IS Other --------------------------------------------------------------------------------- -$000000-$0FFFBF Wait for Vcc Wait for Vcc 0 -$000000 NOP CKE /CS hi, CLK lo -... -$0FFF90 NOP CKE /CS hi, CLK lo InitActv <= ~BODf -.... -$0FFFA0 NOP CKE /CS lo, CLK lo -... -$0FFFAF NOP CKE /CS lo, CLK lo - -$0FFFB0-$0FFFBF Init: Precharge Send read cmd ($03) 1 -$0FFFB0 NOP CKE CLK lo, MOSI 0 (b7) -$0FFFB1 NOP CKE CLK hi -$0FFFB2 NOP CKE CLK lo, MOSI 0 (b6) -$0FFFB3 PC all CLK hi -$0FFFB4 NOP CKE CLK lo, MOSI 0 (b5) -$0FFFB5 NOP CKE CLK hi -$0FFFB6 NOP CKE CLK lo, MOSI 0 (b4) -$0FFFB7 NOP CKE CLK hi -$0FFFB8 NOP CKE CLK lo, MOSI 0 (b3) -$0FFFB9 NOP CKE CLK hi -$0FFFBA NOP CKE CLK lo, MOSI 0 (b2) -$0FFFBB Load mode CLK hi -$0FFFBC NOP CKE CLK lo, MOSI 1 (b1) -$0FFFBD NOP CKE CLK hi -$0FFFBE NOP CKE CLK lo, MOSI 1 (b0) -$0FFFBF NOP CKE CLK hi - -$0FFFC0-$0FFFEF Init: mode & ref Send address ($000000) 2 -$0FFFC0 NOP CKE CLK lo, MOSI 0 (b23) -$0FFFC1 NOP CKE CLK hi -$0FFFC2 NOP CKE CLK lo, MOSI 0 (b22) -$0FFFC3 AREF CLK hi -$0FFFC4 NOP CKE CLK lo, MOSI Firmware[1] (b21) -$0FFFC5 NOP CKE CLK hi -$0FFFC6 NOP CKE CLK lo, MOSI Firmware[0] (b20) -$0FFFC7 NOP CKE CLK hi -$0FFFC8 NOP CKE CLK lo, MOSI 0 (b19) -$0FFFC9 NOP CKE CLK hi -$0FFFCA NOP CKE CLK lo, MOSI 0 (b18) -$0FFFCB AREF CLK hi -$0FFFCC NOP CKE CLK lo, MOSI 0 (b17) -$0FFFCD NOP CKE CLK hi -$0FFFCE NOP CKE CLK lo, MOSI 0 (b16) -$0FFFCF NOP CKE CLK hi -$0FFFD0 NOP CKE CLK lo, MOSI 0 (b15) -$0FFFD1 NOP CKE CLK hi -$0FFFD2 NOP CKE CLK lo, MOSI 0 (b14) -$0FFFD3 AREF CLK hi -$0FFFD4 NOP CKE CLK lo, MOSI 0 (b13) -$0FFFD5 NOP CKE CLK hi -$0FFFD6 NOP CKE CLK lo, MOSI 0 (b12) -$0FFFD7 NOP CKE CLK hi -$0FFFD8 NOP CKE CLK lo, MOSI 0 (b11) -$0FFFD9 NOP CKE CLK hi -$0FFFDA NOP CKE CLK lo, MOSI 0 (b10) -$0FFFDB AREF CLK hi -$0FFFDC NOP CKE CLK lo, MOSI 0 (b9) -$0FFFDD NOP CKE CLK hi -$0FFFDE NOP CKE CLK lo, MOSI 0 (b8) -$0FFFDF NOP CKE CLK hi -$0FFFE0 NOP CKE CLK lo, MOSI 0 (b7) -$0FFFE1 NOP CKE CLK hi -$0FFFE2 NOP CKE CLK lo, MOSI 0 (b6) -$0FFFE3 AREF CLK hi -$0FFFE4 NOP CKE CLK lo, MOSI 0 (b5) -$0FFFE5 NOP CKE CLK hi -$0FFFE6 NOP CKE CLK lo, MOSI 0 (b4) -$0FFFE7 NOP CKE CLK hi -$0FFFE8 NOP CKE CLK lo, MOSI 0 (b3) -$0FFFE9 NOP CKE CLK hi -$0FFFEA NOP CKE CLK lo, MOSI 0 (b2) -$0FFFEB AREF CLK hi -$0FFFEC NOP CKE CLK lo, MOSI 0 (b1) -$0FFFED NOP CKE CLK hi -$0FFFEE NOP CKE CLK lo, MOSI 0 (b0) -$0FFFEF NOP CKE CLK hi - -$0FFFF0-$0FFFFF Init: mode & ref 8 dummy clocks 2 -$0FFFF0 NOP CKE CLK lo, MOSIOE 0 -$0FFFF1 NOP CKE CLK hi -$0FFFF2 NOP CKE CLK lo -$0FFFF3 AREF CLK hi -$0FFFF4 NOP CKE CLK lo -$0FFFF5 NOP CKE CLK hi -$0FFFF6 NOP CKE CLK lo -$0FFFF7 NOP CKE CLK hi -$0FFFF8 NOP CKE CLK lo -$0FFFF9 NOP CKE CLK hi -$0FFFFA NOP CKE CLK lo -$0FFFFB AREF CLK hi -$0FFFFC NOP CKE CLK lo -$0FFFFD NOP CKE CLK hi -$0FFFFE NOP CKE CLK lo -$0FFFFF NOP CKE CLK hi - -$100000-$503FFF Write ROM data Shift in read data 3 -$100000 NOP CKE CLK lo -$100001 NOP CKE CLK hi, get b7:6 of $000000 -$100002 NOP CKE CLK lo -$100003 AREF CLK hi, get b5:4 of $000000 -$100004 NOP CKE CLK lo -$100005 ACT CLK hi, get b3:2 of $000000 -$100006 NOP CKE CLK lo -$100007 WR AP CLK hi, get b1:0 of $000000 -$100008 NOP CKE CLK lo -$100009 NOP CKE CLK hi, get b7:6 of $000001 -$10000A NOP CKE CLK lo -$10000B AREF CLK hi, get b5:4 of $000001 -$10000C NOP CKE CLK lo -$10000D ACT CLK hi, get b3:2 of $000001 -$10000E NOP CKE CLK lo -$10000F WR AP CLK hi, get b1:0 of $000001 -... -$503FF0 NOP CKE CLK lo -$503FF1 NOP CKE CLK hi, get b7:6 of $0807FE -$503FF2 NOP CKE CLK lo -$503FF3 AREF CLK hi, get b5:4 of $0807FE -$503FF4 NOP CKE CLK lo -$503FF5 ACT CLK hi, get b3:2 of $0807FE -$503FF6 NOP CKE CLK lo -$503FF7 WR AP CLK hi, get b1:0 of $0807FE -$503FF8 NOP CKE CLK lo -$503FF9 NOP CKE CLK hi, get b7:6 of $0807FF -$503FFA NOP CKE CLK lo -$503FFB AREF CLK hi, get b5:4 of $0807FF -$503FFC NOP CKE CLK lo -$503FFD ACT CLK hi, get b3:2 of $0807FF -$503FFE NOP CKE CLK lo -$503FFF WR AP CLK hi, get b1:0 of $0807FF - -$504000 NOP CKE CLK lo, /CS hi 3 -$504001 NOP CKE CLK lo, /CS hi 3 -$504002 NOP CKE CLK lo, /CS hi 3 SDRAMActv <= InitActv && ~InitInterrupted -... -$5F5E0F flip 1hz, wrap - - - - - - - - - - - - - - - - - - - - - - Init sequence Init State SDRAM Flash IS Other @@ -191,7 +30,7 @@ $0FFBE NOP CKE CLK lo, MOSI 1 (b0) $0FFBF NOP CKE CLK hi $0FFC0-$0FFEF Init: mode & ref Send address ($000000) 2 -$0FFC0 NOP CKE CLK lo, MOSI 0 (b23) +$0FFC0 NOP CKE CLK lo, MOSI 0 (b23) $0FFC1 NOP CKE CLK hi $0FFC2 NOP CKE CLK lo, MOSI 0 (b22) $0FFC3 AREF CLK hi diff --git a/Documentation/Settings b/Documentation/Settings index 518fbe1..b16569d 100644 --- a/Documentation/Settings +++ b/Documentation/Settings @@ -1,7 +1,6 @@ GR8RAM Settings (not applicable to Library Card!) Settings[15] SetValid (1 = invalid, 0 = valid) -Settings[14] SetFW[1] (1 = RAMFactor, 0 = Slinky) -Settings[13] SetFW[0] -Settings[12] SetLim8M -Settings[11:0] Reserved \ No newline at end of file +Settings[14] SetFW (1 = RAMFactor, 0 = Slinky) +Settings[13] SetLim8M +Settings[12:0] Reserved \ No newline at end of file diff --git a/Documentation/UFM Load b/Documentation/UFM Load index 15398c9..af91c7d 100644 --- a/Documentation/UFM Load +++ b/Documentation/UFM Load @@ -78,62 +78,30 @@ $1004 0 0 1 1 SetLoaded <= Dout $1005 0 0 1 1 $1006 0 0 0 1 $1007 0 0 0 1 -$1008 0 0 1 1 latch DR[14] (nSetFW[1]) +$1008 0 0 1 1 latch DR[14] (SetFW) $1009 0 0 1 1 $100A 0 0 0 1 $100B 0 0 0 1 -$100C 0 0 1 1 latch DR[13] (nSetFW[0]) -$100D 0 0 1 1 -$100E 0 0 0 1 -$100F 0 0 0 1 -$1010 0 0 0 1 latch DR[12] (nSetLim8M) -$1011 0 0 0 1 -$1012 0 0 0 1 -$1013 0 0 0 1 -$1014 0 0 0 1 -$1015 0 0 0 1 -$1016 0 0 0 1 -$1017 0 0 0 1 -$1018 0 0 0 1 -$1019 0 0 0 1 -$101A 0 0 0 1 -$101B 0 0 0 1 -$101C 1 0 0 1 Increment address -$101D 1 0 0 1 -$101E 0 0 0 1 -$101F 0 0 0 1 +$100C 1 0 0 1 latch DR[13] (SetLim8M) +$100D 1 0 0 1 +$100E 0 0 0 0 +$100F 0 0 0 0 ... -$2FE0 0 0 1 0 parallel load into DR -$2FE1 0 0 1 0 -$2FE2 0 0 0 1 -$2FE3 0 0 0 1 -$2FE4 0 0 1 1 SetLoaded <= Dout -$2FE5 0 0 1 1 -$2FE6 0 0 0 1 -$2FE7 0 0 0 1 -$2FE8 0 0 1 1 latch DR[14] (nSetFW[1]) -$2FE9 0 0 1 1 -$2FEA 0 0 0 1 -$2FEB 0 0 0 1 -$2FEC 0 0 1 1 latch DR[13] (nSetFW[0]) -$2FED 0 0 1 1 -$2FEE 0 0 0 1 -$2FEF 0 0 0 1 -$2FF0 0 0 0 1 latch DR[12] (nSetLim8M) -$2FF1 0 0 0 1 -$2FF2 0 0 0 1 -$2FF3 0 0 0 1 -$2FF4 0 0 0 1 -$2FF5 0 0 0 1 -$2FF6 0 0 0 1 -$2FF7 0 0 0 1 -$2FF8 0 0 0 1 -$2FF9 0 0 0 1 -$2FFA 0 0 0 1 -$2FFB 0 0 0 1 -$2FFC 1 0 0 1 Increment address -$2FFD 1 0 0 1 -$2FFE 0 0 0 1 -$2FFF 0 0 0 1 +$1FF0 0 0 1 0 parallel load into DR +$1FF1 0 0 1 0 +$1FF2 0 0 0 1 +$1FF3 0 0 0 1 +$1FF4 0 0 1 1 SetLoaded <= Dout +$1FF5 0 0 1 1 +$1FF6 0 0 0 1 +$1FF7 0 0 0 1 +$1FF8 0 0 1 1 latch DR[14] (SetFW) +$1FF9 0 0 1 1 +$1FFA 0 0 0 1 +$1FFB 0 0 0 1 +$1FFC 1 0 0 1 latch DR[13] (SetLim8M) +$1FFD 1 0 0 1 +$1FFE 0 0 0 0 +$1FFF 0 0 0 0 -$3000 0 0 0 0 Everything 0, set SetLoaded +$2000 0 0 0 0 Everything 0, set SetLoaded diff --git a/cpld/GR8RAM.v b/cpld/GR8RAM.v index f2c95cb..208b090 100644 --- a/cpld/GR8RAM.v +++ b/cpld/GR8RAM.v @@ -1,8 +1,6 @@ -module GR8RAM(C25M, PHI0, nBOD, nRES, +module GR8RAM(C25M, PHI0, nBOD, nRES, nRESout, nIOSEL, nDEVSEL, nIOSTRB, - RA, nWE, RAdir, - RD, RDdir, - DMAin, DMAout, INTin, INTout, nRESout, + RA, nWE, RAdir, RD, RDdir, SBA, SA, nRCS, nRAS, nCAS, nSWE, DQML, DQMH, RCKE, SD, nFCS, FCK, MISO, MOSI); @@ -14,50 +12,46 @@ module GR8RAM(C25M, PHI0, nBOD, nRES, always @(posedge C25M) begin PHI0r1 <= PHI0r0; PHI0r2 <= PHI0r1; end /* Reset/brown-out detect synchronized inputs */ - /* Outputs: nRESr, nPBODr, nBODf */ + /* Outputs: nRESr, nBODf */ input nRES, nBOD; reg nRESr0, nRESr; reg nBODr0, nBODr, nBODf0, nBODf; + always @(negedge C25M) begin nBODr0 <= nBOD; nRESr0 <= nRES; end + always @(posedge C25M) begin nBODr <= nBODr0; nRESr <= nRESr0; end always @(posedge C25M) begin - // Double-synchronize nBOD, nPBOD, nRES - nBODr0 <= nBOD; nRESr0 <= nRES; - nBODr <= nBODr0; nRESr <= nRESr0; - // Filter nBODr to get nBODf. Output hi when hi for $10000 cycles - if (LS[15:0]==16'hFFFF) begin // When LS low-order is $FFFF + if (LS[15:0]==16'hFF00) begin // When LS low-order is $FFF0 nBODf0 <= nBODr; // "Precharge" nBODf0 - nBODf <= nBODf0; // "Evaluate" computed nBODf0 into nBODf + nBODf <= nBODf0; // Move computed nBODf0 into nBODf end else if (nBODr) begin // Else AND nBODf0 with nBODr - nBODf0 <= nBODf0 && nBODr; + nBODf0 <= nBODf0 && nBODr; // "Evaluate" by ANDing end end /* Long state counter: counts from 0 to $3FFFF */ - /* Outputs: LS, CSec */ + /* Outputs: LS */ reg [17:0] LS = 0; - always @(posedge C25M) begin - LS <= LS+1; - end + always @(posedge C25M) begin LS <= LS+1; end /* Init state */ output reg nRESout = 0; reg InitActv = 0; reg InitIntr = 0; - reg CmdActv = 0; reg SDRAMActv = 0; always @(posedge C25M) begin if (~nBODf) begin nRESout <= 0; InitIntr <= 1; - CmdActv <= 0; - end else if (LS[17:0]==18'h0FF10) begin - InitActv <= ~CmdActv; + end else if (~nRESr && LS[17:0]==18'h0FF00) begin + nRESout <= 0; + InitActv <= 1; InitIntr <= 0; - end else if (LS[17:0]==18'h30010) begin - nRESout <= InitActv && ~InitIntr; + end else if (LS[17:0]==18'h30002) begin InitActv <= 0; - CmdActv <= InitActv && ~InitIntr; - if (InitActv && ~InitIntr) SDRAMActv <= 1; + if (InitActv && ~InitIntr) begin + SDRAMActv <= 1; + nRESout <= 1; + end end end @@ -74,11 +68,6 @@ module GR8RAM(C25M, PHI0, nBOD, nRES, DEVSELr <= DEVSELr0; IOSELr <= IOSELr0; IOSTRBr <= IOSTRBr0; end - /* DMA/IRQ daisy chain */ - input DMAin, INTin; - output DMAout = DMAin; - output INTout = INTin; - /* Apple address bus */ /* Outputs: RACr, RAcur, nWEcur, RAdir */ input [15:0] RA; @@ -87,7 +76,7 @@ module GR8RAM(C25M, PHI0, nBOD, nRES, reg [11:0] RAcur; reg nWEcur; output RAdir = 1; always @(posedge C25M) begin - if (S==0 && PHI0r1 && ~PHI0r2) begin + if (PSStart) begin RACr <= RA[15:12]==4'hC; RAcur[11:0] <= RA[11:0]; nWEcur <= nWE; @@ -95,17 +84,11 @@ module GR8RAM(C25M, PHI0, nBOD, nRES, end /* Apple select signals */ - /* Outputs: ROMSpecRD, RAMSpecSEL, RAMSpecRD, RAMSpecWR, RAMSEL */ + /* Outputs: ROMSpecRD, RAMSpecSEL, RAMSpecRD, RAMSpecWR */ wire ROMSpecRD = RACr && RAcur[11:8]!=4'h0 && nWEcur; - wire RAMSpecSEL = RACr && RAcur[11:8]==4'h0 && RAcur[3:0]==4'h3; + wire RAMSpecSEL = RACr && RAcur[11:8]==4'h0 && RAcur[7] && RAcur[3:0]==4'h3; wire RAMSpecRD = RAMSpecSEL && nWEcur; wire RAMSpecWR = RAMSpecSEL && ~nWEcur; - reg RAMSEL = 0; - wire RAMWR = RAMSEL && ~nWEcur; - always @(posedge C25M) begin - if (S==5) RAMSEL <= RAMSpecSEL && DEVSELr; - else if (S==0) RAMSEL <= 0; - end /* IOROMEN and REGEN control */ reg IOROMEN = 0; @@ -114,9 +97,9 @@ module GR8RAM(C25M, PHI0, nBOD, nRES, if (~nRESr) begin IOROMEN <= 0; REGEN <= 0; - end else if (S==7 && IOSTRBr && RAcur[10:0]==11'h7FF) begin + end else if (PS==7 && IOSTRBr && RAcur[10:0]==11'h7FF) begin IOROMEN <= 0; - end else if (S==7 && IOSELr) begin + end else if (PS==7 && IOSELr) begin IOROMEN <= 1; REGEN <= 1; end @@ -125,9 +108,8 @@ module GR8RAM(C25M, PHI0, nBOD, nRES, /* Apple data bus */ inout [7:0] RD = RDdir ? 8'bZ : RDout[7:0]; reg [7:0] RDout; - reg RDOE = 0; - output RDdir = ~((~nDEVSEL || ~nIOSEL || (~nIOSTRB && IOROMEN)) && - PHI0 && PHI0r2 && nWE && RDOE && nBODf); + output RDdir = ~(PHI0 && PHI0r2 && nWE && nRESr && + ((~nDEVSEL && REGEN) || ~nIOSEL || (~nIOSTRB && IOROMEN))); /* Slinky address registers */ reg [23:0] Addr = 0; @@ -138,26 +120,25 @@ module GR8RAM(C25M, PHI0, nBOD, nRES, if (~nRESr) begin Addr[23:20] <= SetFW[1] ? 4'h0 : 4'hF; Addr[19:0] <= 20'h00000; - end else if (S==7 && DEVSELr) begin - if (AddrHSpecSEL && ~nWEcur) begin - Addr[23:16] <= { SetFW[1] ? RD[7:4] : 4'hF, RD[3:0] }; - end else if ((RAMSEL && Addr[15:0]==16'hFFFF) || - (AddrMSpecSEL && Addr[15] && ~RD[7] && ~nWEcur) || - (AddrLSpecSEL && Addr[7] && ~RD[7] && Addr[15:8]==8'hFF && ~nWEcur)) begin - Addr[23:16] <= Addr[23:16]+1; - end - - if (AddrMSpecSEL && ~nWEcur) begin - Addr[15:8] <= RD[7:0]; - end else if ((RAMSEL && Addr[7:0]==8'hFF) || - (AddrLSpecSEL && Addr[7] && ~RD[7] && ~nWEcur)) begin - Addr[15:8] <= Addr[15:8]+1; - end - - if (AddrLSpecSEL && ~nWEcur) begin + end else if (PS==7 && REGEN && DEVSELr) begin + if (RAMSpecSEL) begin + if (SetFW[1]) Addr[23:0] <= Addr[23:0]+1; + else Addr[23:0] <= { 4'hF, Addr[19:0]+1 }; + end else if (AddrLSpecSEL && ~nWEcur) begin Addr[7:0] <= RD[7:0]; - end else if (RAMSEL) begin - Addr[7:0] <= Addr[7:0]+1; + if (~RD[7] && Addr[7]) begin + if (SetFW[1]) Addr[23:8] <= Addr[23:8]+1; + else Addr[23:8] <= { 4'hF, Addr[19:8]+1 }; + end + end else if (AddrMSpecSEL && ~nWEcur) begin + Addr[15:8] <= RD[7:0]; + if (~RD[7] && Addr[15]) begin + if (SetFW[1]) Addr[23:16] <= Addr[23:16]+1; + else Addr[23:16] <= { 4'hF, Addr[19:16]+1 }; + end + end else if (AddrHSpecSEL && ~nWEcur) begin + if (SetFW[1]) Addr[23:16] <= RD[7:0]; + else Addr[23:16] <= { 4'hF, RD[3:0] }; end end end @@ -166,9 +147,8 @@ module GR8RAM(C25M, PHI0, nBOD, nRES, reg [1:0] Bank = 0; wire BankSpecSEL = RAcur[3:0]==4'hF; always @(posedge C25M) begin - if (~nRESr) begin - Bank <= 0; - end else if (S==7 && DEVSELr && BankSpecSEL && ~nWEcur) begin + if (~nRESr) Bank <= 0; + else if (PS==7 && DEVSELr && BankSpecSEL && ~nWEcur) begin Bank[1:0] <= RD[1:0]; end end @@ -185,35 +165,35 @@ module GR8RAM(C25M, PHI0, nBOD, nRES, /* SPI flash control */ always @(posedge C25M) begin - FCK <= FCKEN && LS[0]; + FCK <= (FCKEN && LS[0]) || (nRESr && FCKEN); end always @(posedge C25M) begin if (InitActv) begin - // Pulse clock from init states $0FFC0 to $2FFFF - if (LS[17:0]==18'h0FFB0) FCKEN <= 1'b0; - else if (LS[17:0]==18'h0FFC0) FCKEN <= 1'b1; - else if (LS[17:0]==18'h30000) FCKEN <= 1'b0; - // Flash /CS enabled from init states $0FFB0 to $2FFFF - if (LS[17:0]==18'h0FFA0) FCS <= 1'b0; - else if (LS[17:0]==18'h0FFB0) FCS <= 1'b1; + if (LS[17:0]==18'h0FF90) FCS <= 1'b0; + else if (LS[17:0]==18'h0FFA0) FCS <= 1'b1; else if (LS[17:0]==18'h30000) FCS <= 1'b0; + // Pulse clock from init states $0FFC0 to $2FFFF + if (LS[17:0]==18'h0FF90) FCKEN <= 1'b0; + else if (LS[17:0]==18'h0FFB0) FCKEN <= 1'b1; + else if (LS[17:0]==18'h30000) FCKEN <= 1'b0; + // Send command $3B (read) (MSB first) - /*if (LS[17:0]==18'h0FFB0 || LS[17:0]==18'h0FFB1) MOSIout <= 0; + if (LS[17:0]==18'h0FFB0 || LS[17:0]==18'h0FFB1) MOSIout <= 0; else if (LS[17:0]==18'h0FFB2 || LS[17:0]==18'h0FFB3) MOSIout <= 0; - else*/ if (LS[17:0]==18'h0FFB4 || LS[17:0]==18'h0FFB5) MOSIout <= 1; + else if (LS[17:0]==18'h0FFB4 || LS[17:0]==18'h0FFB5) MOSIout <= 1; else if (LS[17:0]==18'h0FFB6 || LS[17:0]==18'h0FFB7) MOSIout <= 1; else if (LS[17:0]==18'h0FFB8 || LS[17:0]==18'h0FFB9) MOSIout <= 1; - /*else if (LS[17:0]==18'h0FFBA || LS[17:0]==18'h0FFBB) MOSIout <= 0;*/ + else if (LS[17:0]==18'h0FFBA || LS[17:0]==18'h0FFBB) MOSIout <= 0; else if (LS[17:0]==18'h0FFBC || LS[17:0]==18'h0FFBD) MOSIout <= 1; else if (LS[17:0]==18'h0FFBE || LS[17:0]==18'h0FFBF) MOSIout <= 1; // Send 24-bit address (MSB first) - /*else if (LS[17:0]==18'h0FFC0 || LS[17:0]==18'h0FFC1) MOSIout <= 0; - else if (LS[17:0]==18'h0FFC2 || LS[17:0]==18'h0FFC3) MOSIout <= 0;*/ - else if (LS[17:0]==18'h0FFC4 || LS[17:0]==18'h0FFC5) MOSIout <= SetFW[1]; - else if (LS[17:0]==18'h0FFC6 || LS[17:0]==18'h0FFC7) MOSIout <= SetFW[0]; - /*else if (LS[17:0]==18'h0FFC8 || LS[17:0]==18'h0FFC9) MOSIout <= 0; + else if (LS[17:0]==18'h0FFC0 || LS[17:0]==18'h0FFC1) MOSIout <= 0; + else if (LS[17:0]==18'h0FFC2 || LS[17:0]==18'h0FFC3) MOSIout <= 0; + else if (LS[17:0]==18'h0FFC4 || LS[17:0]==18'h0FFC5) MOSIout <= 0; + else if (LS[17:0]==18'h0FFC6 || LS[17:0]==18'h0FFC7) MOSIout <= SetFW; + else if (LS[17:0]==18'h0FFC8 || LS[17:0]==18'h0FFC9) MOSIout <= 0; else if (LS[17:0]==18'h0FFCA || LS[17:0]==18'h0FFCB) MOSIout <= 0; else if (LS[17:0]==18'h0FFCC || LS[17:0]==18'h0FFCD) MOSIout <= 0; else if (LS[17:0]==18'h0FFCE || LS[17:0]==18'h0FFCF) MOSIout <= 0; @@ -232,25 +212,22 @@ module GR8RAM(C25M, PHI0, nBOD, nRES, else if (LS[17:0]==18'h0FFE8 || LS[17:0]==18'h0FFE9) MOSIout <= 0; else if (LS[17:0]==18'h0FFEA || LS[17:0]==18'h0FFEB) MOSIout <= 0; else if (LS[17:0]==18'h0FFEC || LS[17:0]==18'h0FFED) MOSIout <= 0; - else if (LS[17:0]==18'h0FFEE || LS[17:0]==18'h0FFEF) MOSIout <= 0;*/ + else if (LS[17:0]==18'h0FFEE || LS[17:0]==18'h0FFEF) MOSIout <= 0; else MOSIout <= 0; - if (LS[17:0]==18'h0FFA0) MOSIOE <= 1'b0; - else if (LS[17:0]==18'h0FFB0) MOSIOE <= 1'b1; + if (LS[17:0]==18'h0FF90) MOSIOE <= 1'b1; else if (LS[17:0]==18'h0FFF0) MOSIOE <= 1'b0; - end else if (CmdActv) begin + end else if (nRESr) begin //TODO: control these with Apple II FCS <= 0; FCKEN <= 0; MOSIout <= 0; MOSIOE <= 0; - //TODO? sample nMenu when MOSI not outputting? end end /* UFM control */ reg ARCLK = 0; // UFM address register clock - // UFM address register data input tied to 0 reg ARShift = 0; // 1 to Shift UFM address in, 0 to increment reg DRCLK = 0; // UFM data register clock reg DRDIn = 0; // UFM data register input @@ -280,16 +257,14 @@ module GR8RAM(C25M, PHI0, nBOD, nRES, reg UFMBr = 0; // UFMBusy registered to sync with C25M reg RTPBr0 = 0; // RTPBusy registered to sync with C25M reg RTPBr = 0; // RTPBusy registered to sync with C25M - always @(posedge C25M) begin - UFMBr <= UFMBr0; UFMBr0 <= UFMB; - RTPBr <= RTPBr0; RTPBr0 <= RTPB; - end + always @(negedge C25M) begin UFMBr0 <= UFMB; RTPBr0 <= RTPB; end + always @(posedge C25M) begin UFMBr <= UFMBr0; RTPBr <= RTPBr0; end reg SetLoaded = 0; reg [1:0] SetFW; reg SetLim8M; always @(posedge C25M) begin if (~SetLoaded) begin - if (LS[15:0]<=16'h0FBF) begin + if (LS[15:0]<=16'h0FB0) begin ARCLK <= 0; ARShift <= 1; DRCLK <= 0; @@ -301,106 +276,61 @@ module GR8RAM(C25M, PHI0, nBOD, nRES, DRShift <= 0; SetFW[1:0] <= 2'b11; SetLim8M <= 1'b1; - end else if (LS[15:0]<=16'h2FFF) begin - if (LS[4:0]==5'h00 || LS[4:0]==5'h01) begin - ARCLK <= 0; - ARShift <= 0; - DRCLK <= 1; - DRShift <= 0; - end else if (LS[4:0]==5'h02 || LS[4:0]==5'h03) begin - ARCLK <= 0; - ARShift <= 0; - DRCLK <= 0; - DRShift <= 1; - end else if (LS[4:0]==5'h04 || LS[4:0]==5'h05) begin - ARCLK <= 0; - ARShift <= 0; - DRCLK <= 1; - DRShift <= 1; - if (LS[4:0]==5'h04 && DRDOut) SetLoaded <= 1; - end else if (LS[4:0]==5'h06 || LS[4:0]==5'h07) begin - ARCLK <= 0; - ARShift <= 0; - DRCLK <= 0; - DRShift <= 1; - end else if (LS[4:0]==5'h08 || LS[4:0]==5'h09) begin - ARCLK <= 0; - ARShift <= 0; - DRCLK <= 1; - DRShift <= 1; - if (LS[4:0]==5'h08) SetFW[1] <= DRDOut; - end else if (LS[4:0]==5'h0A || LS[4:0]==5'h0B) begin - ARCLK <= 0; - ARShift <= 0; - DRCLK <= 0; - DRShift <= 1; - end else if (LS[4:0]==5'h0C || LS[4:0]==5'h0D) begin - ARCLK <= 0; - ARShift <= 0; - DRCLK <= 1; - DRShift <= 1; - if (LS[4:0]==5'h0C) SetFW[0] <= DRDOut; - end else if (LS[4:0]==5'h0E || LS[4:0]==5'h0F) begin - ARCLK <= 0; - ARShift <= 0; - DRCLK <= 0; - DRShift <= 1; - end else if (LS[4:0]==5'h10 || LS[4:0]==5'h11) begin - ARCLK <= 0; - ARShift <= 0; - DRCLK <= 0; - DRShift <= 1; - if (LS[4:0]==5'h10) SetLim8M <= DRDOut; - end else if (LS[4:0]==5'h12 || LS[4:0]==5'h13) begin - ARCLK <= 0; - ARShift <= 0; - DRCLK <= 0; - DRShift <= 1; - end else if (LS[4:0]==5'h14 || LS[4:0]==5'h15) begin - ARCLK <= 0; - ARShift <= 0; - DRCLK <= 0; - DRShift <= 1; - end else if (LS[4:0]==5'h16 || LS[4:0]==5'h17) begin - ARCLK <= 0; - ARShift <= 0; - DRCLK <= 0; - DRShift <= 1; - end else if (LS[4:0]==5'h18 || LS[4:0]==5'h19) begin - ARCLK <= 0; - ARShift <= 0; - DRCLK <= 0; - DRShift <= 1; - end else if (LS[4:0]==5'h1A || LS[4:0]==5'h1B) begin - ARCLK <= 0; - ARShift <= 0; - DRCLK <= 0; - DRShift <= 1; - end else if (LS[4:0]==5'h1C || LS[4:0]==5'h1D) begin - ARCLK <= 1; - ARShift <= 0; - DRCLK <= 0; - DRShift <= 1; - end else if (LS[4:0]==5'h1E || LS[4:0]==5'h1F) begin - ARCLK <= 0; - ARShift <= 0; - DRCLK <= 0; - DRShift <= 1; - end + end else if (LS[15:0]<=16'h1FFF) begin + case (LS[3:1]) + 3'h0: begin + ARCLK <= 0; + ARShift <= 0; + DRCLK <= 1; + DRShift <= 0; + end 3'h1: begin + ARCLK <= 0; + ARShift <= 0; + DRCLK <= 0; + DRShift <= 1; + end 3'h2: begin + ARCLK <= 0; + ARShift <= 0; + DRCLK <= 1; + DRShift <= 1; + if (LS[3:0]==4'h2 && DRDOut) SetLoaded <= 1; + end 3'h3: begin + ARCLK <= 0; + ARShift <= 0; + DRCLK <= 0; + DRShift <= 1; + end 3'h4: begin + ARCLK <= 0; + ARShift <= 0; + DRCLK <= 1; + DRShift <= 1; + if (LS[3:0]==4'h4) SetFW <= DRDOut; + end 3'h5: begin + ARCLK <= 0; + ARShift <= 0; + DRCLK <= 0; + DRShift <= 1; + end 3'h6: begin + ARCLK <= 1; + ARShift <= 0; + DRCLK <= 0; + DRShift <= 1; + if (LS[3:0]==4'h6) SetLim8M <= DRDOut; + end 3'h7: begin + ARCLK <= 0; + ARShift <= 0; + DRCLK <= 0; + DRShift <= 0; + end + endcase end else SetLoaded <= 1; DRDIn <= 0; - end else if (CmdActv) begin + end else if (PS==7 /* && ... FIXME */) begin ARCLK <= 0; ARShift <= 0; DRShift <= 1; - DRCLK <= 0; - DRDIn <= 0; - end else begin - ARCLK <= 0; - ARShift <= 0; - DRShift <= 1; DRCLK <= 0; DRDIn <= 0; end @@ -412,25 +342,18 @@ module GR8RAM(C25M, PHI0, nBOD, nRES, reg SDOE = 0; always @(posedge C25M) begin // Shift { MISO, MOSI } in when InitActv. When ready, synchronize RD - if (InitActv) if (LS[1]) WRD[7:0] <= { MISO, MOSI, WRD[5:0] }; - else WRD[7:0] <= RD[7:0]; + if (InitActv && LS[1]) WRD[7:0] <= { MISO, MOSI, WRD[5:0] }; + else if (PS==8) WRD[7:0] <= RD[7:0]; // Output data on SDRAM data bus only during init and when writing - SDOE <= InitActv || (RAMSEL && nWEcur && S==6); + SDOE <= InitActv || (RAMSpecWR && PS==8); end - /* State counters */ - reg [3:0] S = 0; + reg [2:0] PS = 0; + wire PSStart = ~InitActv && nRESr && PS==0 && PHI0r1 && ~PHI0r2; always @(posedge C25M) begin - if (~InitActv && SDRAMActv && S==0 && PHI0r1 && ~PHI0r2 && nRESr && nBODf) S <= 1; - else if (S==0) S <= 0; - else S <= S+1; - end - - /* Refresh state */ - reg RefDone = 0; - always @(posedge C25M) begin - if (LS[6:0]==7'h00) RefDone <= 0; // Reset RefDone every 128 C25M cycles (5.12 us) - else if (S==0 && ~RefDone && ~(PHI0r1 && ~PHI0r2)) RefDone <= 1; + if (PSStart) PS <= 1; + else if (PS==0) PS <= 0; + else PS <= PS+1; end reg [1:0] IS = 0; @@ -442,325 +365,295 @@ module GR8RAM(C25M, PHI0, nBOD, nRES, end else IS <= 0; end + /* Refresh state */ + reg RefReqd = 0; + reg RefReady = 0; + always @(posedge C25M) begin + if (LS[6:0]==7'h00) RefReqd <= SDRAMActv; // Reset RefDone every 128 C25M cycles (5.12 us) + else if (PS==0 && ~RefReqd) RefReqd <= 0; + end + /* SDRAM address/command */ - output reg [1:0] SBA; - output reg [12:0] SA; + output [1:0] SBA; assign SBA[1:0] = + Amux[2:0]==2'h0 ? 2'b00 : // mode register / "all" + Amux[2:0]==2'h1 ? 2'b00 : // FIXME: init row / col + Amux[2:0]==2'h2 ? 2'b10 : // ROM row / col + /* 2'h3 */ { 1'b0, Addr[23] }; // RAM col + output [12:0] SA; assign SA[12:0] = + Amux[2:0]==3'h0 ? 13'b0001000100000 : // mode register + Amux[2:0]==3'h1 ? 13'b0011000100000 : // "all" + Amux[2:0]==3'h2 ? 13'b0011000100000 : // FIXME: init row + Amux[2:0]==3'h3 ? 13'b0011000100000 : // FIXME: init col + Amux[2:0]==3'h4 ? { 9'b000000000, Bank[1:0], RAcur[11:10] } : // ROM row + Amux[2:0]==3'h5 ? { 4'b0000, RAcur[9:1]} : // ROM col + Amux[2:0]==3'h6 ? { Addr[22:10] } : // RAM row + /* 3'h7 */ { 4'b0000, Addr[9:1] }; // RAM col + output DQML; assign DQML = + Amux[2:0]==3'h0 ? 1'b1 : // mode register + Amux[2:0]==3'h1 ? 1'b1 : // "all" + Amux[2:0]==3'h2 ? 1'b1 : // FIXME: init row + Amux[2:0]==3'h3 ? LS[3] : // FIXME: init col + Amux[2:0]==3'h4 ? 1'b1 : // ROM row + Amux[2:0]==3'h5 ? RAcur[0]: // ROM col + Amux[2:0]==3'h6 ? 1'b1 : // RAM row + /* 3'h7 */ Addr[0]; // RAM col + output DQMH; assign DQMH = + Amux[2:0]==3'h0 ? 1'b1 : // mode register + Amux[2:0]==3'h1 ? 1'b1 : // "all" + Amux[2:0]==3'h2 ? 1'b1 : // FIXME: init row + Amux[2:0]==3'h3 ? ~LS[3] : // FIXME: init col + Amux[2:0]==3'h4 ? 1'b1 : // ROM row + Amux[2:0]==3'h5 ? ~RAcur[0]: // ROM col + Amux[2:0]==3'h6 ? 1'b1 : // RAM row + /* 3'h7 */ ~Addr[0]; // RAM col + reg [2:0] Amux = 0; output reg RCKE = 1; output reg nRCS = 1; output reg nRAS = 1; output reg nCAS = 1; output reg nSWE = 1; - output reg DQMH = 1; - output reg DQML = 1; always @(posedge C25M) begin - if (S==0 && InitActv) begin - if (IS[1:0]==2'h0) begin - // NOP CKE - RCKE <= 1'b1; - nRCS <= 1'b1; - nRAS <= 1'b1; - nCAS <= 1'b1; - nSWE <= 1'b1; - DQMH <= 1'b1; - DQML <= 1'b1; - SBA[1:0] <= 2'b00; - SA[12:11] <= 2'b00; - SA[10] <= 1'b1; - SA[9:0] <= 10'b1000100000; - end else if (IS[1:0]==2'h1) begin - if (LS[3:0]==4'h3) begin - // PC all - RCKE <= 1'b1; - nRCS <= 1'b0; - nRAS <= 1'b0; - nCAS <= 1'b1; - nSWE <= 1'b0; - DQMH <= 1'b1; - DQML <= 1'b1; - SA[10] <= 1'b1; // "all" - end else if (LS[3:0]==4'hB) begin - // Load mode register - RCKE <= 1'b1; - nRCS <= 1'b0; - nRAS <= 1'b0; - nCAS <= 1'b0; - nSWE <= 1'b0; - DQMH <= 1'b1; - DQML <= 1'b1; - SA[10] <= 1'b0; // reserved in mode register - end - SBA[1:0] <= 2'b00; // reserved in mode register - SA[12:11] <= 2'b00; // reserved in mode register - SA[9] <= 1'b1; // single write mode - SA[8] <= 1'b0; // reserved in mode register - SA[7] <= 1'b0; // don't enter test mode - SA[6:4] <= 2'b010; // CAS latency 2 - SA[3] <= 1'b0; // sequential addressing mode - SA[2:0] <= 3'b000; // burst length 1 - end else if (IS[1:0]==2'h2) begin - if (LS[2:0]==3'h3) begin - // AREF - RCKE <= 1'b1; - nRCS <= 1'b0; - nRAS <= 1'b0; - nCAS <= 1'b0; - nSWE <= 1'b1; - DQMH <= 1'b1; - DQML <= 1'b1; - end else begin + case (PS[2:0]) + 0: begin + if (InitActv) begin + case (IS[1:0]) + 0: begin + // NOP CKE + RCKE <= 1'b1; + nRCS <= 1'b1; + nRAS <= 1'b1; + nCAS <= 1'b1; + nSWE <= 1'b1; + Amux <= 3'b000; + end 1: begin + if (LS[3:0]==4'h3) begin + // PC all + RCKE <= 1'b1; + nRCS <= 1'b0; + nRAS <= 1'b0; + nCAS <= 1'b1; + nSWE <= 1'b0; + Amux <= 3'b001; + end else if (LS[3:0]==4'hB) begin + // Load mode + RCKE <= 1'b1; + nRCS <= 1'b0; + nRAS <= 1'b0; + nCAS <= 1'b0; + nSWE <= 1'b0; + Amux <= 3'b000; + end else begin + // NOP CKE + RCKE <= 1'b1; + nRCS <= 1'b1; + nRAS <= 1'b1; + nCAS <= 1'b1; + nSWE <= 1'b1; + Amux <= 3'b000; + end + end 2: begin + if (LS[2:0]==3'h3) begin + // AREF + RCKE <= 1'b1; + nRCS <= 1'b0; + nRAS <= 1'b0; + nCAS <= 1'b0; + nSWE <= 1'b1; + Amux <= 3'b000; + end else begin + // NOP CKE + RCKE <= 1'b1; + nRCS <= 1'b1; + nRAS <= 1'b1; + nCAS <= 1'b1; + nSWE <= 1'b1; + Amux <= 3'b000; + end + end 3: begin + if (LS[2:0]==3'h3) begin + // AREF + RCKE <= 1'b1; + nRCS <= 1'b0; + nRAS <= 1'b0; + nCAS <= 1'b0; + nSWE <= 1'b1; + Amux <= 3'b010; + end else if (LS[2:0]==3'h5) begin + // ACT + RCKE <= 1'b1; + nRCS <= 1'b0; + nRAS <= 1'b0; + nCAS <= 1'b1; + nSWE <= 1'b1; + Amux <= 3'b010; + end else if (LS[2:0]==3'h7) begin + // WR AP + RCKE <= 1'b1; + nRCS <= 1'b0; + nRAS <= 1'b1; + nCAS <= 1'b0; + nSWE <= 1'b0; + Amux <= 3'b011; + end else begin + // NOP CKE + RCKE <= 1'b1; + nRCS <= 1'b1; + nRAS <= 1'b1; + nCAS <= 1'b1; + nSWE <= 1'b1; + Amux <= 3'b010; + end + end + endcase + end else if (PSStart) begin // NOP CKE RCKE <= 1'b1; nRCS <= 1'b1; nRAS <= 1'b1; nCAS <= 1'b1; nSWE <= 1'b1; - DQMH <= 1'b1; - DQML <= 1'b1; - end - SBA[1:0] <= 2'b10; - SA[12:11] <= 2'b00; - SA[10] <= 1'b1; - SA[9:0] <= 10'b1000100000; - end else if (IS[1:0]==2'h3) begin - if (LS[2:0]==3'h3) begin - // AREF - RCKE <= 1'b1; - nRCS <= 1'b0; - nRAS <= 1'b0; - nCAS <= 1'b0; + Amux <= 3'b001; + end else if (RefReqd) begin + if (RCKE) begin + // AREF + RCKE <= 1'b1; + nRCS <= 1'b0; + nRAS <= 1'b0; + nCAS <= 1'b0; + nSWE <= 1'b1; + Amux <= 3'b001; + end else begin + // NOP CKE + RCKE <= 1'b1; + nRCS <= 1'b1; + nRAS <= 1'b1; + nCAS <= 1'b1; + nSWE <= 1'b1; + Amux <= 3'b001; + end + end else begin + // NOP CKD + RCKE <= 1'b0; + nRCS <= 1'b1; + nRAS <= 1'b1; + nCAS <= 1'b1; nSWE <= 1'b1; - DQMH <= 1'b1; - DQML <= 1'b1; - SBA[1:0] <= 2'b10; - SA[12:11] <= 2'b00; - SA[10] <= 1'b1; - SA[9:0] <= 10'b1000100000; - end else if (LS[2:0]==3'h5) begin + Amux <= 3'b001; + end + end 1: begin + if (ROMSpecRD || RAMSpecSEL) begin // ACT RCKE <= 1'b1; nRCS <= 1'b0; nRAS <= 1'b0; nCAS <= 1'b1; nSWE <= 1'b1; - SBA[1:0] <= 1'b10; - SA[12:10] <= 3'b001; - SA[9:4] <= 10'b100010; - SA[3:0] <= { ~LS[17], LS[16:14] }; - DQMH <= 1'b1; - DQML <= 1'b1; - end else if (LS[2:0]==3'h7) begin - // WR auto-PC + end else begin + // NOP CKD + RCKE <= 1'b0; + nRCS <= 1'b1; + nRAS <= 1'b1; + nCAS <= 1'b1; + nSWE <= 1'b1; + end + if (ROMSpecRD) Amux <= 3'b100; + else Amux <= 3'b110; + end 2: begin + if (ROMSpecRD || RAMSpecRD) begin + // RD RCKE <= 1'b1; nRCS <= 1'b0; - nRAS <= 1'b1; - nCAS <= 1'b0; - nSWE <= 1'b0; - SBA[1:0] <= 1'b10; - SA[12:11] <= 2'b00; // don't care - SA[10] <= 1'b1; // auto-precharge - SA[9:0] <= LS[13:4]; - DQML <= LS[3]; - DQMH <= ~LS[3]; + nRAS <= 1'b0; + nCAS <= 1'b1; + nSWE <= 1'b1; end else begin + // NOP CKD + RCKE <= 1'b0; + nRCS <= 1'b1; + nRAS <= 1'b1; + nCAS <= 1'b1; + nSWE <= 1'b1; + end + + if (ROMSpecRD) Amux <= 3'b101; + else Amux <= 3'b111; + end 3: begin + if (ROMSpecRD || RAMSpecRD) begin // NOP CKE RCKE <= 1'b1; nRCS <= 1'b1; nRAS <= 1'b1; nCAS <= 1'b1; nSWE <= 1'b1; - DQMH <= 1'b1; - DQML <= 1'b1; - SBA[1:0] <= 2'b10; - SA[12:11] <= 2'b00; - SA[10] <= 1'b1; - SA[9:0] <= 10'b1000100000; - end - end - end else if (S==0 && ~RefDone) begin - // AREF - RCKE <= 1'b1; - nRCS <= 1'b0; - nRAS <= 1'b0; - nCAS <= 1'b0; - nSWE <= 1'b1; - DQMH <= 1'b1; - DQML <= 1'b1; - SBA[1:0] <= 2'b10; - SA[12:11] <= 2'b00; - SA[10] <= 1'b1; - SA[9:0] <= 10'b1000100000; - end else if (S==0) begin - // NOP CKE - RCKE <= 1'b1; - nRCS <= 1'b1; - nRAS <= 1'b1; - nCAS <= 1'b1; - nSWE <= 1'b1; - DQMH <= 1'b1; - DQML <= 1'b1; - SBA[1:0] <= 2'b10; - SA[12:11] <= 2'b00; - SA[10] <= 1'b1; - SA[9:0] <= 10'b1000100000; - end else if (S==4'h1) begin - if (ROMSpecRD || RAMSpecRD) begin - // ACT - RCKE <= 1'b1; - nRCS <= 1'b0; - nRAS <= 1'b0; - nCAS <= 1'b1; - nSWE <= 1'b1; - DQMH <= 1'b1; - DQML <= 1'b1; - end else begin - // NOP CKE - RCKE <= 1'b1; - nRCS <= 1'b1; - nRAS <= 1'b1; - nCAS <= 1'b1; - nSWE <= 1'b1; - DQMH <= 1'b1; - DQML <= 1'b1; - end - - if (RAMSpecRD) begin - SBA[1] <= 1'b0; - SBA[0] <= Addr[23] & ~SetLim8M; - SA[12:0] <= Addr[22:10]; - end else begin - SBA[1] <= 1'b1; - SBA[0] <= 1'b0; - SA[12:11] <= 2'b00; - SA[10] <= 1'b1; - SA[9:4] <= 10'b100010; - SA[9:1] <= Bank[1:0]; - SA[1:0] <= RAcur[11:10]; - end - end else if (S==4'h2) begin - if (ROMSpecRD || RAMSpecRD) begin - // RD auto-PC - RCKE <= 1'b1; - nRCS <= 1'b0; - nRAS <= 1'b1; - nCAS <= 1'b0; - nSWE <= 1'b1; - if (RAMSpecRD) begin - DQMH <= ~Addr[0]; - DQML <= Addr[0]; end else begin - DQMH <= ~RAcur[0]; - DQML <= RAcur[0]; + // NOP CKD + RCKE <= 1'b0; + nRCS <= 1'b1; + nRAS <= 1'b1; + nCAS <= 1'b1; + nSWE <= 1'b1; end - end else begin - // NOP CKE - RCKE <= 1'b1; + Amux <= 3'b001; + end 4: begin + if (RAMSpecWR && DEVSELr) begin + // NOP CKE + RCKE <= 1'b1; + nRCS <= 1'b1; + nRAS <= 1'b1; + nCAS <= 1'b1; + nSWE <= 1'b1; + end else begin + // NOP CKD + RCKE <= 1'b0; + nRCS <= 1'b1; + nRAS <= 1'b1; + nCAS <= 1'b1; + nSWE <= 1'b1; + end + Amux <= 3'b001; + end 5: begin + if (RAMSpecWR && DEVSELr) begin + // WR AP + RCKE <= 1'b1; + nRCS <= 1'b0; + nRAS <= 1'b1; + nCAS <= 1'b0; + nSWE <= 1'b0; + end else begin + // NOP CKD + RCKE <= 1'b0; + nRCS <= 1'b1; + nRAS <= 1'b1; + nCAS <= 1'b1; + nSWE <= 1'b1; + end + Amux <= 3'b111; + end 6: begin + // NOP CKE if ACT'd, else CKD + RCKE <= ROMSpecRD || RAMSpecSEL; nRCS <= 1'b1; nRAS <= 1'b1; nCAS <= 1'b1; nSWE <= 1'b1; - DQMH <= 1'b1; - DQML <= 1'b1; + Amux <= 3'b001; + end 7: begin + if (ROMSpecRD || RAMSpecSEL) begin + // PC all CKD + RCKE <= 1'b0; + nRCS <= 1'b0; + nRAS <= 1'b0; + nCAS <= 1'b1; + nSWE <= 1'b0; + end else begin + // NOP CKD + RCKE <= 1'b0; + nRCS <= 1'b1; + nRAS <= 1'b1; + nCAS <= 1'b1; + nSWE <= 1'b1; + end + Amux <= 3'b001; end - - SA[12:11] <= 2'b00; // don't care - SA[10] <= 1'b1; // auto-precharge - SA[9] <= 1'b1; // don't care - if (RAMSpecRD) begin - SBA[1] <= 1'b0; - SBA[0] <= Addr[23]; - SA[8:0] <= Addr[9:1]; - end else begin - SBA[1] <= 1'b1; - SBA[0] <= 1'b0; - SA[8:0] <= RAcur[9:1]; - end - end else if (S==4'h3) begin - // NOP CKE - RCKE <= 1'B1; - nRCS <= 1'b1; - nRAS <= 1'b1; - nCAS <= 1'b1; - nSWE <= 1'b1; - DQMH <= 1'b1; - DQML <= 1'b1; - SBA[1:0] <= 2'b10; - SA[12:11] <= 2'b00; - SA[10] <= 1'b1; - SA[9:0] <= 10'b1000100000; - end else if (S==4'h4) begin - // NOP CKE - RCKE <= 1'b1; - nRCS <= 1'b1; - nRAS <= 1'b1; - nCAS <= 1'b1; - nSWE <= 1'b1; - DQMH <= 1'b1; - DQML <= 1'b1; - SBA[1] <= 1'b0; - SBA[0] <= Addr[23]; - SA[12:0] <= Addr[22:10]; - end else if (S==4'h5) begin - if (RAMSpecWR && DEVSELr) begin - // ACT - RCKE <= 1'b1; - nRCS <= 1'b0; - nRAS <= 1'b0; - nCAS <= 1'b1; - nSWE <= 1'b1; - DQMH <= 1'b1; - DQML <= 1'b1; - end else begin - // NOP CKE - RCKE <= 1'b1; - nRCS <= 1'b1; - nRAS <= 1'b1; - nCAS <= 1'b1; - nSWE <= 1'b1; - DQMH <= 1'b1; - DQML <= 1'b1; - end - SBA[1] <= 1'b0; - SBA[0] <= Addr[23]; - SA[12:0] <= Addr[22:10]; - end else if (S==4'h6) begin - if (RAMWR) begin - // WR auto-PC - RCKE <= 1'b1; - nRCS <= 1'b0; - nRAS <= 1'b1; - nCAS <= 1'b0; - nSWE <= 1'b0; - DQMH <= ~Addr[10]; - DQML <= Addr[10]; - end else begin - // NOP CKE - RCKE <= 1'b1; - nRCS <= 1'b1; - nRAS <= 1'b1; - nCAS <= 1'b1; - nSWE <= 1'b1; - DQMH <= 1'b1; - DQML <= 1'b1; - end - SBA[1] <= 1'b0; - SBA[0] <= Addr[23]; - SA[12:11] <= 2'b00; // don't care - SA[10] <= 1'b1; // auto-precharge - SA[9:0] <= Addr[9:0]; - end else if (S==4'h7) begin - // NOP CKE - RCKE <= 1'b1; - nRCS <= 1'b1; - nRAS <= 1'b1; - nCAS <= 1'b1; - nSWE <= 1'b1; - DQMH <= 1'b1; - DQML <= 1'b1; - SBA[1] <= 1'b0; - SBA[0] <= Addr[23]; - SA[12:11] <= 2'b00; // don't care - SA[10] <= 1'b1; // auto-precharge - SA[9:0] <= Addr[9:0]; - end + endcase end endmodule diff --git a/cpld/db/GR8RAM.(0).cnf.cdb b/cpld/db/GR8RAM.(0).cnf.cdb index e0d9099d9a9149c5fdf1025bd2e767c108d39aa5..602b5ce5b6ee3242806c7c7d3cbc044d7918d3a3 100755 GIT binary patch literal 24951 zcma&Nby!qS_&$uFq;z*lOLy&xhzOF>Qc8$OcP*^s(o2`5A|WN6vUDi0AV~KTQnE`f zuG3>gVk0z$GOu#U&vpE-580B_S!zW#s7X?`-eLW$@PCg-eplNSn*d(T+>Q z!P)n&B>P|dI~qK^GTZ+#c>DzaYXjc?uMNC+Xib#Qr;!UzTpukd3}DjJTh4qyVN4-M z#XzFU`jLKikeNP{U?D2apQQ7V7liADv085w*XNN@W-8V5C#W$JI<<($hJ$SP)Tnl` zxNp}Tuv)V}sBx*rn-5{CCb#F=2UYVMNy*8{SoYy%z4FuhC)m`>d&_BM^aKSH!RU~@ z$Z8121Ic_koJxzK!>TXh4Ier$!Q}Gb7J@A4Vze=BUa6wDTAQR$j7Bl4t@ja z@2f}NcmzCQ-LI^vC~y8;xEde)Tx9>q((rgPK6u!4M)?j~NX*lHP%qc~_B%;X|9je# zm<|3da)j;(D`9?$d}7=A#+BoZS6fr19;JOqEFXl;?S+=br{Lr>aq>#m*|sUr1eRQ6 z*-*aPLv!VO2fJVYqW_HBb++P7>z?h-i>4WNa^{`>MixrVr>i_RF|1_eb80Jk{t4#M zhYameQ=!AONHYrwP{LL4;vyQ1L>VH;9 zuFY3$Uo0Ck_eBoz_ix?glg~^W`DvR@orxp7e z(FT9W$V$F3GOl`Cvk8uK>_*3-S;8&1ub0Kiz&*4!RefuFc1SIw3=meMt*b?B)1@ft zS|_vsJGJY$4G61;Tx0icG(+CF#S*Om`wRP?g~*0+ONm{e5>&T_^~JA!#1wvSn-o)g zo2j2&oZEB$v{W_sHuWp2^l-_Tv3u%+at#;fs=q&KHYiYgcuaTkq^?Sz(^lopY5fVT z_Ofe0r#6|W7lm-Z^p-7twzEb?o_RF|ZZ49IXJT`8Hx!`fyB(Y< z!^tBD+`r0BnSSne)(`*u?o9#-%q{YrU0SBW(0g8IbcE9Su6v}dBTizY9Xxx*BY-M{ zKlyO?hB@s$Xb_Yky1%d2y}r?LdhVyj0vypR*Onn9b)RALEw$?jK+YsIq?_`pM$BsN zc<5W$?KMp(harmBuP+~&0zgn>>%JQu0I1LAjxvr6&Tv(3&kJB}!EZTzNEi9#|K@O* zo}XB0ly7vb4w12iW@u3=-a60meLpZf{pd72|1xBN-%1XB)*thc7N@jLG$ehaiYizG zP7{?%^0Fx0_MCe}Swl|<{gphnCLV}I-x7I`NW}%mTcJI-s7=Jv*#O(Xf9o^5);+vB zzkV2wYAkUEm8HML)_JxahTy8vApRbRJrSLB7q`d=#Ip!`4DmRNh2xrc>~D2o+eZza zM@4p>-%#8Pq12c9@|=mdvv#e|PNuLRg#$KC#;_e+Gb_%9k<#So)=(FO0-In%G0n=W z*XNz?v&Ro=*D4m*x*PeiOD>y5mbAFTJhap70PqVx*76Q`O^e&gLsxy+EZRa&vCwqc zWG2Jl1B!3i!~?r15z#Adzn0s>L1QHh_k(xg;iT5n2qE?WtcUh-{yIUu_zGp9o^$2V%6S^G5S zj|}C$uqe(x=@ne64=?e}3B`!G?j;a-wTRAu!0Hz2kqKrTqIJ>lIg1}QdXuMhxRF~N zJM)QzG`&b58_mPFICj6g;HuP_ElcqW6R}vZ*KuqpB(m`ExP#GmFi?F~vBtp>s*^do zNZc^tdI#gz>C6(Q_yym2M}{~yAd^o=D(_t2H<_c?A`VGSX_>10=KKe0BTALw)!_T~ zOCEpc_%oUe?6K@o1(SN_ZM$fpA@zTY@gNF%Sd7HS-(TK?z?HmPXuxGfCK+YXYETxk z@1NzannW7G;8e}{U^Q!2@8-RcN5=oY5y!~$^*$0)FwbAu5?;&OH8Rx}Hclo;ZcosZbTHQi`fcToxQ<>PIwxDaORtS!&@c9< zyKvXYCHX_43v~nqWiXCJ3!3zQ#=&m-_F0?29*AMC?B6G`$gCSL!$Y@ z;&*~wN&e3;+0w_W!Yx13IC5^I<3deM=(tCDm|F+0%y zXcerfu67#3+bUzjSpRn{CPG1SC$H<*XT}$-UjA_!#{n&SGmgm!y!&rK(PD|K}W;y6{J0zhZVD z_e6TH?0t^aXk;0oPUs8Sx0(OSaP<4>E3}@P&Tk6QN72V%whcw&56(+5X*-Amx{cuA zfYQR24}x(?LTrfokq|=yEw&HN>9>cDW@dC`-MTEXY3pQ{8jxqg9}t9T^Xlt2d_E|= z_W{8krGt+-`+*4zC(4lTm0z+(H4t|+h8NH9PrIrP6-=B{e{y%``nf>I;SOV@_EjfPYjXA+ zO`G>23ViY?dmwbp^?x!w1AfMh?(ba_M!fpecYan7d(%DnB%@JCrD-@nz>h$!Qg_2k zf`Nw9<3I1hXJfH5)7#|tzsf~xtWZ^!C$PqP%S9K+i`q>`{|~B;e;jlF=0~eM)wU}s zk>VUgNvl89@_%T`ZlXu*kXwYG^L0101`1cLEL@dJ?&@3S$(Rs87;*0F`@nEG?V2ahN61pslESr zyv+Ar!oI(>()F<_IlfM%-Tv-e2>@TH*q02KHicu?39zu1pRNa&u(U{*f2WAu>`T^> z&9t8Y=Y*%(CZB{7%;~CH-g5~x{!C1DUF^($F3o4_4#ZQ(m|B} zpwP6TFMTDaTKV-TsW^jOgZtg$*M%Rf@cU?W@(F{}th&$TLy!9ufh)1TENdUQMlO!k z$#yiKsX?O;$%MRB|846+sPZ3qBYBR;MbjdB7ade@2C2~CD2SDn9sqd`=>?X!n7B2ch;GMha-&$(rL19EcnoC$4w4raqlEn&ob`i z2iqKch;D7cg_WQ82hM>%qk}&Gtwd}Li*Yc)X!$sru)nCLPjX_K)P|%f`vlUg-8Lb& zyK7UL36xfaTX44!qOr(w^UQU(vr^Z`xyY5I{My20RLQQ-b@0yqSGOORx49kxq2SN* z5|wMKdol5+L#1oU!Ar>u;u()YvA|t>?_hH&VocD-%&LyA5&ep{@+r<}GM*FFK{67JS z$wd32m5(_v!5MSSptf3D6y*WhofS}KOQYbZPX`;7*9;9gSNPNV>zR=qwiB#B8)gsd zc733ef03Cae$quEnxk-S39bvhRW}vq^h1QeY+S8Rap`j~$8+!eygA+ci?ltUXhd< zoU8)3*x_rnTYX~-MjvDt#(eG!p$Ul<+TDC+J>GkRvR9pka?*3RfwR)LXhUkdgmwi(v;QH0-w|lUithaXCvY*sW1P}wCq!nOajy}c=)wb%zwc-T*c*{)s!SB|c7x$cH| zXZ7VJ^kVO(s9QHb@^&opaurv1l!{~QjVa%_8Ke{nlXy3Fe!Pa0Qq ztbF$c#`O(mxAz8HZghqz=LDX`ataVKe7r!Q1^M9*P+LjHX5 z=mQMu$tD;_P#_wR2tY_Ttn5>Dg3TAz8@K*H$>qB&2N z*+7G8H*iHC*WTGW?NLo!wv7^Tmb}c7rcQpm@pg5=^qLC#UH&6nPMb3c+Mu;1y@s;> zx2Jxqu`?czGtnzr@w8t06)+=|4Pz}i3avqicM0ZrqiVcQ^G{DDhJOUbC#kO$y~ZiC zW4TV2mT!)?8XFPd2i~bS5}pH;u%`>JUABM2RmwwOi`|ZOY{&*dbFO1o2j;Hvgt!ys zTyVrtV0h6GE&$>J<8(awifu}u5oNFXv)^9TUoJ}ccYO}Qwk9j%Ez8!z%H%t-aXMU5 z$qT#W3f?rE&>Yb`n+qo8ybUGZ*8*f{vMk7RS)EeDsKr1MoZ*1n9~H_7r+vCUqAoJq zGd2&jeCM&el-_{NK5Try>j%OF*MZIO51@3Nxo|)FQ#XHb13~p4rw-hzCsu=ic3Qm=@nvkG_l#Po_4hup3CZ<BC%(Xb!{)5zs-tIFtIzg=vDwrJo8CQP~CWUGIvioKA9+0`@(i$C09MHal ziX*11=^rgVRpm0FI{7$9qet3w|C=7swO)qAG8NAe)T57R)1o{~EKz{~aW2lad(IU( z#~6hg=A|g6#=P|$S)+-+z5tRP#Wv=VULc6|x2xFmW3-Pi0I67khl5f$_Sy`I84N>T4w2-bztg=bFml`Fm30Sr#I8 z4@RF6yxka_0^{io^6g3NBy{IRGxh!(yPf39P#`0Xaz$2Zgj>Z_%mi1*)(;IWq zpF=SB8MZg&?Vk&3TXF%NrQ3b-E1uImI*JrwgZ$wj!dmrp_eFY%$6_r6?sk ztkaL^y``&6%fhA-6Xd}XfrEf{=^sz9+sT+|$!%EORzrm&S^fPK^u)-HcopL>?DzC> zwead_6~AQ+{-C(efb_EOlUUtm#M~;^l0sIZ_3v+|Wc%DO)<0Lu2kFY?!Q;=V6d z1c#VUG*Pig{C>1o(K+HQ!8ErX`E=J?H>`wrnDj$b_Sey^>pZ>(9D%L&AKyw&Jv5#H zfAq|3!UQOu6a}7~Mh^BqAhlXoaHTd|57kykcpZMq-VWUN8Oe&{Y*~FSOQws{(}Y=A zVM1hT1hDMMY_QSvQ&>T})ET8H71m!KEIDT-#@1{>mqfi>XBm$r!hz z5%_z}uwdnr))wAf+NkRJnDej?idX#MrUY^ClAer8DW)O!-DRc2>0M;Y6&_&X2-_WQ z9`vz3N%!qkUhqP)Cfk%-@kZUdrq(>x_qYRgp;KoG19ig4V%}`_4sm?Hjsy0J*u%rf z(7u&-eK{>H%(zeD_&#FevrBB>g#$BH^?XdhXK8hb+!c`DAHQ z($q{o%n~C-0gu``^%ht-fq7-`59v44ZPKu>gg*#SqmQ&X!zHtopKSTGrH{J5x*>lb zr);2G`8?|DXgj=`MBdB0KBTrBt`(mc(QF6#^k+&PUZXE*n>)ODF{X#L=OGa znOvn3_RU&P9|gRkR0lf4Owi?QhA)2H@n7wpYYC}@y7qqo1U%*Zw_vCjzoL!}3KbkI z9oXidzFwQSjs(F9J^)y3`+dCHiUCUuVFZ#Sal+?chf!vo z!R5d?D9EB)8*Hvoo16{+s1_c}^LK!K!JiLWb-^bKRv}s;83TdvTUHm?s0y=vQBVZL z&g`jpF77m0_U8RXQB;C^)R+7=-U4tMoTLY#k|pz-BMyEgy4RlgcH$YDo+8`w>4{^| zn4#v?*6qj4dnyU~SSf))8_T|1IsT8M(q5Xoy+VTAs_|r)Or9)(&V0wdR6do8@)_PM zqktaeLvh>=+5qmu##a1nXUXpPV<-QqNgw<{VizYNCrV(OBgO{>4Z~l53Zcvs162}m z76p6T9G)_QY@BF9xK|US85SXzw-OhqC!BEg^|-p+18e%_1=$0Hc&FT>kiDx==9Zqx zk>!gwN@zS-TyccTu1y!!)kunel{WVDvkbo&W^b&g=j_Rqx#6#-)qvxzY3mq z%x?gHA$_dKEc&qgr7=)wJT95<@AHv0kDZLf#f7}w&n;ZLm-#4dfM|p^&Gj&2 zS6@^*HD6x8;Fe;=Y7@9fnY@R2eIS0(2-Q1=*?e4OWuFy{%WdgVJ0Jdq(sCMuJ*l%d z^bafX80$UlAUXmG;kZi>#}CCU8VVF8al}DX97swVkWNtiZn~Gu`3%HZR(4xW$rPo! z9{spE-cag)WV5x}$Z~C0yZ7%u5f5I_K8;~><)MItPC?ADv>ZDoB%E>%=WL_13g?z^ zWj3-jADL%aq$Fnq5CUi#U%d`_TPiQZNsLlm0>fcq!*2a|Ivw5|^SP0{Io6%G1|?4 zwa&JzufscN7N}b|jTgf^Z?Pp*TFiLnMu~+FeK(S*Rb@`|9JsMZf!o zXw{$6=w+9>T|w~Mb)VUa@acpq@Z*OdDi5nODNH4z*Cx%(ozFA^< z7zDC`CW+Pd=H6x0^rnPIxh<&kl9A_|O6mX8Aa|cvw|`0@BKO@Pc|L&!^I%oyq0p>| zd3`vabgs=zvBwDRe16@IpeQQ$A$fNx zJpx~mvmtVIMi9~=uAW}x$tWFu2d=m9qmtA?!rGGDu`hw6z;~{ef%V}o@A-WW(jC{& z(sIYD{3s*6)AfexN~%I!UEh-HiRX^$?1zUR@7Jq!_s5ezX8zkA{>|tUixuE`%zyWv z^l>pOciJ4dOU`~>Z8+)fl ztgm2VJ%S&8fSUdDZvN?xbe8%EcTnk`TG>|j3(^>6cb?=Y+9DG(FLK1nSF5FV*!RIa zwI_Q^Pz9={?08g`K+x>jHd@3 zx3gVSjKH#===Ehz^LPh^=mbK%n+%LJ8P8KX*y~a*(T@IPDNh- zWNc3;O_9s$V2DCSJGYvEzH+(#p=di{ccQ;29giH@O2XPbdZgYjA;maD4@7>c|CEI= zwSGvlV(Lctx3T2bBLnn0@}fZJe$}MOqqkMqMD}K<>bZ%k&2f!a=IYcVtV(aI!icL{ z{7kiOi>tO=NO&D3Pkq;^H4{fIqeFJ>*}?-g6t79k+4T@y@* z+%!ee=Sjhg+r>$bN zYsu>0S#Ksjt)ser`$tEcsC91IcbF{dGV7)LHv_7J9crqoh`uLXV#1qiYP<2P{2?Y% zrji`KG7N*m=);ADTEN39hF)Rjs`rBf9%cf)-==3fI+UnPt?Ot1{L%ZqfAC$qwJ44~ zd_GUNkg|#cS=|?zNMaMqG?f+e6SwvJ5wqb*qa|oXVz-J4A}q0Co5R1*>~&%vO*9p%9-Tb8tU$ zyD#c}4ln4(+~vb)g2@2i$7Rt~Z~qJvm}vN-BPvd39#iT+*jtEd;Fp zO2-Xs9_qfNt^W4v1x%n6BVOuhX8iZ`6;pxkS5J?;0|oasTS}o7n%G`;u~aT56IZH# zU6QCjf&o80`a}8Kz>P%9+a{x*HulI0TAkevO+MW29}>|4oO9a5d)if!4LSOXko}%a zk1&V?PBFgaB^B}uq?JwRBP=Phorp-&9pZwnd$r5jrtkMqkjZP?#GEeuc1CvGHgJ)R z#!b6)eLJ+xZ$tsrUtz3&@{kddZla2?7v|@(}v!rp=UIFZAi1lrkeUAVA27 zRSv$UouG8Qzz<`(Mkc6$htSjTZ1sQPg@B2=uq-rQ06=dY*`bSg#%Wk?q{VsVfM+l8 z^-i-=-rZzbn$)YXRsEM(OcDJY3WW&U1cR)q*RPFi=dHDuoH9|@&M9QwahQt4>E0&I zu~1x0a+$6kT!^6?;M$$LDaIOA8N9O!6~o_!6L&55J9VN#lwfM=z(NQ#~dfKU`O(P`&;vQ zxZC225jC&;IuZdvjiD<^ovfnoxI*}{LpW_CuwggXvTqLM(K&BkcM2N8^&hM+Bd+n+ zPg8EtQSkG1S55BrEzS?e1Mu~Y>p$Km%!B6nrRG|9bHy@ij+kTon^#o^{6!x3?^vU5KqEyUj8{Hr z|4d*jeWPFd6OB+{JCW_&qT$$TN7&0B`&W%(DlVFrybSLB^#c3S+YwTT4KS}~SZ~F? z!XO&H`6%-Z`VyZ5!5PUoc$Lk2;mz@P=_O#GC`qN6)G-`_RKM6+GW@A^suM04h!hYB zdzGmYIx8DEo2VNNZ;^%N7sQ|p|4@}fue=y^?0g{1?I1{zb7JaPBX%BBn)fTT4?=185j-w2l0{NKyfz7-h@&Uwwwr z(tv}%k@BZ$b@wqnsJt8LZm^xVBIUzT#~ae#Q_!2Qx^Z+}%aLpY& zY-|e?aKDMaDyjY95<|H}jVJ|jI3A6_>R4FIgH<`s=QN#d!~62$a5m@Cu9|GnJC+cf zOcUVR5jw>C&pxW~z+U+Xs)-G*F*_1;`=s?+Dw+vL_p2Y;dxReGpW%ciLeuV{d1UGk zT`rI3G+k|Tmpjfa0}5#qASgoURf*Zf5PBG6@HqRZ0*tF@V?Xy+`MLPg)b z6&l#=QYci*+qx=s;^-2?*@0TVU;`aM1v2c=#eWbVmCak;oBUVR-FB$SsHEQ#$-v%=!Jw7LTh7KMV^|2zi#d{R~_09twsp*2UOn;85N5Q zhJ$|prWUBC$*wh;}99g+xPf)?~Gu z>c>!8;EWiW9IJFUrX&#rB5vQ9C|z8XY2pA6PdwqZn->enE%ZAGb#v2{1P&b5BoxhdC5O|+ zJ{471nmRUY1r@4Eb934t4CyD(BpY+lm#_W-pOjAqTyztL1pHdcGJqfBbsOPLc)4B1 zd$x2^0hZV|x`C(I@N+r7S4eM4j)ba=pZ&-EMwIhU^)dZ9j=)h{59HPEf&7oWj9y$> zH=IZ~5{~sx=z4hiNvi7J+E~xQkbar|-3*zAaSooPaYtl@5on%12)m|q)Y_TLMc?Wt zpW;6&ob&+Se+cu;uCDZ>I>#tG0P^OdKk(@fhJRz(xWp&%90d)dxqGfw{O|G1Ipz=T zS6OtH6&`}Ir0vTA;dolliy-%Kngqu`tcazgkr)P6UqHeg+E2aSZ z&9x@(9YQnvQP>CdG0hE@mskx}JH1U(zL!J;%;_?CLxI%) z*I0C`{GX1`SU(i=bACQCd5e`mF2gY5fMtp&cpNt)e@BEyNbD%BGthg;FH})uak~M( z0iq%)MJ$XUTnBh{_);|uxSMffjy`4E1?xS&jj9ZG3Y=ZXF0p?!oZ*{z_SZ81lV~nRYE>LcW`CTS!_U_JidMe(V`y~CJ#5NkGk;ObX(b{bat~o7#Mo78^nF^=l!;E zyYr64G;=$vSkDWlKY8(DLDZ_<)wfdk&*b5c%$QF~9wTlXfn^daBp4U3JE`6-)2nQ3 zX^S_mEfj=`8L#*IvtWPm9WO{AT;I{ksj39wro<$3NEqR8{8;H@#;FL8k1><>L{1*; zO`y$lKbndcLY%1-8bpwUUS3lUsMm8QH^T9@j4d^$?Bp;zc?qX~E-}0Re9rv6ljy;J z?JYdt;woNh^*ZWdY&YkO4Rr!Jv}Hc5{n&BK&i4NP^~F=Vs9bmZ*$Bm;Z{yz#-b(Z9 zZ~JF_X=tKo8VM4O*N4C@C%4;ZGY~Sr407$VvK92EO$-z0Nt)BIx3yluY6;RYVm&lEz{ToS*XDOmdTfXqW(JlQa80=bP1p>(53|c z>;L{mt9=Fq_DDOotKhS*^)oyNUul;quXYE-10#mFhh|+pezn908j0_ostHuZQfTBr z!7nr2?P2Zj@t^K}>i@T_?@D_^KE42~ssUdpL4{X#8UL97dx?aH*8H3;1$4vVz)MsW z+LTfn0K|cGPcOG`cDn&g&SbPGCzU+%fcezp{S_I4O&l{&hJp$D`x(Z}V);?bW5cL?e`5KCKtE}lS)&7WRMvjmos_2 z;}UQ+YA^N{5W3qhlHSsF3;o_`+FM`7eEN+2`^}`xHZSe-g{V|K$3?ni{O7F*CfPsj z@Rj`=fdjgLN*HmVHMPTEQZhbLMPTc3$%ZqvU2D7HPh~PbK`Vm=C3*zOTDum!uRbH20?j@P4j9R?zQdXA1ZrmJ{-~mw zifm*MQ?4#{Aq|=w{V88lR4MpSQ6e+*vXkNp-{Pv}+-O8;RYRq4bYD9|w#`9nN?Xd< zdZHF|&|y1D`o~mQ#NAAAtDqY6sY`z}UZbmCWX+(7lFVV-QmV7BF`p7w^DDB&kJ%zf zO7vhiJSD(?o8G||4G=hZpwV#hZ?YkbAv-ewqnOhCfaT#}RLn?I_sO4X$7n(=C$nz8 zI#Yl8pFbfUxz)yl)zS5`E>dRz>o_uJK$MKfLi}>+hTCKsY+gHJ@)b9Iwjamga#P#n zeT@NBuE12ZFXfv}&rh@v2)5&uu-L&m$SX(3@BFJ(GbNmvCI9$Wi11jX8_9!cf9c9{ zlC20g{I*sRL;Y4sp}C4(@0_9h?%t%3+11b%SVu*pIX}66Xl4F^-a<~h0-Vc>`0@0ySk22#QMMNU zuR1ne%?#6yMguT_c@wg=T4bHq68xs@&^ z@1i2c;FDt8!b5(~W9w_V8js$*@5QJv9~=kdEF0=o=ue#lm9PHzo<_w%+jxvb#z$+G z8~yn@xlhhSd+cfVR60O3CUmp6ML@z1S@Aks762H>8(TkcDUrImM5!0nOH7(EM4{+{dBRNa|1YS-O!kk;om z_i)GS7t$rRZ#RSEL+%SKDTy4_wb}ZLIT#;N*5TQ9%M5$?8>BX1so<$vwk}BS+V8kh zz`QqqZLg+H4gepUsK|v9)ZGH(d%_>Er>eIq$~si)*+l{40)8v&{iv4Qf2Y{vsHqh6n#QKD}~>Gj3P-$g%x3Jgb=z1w#%8 zy*)vZrFx~J=LAE)wTMrZ$Nw0h$8=z>0u2pMj_4I7SvX-joVCY*T8xpYZOSK4c!pxK za3urQ(H%sstPU4(De3;vR%Bd-qf^W)7yE*JIm~#ovy0i_%@$krcoEx9E%{(*U4`1* za3YR8=^h32_dtAzoM#Y0`bf#8o(%1YruKR7z~@QB?9O;R=(E$f%&$Dx=(m*Tx3m`t zPne6uO;AEebHEfMe(0^etjora3pm2aHDEaGwEy2yQOBtOTiVw~{Y-n{KRE}Z9FZI% z&Ic912<7!_onM@yoN*UiMwl8vRA(4W9Wz|cF-2|p4sO<4|yOkrAURB!w z@CsI*C-#QSHy^Z5YV5xpZjAhT&aV5|15Gh>9-R4eLy>U?&yKL_JJ8D65GN6wuZHIC*E`pd2K+0$57d*k%f$X zUex+r_IhW_YV`4){q?SH0E)akc!}KJsf5-IFYtXIeEsR%g9eAcn=Ryv)* zNE#*+QhXk*_UA}MhNFNOM9zo>eViZ6|EQCk-S2bi)IM^-bNjI;XF7WmUjNak3`C%D zI(PK6AN~sUqzw(B6m(HV;niumGU8{OZ#`%sbBI$;Svd2K7MIuoA)ley$X;LR67I+S z+H3pr{uSuKukk9`e3d9z%rNG=7^0D*)$5epdF~8yv7~IMNdxz2^m7v%o>i_h#?Bp$ zp2MuqU$*xZUB6Jt-yh5zlQ$K@o={QI)7%#1Pt39_OY&H4_MQwg(|9=Wyu2B8TMix= zh9%9s=mRF)N`Nhni2;r&HfJ0CW>R$%feW1R+_gOelvc3!x6weP_jMiTWRW+YbLah` ze53)+G)nv$4Vrz>HkK`60}Ug+k+?*pD18AEYrfk@0b2EWJ!@^}`DL)+%3w@o-x_7$ znE+){-IWU{R@Q41(WxY%ggr0dTSZqy#xJDA zCW$EffUFe?0%AAG`s)%SXie4B+_0TLv#mR61JZt#33calAW$26_e%;2ozaCgaM{B9 z0zHyPzq!A}em-}85Xdr5s15#|4yMK$(PJeArB_GvPqR^Z5=n4WL08pgx<2vR`PK8X z9r06e5!e!BA%3dSoC2uzczK?BevG1F#L`_n0joT9b>w^%G;8h_U3|=ZXRmFrElF zq)Gj{u^1$&D)i*Y$XsEAMV|+Xml+({{UF+0Va|Trz^6)1c2G2VN~db!p(#>&f(tt zbHXeBDY5RYpN#af7}Lpyy3LXeDMIc1<-;L%RoHeYRqonPOsyCsiL zZ6;3RL?C1KaJ+m3^~NF&ox&W%G7nlGQMsTP9Nvtii9_I{f{+>{Aews`%Ft`!p^%HI zJm3xa=83}O@6YplU5wk4ftSLNmmDlOSh%>{AR4BI0_)$$Q4JSex6Mmj8~572M_U5( zqomF+hk0{xDY#z3wQES2{h@2D`hotZ*kAq4p>*RA{)qFhD5wmEJlF|bPFd%I?dZ0$ zbs&C3k${AnVho|ZsJv4i2^lF_fNdgY&&H`og7_&U2OH%Q>VWOJ^&jFt-?+z?z8WC~ zH1AjMU#Qi<{h-Gl=l^I^ISI0q1KFXMq4*KGK;zzBvJ3k-TuC10>Z37xD8TBYc=sG? zbT@3IX!xon@v}8!6jIz9y(P(kT<|csxdH^=F)cLh|s|1hptuBqB4@J<#NER&Z`tFs3_gBtIG!pzB_{_Q#g;cbZ zOB6IhfA5Y8u>|c=o(DT=ZiCAk_rU0ekaiaq-L)ERqQSW$)=i?SDCQBPj;TI}F{(TOux^**L#2FwjGWR(tq`*1 zGOi?_tWo3G#AN`t&v8g}?k13a+u#STXM+Z}D~sa9>pmoat6d$h_o7S>`kiD)KYb?( zM;wR)9we7OJKK`I#{=)x5Cib!8`K`l579acGP+5hOV8az-P}?xRjfzy50+0v-C$N! zefn(?jjAz7#Whk?K!+d7>2VaYpjr&1x;A+&F)<3cR%XjzywQ&8SLIcqAljt;vXgct z{}D&Y)!mbD^xcQ~XIGCD?Zv6m|MNprpw`l0E5ebt6C_eAw=j?8vp#eG^XBf0p)q)c+_< zo_adPiZu1cRM{K*aq}^i{frK)+=5mB)z7+Tls>9WZ=u`IcPIArl*g!a?6M`n+bE;* zyyIIIs^+Hx~zL%_7&mMA@AC-CX<6 zjv_K?A0G9gl(9cI)j5D$jd@E$P2!R!3cHd3A>T9ukO2B%Qr(L0%`{5gqjPhz z@!Rx^PfwGco0Bp0d%)DQ9$SAbLi3J&v#G^u7!)0F<@KCPO8LBJOt?Nwp7efaI<@*? z0w+0axT(y2EA?U+`N^d*r7&eSy~`+MbhmQ>IW~~NinxgiNq}o_^?o+l z2@Mx_7o}Zj`R{pUl7$Pc*H%4+J6+w-{|%TJ^i$>jQ@j4=c>spd+nLultcRY@2cCJ4 z@7PD%W<7&$;vOGd%;1DbZ}e(gJ8SG!GMQjI@7Px|_s3>5N;@HT+@wk3?d|qKgw(_5=VoE;|WL=1>{`~9f~#e}s#{9MeP&l2ZZeq9 zHqM`)AX2qVSzAc7+P~EtAGzA1h`g8{AA$RC{rZ%u@2$IodJ$<1v0*-0=b@26%zwUv>S4@0Bry%Y_)JGrCthPq9ifB zcH-Sw%t$KjymxG{{Lw2xE_>eSaOv4(Vw%ZCCqDZoOpS^)x8dArF8xjxmG(~ui3{!BR8dlYL8}KTp`ji7 zbOAP`mnC8DGIW~n76GmCEe3M)d{9*s>jstT`?t8p#@v&1^ve_7*y^zx4iH*a*L&7WF3rFNJuDeHSD56 z*?kFQFn7g=M;KR^O}A&+IxZI13g;OvmOeNu6}>mi}M|!2!~4l0PilCj}tlNy^S6c&+khjM6bQ2 z%Wb-mK3%}E%qc#Nt9Uv?-c{2^&S@E*W=qi%G9c(oV zmMMKJNH49fOQ1SsN+Pik#)zrDo1!cflN`Os-mBJr#UF&ovgA#+nu!c{ns9ETzQLJ|4wLEcj=dcb#f5xJGFcRZ*8r62F4&nYLD zr(a3RL~^zS+Wxsha-%$kfUYiq4`Gu0^9AICN31*^wgan+{OyjsP`Y*9PXgrccI4E6 zHRE?kthjUcea{l|v?B*?m*so3A)ecYn1NDcb)nh}(#FJWUyX?e z+Yl#hh<9&8%nqrs&AYZC-lYxk%c>GzhuGHL5@!%QgLo74D_jMN?aXx(m0dc{8SImH zB@awK9f&$n5btvEoi^;lm`+TD6$+YBvLpUxZ>mcTcY+{V1EF13#VljzV=UXgc>i%S z@N}ZQnNA*MF7k|v$xA&sb-QALC&4}bQkP7(hftrn9zV@m^uo6tVVtVr2C=6hIJ_dTvu8_-Cu=ke%&AAq!$_B zCIPcd@Mb>1w>>)~t9u*-t=TlI9>O1wCp6yrqUWX4ZBl4?qO z_LDcZ05QJwP(_q%ZVwR<2Tgf9o?5oWh z!{m0&d6)}5?J=pPKzGk*_M1F6*hNjvregH=$&1%KZlnw~{J!hfgzNBatz2UKxAgo; z?V1elO0{TlCsrrFQThVs0o`LC2R5bR?j%(8dZqhOrvsQlAAS5C(NdzSpyyC z&l*mzUX7v7W5ST%BDLlGq+{^Zq8J?BZ|*9)^Xw>1rpZq!Aa@&OlR>1X9VBN6OWm_( zV)ZR>prrM#-aDHbfA5SGI&nRu&;1J3*IYRXz=}Y%@OOj<=HlQIoJ{?P5ed@?RhXQG zr@pXYGj_mxxv7uFWtsSVlMls&=a}G?;!gs9pzWD!9yvFQ4Dk@K~An12y^A#ebQtwj`#5hEtz!)zqiVwLX7#wtyuX z9xGFyAFEJ`;R&4hb@e$KG*F4*%KGa{e2;5rf%&b^p*eDuf@sM7=!6JEr4%OO--LrX z5v#Nz?I?&UZ9^KrP#Ihl{ZUNHaCyCtU+kr|!9V+;5gg&-0pA2GOtkkd)iD25AwI9Q zypux4?jrfWz9zorTh?BHYuYb!(m`HX%HfwG<9Z*z*hzi=^!=kfFsAXq{^a|rztVz3 zW#VH@PjHP#X(E2zIcZ(~b@883Q~$dB&#B4(_?i>Zy86`h|06Z^>Cdm?&4BSg-u#2l zk#KIF#I??@P=EJr|H}Ah_2VaVpjTQG@vyLF{USa`9=3JXLEloCsJ~uFR#~rMcPIf< z9;J!=e^e9y#WmyYsG55!zXX5mpe9rv`V}U>e#_?DK7Ju{TE|dSglz98 z0{1S5ADYCAI`Z7ioMgJ5;7Vef*GTEUfRJ zxNmr6P55WalD02xbFaXJaF?2kJGO-#T-%g~`(hrT?fM!PhK2J4;3fxI8bb&AvNZ0- zsV`aI82!tXUlXx0)_vCtOJYy^fc9Qr(?6Hiz=y2F#at!-H^JBTAe>9%S>snIClzos zN>B0mHsUc$4<~;%z4fqh)ftBW2jEv8IPLHOwIvHzEMyt5&U$?Ii67~BEjkkTVtHS z5B0=;8?YS#gL@M2jfjQFpjiI$AeH7p>Y$S!hlnFRu`@vUJrEUJON&C*o>E2ZKLhDl zh{dFDgktnjY;8;|v@N%GFCe|y6Z=NM-fL|!Zi2f4bsH0VKm1qfLj>2M;Fuv-MT<9Q`tQIFvPEYk6q1YR-K#|kd` zE|S7}lTjMK73F-@IfVKm_B-nV(m7F86VFrc6Qjd=IuicHi8MO>!V!Py|0uNQB8iybom}hD87x6u~WBUL$2t1p5?< z;14Ibdn^$VF)J37iMZN{_yG{7RkC97A@~}T*yZRuF^rq4Ri(lw0QZ-6%@j1OH;Rax)+-RhLmXC;^|*$u7Z(@H=HSk zJi58_O9I>B3e^|dMN%-vU9CC^xzNphQjq(kQtsDO;!c<4b*Izr0QY%tIj-<(BHAsI z!g|DdKuHKz5V1{dqf*F6RX^W{nC@ba5_qshN!icI2{08Ne6N<2Q26tccA{HWN8E3EwP&Z;8_O>X zhBrCkb<+{9*Q$mxaYd)pUvN{WLvH+$^s1bQM{d#Zq-rq83!oJ+on$l>7dymQBn1-xo~%y(Yy>rsVsIIfdfYPuvA+EGD@h#)Ut!|-tZZnWBJ71MJ~ z!ylevJ8sr#-d|z;6^@6WUa1Yoi^ArfI=?}XaQVhal zeg;t@gkIhfp7|5w@~A8nJ8nE(^ZKOL1??I;ee!;g=~Jo={LhKf0CJAw8jiBL#t-iF z&TpAMw3!bo%fsAGwvmaof|*Z+^wD~D`_0BJb(d>+NHPiWksAu`S{nP@k_zE-ekXAH zi{rAK+a-hfuW7T(d7gc^_JA!X-5>+$!>wcbe(3wbdZ6zIcp&TNwNq3%QDt59;C4Kc zu|gxdvWK6^y(-tI*X5H+^7!}RsRwMK@1MRObV&5$p&t)Dj0fAFb3U(`s&W+mQOy6} z-c2VTJW(u)*99NTts_#hWFN(Q1GSIA^{ik$KE;-b#xK6$%ypl?&eChaHvG?Y`upo9 z?b8^4v4i?+yTn4mPgnl2qpYDygjVN8eB86a20galF7pbXkH{#33y#ixd~KJuH>Qu? z|92l=Fzj!45m3Z`WzKKFv?-B-%`KxMK6|v_BeHz{eYkkQ=DvSq|CaEro&UE+S*Qp{ zIo-2}@5churbG%}UJ;&;lb=F1pKaIov!0)elT=EMzu1MV34VDd*&mOs@G-KRr&v9m zT`94&N8&4>F6Q7`pCfDP^G?W+y(PU*ew5;aI*^pS1Nhuq`U|dW{9_{c=K+^Drn&E= z@mECf&jl{;C;IrhU2A>L0WSMs^eZ|3$0`0*`kAx91NS()?(6CPrwX4l!DGV!pL=WS zGdaTN#F~80i12xHO+Keb_|)ys{|j0`eXDr8mS$9~T}waE z-9N*Bb8>w38{;y3)7Md~+QFF%OjPX#`|a?9~Y`}r1yujR_UTslX` z|F+=4afJP)mir^cN8|qo@HiK^9AkO!+Iw1MUVYj2%lNrj=_A;LGnVCi8?|oQ190zN z5TCjsuDMqvZJ4wI=Nd`-iE#J0Q*yGUCAz`?9rT$E*v?8X!N)^LW*j%j4xjCXa5S1k z!`WU=#Wl7s4HtYLPW&SNA^ej;!}pJT{;bE(p&n&@F`#r4T;|R{8f3~oJia~!rcH?y zyu1&$4p}Gsuz?$w{rJ)Ag>w+ECwuYe@RJYW+Cl1?!1+R1s9iq=xZ?@Vc|Pauy8q-H zgnD*N%KAN=8W@`D$oi{oJM#UuwYopTahLr$$9HMh#OM0Lu*N^_=XU{*{Up~Dw~dzb zzYq9aV_5!Se7%n`34F=l&NssNT7U8v{M#e?wB=7fu&=AizYhK};L9i4*|%!{lZPbT zPwMrfjMto(zW|5e$JSrj^$gd-a`7W*9lam*vR3>pOU6~oTot}Z4N{qZ)|@`jB&dV6>cWcuI? zsk;7k@$2eS4WC*Jzz*G?Tg|%uCwWND5BW0UH&mX zVYsZ{>4#6Ey$P0HkMKFyvvG~{cD)Wd0dV4fO3{(eRq9-?7dYai z=lq;G+qGO1{8IosSf|vK<)*+Srpy#olRCS~4h3of~xV9&bs^i7Apc%{Yf+jMS^m?E7H?8TamjRBz zm_J^7-#!*^w!dP#(EOET51wKL;oW?S@p0ATWA*8VTR!B^ePDZrH_X*O!_So8biKbD z@Qodfo(CSL_-OnKfj@w2w%#+jheq%(0)A-RJS2k8&z^+v-xR@rZv_915&ZW>@DGmQ zzdwS%G=l$u2>y}?{>2ge#S#1uM(`I!@GptrFO1+{3Vhzn;e8<;4-!+%bvzskJk}TY zm#W}{Joz>bKYn8Ti64rGB79zBjOu6o31iauHvu=)pK$-yAba@S z?+wMnHW7U8$8wzFxTfRbe!#dlN*Sq_6%WGoI+XJj!kFUmW9#>?K-Tj>OV#NR&bbxO zPeU&2XxytEr4I<#iSX{2=ycX~xYQT@z*x{eV|iWokN6|z2i{8z#TEA>#u6UWrz%|9 zBk}nzj@YT=LF=#aHGI6`%Q}&EN&g_WF|X<$p=paR{UrEdtjzqZ`E&12&LwXvx6j9V zK;i*sssAxwvV#kN58AQFth>c$%;EpE{Ssf+*LNzo#%JATd-@(%zYkkvkCKUl$&; zw=G;E^s$Kkb^YM`U)sg5z+d8)@hS7n)qwM!Qd|G~{*-kA=Who>#@lh+hxe3qd$|L0 zZ^uD>ME;L~_ck1aeE|Do0{{x0gS<70SYgdx?yd zk9cx*|Dd0*c^J4-2i%VoJ}*L<`f#qS^}iSA+~51P2WOlN0{#aNF7{FmV?g+Gt}XVG zM&P@EhwLBzQNg)>kT#(8ar1TKV~x*yP;8H^H;sP}_>e#6I~p$f`|!^L?_7t=Yau-~ zobegb(|rNS=%Vo-0Y3F)9q`_v@V^^y>dEU`PtM2aXTIyK;lIQ=_2j)uz*9ZBCKG>> zM);F&NKeuO$LOi?d0&t*==+mAs1N*?(}#Yz-obcHwMKEm!BI8$z|IK$z^<+2{>XCAac{KGgAxw_xto;~H# zKJ5qU@8qW6ydbYFfh+dXcf$W3;Gc+dzaAZq*@M^oCkouPsV@D+&!e8-dIfjiYv_{e z`%UIuj6>9g!DpS^@C3&OV7r8R>AVKI?g1PJO?XK#5EJRns7ao4x!V`{}Iuk4iehFNGkuyy!lf`0azz8G`q8nOvIJX%PX@&|%^5lA_L zG?0E8$k|znbm>F`((egKUkLJjLC$9?OQcT@*ggVse0?#ME<;U4=}SPq0OUI~lYSD& z*@21eG9Xu!{zj0$0^~b4lYRoo`6!Y||2@v>qKeWF1^RrD?^Z4ygF`Mc>ey*61`Bqs ztTEN(i(gR3TYzKQXb7bHn*r|biq?1J%iW@PUk{Q9Z(IRkun7AqXJ60C4~ zje`s;l=Bl!jip~_{(|9o_@+5H05Qtp5XZp}nd%(g)S3eaYFg1;hl3k)7=Y*K$!Z+_ zhfY?BjZZjS?sT{jIZ>qbaB@56mGk#OMR2;T6Un~;bSiMHBZngr;&|kHfgGD0UG>Nr z8ObG%{25x>c7fAH{AovgT|{;v@qatwuQVaP(h)Q4S@pivg_5PeatqRW#M06JUlYk6 zb827Pgjl+|&ov>w#!2Qwe6=0+MMq5aYZKE^jIc|a5OZIJ#1}Rpmd!%xVWL5DYG6#9 zjCRBY0|ztO>~}6|%{d8|bz-xmEHu|-6C5SvFt4j+-36)Kf7`4X`K=(|5Tsi*Bmbcz z=MxSk%Ksvu{GA}D>-b__33Z{s|R#7=}9cLGf8mvP>wb1yf+FW{<#D4ejS~7FdxKY!i~};mB>( zY$&XG9f{t@VTRL79J#+}&Zaf^C1<6*r554ubkrNig?qA%a3R0Qkpm~8VgcRdxtMPP z6`MW=ji{1H3NMVvx#UgY;sfEqya}I}KB4=Nz2eVj1!Tj^>J83Le^YS(pqnXCF7GSJ zHF=JSaDg$``1;yd;Pc*r$gaX4BSjZJ=o8_=Jg$F=m82zuZ_j9J-rf`|NlPe5+h~su z4mKrHuz6NrdAL2(I_m4)aLd0NUYAc@{Fwf|(kI40re{655#W4p+JWA8g z!M_eZ?e62p-P-q0+&8?!<@i{}50@vryYeg7HvN53UpsCS@P>|z>9}2JJ31fHozDaA zyKvx?2WFhb_yfRm&lehe(iA1ePaS+d%S{^a6B-{&v0Cv=-<0`>E8C&L`NKypT|7K@A8As20`6y^ zezyDlQS_9BX%cX^vro_^M0}t^PgkcUu+@(xK6jiRwYD1lX+u$};#o~!7oYZ))tu%} z{99lHV2Osu%EU(%jT?MEsNiPWmGyUT6jjD&>xVxo<72e0jL+EQQ38VHX>Pr&L7)9AU zOpTq}j+~=OnSDu~^Wb*%GT|fifSZJCHj%^OSOPO3AYqZ@cj(>dKkIRp_IKBiMRwL(@Fgph7%e!q{{v3t;Lgc?gPCM^L&cW(Z z9EakZ)3}Rp+>0_h={s<6+mZKzAI0$_92^|pfgJUl{0uqiw!nbRP8Sg{G8yL_#QC@$ zAF<|59O55?<4T-gi<~-Lf&9}r_-q=Ne!RIi8#%AdL3t(e709`CI1dM(BBM^Mvm+tz zLnw1%L|eFA_!1^PD{xNx7UOsyV0$CK0Xga9;G=ZZv+99|WQ@&|K!>|bIXc>^`A`pb z$b?C2xf>_9c5hvLml!OfC?e85)D zc>_paKhK@}^(p6kfJe&Aw^F>wlecp9bw2navY5Xg$NzXZ#9!d}9*3N5kG{JJaMW|I zkE`NSwll2*%d(`HgfjVyj8Iv_s>stguTcDj4|9oE*3p}Au6?4*-@rAtEw;PMa9oS? zqj5Zh_O}JjUx9kP2RUyS@jB~Mt`Fe+$2fl}a?$B#oD+xV$AE{x**{%}V-UFX&sS02 z#g%#f9h{Sn{Q>o3T+xnQaIlXepXuQDZNT?{PU3H|h3Ajp`Xb`$*lemQcEA;NzF$_Ky~yvA7gB#!6eob`P%4#vnX$cg`T9J{*m z*HGTgmA{Je?ymfQD5DY${#Q_Dos;%@9J5^c%P5}%-(QdOJyGU($3y%ey2*LfT=O^+ zyg9i%*74=FcL6q9aIoE=S`r+cP2qzpQ0AD$xce~j)yRM6V&+*W?*^Px0DlEw7qg6< zv9=}390w%^nKKTHWb@iPJeq?X%_{-_ge#Mm@L~BebuRF-oON7=<6mbS_^`5bKI&bD z<6r-i^REx5{}>mno8NdiZv~v6NMe1n57F_$a~^YW_&h`By3VSWzl-_~@p=(-dM*y~ zIT7+X@8H~OIr3k^hQ-MFO8*8pIKS2MXwT~a zm;VO<0RR7Z0d!J5OB_)Yz0YV|zxTs!M2Ll0Bo-?uYU0Z7%)Z?j*qxcY8A$};X(1MC zrG>49U5W$*74#oi*@(!Zh>#*x(xk8ut3*qGfZ(}f*vUjPaNl9xIrrVq3<)X_(ZT8V z0)Wymzv5^|236Y+v~&VB&jhu=`shFq?G2eYiAG@WgQ_;>9Mh5|?YJd5W0nlP z?TZ`(WurMy+Dr5=L95`ijj!KKU?!$@J)|GFO7u_;gz@{n6+i>eMA~!J-*cHlD>|D= zc=+p$`PAkAGej5her??SFcQFc3$7? z`|UNl$^DLkxR^?f{b&S@YYi9eb7A_t6S>Bfc2n7xu;_Ud{2Rl1e>wjQ32t}dJ+ zVnWY_L^zea9Gz@9Ma4uppT7_m5fv7FE+WRMYwO|dXl={+*3$YjrwFI6CZ~a|6{m`g zF9g@lx@m;6vGq8cPD=GW}PtWbVE41y^P+ zcwS`6dM*YE6CXXk%x%6t=(s${)IC1A{nVphBfiK$Etc>p*LPe_onskfa<@-hZApzN zm%zP|%R)VA>)RMoXB7}{{F-+Q#%kDPPI)AHP1I}AP)CuhMNcEI6A?#{xR&9&v(>HN zCwylH>nKLTcf1;tuCvB;n_Oj~%LHpZ8M6^FhXPVAGf3=uz z-#H+EwTf^5@K*ff+h<>T=UK`*H_-6<1($24v(l>QN?4n12bte!7~N?ab0o`ZX6Nx1 z#{l}kkK(=r;#2bCr~T2d)9Go`g4{|@4daOi{bPe zbrK^Y-V&UOS5rsXYk%@7wT$Mwcqv!LwAr0TaqpLW4gAb|P%XjtneY5;;gj#aJB2H} z6wU=PpMJg9;ZN@l&il036(pEE=D4c$hIO~B_`%tSDH8u*j+F*NuhTHP%M(s5XX}Ik zBZVs;d02>bATOkPsU;blqU`UVj_G$;aK0VyU8nzhV%yuREN9gh zB8CZgqM2)f2M!DAxB4tkA&p$Sg!sOV7CXxu9ncN?C+2K%0gv}Q^r6Q^l?e|M>U)}# zWt7<70dK-yi8QdiGoxam-nRWg@UA1@@nwpz&8>z=CwYabE4q#P_+QlfeQI~Fw{pGz z!hRWPM^)HqaDDh&r&O9%6#iCXG z#Z?8&1i%NFIV5(bs@BX2kaCT z^pFT7?EEwCZAq%+pDj71I1%za;u5OHJl~FM%Nf~&y3#SKHm$xHW(`2{;3)YrfBZO% z)oW}aV#4e&a;>!X1L<0&NJED0h{C8Q8)Vd<@jIz`2IRNTUbwPyk-Zt9V&o^zYv5bL zvGw?A$wsJ76Vk!fusKY*tpY3~T-V#8EM==Jxw79Y2wt|7`oK1)&vp8=r!wUV3&}=D zRXghNU=wqV1cbacN}pngKAWB{u(HFjJTD)(q zoGVBde1XvE#O+?yi*JRRHO2xBgD8<=6p2QtBdt6itF0Q2qqleiOF&~8)ogce`?5P> zg2-!Q2C#bxZk|Zn@Hu=PTWisno_(lZ%u-b8%%i6XTd?{bBR;tZcVl{GD^EZiv@fO!4U|Q`IPcIk_RogQQ$(dffD5w0N6~EYZB7&JlQo zh}UY7ezdn>9~oKY!WE6nkVgKnW*pKDA2B!}(XjM5(HOci)2Tbn7HeqAs8HiX)aBW1 zH-6C{ZUNK@9ckzXaXX||1aKnq|BFu4AHE{8F`ZAYz!pzEGip?v#mEnj99vd>_Y$)S zEZ{T3R6_OYT*4~q1HPxOiJ0;rNQSOH-J-KrmfHjZ>c&5DA;K-35D`J%uQg&H?C^6P zXtk5Y8YIMvFq}GN48be>q{9fd6gHg7;NJz=x!~W2)!4-lHDtLG;Y@bB<1mZIfG?4A z#7+!)tVSxr!ELUM&l5zEQqr2wWlWt}$IS7k-V4kZOG&RjJ9H;na7E90r~C%-0)ER_ z-cU+-S=4VFR5&U^+|^#nR*^0u5iCL$(?;S)ko+3+TIcpRe=mFWu3-~e*=O@b@Mx?D3B`c z)Od5@KGDb$IsH%d^m+PyOlSEQjs9G~PNq$iKNrqUxNmJY9KGDq=Mkc=N=iB)&CqAJ z5S`9v@gX8LX3Ku=5hUXg-k;s0?Ijo2&1bJ6Bsp;s>G=?SVf8F0T#KHTVUPcOs3gQB zrsx8j{y7PyLe2?@6ay)Z2+D)?iKWY*=2eiMdp&*^Kg~94FGU$w{$#%Wk1zYh_jGZN z-lQunO<-pvz@vSF-75;UvY=A&@V!0LTttya=L4SibI_+6BBrro(8n~Y9^xKoC*sJ{ zOt@G>nY9>nk72q<4?T5TJ{{q{l5-_&nOT(1VK_Ruof@3aw5fYrHecaB{0aqf_}0h3 zbaQ?TRZG4ZlL;?q7)sV1)bJXb5`(JkCzaUe(S1%zACm)AspVz)0Y8K;|CM_T<9f5BVA;JTW)M5@b7}?` zQE={-_VGtypWRb)_{z2{G=*;Z9YY_3^~TW0t>Id?SL~-}h8gCOa`KFn)rw}KjFjbS zc`Ou>vz$KGmXAcm(*C08V?Vq=0ku_4PDd-l-0~i!{xu-w)~UmEu}pqnkXyCS-G6P- z@{9NTMLE|~OQ!Lx!h;ro*@qtN%zpc4_0W7~M+{+g)hV?md^TbcNfgnL|VRbDHZ|r#rRb_R(@C zMG=K5mKa4>%dN@qrN{SKb(Kvlny8p= zsi67r0?P9JB&}UZQM}B-48dPEZ#%C|)_YXOC1jh?{H|cMrkpln#Z>wINX_>T%MzCJ zl@fhL_r-i#zc72d_tcorC7tbYTFDXFq(#}0AZTay+N3RKbw1zI$_!_XXgSTFTHvvq zrTV0JcpP2%p2&-Ak#cAfrD_>ec4$(_Fc?3QITwfhLN z@`P%xCvs>}Nn>P;;>fQ?z6yVz2bN^zHRDl+=c!?OYQO2lou9j}IpPYQ%!&_pD1RjH z$%t9&^L6RTHxeZ7&PU6Cy5_YyyGRSMc!y=2P;;Zz8l|0k@Q2#Qg)dvoXFUqzDXz6w z%{!Py$(ir%$~B_bffoD?elsuL9M0+4BlOL?`t`%J0Y;A;MdWoNRHty|Lh!Cp=%!J6 z=h|w7`Xli_;cWJAO(uxRLSMLY4YAPsEFbiR*!KRHV4pALac%9*d#l>hUyLCYipc4p z*zdztuXc+hw%8b7gWE`7AI*q(s&r+W<1-#=md_+HjWY~X1v4jeTgf1JBPKNkwp3r{o~AQO=S}9j%YpV3uYM*?YGR~;7YmO+>ikLS z+mzWmS)il(h;}(^p(N_ae!joKnBVtA%)2#i#JoW#*`wg-Gna-^YTf?#Fg>sfmsW*n zYX0aiBD&Pg1jg@8gsf~C((e8v6=HNVn~j2D(MH8)Uoy{m>GH9OHtX?%k41=9JU>eJ zw)v<$(w}Rj=27jR6R;$vDX4PhidJ#l>C^b95f1_q? zxZE)lT8Iu6`K>5fyO+cGUFwo@j4dN#5Z0n)KH_KY!Zmb%MVi7#!D{wEy1wCJQitqX ziNf-1h%@Z3EQoZR-ZWH_2XS^$SA39dI9hBISjT6CoH5s&1vezw#!QZNEARZ>)`5oS zwBGq125{jT;oT@)=_Q*eRDEJ}mwio%+Bs|2S@hr>l2U4I9F_lu&YsuFU%VDA`zxGt zwsQ~Nkb^#TJ?}d`o?!gGE3aAC37?ZFWqi!*&-U#1#~aJ6#!gPQh$7T*p8)vf0}VBj z&tAX`fzG6`DwpbM^NIAarILsYH)*ZK=z4qMc~=nj1m+se?5;{-^jaS4Hnk;Bc=LDe zM1xP{(B<|PL1RbDh<@Jy5l;jlveh8?6Rq}fsWgEg)zU)2(}4b0wzVx z@_!sUwd<#Y^+!8YE+~C)(Z_z2K^a;upka~njAd>a2V z#pXY2i8gAyC6>+LW9h5VFm&ZbVYFJ|YvqP1&W^frq?g(S4?Hp~3CTbDgDh9AOfYD5*K%^yS{eij_2k&B;&_$OcZc1%venqrUcM$ITDq z3y;bMfv0nv?4Tne^4NEXiq{s)z?yG~iUd_|gi;dE1wEJCbSZELhiR{|T(7VEWB9Ny zQ0-PFcaE=hbLzKH$uOG1(oDIIU2*0X<3QgBtBz$WnpzO( z9D3~=F}kwgyk3IA1H17OT`MfuVIA07Ue{XRMsEZmUX~8c%5!q8z3!USg|{XIx8KD< z=+M}A!i%i?__@2da$0ng-404|kV1Gb_7opL2oSc7-h_$jq~J$s(Qy#*N-7KHM4yWG zA-3PYu#Pcc;V%ss$9Xlhu@ue@yWXC~ti8&3I%xfaZa*>kowAfcD7MjX+F9$N?v+XsP(Mdl2~Ztli!=*N{X5+>0Lj6~`VxH8y_J7`oUg z1Gr=K===qPxydza%*+x!(2Octvgym*ZAd8dMGts>LyW}h6kw1QxjR*}T72e5jV>^9 zRS`mr)tM<6=$(6hM%X7$jB4LB!V=FHE)LAwIqcfBQ3zv;{L~F=pMi!5Q^<5LbZ~Tc zBNEpCa1$4H9{Iv;8G+P`=oCF!s?f>P!r(d3vDR5ZAN5bo6V1!C0&l#EuY-01imeBq zwh$~^&x9zXWATf&HMq+gSCp5=3g3yzDY!Ez%jB2drRqNR&o~68=76(hv*l{ zP%jDo{{w(_*n<8$l0nmBfp0aB98AE+=6H$K@q`e(9~61j|G6W)N%${rjjjb1q;35M z$A|7XGwTErUZMQ*&tqQ*h+RRy9<||Zu0&;msC+Q>O*eRvp~I#NPzOG(8f8v<4)^5V z!sGj!wvUU|1y)}02budLpg&J~`H*q4W_rK8W&<-sPp`nPVc5jRQhQKD3>5qFonz*g z1MjVKJoet6!rY$ubfX1@cYF$Nl{GW%veb{f>m~U~)-iITqR@O@%;U;fW#a)J%S{Z# z@0T<+Ckwvf@W9?I;E6*E9;+m}vo>&|F`CnZ=SQ9EI)L}2ftp~1H#-R_d zJ2T8TK=4buj>vI`9Pk8IPeC{E%QZ#^e0JknpAW`OTq)lC{b24xaT0_xoI69Ql|=0^ zoxVC0?7GHS%inf`RIWe9Lv#&|caGtS=dTsOA0p;mxOAph3ELnFvtl>ccg$9;;d1W+ z{s#cP1+a}eWc>dQAW(+=b~(7op5J@9?$1@tTJ_b3SZHx5Z*4&K%-1qRMC=%yb~y>l zE$%&CJ>CxPObyVH!mQheJrKeeB4pTmBmjQGfDOr3MCw*@&z#%k(c}ih=gma-t23k* zTcz3_zGztCl8Y&5`*b5Us=95iRsg&u5B*Gv20_KD`S*RezEK6GOD<72apg3LptbJ6 zkMB%bOK#X6Ik7Pv`}m*2%eLh)TZwBxF;VdSrZ%6f6FLGu!5{&_R*m>-VHx0OE9{>8 zP32y?+zOFeY|{!-DH4!W5f3`2S)h4 zpAc#%wQJ6=H7_|pVqO2!Wm9Z?!RN1QHf)ZdjBS{@X#-I7s7eoeE~U9)UUKrE0Gn?h z+*5k+!98=ZC*gSI@an;L!x`KNg4oS-P8rUn2xGLJDiyKa=dn2>?OA+sq!P8 ztgoWlOPbolcG5vrC^pqv0@n5}!E8RQ>Z!R_uWW8+7;4`5sI@rvZ!3cxy&3UDQ9QZK~ z@+uHGA%Iy8-mUM<1RGEVf5Ml_*kJ{5we#K`+<+i^K?Yn;q?>ZVK-q=ZWxWWrR-}`< z0yZDXdU-h+hvWK1u(-`G=d7umu-e>C0z5hFm@* zKDqby$v#S5DYbtT+-J2Ywd0%?e)iMO`@w;9pwGQQBK>gQt@5;_>U%MYpK!@x2TZeE zt5uwmk^!kQeNTt^=eV>rf)v>|Q?^p~sY@PL8%9d;_=W#x8vW12q5GfS{GXot-=&m! z*wQF-2|D{r#Q3y* zbk(1SW@xNC_}t0a1QobTi1oC7;APF zMw#KO3nfg~vWx4pJ+4ZOWXGf7QCoQUpA8!O-e^Tm^7}!M=SoqwKY2n@XqIHTS09Rm zjG$08QyV=mBQ4}PmvfmHV4!pk6hLNZ>1jcm#LF+CZdPGv__`=Zj68 zGj9gb=|Te!r7pT+ z^7K=xxs-c6cEqTH>h#0=Ws>luzo`)VKvSs#UZLbZi{Na~v`9TAt7KJZ`n`Eo<6ffZ z8v+Wd$3i}N6&qAd`J=gk9>(HcCN@t>KYT1U^>KT2B$r9qia|<0{lml;%jc|p)hQa6 z`6azs>5);T^lONS)M1o0qt!28^H-!ltG>0k<|q7eBo=Zf2k|_Kz-&gkev6u^dFw@! z&&!hOCP`i_^FgKa(*%x=F`R7ei8lERT91v|ItlUIjJLHxllMj|mk!7(rD%88hhxL! zAJmZ+1>5hA>-z98L)v$!t@-{|Sul4PQNdg8q`BbCs$o_s$)qnDARy~I<9*Qw=~Hsn z<$MRpmP&@X;eijJIS(I4NnM;pMTicZmYwbneR!H85w`YV#mi9YK_GF+XmQ^!x4jC+ z^c~%mu+uV^z$er)D0+A_%SBgCRauqJs{gOg>oQeF)Usl(K z-;S2*kfV`|z*#=BGR*jBz)hobkx;|VJo8wd!|At+=r3s>x1Agj=5y~)eGnxRWn941 zmlA^b8*SWRs5Cc3YAxl-1wFPS>_Rw1JaBfsAI*^sQucqBqBm#8n$(9Qgf4>$p-?E@@*Jb`0_Be6B0xYRR0xo;`*j)3u z8sZ*2Y@6YSV*O=AglL>uFrGt4ZC>B*><9C#_}>@u6=ejMZLC#rGiC!n$M;J2n~U?d zN&)vu%i^DsgCksfv+lYZWmx2!bUXy`sq6{Ws52Cq=3=Sto*FIkUZtL;hqk`1l-TU(@a!C zk>GpR!_wa7!Wy=ww@+5|`;w)`%$UXPRP{@oMdZl0tpZP;X_eLmvPw1EN_ky~h`WAq zNsbQvCI;^xNE802FNS%H6q50J0H4kcP4alMW6w9+nzFD$;;5{%A0-8Tz1Xm+cx z_G6~(`5-er>R^5CFj)THtV#;g1d2|_6UYx=vj?Y3e=2#sDS9BbyT{AH%Og<<+wdz` z-&j)X?nM;KnYfpBXE7TSPbkdEQUR!aGm12Xo(H{`eHe%oaYS69&y$MMX}Q1krzeCY z+KOvd{s0_EpE-}l_NNYryp~+rEv!!s@uK}UXv2M2IF(9yU%wi#h-~4c@#J$hI4UH2 z%L(Z@e2lkDRcYf%KcbVY%i1A*y^Nwg$p2haE6ldPvnf$u4Hr-WR1K50-0}yS_!OXK77#AsIfGe~Yr%J-=S= zt>J1ryqvt3P;EFH_v)^7jJZOHY8tCy?XRw~aHY>;B+5ik4n^2d>vC6&7IA?kXX@3- zJr*OE^%vVJc7^wI#6n2Inculs^k+GV$J`&^jzj;{_26JLugzmAyBA?*ZhtpkAkm^< zv)F(romEj;iEsGQgNR>Ww4KHGGXL8fH4#nM(FYZV!zTjCF5Dt-OkVV;rn5->wBk{= zT2bxkf(q#W&%3x(n`ckhq=H1h!e(3a5TjHT*lc) z*Jk<5Nk@_~@TWXKSL&wk@KN$@UXS1>C0`ZeQV$5Z@#tm{^B>!Ezn2Iwmd^^l`!T)g z{Op<2h!xG);V5m-D6zqZj+Fk-ykU;vBgBmrCj6HIO)A@y`tsLb{N?pr++#ih_<% zrR;wpPk&mIcg?@7K&sIv9y(8T}sf3!LH=dfXKpXmuT4UR}OHr!_Jl{PMLhU8S=KpQT%gQE#U zbdQ2#LV7~IR~B=AW$G(yy!-R_&vI8pczJyA2mh&e;&rzQab0DwxCiE)=&cf?G}cP( zWjz8!f4IsBi%20~?#O5RW++Micq%|E?EB8sYqWsMPRM>TJH6z@WsOYVi zF`9pCV|FyYFuaLnZm35h$9B{FU&B&Q{MQBck^oDBAIrK|3*weVL$YsWc(sLzB>$EE z)z9!U4t{7`E486|{JOLScE)TdEo`Zn8r0L^z_k|2T+p{D(VGk~y?#s-uG-{nJS1C0 z^FSickfBqLhHoSNv&OGxh8Glijf`iWjg01AAKUo44bTh?>&fa?K2H1}Rz9v@nTjt~ z-!&;cbwC+G9@LUb3R2~!Pdw*UAfY>I=GnsOyh^+LH~1v0E3CCKgQrxP3^Yi7bngh$ zTf$D+Q($H@hTJrEI&q@);-hmYrjVMqsij&-=;rgGE9;w?oEZbN%g4`q?4ExLEcNwy^k^}~uyaY=!?dyISVWtJ*Ca5X)?#w^%}{tg z16w<*@@jn(%Dq|S#cB>uQXTL@55Z(7u&qnp3=e*E*}%Y8X*<>7cKm0*s#Gsq>Bza} z6P-Q7s=a&|-;Xvuy;CD-6t_pLzVrN7-OR@utIm3tCaSKJzqBl7#O+g02INmvjWKzP zF{H0t{{3oDUHR4dvghGF=-@Y-^%BdOpTT-h`QM*3=@kI@J1iQOo@u{T>;9E&$xzTd z)E{Nd-#|N+HcbB5WoEQn#k%p3;_~Qz8#8T7rIi;;m>#_MnKK#XhG<7ghbGn2%SV?l z_XGxC?|MBDnhbYr)XPz9tz4v@sI-`gA9bkM)ECkJ-1RFm&$ISQ_UJtbbGjp932~QU z<)N}#;*qbKti58cSftU{DEe_Rifg)(saRyv-!l|g(yxqk_oA)vceP;PNdAjvWm=px zG#>}6r#_o~iQ89=`}Op7lVn+Ny~PX@VR_o2VQt+pVR;8>xgl3ytX}!Y?tpIvG_H)+ z;n}S6YCj$78IHWH8z{FKCdbQD2K2uA zYX5O`T=9Ftq7(T$_F0icy`WJ1pEu#ZkM%TJ$(E$d4+$OTlvv7%10Um_4!nUnwflM) ztG5zNK3w?y`&&)?zj^Y>pa#Rje+t#Xzm1u)`R0OuD=4x+6GYNC-C4!%DMz*qWK-?_P-$AF zg}1@B@AjteHD6)7Z|#CNRbgejp_8@Q+5<;cX-78CO$bp@=#LkQU!glbjkSh#ysGT1e z>2J-^F>{)vjQl;tnEhKi=>qdEJ>uZ_qy-t2bRoebD1W5Rr8XB*)c78?U%}>EL?)?c zr^|Koo-fz)ZngQaJ}TineH>vZC)Q3@(IR;AwES;ffSrG!g93@ZJe?s!tk!+rMv!{K z){KcLm+A~X?=r%MbDR%3UUopR9D6mH`d+Q5kKADRO;HlgHR)7SJ-YhUlW?Qz!#7$R zrelWorS;r~8z`5`1D&Kgj>3D+N48c&-+)E;R2xh52Xe*TZ`TujdmsCZFx^Zow;FWh zUmte|PSLCjb>_E|R$#x^r(8LWEBrz3uRd?BR#qLYF43+3OyAMFZ7Q327U~ReVShhG zbz;K}Qlz?ZRT|7)ka$RzZ58X;$DuA|{erGGu&P@`sKC1+ygH#)Tdl?J=$juskjG?z zCUAH}k*)2^-H!OdcSp*%o>m->DITckTj{pYu$!YefQf@Nc@n zBKwxRI@m&u6g&eN&X@;{CqaWQp0Rc6(qV;3fnFvLWcIL~)M%3#F*~pQu|Udnw0|3v z0P7T2=_WT)O>Bt6e*WlP15*ZNY8Zlj1tAX`6?KgJ1v)SY{JebMCFhqgnvJ%Sgf;_7 zkX#8NE$eQbIZ4EBPJwj8n$|m>K4w6BOj)WyuGS*Y;|E1Y+E0 zK%O`4P)X7bcwXwqV6y+YY7tg_nOJ!Ze)k`X0zQQb-$>i{X$tD!eu^QRNg*!}KoV&K3W)EUlW+KUSY?97Stj`9)K9HAeB50ep65gt_6@4khb}qsOG|?x zE#h>II^E;);*nZ6&DG;Fim18sFdg#to*B{&C}@6mhIFLM$8o{r0LC;jgJAw^o-_=% zD1ra_HLl+AZBU0`&WoYbeFK)iO|Ii|?yuS_(J{*xUVYOA5abb_U>MhR#QlQY?oI%8 z)>o%u7gjBiUKg@`jzn7q#izuwe`Vk;aLycSpxvB$ck}p$Z_Zbwp}m>Kip6vF0z?GX zgX3}!*^g8=2|AN|q`>wD)b3)$frWnY(x^6$VsbRPt2*(XU=D|EoYYuqB|MO)xR|V7 zR4@^nq<#_K`RK$KO9^H?foQK+-))<3Lwz8`6O)0kj|1#d$j*$UgYngOanH!Ge6(oc zyFkxK*OV!okp{cZXZ-Uuv=f=v3o?ssIy-VLZwbkE_?V=5+6lR?`cD~_DQ)6A=R1EN_-=NUFXu{wJ^G%HbR z!X^FC{U<8;SghcU<#LgB_(^{z-=^pOBlkj}40u)_wx~voCN0DMbEgMxt%w88T6VX| znn|BEvw#`&A{+8P0^;$u4Ht8WVe>L%K4M1UC$zEVSos@wM$yUcaNQ_q);}6Odz}+;}LQND7n@NDkW^vM{M2MC&~` z*ha@-A`z3Z-S$3Cxx&rEQGie;WMG&?rIqeFnQzDVKlT50X(67I;tPK|!W-S7hfi_t z%~vfaUQx{!eq~Y39EtuNvRb#x>zbf(40acDR9U(J3h^cJjbU^8E7g9lO-Tx>Xm$^6 z@fH8X!hFy^@eXBf{jmV|iPjIe3(bwSTY_v)ugrk^Ad>Ye7%ieetw3T>`@j3&zKyVH z&_Ay$9V^w&>P}ysI4iY60sHL|TMK^ivPRT#g}tj3U=y%P3g`NDx6Ubu1FHB zF&Rfw{bAk^0X{{e0)XdvQ^2#ASGr)M#r^LcP|pw<;J-QH_bAwE20&rLjXl8SF;#l1 zyFn4$IHvXmEDAL@eGhv|>nl}dyWIYCz1Q7Gr4P~%Lan9k%bk5>bpEjJ#)dHsHXUWm zk?x0^xb%5GnKnsOb0w46@J$KyZ*QR*fYm?jwaj}P>*UpMA z-5`fguDZad*p#&j;ExepunAfMXxZUzF0huy;G6AEU!M*`WJQ5-ln)10Ogr5{{b*0N z?GtbJS1IZLkl#n3DS)(Tsb}e zT@LdfHYy;z^V7%@?8NkHe{ZC9z5*a~S#)kxO1P~#BY=Y=q2OCyqPK*HuDi}Qc&bek zX|%ZhR6)GKrx2ZT9eG=S|6Ez7jVHGdNjdmQ64#b0R-#s4pj-Yhe7TC0fHfN9V0#kJ z4sc(Yha~p+(Jj~*^Rl-(%OuEob@BBpghN7%12e8~Fhl4kFsYas(yG>xgv9;4$PMJX zgSH2QOdH8H&Ot!G!qaD|d|KprBdBk6lKl#{uFWP1+_OtKzlbwGxdh>a0@F8n@Sq)c zxAFi4DQdkVVq1z0`-2lctz%vlE|HtPtGz0hbf+&v}CzR$oOxZ91L4pCQ&{AjrK7>YRYk?1 z)#cOvaXvTfz`7i66Z!8|0bk)lu@~dRMgow=cB;YC3H#q0Z*Gz&`L-L2v4ViNneJyM za^`AFR%t*S-PqzUx?W~9V8qbgM>@{ifD}GuCo<^dp=W8V_ltAcp8|M zP(a_Y4K?wtGK+k(?e~dIqJ4F7$#)nwig^Mkd4npH>qz?Ur_nzyO76N3aQ$N8s@qw# z^$|EGQH97GMSYV$8oC*F|HAAsWV~WyN@3*y({RWFA3@kCg%{H__~uDYzWpT~B1Z@8#WLTv`N%3g z&H>?i9()Er@FS5vW;a{21P+di)#UZ%mHbG&y*-6{dQn~`CH+-P?_DZz^ziOtOwvgC zzj4UF9muJ&Zh$UGRTF>Y{w2;INA`XUvy=$SjIm`7dUm!ZToa4I+IFpFe(j{WZcqOQ zM_&;D2T9Ou=6s<#_u$noH6alXy=qHUr|x(6K#>a*bgBOSvGpmYaRx_` z-|F~_z%gK-Hp9lYi(Qn@XWw!4)!h9H=GKw*G#$;V^dGipD;6BsaHmEAe8dd&}8nyyO0Jq11ml|MaX35CLFr1Lq0PZ{ljCjo z*LyJe6inV;;hEFm?W+s;L0a!x;7PhxDkbxw6`Qiu;iEQFj^cyU?+ui9iVqq9Ifv!K1v-b3Cnckh2zE=Yx1Vd78w#`gN?Bs;P<@1UqvVKnmYmg z+IOI^{$_rCc&32~MTB?Jre2(@CiFu`(MdbayPI0cuf`xZvCa^da#mYp%r<A-}DsDwPlH%^H$q|=*hGK?zE%I{c8nb!vm>_3KDdoCLVWG~vHN{pfO5c(hy zvs$@A(=t%(44o0=cRA}*dEML0TrkhgraPleMa?76!;qV8a&_Jf_37TV9FtXUxcqj^ z6&G9>_GClG!-06nlu(iyT=)utVVots3PxN|Yb*54a;coZ_uH;5 z)v2&mv1kT6Frl8MM%H?9o+oxQ4;q4Eui{cn^FB|TE%sxhE2+xB6-Pyz-$!(+gZIQ6 z_;1Sqh!ytk-0^ z|3GhZLhw?Iy3QZX!QUl3!2hDd!5|qE>pq46X&$LBq>kLa#e~eqXV0g8lpJxlm8$lm zcvDhlnkR#5X93;TplYV6rMyo6yUiIFQ0g_H_^!7fe}P-HffMMqB?r={Bi%Sk+GqSS zCixf!>4V74UXsc69%jABa zm~Ar5k`9#n2O3sUUD$E-etGYXG$gof30p*IjZ4XZ=`U^n2Qj%X0i9xBOAekTa>Mi* zfF}R7fWZ>Otn&cRAD~WmkxLk;&8}aYnyJ(C)%x#PY2OD`$sH0+Rhl=LC8q$@b@1K4 z#q-AviV$=oQHR-GT*8RUsMn#2aErK8{V?@C5a*pBdj5y8PLV zK~o7VO(g!glQ+Vz!@4fae{wshYX>kJ10@5+fUCWKtOsZ=g-6};LMhJSO}@{pgf~P2 zh;dhss^d-o!M6_}^dr#V9S96T0&-*9OD1Sx&U7VM-p?EXxT@TqvVs{AyCu#<8XLs@ z0%S-E585k(X1fPt#KC_h$g2VlZ%l)92Cx{hbp$iEpU!807!@QpOO8r{TWS;LbP~ZD zUP0jUO|#~LU-{X-=w3CB>g*4@_qmV2=Tij091$p}!%(;YcWCEv;r4q#UbV5re|}4t z;EPG9oSM;={Y}>mmmFHiT)FLuNf4gC0h}?&ow(U3e~!Gjk-}Vsf>4}@khSi2NqVjp z0(ONHehT;cY})kvgQ7Qp&F1uea&00Bm?*8Lb-KhLTvllHZctQ^Ji$D17=L9BCqtQo zY#M5>-12@1weka}Nu`(-EKPt^xG4r9KM}K#0M3g&cckV?lGlpeQHSj*1oPVJ9R;7;4nk{s0>A9Dh!V`9ql!eemG1lYYBU%AhUpxvH)Yihscot5(yV`^Cx=LpJC~7eW+i?Mz`J`goTyVJ6>jf$ ze6y7D9a6%cxn+mMT4Kd(hwCjkH#6QGk6u3`rybzjeTX$XpbQc>C%BFRlBTyjsE@D# z1`1!rzNibbkOzg^>clNtFt+U=d{b@25op^fxjsxWrIy4LdT zd~!}XlT^8R;@>oVrNY6eO@}POwFG2MR^dLecWrT$^P+<2?fJQ`lKausTU4+fOl%8? z8cn;F{ZC=9$9k z1#q=-rY&eo`OVAu^sh@4Ci~zaILiqGZhFnGnf+K)IERoe^P7+={$?UlypKs9Qvt9( z)i{`QThvv}StrNo4$0 zjae-?eTh8h{8@??sHcO#L@?cLXZZV9t@ce<_rg$K9HfF29yC&C#Ms;-5fJfL;kXYO?+g8LQ*rBvQ7 zYAF7os`9vwR>t9pa_37xE(@=OuDNn92G-k1Be8ymnwvun;wW$&%?hFR{zdG{^gUp;2FTP?D;FY zGsKScaq`axRxqciyC4}D9y*ywfJabnJ+S@cFBOPX)V|!+N5AN=Df84WCq-o1| zh%XF*UcGPjJGJ560T1pS<+wFlFe+o)2y`F~(^fm+>DYo+&R1i!aMVH|-%j=`Q;es? z`ga8u$ejNV>vV;u2K(@127K&9I(Fgi??>tAI-!;~8w$49rdKCzU(w%T@0ZFETaN@( z=M7zr_>SG$Y?s+1kH-L~`PG8nC1S*DJm9d1>r!~x4=$m(%@CcYzHqln=-e{4U&4M2 zA4UNQaO%_ojMtwjc=@Yt=n6rt-viV>8`20hU|q@aG)tFQ6&;RIEY%rS_RkiNe~+uO zTDR+^k<7b#u^-ri%V==a6Qrd%mfP){RueiB+7)Hevqsh&0u@{W8_T!h7(Zb;hcFui zMf{ivs=leK*ALK9K)SD53UXmLi&RqtVAeCPxa+$RZ0FIY)>;e>9}YaXA5*8BqMH){ zEw6nw^KkW5Bi~{p|?Gd>+oblcC zve?6Hm7!i4Xf@PETR$Q(uGMlKx7+mNc>5@(qc6PlO78i#X4@9%xJdITIfe>@!an!1 ziJt)hiGbfDd*H1xRDt|0pMYVJpi(OLa4O^;X^#!yI*aPdvy(xW0zSCpW*rS6n}?)? za{B+U^xffbJ@4BQEeL`jN^Fqmz4wjYg6N_~?_G43=skM3(TUz`^crM!qW9HVb+L9| zpYQMeXXadIPMJAp=9+o#=YH-1EG&tPH9#rNX7bg1K!PnRAxD<8O(93TCA4d~y-|#n zH6zCav=S`@+$>F-c8cX;ss5^Ow+uH6EWWTbY8VXpu$pCJ=o5jO$g_Pae2zZD#ObYBshB>7m6ZEP zvB{la&%b6%JYP>pNbQX##edfzwU=hMpI{YP$T4Fxu~QhI`E9ZJE!(kUAzj%<{{^kb z+h^w58}1+S{-`|C&%S6Poj{UPQ`&c^v^DGYlX5#UU(RS(i<4yO%P)2lr_baTcob6C zD)bgo{YRmEX8M-Ue~ z0w5TtA*~_=Z=lrYo=mUYpYfXvR&#YU-d>=OJr>Ds&H2#=Dsm3kUrTkWat=^w5dp|4 zoMPR+RF`euh{&)|JH-kTPEf=wyNIGCD~3}kmO|d-?3q2XM^4cu8+K4^-Urexqb%G_ZLmA z|8Z8I^5LNa*0)^3mmP@-z{RQ%dRG$mLpR|{_`J6@^!8Dq17j$HUYtQEwsajE+v<~N zIEZM+jIRZsr5Jvi8zm)qtNh{vhM8DX&xI*VqYyCZuD)4CTQOA(Ftt>uw$QJmd7v?^ zi$>6}?rn<2BYXK{Q5^3Yn0?-r1pG2nz`3LK_;p{h+k`+sW@f&_heyB#Na&$1&aI~U zV+BYPNvt3J6Fx^B@W+o2v^Q`NlHBI&r>7~3^;*?Toh~*{t!n}EpY%b!hH4d8${7Ks zNWs}EM7GCkgEuG~?i0fs>N^r~7%frnPoMjxE3QJ1=&}Dzw!ydq|4cO}X5 zFOu!yY#c#(!(NVj9~ z9H=H}a1#IR&kSeOlz_3^GR2SLM|s$|`>&pgt(ApIFQC^JcSsX0a5d36+7a*I@~yC1 zN7K7>w00BGi?_K~ZF4)+ymhvJbMbg@MV=KqEUNT6VSUQlA^5@-#Qflu%a58QnLiT? zPo&w4XE1spV8O1;<|V_c>IO|yIQ`6~c}tw$zFqg}eS*TF6Pe=bY7VOGj&o~kI2s?^An+65PpD z|B+t_EoaRJIgcbzsH=sb=l32F+v@3tsNEFx8vp2W$HzA|I{(Uz3uc=7T9D8eg+oxJ zijM)@?I5Yo3ky9@AvROJb87MmVQhR$TWW}$|H$?|KBt0|!mv*^`Em}M+pXsQ)5~C& zw&2+Do&h%DTF9cB(M}=7AGeVEjNPG|U8u=C*7o9EdO~U|3y=j)tM!7F1}s%*HERdD zI>5U5mjS(@4$tX>zww-soL(d+==+dU^_@1sfE_oEZGaz@dhQkH;#w~%oOmQ*=n>{b zm+jUK#kDHyVkEe_X^I|Lc#7oSHjV_%G3XTOb1jh#Fzc`LQf@P{Renq^?^NGnt!jEQn-tuGElAW zsaegR#i@6GSZgh~{j-jtRtb_`D96(e#MDArN_Eu-c3K{zqOY$+0A-WMun4xu%gasJ@2UVjT+hHG12AS_3k$^R6DT#Y&SCc0ibNeAIe)k&Ag(0Go;Iodx z-=N@~lRperNDA(eIUK|>+b!);Vdz~yIrgi6Ke_^sOuTINZQo1t+!6akcXv0p1A0dJ zmP6(H__Ir_u#D}L6MLN8%?7jlN~kqe-f z6z9bl0Y99}gYC8n#hA7O!6v?{q&n5xtg><&m;N}vkwJ_9NZqS74XDysK-5a#A%Y(6 z3NO)(nxd%KH}9GCI01TQu7Aw`Y|SR!>f7{))--h9iy$ChYtwlOs8i# zz)!r(P!?#aU9dv@<N(HyhJ(m!j+{xcy?t}G7G%N zI`fkzV%B4z37}Ilb-S z=0QlvR*M!h(yjq9)?~dK&&-~m-%lX2b&kI2-0TO-ubN)O#&hq<%PPEj)~WPjRp)`7 zlsB`e`Bm<7eVRrs4&~?i04`!@eQmz6$d)QPBt&Mgcqe5{YfvTalSLLa2P~R4m+nKd zxj4WIgjwRA`OgNN{+3I{1KZ#7L&6N!ZxS7(>_rRtCo?hb&#EsM-Y4g#+m86_a~WnhxOsFNy))t~ zowH1z-h=RsdRj?!8q!8n(0n?zJo3&ni>~7mHs@y=({=rrak_SGd6z|}Q=g!uXX1&k z1tAbpd;1#@oLKs=#w$4aO*zS}o6o7hKooa!$u=Xon`D}6H%Iy%ZVel{OyXqlJa)34 zlMBR$4^1(P+pCo|LaUtwm9p^u(a>EwPO_Bb*BL>%QtC+D{oEN z`;~+NjWwz@&>6@B=`)T>BK+*C$I25ajkd$;wivb9GB{6(T6iwB$~|^E2Y<(QR_e7E z?(?{{y(G7)$lNFzI&*96*W;jlHjZ7EVWG)cxF1IVPGr-$bPS|oZ8*9mb7km219 z87za;AAv(Yb-IE2Y)hJ7)l<_uJ$VeBxLGE2?@efq-@OatJnO^Rw#--rW*!zAj8trK zJh0Dv^hp=E8|&3wd2{;3GA$$n(bU913g{iniDVou<;nl;GPa!m@r0tjPdX}v*pZ=y`LF6ECw z9`vli@Xk+zV($s>*fIOQtsTNI9>vCju28tak?$QBNZ}lA*$dqHZ1=s==%^PtH;4X{=e)TR$( zkRoaxhRC1C_jE(#N9;~h{lzw{nTRQV{})rpF9hD9n^U zK`Ss?F@Dq`qEvNYE{+Rd-bDZDuQnORaSDanqGmVUJEptIC6-wwM2vyhIj~3Jt(;%H zlwg7L)hAvboL92uD%nZE{L`u03HWjak03H5TKUp}9!sI{T*B-jB2T zx9mdjEIA|-7=j5` zlB3ZYP3>rA5hl-(%fZ0Yl{eFf z?4|5JRF*-+r8tW%(wP1X{a)&*Ti!H~NNv+=HU)4cGHm`@^b4#4Mb_hJ)VKEBa3Str zuY{Y~%R5#5n}40!Xw^6U|8Py1MuxdwAZH^C9-~k+1Gk;uUsgb21fFqAIuz#O#xJ8*QOaoll2?h@KA ze-kBfl^NghSMZSDr)+ysOU>Abq^-W#FmR{C0cQ*{G2BNe z#34}1Zx@a_N&TYAPkt*M)Lhddg`L3jrRAV#L{%O<`;JCLB)QnctO0#!kd%z&8~eLh#CG*(?Y%vET-GVYD2qRrqXuAY^<-gMirQ|T>6M833czuC$Wed7w^iK|kmDxKUVu*Ej4S5_<4l_?>4>zln>S15JFle1;xB7 zA8Q^vbK0wafB!>rf|CDPIO*-o^5)hSQ2+|%fldvRI~OnL^2ED1dcs>Gx4&?tZK?G6 ztmeRW@$D$%1NS7}w$WTMo&S}QaCel?0{!z4=zfc49FAm7*{mMh&Px13|0-RL2p806 zU5MQNHk1-<#rxgG*!iAeqd~iigrMljNwjoGz?yN7dU+?ec-CtY-+=>tL$!Ur8%Wa! zcC7`n2E156ObN!FqX!N1Q$unUk>=LT*j<-R;)V$d5_o{aOO~D{ly$Fh->7`G{3OR; z`p`4NR>Oo>5-AOW5^myQa4~`cH!*_bbwfI&5H!LI{qLhg7G!C|JP0nGdyrjPJ9lK( zTPgv-hmWWX8%DeUY{eu%lzO@;d)8k(j+%f8>A?Vz$F`DL8NXAA#wsF$ zAOQ}u^2hj_izg?kzRLbf%1aTw*1Y<`azaNBR-tz?zey7lMDgin0@KIe9*It z`;6bJr?ANgcke&Ijf365_0c$3DZmW~^-KgV;4vfBn31!9gzxX~zN&zC3L!@?0QWtA z*bir*=8rj0g(+a$dN_q$GFT(xKr|N!kn_K6_1f-78Nn?P=?wl$$WKzB(+(O&P+Mxa zPj|onHq>Tvs^UDocZ#s3ZK0&f`8UTbGT$SKQ{jjCoh9fOfdnTX`$HLqD>7p)@#kE7 zGSwjNE@rh-K3!%r4{}Qv+?<@A(v6j7f5L23pd`UP<~qBODQtb(Lv#tv30gON9&sM_ zt4M76Ah1DHm5AAYR^c}vMmjoP9M(BmiiwCDM{nf29siQ4N0$^tdq3y9IHqYSfWl6w z?9blP(7%p~1X`Ld3UrJcp@z2ax>r12-AuVpd%PSZEC}04PU+|W%VR%xiXIJP=q9mv}{Y1mcmZ+wGbDI3#^#$rsV^9OWRxZWCNE;=CNIe zJJ)_lBo84!yz8I_*hx-vT`WBxUoG?}F`=7v&;Es^v95`A#5QPj(-B>L=SvZeQ4@ zDbAfNp67Y{a=hM0jtCKg+YSvTiJk-fOM623@hov`fdUG@A;ZQE+oMB;zA>W%83HMG zZ1SA}G7G+5#^>Uxs+T#$8T`1tTIRT=>9{Hno3G?)sFF?vf#+A&`L-7!Bpjc29af1i z+Hl-VBFUxdtL3T5Jn~)zevn9a;&eaoe>WURs*kYIJ8961O~TChBLsIBRkTYAl+^~h8;fzZG&!@vDq@XZ`DEM z99#H;%h`WNXNX4G3N;k@MXptM%=5Bm0SyZq@;m{N*)z6SvDAfM86_6^~RO{Z_707stL{e#W*@z7H*Ma^1KdUhzKAD9WOB?)}DDZLtpK;K|X4HjH@{ z)-Jzl@8A{IE)B&d;w=NZdZR^S^@4k+Hiu-20+CA&P>lA!{eXsT7ula56Q%#bbJHdz z6LRaPM4ROA1wZV^kfp?}F~|3#OvjjF$eS=N&Ar^%@vTx~*U*N837hQjXZIidYwZ@8 zg_!e$)OyM3F#Gcp8tv-m;r!?Q`J9;E3oLh*{Rx8V+=HMfN1F}o;4J`bH@9Xn#!$T2fhw1oT3%BE@ zPz-EG>3;G?(}>wZOrIaJYk_vz_arR*%=mhF%Imnn(mQA?PG<`KW} z$IgcL&dt?HCTW5-?gKzKk=K_)o$1lmq8>BV>wgjpTb}}{mIL(*Y?42dW!+*9e40g& zzkZT5Xj>40X@-ncj0Cj5cQX*W;DUADw3Zm!EP2qz`~3Z#WPknK)irZ`8I9sR0)6}U zaR6~%;evqsz;f}4MAg9Qg4RDHPacKH?io3lO72eXbTnAM0M4KtT^e|up}M?C1nP*} zs>FXuUUS3y%QvU>`jJuT^?I$6Yo}w$q(_P4MkJ?AA^bkx+f3h8j9bq}|HfB4x<>aM z`yRYK9=;+F{X~pqEF}fD$-s1VnY$#Twrbo4OdJd0tZ!l2)6t+x8CX-r>-Dmyj2cG1 z#Dv6^@AT7$B`duier+GFpr`UBc2&DVMM(>kNe`@wJLLQ}aKRHhaxPXUbDf@2@%J*P zHO@@Wz6N^~By<5RA(dwb_RGrg`$7m!MP z;DiaAB0gXhy;v_QzVukA#KeB<$rQj#1jWhQok;m))M0(odAAbsFEOSre^;pAwe_#Q z%N4Er1hWI1JWt?Dq6G$Q8uu%|I_?(Bk8QLN$L5hu6)i-WxGKTo<#g?;v~UCY_z=V* zaTWRXn#9!FD+<>H89NkTW5 z51p;WhSU&0B%FginV@Bfkcl!7ypc?J6h3L=zhglzaV{3iM+sgp#sT?5;L|q2_J~;_ zVne4U6giW`64*ptr1;{=>P1|eYnI`ti-RgQmN+KlFV+5CCk%K)zvymW!{wTXTWuuj z!RJ6BiE-(Z2m`#pSJ7sj7)lb;P@N;xefVAGpMF7>4nC0ZagpJPny|a9_x&|b|H?#K zX7?&+++MT2H{@Ja{&%zZQX<5RY+CAI9d0%nR$A5b;93279L5z^&959B2;zp6aOs`9Wgg-Cm> zHGV8O&#ks;x>9-#!auiE*{DO?POLefLNf1#lqy_66I0I13)K?4KYQZdl|W76YK|ax zb}MsmON`h{OFh zd%DwGI#3r5m1k2R4{op8zbs6Iq1qbvyYxEOa_PE7hTAo<}zBj2l$6u854{6A}$gY{z2O{9AxO?XL`dpz+!H*=Jd5rsttMQuY$v)t7m&-&2OceBO&B- zOES+G0QI~SM1>R`as`2!dymg^g;rQ!&gOo-nB9nRx0|8I`cf=NR{i1P2~ z{682?&8|R|KTEOhX3}pdCoX}Fl2_1QKZvc`|0($Q#3WvxK*Xnyo)+z*Z-rfWwqB2% z@ZXW}v)^YS2;>9PL~|DqGL zb1ty^%Wd1UObqvJRZ|-Ghl^mddoy4>}La)t0l}?z0Lhu{6YX#zKIc7yVY(LsPZaMqW?t?J+!jmlaFLE=9;>o2wswYJ&SyGt(r?+z!4oO_v)Y_(s zyNeLkQAUEaA|_`Hrc|e+q{ zYX~GBrTp*P36P=Th(W;=^VaffF_3z03Iq*@-|`PYJ(%0) zr?9!No9BAhzwG8yH%R6Q%a3Lacpt*NAG_TH_>l2q&}+%<^&dU!y_=fhD>MD(T4FD@ zOtjfg-A&u>eKggf)UHS!aw z>ap$fA|`)dhq~6tj&E4m1o(q&bXao@1Bg)XRojrkC5MQb7Mhy07c8Qs=eko*;;Ojmw1D51Q=h&W#@k zn_mOi$>*wwkm&fZ7{qV6O!dh}wD<$l8sQ1{heNi6tP9Sqm?D2gIR#E6^F>Xw7i>$g z$;);IWN`&EcFD!&(h>A=@!mH~U)HNn5!gFeJ|{wGSR5a3d-+9{=07>h#3JRbRi7Ml zg~XQLNerSBn0*ljuWASHNb?3(oGUx;UakvQ0rK}>x1Zsz3ds&{$FhjzIRn_DMeD+a z9!A+vzi?XM<`n@3}lC1*Dz0XBT3^OKklu2VAo?Qo&HR5 z_}FYN&68|Ia!Xa(khBG-Hvp9Xv6?&(!kKk2$>#Qe{+9D4h(@%{BYRWn2>g zkN~hxO>1QGR>VhJm-3~O{}c)TllR$C$&z!DugFa|jG7d}K07t}wp5tqzLp?WRP37; zwY|0$!JLEl-<0wMPQ-b;w{9p-y4;gD;e56^Vf}<6PcehX_OmB~(9oAl0_0-1UMx( zW~C~&pMjZChZ!tV-7dxGS0$RRDj&gKN~QaATa9hCBPo^qQbAR)>*MWVX?O(ka9`>R zB5y{8X|f8t9XMC8T=KDncE3k}-Z|jM$eBZ1rz4)nIQQ`$>Ox))rdz=bsgdMc+U4Cna4#wUwMBZ}H&VFLD ziDA4L8RQv{4GCbRFAhu6;?+5^sCioKialGP9~J&nJFYQ>(=IK}DBS zVa7k_m4TbYRDy8F=}bmYv|97aMmn)k8#b?CAUk_)Id2EC2srDPH7t{}nCns0K6%DU zc^s;o@e&aQo8`Kw`NbN5utEYHL8l8`fZBS~({sHCmXZ`QB zyR#g+->SNvPO<2%CW<-D%MtM;yI4~`kF71D4(30>+10;~MML7;$hffkU!cY*CoUr7 zgC;u!P`U;yOK_FDTNqKCjJZG98QE5B8t69O6M)bXxNl%avQk|P4^F;}etPlJ0J(jJ z+;%$oalS&D#t+>$7`cs0v@pR|*qlnf^o~=}{kkr+zhO87KU)^$_7aUZ)(|Nj#9Qb3Z=-Kj`^vBtcPa?G>f{iH#j=CkqOcdh>D zPr;pGY3ZhnZzcS;B=Oec@@!wIHRj!#4WO7G^#()vCp)>jg(<0r6%S<{JGZ=UWk-eW|C zS{K-Cl&VF%=)W8^ii;9@(dQF!#%1K0#`tZ2k=BS`q--`~zW ztB=@!Fr9$^OX8L^y^%Cj`9{Qa`>o=1(Goj6{LFLR*|nQwD!s;yIi8dG)sb1qVhrn0{MJg_Jg)1Y8Qj~*)yG&vIeNS5U~Zn2^~U_$ zASokV^mf42+*b6=AEP%o*6oSUnmV$)tP+o3zII%@Rzql^2~Y@BmoezlK!w^lzC81G zl`Z{D4OWj#e3e$J#Qg#u2KL>GrUhbeAvXpFbCP0MOBPj>498j5+Rdb9L>?P;Y%Dpd zg@OVL2g3Ler-P&stb?%L^fx%BZLMZLJ^G+b;cM zDM?U_N%u%3iNQ;oCHda7--mtAx{n@B!4JYLXwcI7YuK2P2gBCf09ed+9RqMT{Q>~9 z3xz~*X7BgM_^O=4RAGJiI=gGf9`m-5AT&gR$pb0Hep*ZE50t@nP#R(3D_+m55@DCl zE}b~?*_dfq6WNty+vsWYFjX#h)|a9L*@ek)G1$Q&j=#ZD87fT)g<={!^?=i0+`dpw3N=_Za#1O6y(Yrr&otW3My72OPgA?0VB9N|501 zuo!pJf1^$aojCdV2y@{;NongXd)t6Tbi@MsDg8Ms%;%-v{0IntOq8Bx7(^O4ho6&` zhsfTMrb3k5^YwNU+k>ZOK|TXVb0cBcj04}P|V^B z@X;~@BKt3=zw52d1r%>tJ{bj;&qRUcGEuAecbB`0Du~hTMh18`{|-o78ksRmb$}`i zN3=MOfNRw3x4;ur7tqlmh9ho?)@2L;bn)pI^=d?c|J*c%-vee}fwsfpgl*daOnKTY zgczN&HR{Mopvu-0%ZvoUjStKIORjPxd-JiD+6l_0{>0mNNvI~Y2EcV z>)Izx^W*5sA_HDPG7TzFZa89nY7$7!cLmAAzcX3-ftn3R)HscR9-a!Eoqtrktzo4) z2ZZ0cznt>`_0DI|P4NF)V#1xi(ds_YPn;ys!)5 ziG#SuM{4SjvYwXyE{sEEV{OOW`?s1R0nW}NRhDOO&VgzlC-5k~y5 zf%fmJc3?0v^E>Rq*Q>&K?pkxJyKvLnSsz&Qc>%;a&VT1AFO7nP;BPz)p2FYc0z2zh zl8+^v&wJw5Op544;pZqLVQ78s0 z5q#4<;H*I?3_QGh{j{tbeps^e-$YEgFr%FVm%cteGro|6R(`KJHa^@E&AL-uI}*s~ z_Lleo9oS?GWTCn=4Z*rjgHs7+a7K39qCeU?&sfCWikUCLqn-m=gr2E`{ztSGE|kJ zno%_y$t9IUD0w}Tx8{yzslcPMdm!aKUzPK+DMTWd8RLdD4G;xc%M3Em(DFiD1Ys1qqI+3lVOfOB zQhe20*$<|$0AxsV<8HnD!@z~x4?UG8At4Wie+V*pg|?u;g%-^8N{4)a6ocXwmK^O6 z=9B^-c3?h8Vps`qkoa+B5Ath0%30~w*-GU4 z3cFq|z#DcUtO{m_L7@Wnt;_hnE zEOP8Etu6-C@qY!2?~qr#$KK-T+C2HUN3{Xeh2MG%0OdUvp=%%4b%JJOylN}f1p>%$ z{hS7*3+#6YmFr!3Y-hrb8bjWof&*=J=KsR$2+{h}IC5d;2mQJkqTA~fU)BW62rQQV z!ddBI-LDh@2Hnf@a4O_^X=H>@KinWA$g3IZ@V7h!bGC{uc@gT%&zzHb#KA4vW#KfnIwVu9+G#S_OPJ&x7Q zXs0`*`kUHb)~q2d&*i*pTuu{G?Fx!8X;S`GDi5xG^xC>Snx4D_2pTaqWBuBiNi^Cv zVlTOtnu<8cydVafq=!pb{UZ3iu2x2{aFI_qGe2;V6>na!F4!lrPb12|DB^Yq>rTA! zcd`gjxB3^lNLkCM9y&1p>_qSVV^iW4mHg7>*i*6d`v3!<69M0|=q?*Mi!ap)lk9V> z(}K-$l`+lrei6Nb5@h9Sm1p8h2SDlr!irVBZAYWjA%VJnWSwR#phAu9k&NgB^C5>= z5l~3zxNDsV7nErxzvhoR%v+>navlc><|lla4o99OB6)eu*sxFnvZN`Cls`d|@5LWj zaWfVvdv29S9AA!q$Rjx*6qH+nCMO~<)e`SxWtXgf9VA4}G9EMM%+968tsczCv|y+j zfjO3)a_6vHzQ&>Xq9n(gKalO;Id^@GszSThTOLBH@im{+I9@?zZ)CXxL~l%%_c@~t zr6T8mWT^3xE_>Cz2gQoZm}d{eSHJrwFGrLMBCF8+Z^B7w>-awcW{ihqAjbaBRrPyi zpNadqGATOX?_WX^uLq$^m^qE#W1b-vzlB%v9RG!j1;xiHpbY8 zU}-548}w_XlOx{JjZw{X^{hKa!$7Y#A^Qz%4FS`3{zNa$QFoep#t7aar2Y3i{J)mJ zm>aA=`9zf0e8U0Xo(sg?;p>Jj#cZXco7;Dngy25`;7cv2+vau3&Ucuuj4P{P%lS`qeU8wKv#NyWc{fyJmkLq&9~qAtb-MR&z(Vo*D`oT7jQ` zXUE(YJTVq(F~UGkYFJhK&$a*6kE z?|392S%MMcaqc^1|7gLb@xo2@URiT@(Y#rRD(^!b1+DLa8_g1)(uJrz z=j%r!dH;YS(WqYN{Cg`O+O)g?1+(+JN~@Q@#{o@(97n0<(QZ6u_FutwZ|)gYxy9-2 zVkKe~xUa}Z@^oc5(!;uhZTU-HoKN^9P7j z`D5ocBKDKh@_ty4J$EFt+G6`F%Lt(8-?f>E7cL1%P^`$#t?{n>#rI)RQhnjSVM0N4 zwNG*>0X#XFec^v4Eb^TOaYBC_f&|9?lm}1HT?I?*4YFOC;>ePwHtM9k_z4s%JdsI| zsCzt`p}*=y)@`E#h~WExZSZ77F#AH}nMs%U5a zvEjr}amlKAa+r;+kZ_IhLu8dCxJDHiK`qyy^O$e#6%jyp-u?dmgl^wCrk3#jD=3YQ z$$|VK220V|PagTb#6K%G4RD4auGw|4M9Jea?`(c6b7HCyaS z#0hB^7y|Zd0;^JEC*{u}*F!xa@<=)gnv$C3z&DiU8*Zj?C|9Ev!w)b+k zin{fkC_YWXTdallP_>6u4Mz>nPrn@Qh=q#?udo**-q3j6tWkS6Jx{`li}?NFa$UTk zrGpqL8A)mV_a#T1b_AlWn}O%|Af9o<a#H>5a>l#toi; z)O~>y2Woa(#zD7 zH#X3302U0&aaLpdqJ0$`EHe$9%YEMH*Lv2ooR*Xow|ZQ*gv=hE^9 zu}SvaBBw|KcSw)ZE%7{iag9gkW*O#~nt#Zv=o*giJy`PZ#0urm+V@0u$+3-(&SL%u z!f2R%x+)Sj#o5j!ie;hwtZdDnMb4h~tK6v9Dv(}Fyd2MLS_~8F@RT{YbB&&wN~soo zet-Q;pR&c%k)q`pDTt;WH>H>))!@}*W`6u?S(+g9bt)-9IM{oV@W@IpZO~cqfx4tv76dW_lW37x0YE z=7*iBr9;q9l5^7+k)@HP`*pn9uPX410KeP^^a^{M58UFApLsTb&vNsBU5>w2{3q)#$?jTgZnsn<5<2+-s@S zNGfF`}h|#bnTbhG`t3>uJ-JiGe@if5$XV{>}1c24I(L*U=i5>GZT! z;QtFL9M#Sgvd+JEU~gE~`BH%$x0SwmBSZR$^~*YKRhF{XFY9z;uU*#Z z!XB1&W>gI3zL{#KmzKMtnc9TtChX!K|1i9nyV}t=Rh#_LPo<0fyR@tl>{{r9lL`SQ zXk9sCQ2R1n$)Iyvrt@k_y`_rjbYeZ~YXiAE4a_1Jp;~5%l1heiVaWs?lZHHF7uO(WQJ%anSa$T7f@d|lkQZ5yB z#oe&Cu~C(!$h9k^=(~TpH-KaOecQ!J`C+9L`~>-?>8MgDHF_O+?1@07K{iS~my@zJ z-_xmYc&l0sx)$}!t%(qp!+N|$s&0B*f65-`-FfEPM`|q!0RU3&aoQQp;Fxrcz$*89{;-V@(`UX0SA9#os{Ig!DQYrd8RBj633j zpvP;4S7aN_r04cSzRHx?xgE(@ML#O?^@>}nXF8`)pF%ia4y!}{amWqsPP0=xSb07D zd4c|_?3fYjm-TV{jtuBI5^f3f8x`pJ6RyY4sQdIAM$)ezNxwmX{zcNq7R$!mHC`)} zZh0#;J%1n?E3f5o#1|MeeI9Awb^B|A&%5-dd|xl$aQJ_iC5Z~y+56#kcV|_wTKRPB z(EQr**`NBps_R@^8EWihqVeU@s&N|F0YNU4aI&YvVfvt~bi%?l3kN9AUiByM*5Gg0 zbA88e^g41Iow)Nf34K-m+D7koBqUXW}0fa5}CKErejKG;)9|5)`y)kCHIW82YxI)8I@OL73; z{8JCTa{u)7f9dF7riqtbqdx=-zq34!MZY!w)Zd3vdU&8Sxq4oh%boA=18xbQeDho1 zF2DiX@=We3<-at@M6LzKyU_M}3sSj4Rj|!EtXvy}eweX^HjomzAFq$gt{pGx#u4me zoN|HG{8>dJy#?Zs=e*?d&zUB(MN*@)gQcue3=OLz7U3sCens+tP z$KqF&3%Paqb#|_!ud)yLvH4StAFEFl{ZDJ^oxiN^=KRpwDZa^AVt!ypcFxk`b15I0 zRdOdQ|9<(#|`mHM0n!u^^=SNDPX}N>*CGUuJ z{;))Ts5!xe(aMGv5}*HPz3fWS#_C%vB$nX#r+_$3pIar#Q$yJ2p;;Ms(VluRWw41Q z3dc_QHqQay*SpRw4CHIBWg%Iqhap#K&U>JI$>xn^J&nBI7m_oI-0w>+k8GHG*m}h< z`rj%XUXo&tGz!iw!r^)1s5sx9B%E(g63#a#3FoFs!ntvhaK1W8IA5M5oa-kE=h{ia zxq6atu9_sAD<%o&i<5+N`6S_7I!QQ}2$aM#IEecKax}2`hJfLG6Jve@x^5f1WdS1i5!SCGq57^xEKVFaV z9xCK>-^V7MMSA-2KhNni{lQUmA$I(2#-Uv3bBN?#Ecs4Q`cQF+t`+lHM>$_lIB z>3V-e>V4Y&xdo=w{#pC7@r!meQ5a{(Lc8k~+8t}+&=>QqJ^nGp8E!mMk&{CC?Q|gD zp39)4pI78k3pfwE`*BZSe?OZe4aj|XR^M);;9x!i_V+ls)Pny0{@v5p&l_{30sT#G z*>2VJwq5}}`dTRJbDplVR`2I^pVquif9)ARdyqGsFCDG?j~4RrANuCA3ibq&R2Q0eCSzcdrioHiKL0Y&qbKjIFh&|ZIx3y!?I3@;Yq@%y%@N=@6R$}0W5Y5VkTQI(puPnA{rdDHgk+oCG9uG#0ZDIUN0zv)I1<0E7H zUq#Tn|EVNZ^;yhg?h`YA*jc*7jPE@6iNVL;V(>p5SzkP(h|!1V3o-g|f3ZlBc^tOZ zT&^*2k79FF2-`lt65Adx6h8mw`0t>PWHkoxy*~1fi6#c3PZwcvOa8+& z-{!vIPL)A**E?VLYv9>lVp``4yPWREWfv&zuXN`Q_j859WqUeg+|oYVZBe%z14V`T z^AhPr7nybY(mQc+y1`RHG*5MM*|hp9*%^DfYj_p=eL?l`r=pVTDSNiLRTcZ{F6`Nk z>2mRbfbzzEdxP=~`+_|bq~F)f&c0w~RZ{`!KMw4h)KnW~4|`)3+YIcNhl+b@4Lh}- zZcMC7FV*^&0`rsGn6>&-+B}ceaBV2FwK}UEly>`Leq5(0Yk_ol05^g4VGB2^xwC8V z$amD$&33Q2cb|CD8&}V3;&n4`n>z0(vy-iR!GVAycnGVSwI0ITkrG)!w#@92`&dIk z=&L;pY5to^2k^^K9kw=Qy$4m0Z}L1(C&l_;00{=|g@Hdcyh#0Cind9cmk)^*!yp>! z<1q&@f^V8FE|v+sNl8owd$k%XrnV~0yN1)uSGcMG^X|bPp4smj2AEy9R2=$Y z#{-bfG!1n6RviXpr(6GCC#s?=9U_@8$lR&N5k^zdHEr9keRl~&rUlZsNgnd=3i3JU zx-WYwf2jt3W8?@k>%uS-k!!wa%vxi1`;~tj*L48|?b4be+#SR-+lIstFnuas5=!E= z8F9+IDliK_>CAj^V7_M*=6gqB#?7$WP-?TSO*HdCq0B!ThIvt7w&6QU?E@!+c_f1k zvPocjkg24kXG|8bo|1NX|IxL+N(X^~YmO~=wO+tNo&Qq^y1X0O|Y^>u;W`lVuR zBfVi4)*}NucRh8=H|)ZCRA3LA4V9WmD!qOe);9+BFE&ZfCh7VMV5whW8`Y+6jTu+n zzTR|dFK*$dTdd#P8-y3NAfQ3r{@(EK*20el{XNw;r-obrL0h*r+90ce+WVYcIhMwpu zjU>v?ZDH?sSyjTf@64^|i6y(gu!TDx&8tMeU=-%F6va860oc%6|Uexdt zVRA(=(P7}eBwa1iv~r-fp<=}b1_N1B$2n3~%CB*`#qwb=^w1%%jNH3-ZuykmLvXnO z+5k= zPFqnHkzvxhEn87jxMa|ZOzK`3tjK*5{%HJt-+=13y5enZRAnjj5ra}VdrFXEe0_AT z{wAQ?)iNsY({R-XW{1ZqDlhT*b!kdx@AKEu_!?u@@80-v&OlD)&y8J}5}iZW&N_iH@pimwqgdA9V|yFBou>yRAl zLa_ftC_^1tp1&sO**nWd{akQO0y=MhzuZ&BV($#-T2ghrm}li(o9OS2@MrXwO*;F} zF!c7nlA`UZ&cXkmDb{DI%R7xkdoWiy3g!Has+`ZzSw&xmQ%7HiKe_p1rSfL@#qrAS%envMm4%Ed zEvF?DOZa2iK6Q4;xXSue+h=xPh|TBH9NT-OEH`85o?k83O57c%PHr839euOiy6Y1w zw@#nB{HfK`*E?+;Ew~@4tM}rbGtA|g6UWn6m)Gkfiu5@Gl-AEsFTZr{#nba%9sLyd z3!eVg8v5-5ex`q4A710h&U!tMug3|gKD1?ze@6|ycoT5=|E#C~ehvLLqDPk^J})Iz z=`%;Pefwt=*vDQ>B**K&b)er!$FB9of46x9zAJsWw^Myp`)!r~#OPC1Uf!FkvJdNA zRrE$%w2#gmeRutb^Z!`lewDKp>mOU*?)3QQt>K%4Mf*5gB`%#OW9h|M zi8Gy_n{?VfnJK32Fl~p-0_&6=viY!zocO}qJinY@P4D->i#*u+_|66IJ(cbhm%{zug3A?%a*PTb>oY_Z$-wZ z0*~Lj*zSEPX&0O^VemZe|1`dNoUq=V8mtQr7(8Ps;;;^qS-qP++{aeo=yl2{9M+Ml zaL})>kEI6Z3I2YTb&x6??Gxv4R2x}HEWy#&7i+9lIIPPUPZvA0PEmzp&r-qaf&)es zPS}?%RVgo(Xw!`F!bhNRN~k_`vK~r5Bv00=@a(#3;Lu7ev&+;gs(65N3X*1>zQ5ZojpOSa2_16 zbFM|LK2NQ|c_0#pXXaJ^tI(6N(d6dJD1n|a`OGyu z{hR;>4&xeqllcml%nrId?#CIE3sx6;)om)bNFQ`b-^T<0oXqY)tL!}^>`bJ85q)n) zu#bOlmi;)EpR(fJGkYSW=JKH0&9`7M1oO0Ngk?EzmH@$Ypl?D42^r_AA!v-x-@Jw1 z2hUHR1i$&H@oP+Wf7jJarBnvfpl)NJt{VI#Xi#N?{vU>`G+>^G7Ig@18Za+w;vcku zJhfy4Su#anB*uzWW$3>_4TTTX1MLeP>JTV{y~C~f-$1CUN1F@!dTiF9SdUVspyxhP1tqqI zSbeY$eYJp-R&Wut;mdY;&>Uw%^cHj*MH^1^8byz2=Td7YF4u|AYN5l(rZ^?#g@`Wt8h#hrsir{}D+4t|nO>w9)p>b` z51JwW6diBSac>>Jr6V*LP8RDt<^l|6<9YPq<4o2kZD19oZn~ZXNH_@g^NFQvN6Pahb2`$OmPfuH!bUE86TV zo!=}P%DJy-?o)l;7S4UUSt44mgV|-vP)2OUgo}k&@ul*gC!DZhVac|}&(Y)lQs++- zKQ{6CRcUz5wlT*ytDIhbF}+eYDCRYtpBeOct@PG53)LO1{w$sUMCUVg z&Um!9;zv}s2PqGqepvA+#r5P<$k;^bU+Bmt;Xe`GRXTrAIxt?%P~_#ynToV+i)u9E zt3~?`VesM`W8C{i1KuB$zhS_(^jXSdleNus{JM@{Ld$sec6=vUCy5uH**f>_=2qbzj!u$68==p#F%BCXq4)`1XY6N@oqoak1{U1v`ULVB zm#Le&h~106WO*E!+%hKf(1kIVe&lvVJ|LyVgvP$UPG(iU>F^0*S6v@A4_p@Pj#6Dv z4&O)6e=xtYJnK(}0yqk19&Rs+1R}|+d z??xTzw|U60N|A8^dz`Loa}{SPGJdjjS$CZWGF-n~5&n}DnJ2=JotUFhSH2wI)A{Dg zKSIZMDf)J^tMZwrU9J3AD4Ok>j_;J;dY=~F_`Qm^DPE(vOz}Kn?xDz7yqO~7FLg-# z7hSisKc1v(9@pB+O3~k-c$^}0QhY><*~xPhY0I=xa1N`%T`hgRkN7lpew=u@fAaZ0 zjV(Ago8VUuE1u!<>>9^EHbneY3$A$|#aK>#{z!3iMXpm8#+&&%nqOcStMfN0%^!@- zR$$;~Tt8Rwd_{CXNBTnM`1CnfDZW{8GvP8A1ePX!Z=y zj<)ts_%I)KrcP-u=zu*}i=J{Eqj;+Fzal#N?9V74e#RVZLE0jH2w2!)dyU0S*Rd5o z$%eYdZ$4$`9BDVImPE0~*iRnqj3fStyvdF?tBjwLZLsh8O21L*OM*? z=iqy-%C|!43l$kZvF#ngWUfrQF^Zmggob|X0gc7`%dW2%=2G!fmm3SK&dxk|#x{(( zu{PR5vd!1&+vsmrDbjCWp}3bKbx3)so6B^xvil?b+%<~K2NvmwPf>qFFv&km5qr_c z!~1~Zriv#hvWD<#9q}!q$L^9|G)4BgN%paR13mEFVe~7O;bX!vyK>EAR<(~Jzd!$f z0RR91|NnRabW*)f6hRb!!?!5h2V7zdi4=rFD2@aJ2pDen=59B++c{^K013%JWpP$g z&=E-JEHuFgiL_P}#D)-Z(O765?m{B2t;&^L;94bVv@9Y?seNXJUl)~tkDpcd zGC*!$_ z+^8pUh%xoXe9H5SqLo&ld$q7O?E-sZc2|iWszCK1c`=&;vP3nw3MCXoM@Xr@Fi$sN zjz`EB3Zj=@Lch5C?PK#~xPQvSCW!>y2J8Ii`5Qn(ZOm${jd$i&7V9)e>vMi+j$kDAPVy?vmfyc r+rkxoJ~#Eg9RrUu-8wS?TZRVUGxp{?rI zTvrvI6bj{jzgD1=RG|&3!klx)W4ljh?3pyv_>!J+<3cdj)H6vO5}S_4X+WqC`~)P_ z7bJv)gv0}nl#7HAPl!hl7a_DfbfAx7J>JuRV^P*nbUiihLydd~267j17UkO1e1CJRvTSuC<;yAi8Y$N|hpN8|#l8&s_I#%`qiU{=lQ&yuuB)fy3*}Ul z9%Yq{zgmZ1f|3_oQL?)^S#NcqTK0i6H#IZzRXAaDG$Bl#DPN7x+=*47YOS311aLZ0 zHm;+bzMirZJonj~kIs6Qtny^k8dOwHpYjb4UdByihks6tc!?ICm_D_oz}a?ZUX?x^ zX6HL?RdOvHBvir;A8Z5hAU78$qQv^B0pr2wUggqm$*W~-d1G=g1#GeJpgaPvuQ2m{2gTpsrv{K}|ufAXAVks4m^(noQ&R6$IOBtHZ|1@QUL0+Hmkn z(s!C=V-^N(ERT22DMOs0jA~>Q<)8|+!+@Mih!@C}@LV8ir3B`)Y@AJnC?FvUNQeRw zqJV@bAR&s75JfJnNd!lc0y29FzDH+an8n!&ljnZc>SRgM;#FUciDOWB~wkNujT?R=!aQZ_N} zs1qU3tSl}&lMxn6>8f&mwl&8EwH|h$sU$X)#HNziR1%v?VpBN<8-Ho(fN`YW@h*WJg5)fnAKUemj?7?AL z=_#ussj@>VnK^)*m5_uc>15_0ATtL6nK=l!rs~W=8rM{vIY{H0ii+VMX{y{TEPwrI z9=%V3-^S(5tCZM77Y5Ku2J8Tk0s9bnH{?yb4VGzxfyI8Nga2g%wehUOVw1(D>p?^< z@*q^$tN!rBBGPr52nr$}!f7t4&2d81vAuz8@D(hMteY8hSS@8rZ#}u$y%g3-&e-e4 zOCqP?^_EcvXJ}cg@&xLa7>qx+6n|6^@f;;j)1=W~8KO?kn}a&DV6d|0&0`+bJsJlx zGbAQ9Z=CRG5+#eLRo9Bty?KK`Y;xt2B;_u|OeXOs+ANe|($<1@EWmnz;{i?t7#zxY zN#!asu2^8GEeo|}p|&j4mWA4~P+Jyi%R+7W$Q}s?h3js6K;0d^+X0vE@PER=MVlOK zY!vH;$L?EXhhn!dXr*Wo4R_`q01a)=^V;oKv4a&BtZZE-{Q%2TSsG6TjSlo0x*AK8 zTRcOG9CH^hpwPMH5+M@SP#&Cl4GI0)CAu-_{E{WQFfj8lFms-kWStCkhapxqr2~S(O-{~6x|N>TEJgAWUX0Wh!gTA8WTPtFxO|c~Yl0PwMpMzNUAM5!39itoQl@O~d_9 zHg<{LTtB_X;Rn4Z+u8nL?a(Z80g-ScYIFcj$*oZB$GRe>E4kv?kJ{v&=RKzH2Y54 z<=LR+UNn&k+3o@%W0MC8Xh-aJnS0+*bA4fd4-uC>{HD!3Zwq--SMsLM@9<SfN`NY;mKmp8Af{C^vF9RnFQOs#4o6JOOpM#=4tTI?H^8E<*LF~M@$TmCpwTG`N~ zm{s(V_@Z;KD)4bqF$yf3Dp`xf9&M4;Xy3@z@wK6q`d}p>@>G0oq^^#y3+3y>os3<# zD)deaGD@T=Tun9~M!P%ipd#T-QP}|EX5b>itD9bp0{{f=U4O;sF-_EgTM)R(oYy&| z%F`W1ZALH?1FGyW(jb8r7|Wo?6oQhdv}(40xtwBxbs zIkW7Y%bDmPa>JajfgvfDTj|^zwQ-YCrR);bJ-2<-c7O4lz%h$?Y^}xOSS;3KF|f=+x84|}1X7Rw!;#oHH?sHM`w3fvzWZq*=JK|z2=CQ}A4?_+$FL4-hYuv~X9{lA5 zUFUccvW&4HOQ;4}0yM}HqCu8G46=l2kVpNI@PnOZ;im`v^V}47i1kNh`0RB4 zjDKu&{+w)d{;X_t{*Y{R{-A7h{;&)kf2^VHl6MXYoIFMpjS&UynBo+)o$?AaSkJzw z#K_!xT&aFZLW1~flJ`r#FO|F(ioPl#1sKB^*UuYPr=K{iPCs)%H}X*fD&L7&=ro=} zvXM^BMuLED#5BKrsFVDzL&x=ILesc;h<^hCbxIxyG_=uQTx$kN5F%4#iCiItzZ*)e zMiJcBo5;AtV3!g@vqT8k3i@S#K;qn*KKSz3c@ky(A z&;im_iU;uDJq3;x`V@y1(YrWg1uQ=L6p12w7ydK|Au25@06gkbV6N1sc%veE7k^Pb z_;rpx#fEJ{RFD4t07(n{a^2_oq#{`K2Pn`L`nw7i>}k57{GovD1*Ah}JjG9}fZsif zo-BW|f@(QWB>dVYvj_MhN~S+s+FHQ#CSCD9D;QiUZmkgY!d{BD=l$5XM@(_Wmn=I| z)`H({sa*Wn3X$b&*77dRK<_w@F@IEw`;1h4*B0=gwU8lr@I5OW-8Na2=XW*_rcr&0 z-&%|QEC<%&v(^#~vncqMwJ7BIjLkD^Ew8mg)Fr>PdA@1|lciGpY+Fl|?A8juvXw?u z{n=UwhyIDE`h~UZ#kb=oQ}LZWl-9Kce9;zQZ`O)GSc|=lD}HY+*um*j*nbPGV*6<$ znuw#KwIsZY4;rcXoUPOtDn%5dSew*ZD8RcIH&XExo9q+T@^fqXmKD}*GBSjEp0&a$ zo9qkLf;Cg0A{qhE$@KJJv-!ix2BJM=(d_WXVPDG8l%(xSc{7zF)kH2Nq^?|iul&+|@l1K*@#QnEvb~(8)%x;d zbJO#4Brdd?-+1ONkAGZsEyoLMD7PK^_D47A_gtGBNxOXr_az^HxSXJ0DpCracyES~ zEH{MkSB>qOa_b0@YCIuuA)yBFgTuuRgt%1vx=BJzmSw^=Le>Y#ne8GatLGt7j__BA zZttTVxb`)kANj<~!=G!6%w zYb)I$4K(5Gsny|yWMlPX{Upzl;d*kpJ6v&!^>YdRPVszym^_e99J_E+l^xA_KyF_P zaojt+eMQtGy??{U-b8hgJLyE=mz+;XTlpsa9iu-_FOSyNpg@XzikzDRj@L2;Um?V01Oc3U~dd`KK;wZJjFPn4`XndJJ;B-gi(ul*po zK0U9(>=A8F|+fkMB8-_krSi5>Y(9FBD&(g?2YPi^-jL7CQuSdRu2>e666Z zo0*Hr^S#Bey>Hw7)@_$DoYf|Gj^P~`&TlKjZg-pqisAd-D5lQx&+aMy5apet^T825 zSkuXA7220`2M$2>2fDf4P@eCA|XR%g3j-_wsVKz=G1btY}0XLhE5vG zbFBq?d-DRg<1={79iPKr1l-B>f#EK?K-h^p@PDh%?zww{vU1$vS8`*=k5`vQ`J>a~ z9nRl7sSV!!B;wE=b-~a7j>CJXbiMe|PZ5U>lyZT&GaThN#!4z%92v@xAojs-|~rdB|GoR@k(aM!xxa{tEyA|NnRaRFc0-9YGMs=lpG+ zKYtGsHHx4C(Z(N*T7|6VdT)d8_Sik~JyI-)3U-#-X(8BHB%Oj?AXS7w3LzE|1PiSN z^B1JDN*4n8&OYAxEM{kSzMq-BncI*8dPhWG4z@SpD1_NKaVt6!nbO!Oo3TI*ux4t) zdN=Yt<;XCM*;E<}N+y}JnNdmXur(D%=zl&SYNR&o-aLdC9I4$H}D?il?+Gqyh--Ta_YZY=N)#mBKZkm9w_kUsf z8yt1!kY0Q*qbz9k4Qv;0w^;7UWv=mj_AOrh*LU}o$=5kqRMH3&6)Vo?sAO3);QvIm{Lo4b!r*Y;HYo^KL7v#|Nk8h+XDaq delta 5444 zcmV-K6}#%}BjzfQQ-70M00000005X100000007+r00000003qc00000004La>|9-p zT-i}>F9E`m5D*B0c%W@*v)L$t?%Vfv_v8WHp1JLH$Mdu4p4clEGwPjb+r!#DOHYqC z2`Fz!zym@E@gsPE2P9sJ@_@t}3Mh&oQ6zpOA`u~hi1-tK;eS6_>Z>|+PSu^6-LY5R zwPCI_zV&zN)TvXa&Z%>I_tjTlef1rUM&oPrzMsDjTxc}DN^Q>n&V6tm1LraDxWvG> zP_FwQ%3BZeM@<`FrM&mw)c*YM+z00|a2^BaF>oFO=P~eqKL-Ab_Wb{(Kid8qsFyx+ zdEg?B!n5#0D~)Oal`HvXMPK_Ka)2gK*Dj9SNt z^VO~DIB`6jIN~=@^Z96NGF*4$Zv?p-UOSOa;@jg@C;tS=j|cx|4f{H%xIT1ZU(aHD z`wOL_U4t7}+8FH`ELhbAL9I3h`*Us19>5TYdT_Kn>roF zOjhH-qPY`K!X(VcYtgkVC&a-C#I=)%ljN(|y!!A)oEJ;H;zo*&#%oujtCN-9scg{Y znw1#g<+ZEF6mEafdl(o+?l%!Qn(r?MyUTkDkLJq-MAq)^f&3(alWIKJ0K!cr_d{HuMGNEr z!+&hf!vHwP!X4wm3vF=%AaMd9aRMN50w8e$AaMdg;sgT32?U4}2oNU_AWk4aoIrp$ zfdFv=0pbJ#S~oc1T_1AL4AvGH<#@1rv_Ss`Y2Cm$g|lMdzJYrN?i#o-aBkp^finZQ z4cy{LpgodIf+xoV+VI$}t&Pdcv)yrpC4Wq_0)%#AF*&dda(yiB-yj*$vvGaQK&3vc z)Q6S&uu>mZ>cdKXSg8*y@*z8~+8T;JG7!ER?CmXH$YhKH%NPZgF$yfcWwS@Ufa!r}LM{)i|sI3>;2Uv`Zs+>u3px z=v#-oh`q^dxqdL+o9&?~A(yYyP=69E^A4f?yZsGxIniJwKo&FsvY-i&1xmE$twL}i z#L))v%AI23AvdWIujEx63t7>KBbeO5>;Wb$?a;#?J(}K0do}OSq}%VbEX3P5Lm4`x z-Ll2GJ8oB4Su~l&jZaW=nt$ys1KzFSJ;l`pFo^YbB9up{h)@@h4f>FfK0?*0@-GYBns0+ zOy*}iLEuZOlUYdCW?5{Nu@fOGi$z4)Q~?W)7Q}pN(+xDq$|9Gn%YXWTEty)_$+!+5 zNSNAb2T4ifLdzt7UJaRzuK|#^)@O%@+qb9lmPOhYNu%m?qOqMcmQM0;r<(+l<(+<_ zCWCVhZgP?AF>Ga?oSCO?^VDsgy3JF!dFnP#-R7y=JatX7Irm~Oc;0B)R5Do!d`M#FB#iQ#`co2z2u`_@=-7OsFy70B_H*YkNT;%e(J5C zdh4g&`gs_lSzrCsZJ*Z?Z%1&+TvPoxr2McIU~7OL-oV6nx_`;(rkA$NwB4pHGi{?u zE^W7I3r^dzY-x0Y7|l;QHt_M_gku9AConHn?eqegInl&ZPB;ODQxgWs$0dk|a~8Km z-ZTd{bDT4WJahCj2SRg9G>1oXq_pQ-d+xR8QhQ#t=U98bwdY=Y9=7LXdww?kW~SfF z^qZM}!?|7R9e zXz_^%(Ha&ap>9>Oma{13>7;_$N13kWa4e8x$sCL5SXReEJC@$D7+*0JJ9c@fmL&m5 zmINSK5`fYTK)Mx3HwAKf#r^EfRyeJ+^mG#Nb`8&Jcz>sc=Za(Ke4)WWyvE?m4%m)f z?Ij74fW1iJ>_Ia8rdHgB?}y>`a3##3+yaA-qWh67{NV#Gsu|GwgAR+~LP6^fqJ#?t ztv`qoE)=x>AWFEZz_m0K@(l>aFPG0C#k&x>VrD-{NJ8#WDiY369IPV-v`EmMgUYZ_ zq^K8(a(|yy9LH8FZGqypncq~$N);l-2hw>gA*9ZL7>>VsPx@Vi>jNILz2bipE-Hbe z^=P6T`vzw{a=z8D*oc7=@asE;CA8?`MhW=2io)EiQp|EB5Gh3|)4sWMQi5#-eUoSg zlAb=nKZ<^(X2c{KfsXY7%oV#5^x_yeG*TI-EPvZhvn?(O(UVEwts5XfKr;GD#5IH0 z8njse*)HVlPb_A)TB-!89yuL&JwqB=%!-B!9lIQiZ1q~8k z9Dfa*YeuTilrMOlpVj!C8lKniqK0>Cc&~={Yj}zjwucTd&OSQCc)VsG9cIAiP^80c zbPx!rnMgKSnY!k-ABa6Sd;FFxeDYI@zg3a;3Bo zSF#~=WfL2KpjB)erX$MeVlIB|1}*eMk$Zid@9C4Wz% zFg89=Lk3rAP7_g@mGDNRq7>809Zj?mSCR&iRS1*lbjvGFU|A~yOTc5ya=D7;ib)&A zq?Ka6oh%dO$&ye^7KCCFUT_Fkm*@_Y6$(Z*p0B)>7Yaw^aq+0UP(T8O2kCsGVq8e7 zSSThHl_bg@LFbagJSyXhTp3_QWq*Vbl_5q{#u!mqK@pYQ3GbtHQj@}tt7s^dfWlWO zPuRYI$Xo9yP0aC zGm)@h2X1m>l<;ZEh@~hYPKpvjQj`#qqJ)qXC4{6XAtXf!Atp+Ir6>WGk`1aC7=+ot zxhTSP_=+&?nF`}1VBjkXqkpPVcpg@~EdHxXMr9IVs!kN9OunixevtrJW{^I<0>M5< zLg6zSDA*Z^!XMdy*FOA#gsl)cL_ZT+ntoceH2u5?8n*NBu~;lHgfRyx6ZG3Mn!v{N zeHoNsBVU&TM-a)@+4pGAqrBfGVZR&p{9f7X__mox{Vv>#dvv(0b$`NLzoB=%kzFD52#=i z&ZgZi#WSBd63Q;k{pi{r&Ar8KKiG~(GjDMb=Yu=)%*@;0sd0U`9dA^}54Phy)A8N1 ziE#pIio6kp4}*@6-x%BSiPzhimlOz-mDG{K6OPbdV=mi5l(Eb{$U ztZfnfZ7yhjVOXsEYuo8ptb(7g{e0YV+<4w?Ir;-@(0^<9pKaGQsS{Gsg>>s0$H|JEYr*O#ciA#nY2aG;f4gCoxEa)^e4j$}F8|MecvkQI?1R*2qy z=Em0XzhcFl1;1g%T&8e&#|`dFwvE$vdYeyL3%<>$EC0o6bBI&@Wh>?qic8+Ejnnp5 zRspUd^zrG|Dfma*1{W3jlz(SA_#X)9Q@Ta_HGey&?%@2FR)O=|msJ(LO9MAb7cLLN zxnw*2bEDxkRKLfz`D5G0z>V??cBCi-lv{quA}-aqDJg7F{F&AEDOE-9@)e8xz7_j1 z%X!u!AGOF&T61uJq)&NIA$pevZj^2Ty5q_xt+vmbM&&)rLFJ~*(goj7E1BM9*NXj- z<$wI19jPm=K4ZmRu?l|Pa;{pA>-5(x=Vxu3CoJdCa&|1@6gbXrTLl}o&8IBq$RfCH z(5L(ph3M@M!9Qc$ykrskJBD(_Di~Pg7i^o)TF$Ro4*5OJT>R|?%o!>NiQ(3wPycz} z_ucy;g0IaM`*#j*HLq0b&4Wer8s4u=7k~5p`K{)3*;LW@w%ge=A8a1H_#^Zi^5&)S z@Y&(!rRMbTaR1hPw%43C?@jL<&6+PBEt~V%z1gCGpB?wE3@%X-Oe zV|t?PTp%cjqIU zYg?OyZVxMn>2pShs5rgvC!Vr?D}OoW5a6B_9A zu-)gDBYk0cYu$%$JPYf-Oxy==yh-lcr>^XBl!bM7Hea5Y&^jv=f6K+ue0RE}1u6OK zmHp-I=HdRwXU$I5Tpl#V#>F*i(i`kA>_3-)U=))%c$8VcE+hbbY16tWT+gm%A zM_57ex&H{gPZzgyB)`)~|5`qNOI&O`4BMOPsz=zxMgI7@m~4L>T-?P@^_WI6+5TWI zw!iaz=!qiFx)1E){H@5vd4Ed9u>HYYtl0(chwkFyb#igLb^12cebZe`)^wALVf$m? z;%=vS%_x49T@2eF%*FaYXL+zF#+~3MovAKyZA2A-EjdxR+@2mb=LgNb#XhP^s!;do z$M5^oJ91!qKh;58sW$g!H>XE;mY&^wy7lxAY7bglE}MKTd!w4^Y=5?U@o8L>Nm+Cqx=Lf9OZv#8cWZ zEPq*u!a+f=Px;PNzBkG{N~U+|K1{fKohP*uh<(hqdEO##(_63q1pom5|9AmZlD|tG zK@i91H2%u-=i#qt2!BKyg%Gug5kXwf_1*^G?Xi2J4~qqf0Xs|6#UhX6Su4*ktq#F z*^C8hfHl!0tT)e2Q;s}^F`G(bLCGX@HZv-T9b8jpgf5ZRNPn&HM(@Hij#O_bNoz$c z>)6);q5dG4mm7P3neBt|4YAQHsmsb~J>CWJvBzJ9pO0H`8h|YbE7zPbMwDHo6MT?R zNu`5X$Zgb)b@Tc7)QkTTmUXP6x`d$9fAqB2{t1qHV3o?Xnn5c~BK)gxueeqrM^bHS z7k1GYg!k(cCx6(eBZu_D8yRImi*I17c>Rv$?p)>?wb?fz-t5(f-kWbs4&`J~Nh3^D zEIXg0k|oW6|6dKnBR~88=Zd>-hLvpWRyniNE?jxRNE}*p$|CD4Gy1U z%O##c&_Rm`9bX*ys@xK1RbLF_zIcIp;eGk>@n{A=_B{!F#xl8v#;UK&Mnzc?oI$sM uLO=BDsr?;}aC&`ZSD}M;{|fux{vKh9`(R2f;?=1oOoOAo{eJ)e0RR6v_>ZFi diff --git a/cpld/db/GR8RAM.asm.qmsg b/cpld/db/GR8RAM.asm.qmsg index 27abf7e..aba5320 100755 --- a/cpld/db/GR8RAM.asm.qmsg +++ b/cpld/db/GR8RAM.asm.qmsg @@ -1,6 +1,6 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1616056850427 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II 32-bit " "Running Quartus II 32-bit Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1616056850443 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Mar 18 04:40:50 2021 " "Processing started: Thu Mar 18 04:40:50 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1616056850443 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1616056850443 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1616056850443 ""} -{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1616056851802 ""} -{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1616056851833 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus II 32-bit " "Quartus II 32-bit Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "293 " "Peak virtual memory: 293 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1616056852411 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Mar 18 04:40:52 2021 " "Processing ended: Thu Mar 18 04:40:52 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1616056852411 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:02 " "Elapsed time: 00:00:02" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1616056852411 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:02 " "Total CPU time (on all processors): 00:00:02" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1616056852411 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1616056852411 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1616146132498 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II 32-bit " "Running Quartus II 32-bit Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1616146132498 ""} { "Info" "IQEXE_START_BANNER_TIME" "Fri Mar 19 05:28:52 2021 " "Processing started: Fri Mar 19 05:28:52 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1616146132498 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1616146132498 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1616146132498 ""} +{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1616146133795 ""} +{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1616146133889 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus II 32-bit " "Quartus II 32-bit Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "293 " "Peak virtual memory: 293 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1616146134545 ""} { "Info" "IQEXE_END_BANNER_TIME" "Fri Mar 19 05:28:54 2021 " "Processing ended: Fri Mar 19 05:28:54 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1616146134545 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:02 " "Elapsed time: 00:00:02" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1616146134545 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:02 " "Total CPU time (on all processors): 00:00:02" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1616146134545 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1616146134545 ""} diff --git a/cpld/db/GR8RAM.asm.rdb b/cpld/db/GR8RAM.asm.rdb index b84971fdf20c867cb3f5a3c2673033a572473bcc..dc1f18f94571274a023b26a33bbbb656fceb22a2 100755 GIT binary patch delta 1055 zcmV+)1mOGE3fT&fTM>c;000000027y00000003E$Y$1PwO2k85$4(P!lG<*C`hwPX zd(O4@;qLB*xG((QKncIOJ==G#9hAJl11s(A&g{-_W_LdJE-x=H|3Y^h=N`IKt2ysr zXlpIwaU>P6{LlCo?!&r;UHCbsOBWu>L@HsV zPrWdbntijzksLx0wT@g&K%+5c591Q^K%R%5q?4%$(?svn)BT-+oThr`aBz3f?(cZh z$lpmbGtJB|0c$N58q+k`U;szh&0=?MVl{I6Qfq%PksBlTBZygR8$!p87qOmjF4?w{ zenyx~+m){|3^UIIdXPm_r=AL_FBCPuCwFhZb$5Snms)PCX}5ofVSvlhxF`Ba#L}|g z#$c6O`cn*}bXt$(xs0frn9$6w*v{fT5ri>VE42MQ1O1goaBnT%N5|Cm1 zJa4RWej|<&BNdMLI)~5VINzdM$Uw;#nM}MHr2&~Uc?B?gzv)u{!Bd8CoCW>zRZf3_ z??HGT`T)tzU1E=mfZ}RUL~v=!DbvnON|}a7yv3E2@4Yn7c4I)RQeT0yPLjl$gI27q5W9ex7g`#LaTcK@jO2yM zi!N<7j0v`>hkzIfHIatO=~+Rl5Un!oK>SMg!vsG9b5J$D3FyNz=mU8neCdU;h-iz$ zF{;DLSeR`($?NxA*O|OPLEp}U`dWWy;It@fDZ^^RB}Di>=Na~)alE+M*&%b|xXO5Ek zaHfrn=~Sf?CF1-Mn7=`tNW@bb;x#U6@w*Tisj!YPx`M^^j(>gf2bESD*3jzc*ZGIZ zh4R9B$-bf3efn-oiph@*I7)= ZaGdwii*37|HvJ6%0RR7Z0pgQ`1k`x865s#; delta 1055 zcmV+)1mOGG3fBsdTM>W+000000027y000000038!Y$1OtLc~K|$4(P!lG@h_^#!f( z_MB_)tG9b0?hF4nP{MC+&-R^b2PH4?z)E{Nv%B+~*`1HQ%gf8lztAnqx`%Ex8rC}) zx=P7t63UF{R$Jp1W?n()&r1ITH2QA<_!!Fz02=2}B(m8m2=k27cmto`Aa}YGgn`j@c+cWa397k?847ME?syiW0?sp zJsJd|RP38Ij^q%6sBz?i0FTC)1B^?|19={}l1@?`B(d73r~5l2nI>xIaI`n-4tLx% z^mdY5r@8*cXRYNzD^2_j25^MkEOzH6)+2W)l@fnbxiNA-f|#+kB~;XU5veKXl4&dH zr-jayS@{~nICov3`*}!Ba?WxZij3NOWbf|p+WU7q)Ui8lv;9L1BV3lkJ<(4hl4kmC z3`V)(KgHlAX)~1PGNgX213kN9JBtrQ6oh3-V1C&BnU0PO9P`FShW`LV|8&^dwVk$Y z_wIi#cNq^F{x*iO$#*ls#ve#u3EUdoKTJY)VfZ5&M}rRFOAK`?NI#P=av8fbN_^61@(N(~e%q$ugQpB(SquKL2K65m|eik3oSWfl7}b>j=a!C z(WR}H*8VmP5D<>YrczTiJ!?ocrc;F-iC^h{5aUN+4w_AF0{XBD`aoU?Pr5-QLfYbR z44ber5_+3Xiuyg*btW%R(6@`AzSe&kI4!DLs<29P2@#&hd4_#x9B+0(Rmm;PYyxqU zJl5m|nRJ&`h@<0iYH+Ib513jwYAmw==Y9e~c*dN2eqMEsyX6eN)?sV#f{yzK{T_{- z?&-r7_-7)z0esDM0*PWO6yEk@5tVxG&$Of-l9M_udw!Z{6ZgNOu9~-1`Wt_E<|uBC zXG+V6PP1g1iKuu4=5J6h6j4fJyvAiMeitH67Bmq?S4?re<6ocRL8X<3HMA!Bb^c)r zp`x%}`GE3^TK*~-iS-XL*VSXEdsx&}E~{jAzlXuTu%%fgiUi@A;4>zzApNUwi` zfDozkzX4$j`Ts_8{r?-i-W+G5w*bDlzpO<*fUPl3xz@pbZ$Eg_{`@n+$i`{>qnWt( zEsToSSar>MdcBP-|050!UJt7^53Ys&X4{5@eqMB+DBGX!e>xss+E&~hv-J#SR$}10 zqvn5nvYTcMo{Fny{%Xw3_@C+N=;$m|)YQ0rW*I9g*c72)ahMK*tQBv14z_;-YTeB? zou_MOtzCKRqGmR+>0oGP5arH03xgW`zZ?JG;`~3)`Txi0nDALq zZ}^QB&{|bhmA{v6k1D8QprfPd_l}6~tQg!L=U`XH_Xk)3dgvfx*VZd(sNl5JtJAZ4 zi~vQxllv>sAko{K#5a1#UYKw6FG~@iH9F0i3Yr`otiASz?*1u#`dRjg6~w#mG&E?# z&Erm_Mc7@qEuy5+P)A^FR=V+T%Xlx*u9l;%BHwpv_GGggUSpEy>O#Bsc#>C~GRv47 z(6%qt!^*6upS^X+x5p8@GwJi?*XYzb*U#c)l!M8;TF503^=b?DesXH8uOqV9j>|Kw z47k(CC22I-DWdhS9#hM_y#uyl+K|V*FlpLg3hzC-|LP*@v_aUG)j(P0T$W5YH^iu? ztJrQRe>`f?PE0VkRL<_X56Tp-Hufx!}=s`7y}-Wb@wr zA(H%to`qFe>okLvHTsBiDaYufEWhPut()MRw~1S;-_;}NK(Sw6Z6Ry72rvhYgWWv( z|8{Z*WIoO=9PFLAAmroL3%`Xnv06>uwp?xrsOF8=T`xcAU#NptfPbb=VB~Be!plqBo=U}l|dP;G&M}EGY+Yj$O!xX1s@buB`&JeVnKJ( z`NU9Qs>^hM&PZ~t<@;3}g>*f)>bZ6uG{9Qc7N=wiZi(VjvPfQpH2Fn97{CdQB=;)p zrjBgichg$Da}6NsAWtSJe+U-YWMHVZ#;9BhilQL23`^q9ChqbK;+K+ghyuJ-t=?=R z`8er>F|kNvhh|Jf4;&u_qd3J530p{P0bpr1>&Y-&L;A2(3_xKYDmNiZ80~Lqd=)H_jKx_T zC(*+$k}h941&3#luAf(Wie59WBm(T>bNonwxS)AhJaCE&N{iJrQ$Zu{0|{;E_?pK; zVp`}q)ynUwB#rTA+83EsNp2=)l-Ss`&?fi=LsA1>^0+%xU2n=?nS_+0DexCh*T2tL(GxMBb$#7 z9K1~r^){FFQ>Ym+?|FkRMs`)f+Kb|n(*1>0iAC^`QMWGQ4=olHV+)LMwiWz;T2s>f z-gk+<3Zwb%SWaydUIbyXhk2Y1#mTq@g#k`H-+}w>dk|osCvT{X|5d1Eu-ZqxK`DWU zJv?Qk&C;%V12|P}?V84Vkq29az@X|cmb57QfyXsLmjirEG2$GzOF@B|NKB~eb%PKeAw-YbTL3l(jzqz-<_z_kNySor`&)fqQWeWDDf6H@A z?*ZM;K9Jf!aGIj!tkSX|kp6Tyz&$_jV`Orux}5}r%hScAiy2aFg(x|D1}?*z%gy}e zU6N+lQz@xV8H8H{i!xa?UE*P*?l)ixD;@uZgU^?sOB6Eko}f%S9seFTNrV+atM`5P zpR6K?Dbs4ZMtSu_dNT~9Nm5z80$l1dUF*C$uNOAks;2%5pV+(fxH);v3Hf$MbL;85 z`oun#v~()gU5yZqWjUhdEZN~EvK5`^`%e{IFCQ{F$YnYXxx)z~Vc#6PZUHb`eiIyd z7Rl>>mQ%ah^{nvoAP2$4JQlzJRXy*H3bzzVkdzaL)m&vZD_1&4kphk+H;-GBea|9PGh@bBV_85ZmZ{kjIwEY34b@+99NM~7Iu` zSb!P-R<*}`BNDS~GCOEnF$4c#1LjyqHcTxeb`CB!R)tIXaj3GmSIAaNS9MjKv`-K} zMdAM4+a6_=-7TwiC+=J@wwE36Usv=#_$q6V<|@D;JezPAc_6uIi1&;{tc(tL9LncX zW+eGcZmH7P`9+fN_ODZi7yCIhisQaQNEij~XU)pNxA}G8b#G**286@_B zBZJ&1ViZ%22tks2%dOkE2j`!uD}+boFAt_dd$Z-wv1d&xtGY7po`p{;1SZH0Rz$g2 z+Nv_aKk3rnN7(MP~>um$BEL(Bmtx{BTF6qy4w^066unfTZ(> zxzB2?eGCU8QznyQj0f)d?Lno95w7q^FZfHq2*Q_^E=%M?sQLhzP=s0BoQDU=6&U+( z{pqHuq!3bxN8xSe6<7hw3n{#;9#?29x4pVE1=rg897c?nPV=WHc7E9Fe-TzmGeL7D z1EHD7o!A$OuEvhWt*w=0&xDwX8OYySx-)W>U_=!6Pn+e@kUb`DqJwXLN;3>p+G7!! zg$c}(MZYFg(j7-au_Kc(h4Wq=0LF#yE%Sf5=1Vt@Z~Z%d@a^N5c^oJ-8j6GMc*1dr z%Tt+S18v)$FH(=>ICFe@TpT5m0i&qeDdy;JXVQo7B*5P#M?;I0P@V`il%TZW+e(be z@{d#)oFS%5%cVT-HiH`-sxPUx+bB-+R$W>tq9<-o>rvY-t7MN7|EALNACidF9{a?v zgptS=Z@rK*1Es+EB=Q{if7Vga5qGAA78TAc&KWYqhxndm^1l|F?`h&O$`xAMe!sE3 z11*!q?yvauBzSd~{aYP`e0XB=H;@2F@`p*R3xz{|30;wPlk6%v*~XlM+r6miQ!GPf zi1W;m<8x0mt%56QK1&Acjpe88#NnQkcB@LD9uE$X_dbN9>VJ)LRVkk~~1b6bw{ShaK8NqrcbuTCQ7-lv#)972n>iW8bQQmRWL?8xL=IdZ0yQ zh}K{8Tn=CyJ(Ceak}RSQO*GcLyg1dqdviRGQbkg)B`IrLg!1uik?Zt9ZT zk#ARhrMyp!@wr*?Vr1vA#MOR8(#)EjIG=ZZB$xsynihvah<^Wz>yoQpU@~ugb1YFW z`#FEC?|H-4G|cVfT*-a@sX$a!4CCtxvXT)hc>4%r&QM3!XRoppbsdvYrpiv!G$dnl zngq-hn!9QrLDRx1R4TY=buWME^P^p1>xU&{J>@EgJ0MlVwG2LSPqHSf1FjB^?D!+d+>!Q0KG}ZY5O79cIIws8I!P2CR3-khBMHmTMnUsv>YPHjE)bB6UAp-ay=8&(Hto+2`0ANL}wgFN8AqemPwItdbv{)353YH61YN z9t_=$R;d0G%1c$BHe4}pJ7;P`-wpX-YBglbrjcAoj)ehB=LZq2`D?S0(O7+-CO+_1 zXlzO25ncQoPPAx&tI7FylGySOmgzc2Pd=4HKLjEQKaPHy zF#SQ7X{?X$L@nM&y+Hjv519neFtFLBn>1r2Lh-K@O|pLFyppo0#_Tn1*=P@6JM!G4 z+x8|9e>9NtS3)G;G)3zEk(7tiPt2e+4H4*WnQ<6Wy0iIWCzDE=XPz;sq*=r(qs4^% zeh*VA{pkI3p}98o_)i!L29Nt-NDPzhD+-$Aak~9tm0X#+Np=ZtcU|qMP(A9yXHF-ZaRfEmYd{UYJ>3y>g;~|wS z(IQe({EwcEnE1>ImI?)`u*{;Vq%t_Ca0xk;0ml;9wcF=NQMg=q^rSakw3K2Rx)zscJwFLs?y=Np=hd zSyuwwV#((gw2UKLkeqA9oQUg$aM)@hnlwoyMUleBU+0nX%k0p>H%6TKg&j@i75kGR zex5tTouEm}y_mq2^iruXGpBZ0rG$yG0uw?}bf3iLoO^J@sc1)RIyquPd5%z`l8oIG zfOogX4c5b!*7mE*c^6?LL!|&e-)Io!=Trr^oARV8kC8q3i zW|T(5$JoQHv{2BCpy_J6SLMn`jL;E#9R7;0=M*+PmgT1@LAnU9IYc>QdOUv<@gr)2 z55&_JR<)`;R0nIZ(#}lWTBQ~<@X<8s>-R!p@A9RculP>GW^{?djYwSaTRLJ*apa!3 z3p+l^_Ce4Qjm`&hC3%K9z8+l!nejt}fm51d^Efq%(v0>4snIacFf&w?UQIz+8sPBn zV4mzFH%nVAaaZ|!WA)200;~CvbV1uz6Bbz?+Ig3yChpkGhsj9QELLK|W<@H(dYolN zaF<4FC-`j_C(p+yOKoD&9|ZtsAS=CWmPK9|ER6pgQ$o@VO+(e4k)MaGSseC5g>H3h)S3y7#A2Ci-(Nd?x5~2eM-q3jDh;S zd5*%8M5Zz~M=+y-Q!|`gLy5yFOfmK`BZP~;lq>V zWXllOSgHJ|R}dEU7A~DaN{1V6LPO!JQ8>|!lhpBG-rbb|gFw~J#!iSOW5JlX?Hr5L zGA(cRnNv&>&QbKFa+9YLK_Jz86EW(9HD)lOr0S6Se=G^ZyxnF z#W)Oh9tIgvB{Nd`L86{?1Tp_l%cn;~kaA}W4cEnn0#`^bXNYq01&W#Y|DfmeoPjOL z#)!7$ulaKvi~pGEt|^k%1%~9ES#kBGNG>@U@!pGwsBD0m^BFqcvxK)q!9)*uBwf#i zvu7IMzLdxP9~o?Rv|y4=V=L8UceSJ-!4o&y{ju#syy*<5N-^R%r%F+K_k}e*PDB1G zj6fJvROs72zhJ?}*Q2RtaKx_=Thu^3@b%B^h~k?P0y6as6ZS~{6dL)~vmOU5h1Kzm z@xb->HVE~XxKlKrjM6SpxTOZr4kVbc=fy(W1dsk|?ftQNuGFYn6fv){4Pq!U!G4oMG;rA?rM4!IiGe6CiIG9seEO zs%O921274*4m~kOt7(#?m3jD$^~-z@{S}pbl)51{jo@O^9C;_EF#PE~s^Az$OwKR( zyD7-bziX!=3uNRl_E;xlk9ir1$&_QW;L+fJ^m8OPA@~BeQ#VilxC*Nhyd>{01vrAu zLTVKg#}{2$vQJ_gl$Mhm|KrDA5_?3gIv@SL$tV6X^rIaepj$z)sOjwmBEmSx@gG?x z?gr0sFYmR-W%TM76U_xwTW898C&{f!yMt~}p9=xuxT`(~eJD#b9C#;+%-eF26{Vrw z(O07HuFfKH>)eeWp=6ykn32g@&I*{bU}UJqBp($PO9+nCC_#UNSK1#17vr=IXO9R+ zFw#r!xBs|{=lw!17OMNr)}0EqyjRy3kIV{P6>BBUXZ?|?#{4dR0Te`9aZ9x9@7%c@ zg)>3bDF~Lf6xwnAlG$5KLzd2x2VEpVOs>MDCWqKYxeX)lG_gp!jhIKU43G;IP+!$6 z>Su-4Z5kGsb)hr3`SV!_q!4W{x8?H$@{Hi4tVWG`|L7!nv1^TJncLC}N_X#iuLGEN z@A4z+t9Gc>K1_+~LH@qv>T%m@=vT3teHr!Wz)xOhW?T{h@Lq_n)HcmD{*AS!;t2UG zABuFIX;)d3Y1TEp=9kZ1s2Cv_nf>ufSaHd_w_(xZN|}VXo?L;-sORjXRl3y( zcbf=9LuOXR6K9;t^#y3{_fjerI~p|lLYlPWP|t`XASdMb9+AGGzf)qH6YKP%U=4`@ zArB1X8`#b1OjHQqfq(a-^wCj`oMq{wLBXnl(3IiA1oqaU+T!4I z*Ii1*EPHUrjV`o)c)|4H{t4Pj!z=MxZ>KQSq&fSuWkVtd$Rwoa4QBMV0IF268HCcr zb9M_>1y4R=SuuH|A6C&;X%%DGCUv--LbU5^S*;udsPjYAt$|z6X`%Hf+)c5ajpgUh z*ihgdiK(cl2_GV|6t|O`AiZjV*JeAh#mbnk&fur zb|VB_BH6@GCZ)n-ZF)@Q29x~ITqRb+nsId_z~w45Pov}D>*QRO^;dPB42h(1^T`dS zJt^`D&QU5a$`XIlzLY+n_jal{efyi328Ke7BJ-;z`LBWomQ_kqH=ROBA3n!&-9^an z+O8A^YT~m`wq6*0KMA0M2Nh0rF5hij_lcf5cKd{3z#WbNfdn%|q-Aw3^2rngdr>oq z75(3zk9NZ&IcD(vSk9qdg_v)c|6UD%gQ?!>XJ=C!6P-84VTAI~A2WnyQ_Px`I#*|R zd&y`spO;Ip0Kl?aT{iDbk4T6#xh1{W8DTAdHx#Z=jGz}S{Mv9=0H?$urZ`5H-fK6Z z)VX}wA_ zYodThPO;J9!o*e}&~3zK@ok z=$s=ZCku9PL^lhmq#E-4NPrFMV?4XGclbyCNJ4|;sFI|?@FG>5bWD8E= zw9eB%PAhaxl>GRujE7F0yrm<{V+3qh{kDA#&Xy;Ad#55qtM9%3fszc-W})sB9R3RK zz}?RJUg`G(y51`NkE?@vKe?f~3=TW8Ak2T38KC2MDn8=|LK~jjDG#R!j9*W6SiVTI zPGNOBw?!m*ky3d`?_FrFMiGOS$q>8vkp8q;hrPNW%3?ASAo4tS7Nr_!Q$D<==pam+ zqUj7<#evL|DllW5`jQ}FkmM2&Ia2;I4Vhy9Cw)5t(PcIc89E<~jU!na%nSevxPYue z+O-|+ohP`v25mBN`9fT1Q#^6Vj=f4U2#FnHB^?quKUcA&<4AJS^iF_Ns?ydht8-+R zUa3twc_DG$xJ z8VJ~mnjoby{nxiLEtDnOKF`D<#*FUz>srj)Ws~fX*o%K7++5k|!PP}-8CpxaF>t)a zWD=5Wbn($PqzG8b-4(&`Sk_e+fxf%eU%kFjaeX<8rl8Um=F_DVut>5o6v*KiLfG1* zBKGCp|0ml!=hsqY>F^zd7i>Jf!J<@}|3>?zu30P#clxuV$N3yIx)oQXE~3L4c~IS3 zV0e+39AN*PtcFOJhbxc)As=O1rFr&X3A)goBZnhLpw9-#>u^cTC9!3~Dx-&_Q))TA zlpAM=b8XI^?Z*3pUPzHauS}OaNE)lI5qDPT%X6)--3~-6?G&BZR0_VZV}r ztIP6#V74%;VyZVJy9u>gEA`gcqlo)QFOkUSDTjC=D(e^z9~JEr%KX<#UWhW3>2%d$ z9RWyTZUd_uE4r+Awyin*TXrzk=mm@GN?5U&AjcVT)$`sA44=oO?H~J@dw8`w%Rm>9 z0o#4m{HvjnvC5F&Qf4*z%J!+;bkSbM-m(QK6(C*E@lcW}T!%|4K~g-rY=W0Kojyp{ z48vrM?i*1*z=@cvXL{Z^+!yl1sXH$S1twxln2d+7xzzG(;)=J@Jc@M_b4dxYe2nUI zX7;Tcz6iryBZs5mCsq|SK%MoudhF?Vg0Jf*%B}y~FR)w?t~>GV-%s6TFhJ%uU2JtQB&n!Lx2N$RQhSY-3#@VAo!gn(rYfdQ(-z z;)`32AhC^#T0<8R}GBTKn$p zuC=I1cNtgW|A@yaQ&aJl%+fNxy{Pf3>SYK)=)N(((=H=c=uRJs4y`tMMI0ZwMl|4+ zwWG?Q+y)cWk){BpO%d0S0!=X9F>WAH(iM`@#G$PRZW>-JIjnyW+fUzIC7zCf*sPu> z9dP&L9tP1aV|-?(MP3!B z4;RImWR~%&Xr#r-n1a0FM}8mHvCrZJ>ws_H^;{hSF>O%-KbDr`l9kRX+BH4fh%2=d5-NwR6q zPwbSkX(-ulukt2T5qG}w*z}_vt_$kZO%OZuw$9g@Y(^OLfoug&F_)QN#-*F0Wm-10 zZLV4PC3C1zID$Bo(_AG{F<`=dgSc|0bJX)GxZjC03jY@W|9WUEF9}N`8-arhs*H_+ zgXvc;D&n+Dg1Nn63<&>=Nzx5xs}Lf4E!u_&j$X9?Y&V~w;A}n$FtN_|xF<0^DA8Q$ zLrXr3JZJT0RMs6-N$%t!h&b@K9cp*%C>zfSfzk{nPY7a%ma<&jMsYyVeN*H)&h!29 zx#kQD{0gG~`r5bjUD#gH*anv@LLJQSzZLXyw6$Gw3av>(BswlLNA+}`i=rGbqyqr& zh5-pz*&P4{q8cKOA1_5iO(h;1K6~XX+4wfns6_&lqPyznR?)k`Qu78scB8&r`TD25 zh@|cs-Po+^@Qm$GiMVi$puT=l;DH}ZiP&(BAU*K3Go{tP&UnAhT-!XCTc1u8-Q5iE zoUIkz=}ok#XYUF#Of5I7Of?4e^7|cRwY3Riwe{V_mCsh1r%DRFmN@5K^@?>-X$ z@PYjLkl=yk`>cL(RaU8$$Mo|_a>q+#C)zu4{bKzGQ1>n^9kA!^pGN9T zw7SPmleTwba|3>`^?QDo=WUt2o3_0y$z!x|mT&oM-PeNG6$p|HcJ%3h;SL1}#uexO z)nd<0o{XHTqFtgs_M1<>F0V`eX|HQnxJ^yBhVG|%s<NU{Uc%8N1C{xj5jMaR^aAtt};AK3r7Rd)%E zM7-I>jeHNpbX#qb7Gpgpuk>CfehEp%{G02);8zXwHQI@+%WPV|=DbSyQ0MC3b28)i z?%Ay&=4NaOq|~h5a=6m+5DU*kRMnS_}y}z2)YuCG8bU&vW@Qwl$7=7 zq`mc_pbaWUWWo>rc*K>BX*nDePhVWrzWT<3XIk^HwCnL3(45#*r7N?==SdZT~BwV|%0g8>@H1U$8b6k8(2kO6FC8_3aQxMXie9Iw|E= zeLOemo3`r$M)VnZ>H$=k{{g^X5az2b$ZYWlY9G*S@*fhEvMFg$G=gtUr{_9BXz%;s zf`T-}Q*O+n04Iq5gQm~tHF+xc)nvAbxu-&j1w*0z6rKjH zV{O24duH-gzxT>pEY~#gzsHSYP2~^FGcxcf*`f!sU7M~aBgg~h!;MIl%2j)9v&AyS z$R6CrP~P=)wdgJbIFh~RzGw5~_YQT}J;?bqsqp^ePKd zlBOP8Xic1e;m;J*r-6%e`xpLEl*w?&+$jFfLJKp!T`YC20YmuFE%D?dG&4?`%w_=q z6?Cf|nMjLOiBG&fBhW~jyKybc`7EI8UqDuZa_|U>C~HK%oC{YF+t+(;yGqi^cP=@C zgLGwtWinI_ru@pR58s9%6niO1b7I?XKQ0ZJ;p=<}KGp!!%la0!V&S5qN+SLwmEO!u80bB3cZ9;^{HO7t6 zkt6jX5Z-78k%93xIcD!(ct^Em7I`~o?-l|_>i28{_*JfQfFpKr8^?jE$AIj@=eMYu z*~Z>0#w7F*y#;{~bJ^XgT#M z*v04Bpv*gsen{MFl)PH;PP4kPv3+0=A%Yh(ZU7I0)wWj2$Y=xl9{@xb%g%R1{}>|vRTrsgCV6N>Y5 zdZ$%S$3ge!^3|TZ*0=G}{>Va{q}^10f48#jmV@4<08cw`yHZY`_x)L~=*O*Z;lrPv zn#UtGU3s{R-8BD&_NESnd`;z;v+=s))8@9u0l#GQ_=~yB=|_v*CG`JQ*a%z>i%4dA zE?kpok3VgXTFy)~S-xj;$ReUa zcyF;Cjm$ZM^cO>_cPf9bcZfi1AH;s#l+F99Xb^^jMfPrxKDw2hXFC6uMR???)%yWO z$?B@pCgL{ssObUB$%z(yqx>bbrz7A9X!q@m&UoMQrLPPv(sKEq2^Wv-2QUyW{J!6~*xqg@-1oJN)5dgl@1wpQ%~8PHZ#5{lyT#P|7A?U&z{7}re6 zBh+(nY8~KV2#6h~nEZY`B~nqT{)TDm4q2({updYLVDdUV6?>ap5pn$YO7)W) z@w`5ehO>#iGEAxL^+%+l=H@~Lxp((82{}TSVWG}cR{bWvhm`m}+qh{?t0*^q+vZWL zS$kRY_)44656Dr#tfEw@FV?4GI->_kG0bb|t^q!A8Mk;O-iiK$x04j~c5e4{r>0ga zEJ!7x&|NoXC91O*Ub)d3ode7G(w_S4iZ@NzF%3(Kg#)9j{?ayi6HJaRw4W7I1KNEQ z*uN8><{wmhn7mEd2&f%c&PP$=v>zMmzYMMAtF`$sT0Y5^NBbkOW>z;^7#vVr7^(sc znigYv_mB3WhCb?%?5#!Ax-y|&l?ut}gVGJ4l~iCt?5X**oAR<-j%T6|DpaJNhbvo! zW1fvy!1lI1Uznwc;cJ};&q4lw74pE}=ss_1rF7Cg{&&GSMkslbMht}Edg8Fe&9zw` zQmxc&gpqTwjl3@1b+PZ&+X;#7iX?Dlj4 z9vcc5?J2j(3?;9hAl7LN=PeDWFwP6LkH9VJ)$Bk)11_givtTY=eT{1Hy*|0K^7joG zbn(2oe!^~zOV7*2$mn%@6uxW>G+a%uRW2Zvi4#fk8XBJjZVk}Y`Ny$4O^UQev#eEgs zl`Xw`lV|zp-5Orvj9QK~Yn8zIBf9>69byU+=2kB*IN}I~=Qk6Y{|qo?9WHyDK5(^r z5pU)2h`%@pwAj9O0ti~8+!0UI_#%fc??=1;0dKUDy3}X)JjF|#ilKY2Z?F1QZEvG@ zw&)A0w3S=8<&?*oR{#p~n82@XB*$}%_eHg#=@zh1{s@*fb}qaoQQ+Rpw+NKMSH zv0rI*NE133akdCNyLfu;_WnF0(9#T<8-ImtB&UIptQv+o6phr2JJiP8gV?KKGUi@5 z)(=US6z7);k<;M8wWMb~GqD3@_uxww2iqOA>ToZFsSuZLotcp=@r)1Gy~{U5@o_ga zf=<@od=%z)UP7KqUS=2Dmq!NI(&{5}y@LXX6zt5z&i`Qf-PX9+SlFbsfAy)-JFnaF z8V&#(*##D?X|vo#v{A}p7*@?IukGH?m3dz7Y()?vwpi2+>m3<`u4@p`Z$?xeq$QPs zn~fJ_pE?(suc^ZQKwdFJ2K7SD8eZjKLtC+}9ff@dc74C#{)j1IY2fUe*7tI61=2&~ z6hKAAov)`5GX&qz2BwE_`_vP6$VArh=^Bkz*#GMwX~oJCy)C}9sq?eI=ZX0Kn0&km1?|ShT7`!MUx9~SBQ!Okv7v(*g z#qbYzA7w4E$V&Z3uL3 zl2p_fCz2%-H9B6^u?-aP%yL?+n9>UOWO55V`JF|=_S+wCXIFV$tLg%jZxBGo<+mbO zJCrRYdOVt29Z~dWr8_KQ3^Dx4vhpoS&i5oxn)+Y6T~xCM!c~6{kAuS;D7*2KM4csO ze`0ZX@oJ~MhAi{57Gk`=DA$3Q4?AeicSKet4D$!<*fYj!<+0ejeGeU44k68sGwAto z)<4>|quOQC9-~B@+&4Bz^RO{Bk9(|p;u(8=TUYf&8T#lbx#?ShzJDKy?(=9@vjXtB zc>8`tT^x1PZER&;$V$vhKFQf8Dynt!RzM5>{(j~y>@0&hr1^_a-v(ZjGF6cao)0*8 z_~M)Udb2iDSrplok01Lca4CYU4JM*e=Jq694%KJQOxTn*6FX7tF~a*g+z*XvfYuTO z(v(-qjk1-eTPJ@7mi&$=x~7=FGD9zmDw-ZuPod}y9%Tb*`3UqzCh9M*8zh$xY1t=x zY)yhOtG=cATnDgZfApmm!ZB(q_SM)FT(@FwnG8QE{B8kCde1 zy`Ws~fzdZzoi4yhhLOA0_}1)%$`-rO=p&HiXoI24gLSD^deo?m;NYNk55*`hhQZwD zm(LvvZG$LY8^FhqFBcNPc@Wkz$Z=8 zcg)Yn-l9p$XqSK=~lv8yO+Hx=*V&-?Srg!|xjh z$eRh4T|nBC$^H`86=z&Yvx0TNR@Z46yB^50(8bu7BeKDZs3O3oINtkMhJLIdq=!=a zdxtWsMn()3GHvV?flY;mWa!ZvaaiE>5@jCLsdE0R6|_TtB2;8SEQSOeyU6^wQnt2R zfWhZj>dvs%@^TTdO_t~&+DQ4-w=^$ZKJ9cd@(Vyl1ql!qJ#4OxkX#7RBRnNvaGH`0 zEO@}pG#FM_5{%FiAuFU0)iCfXz6Bcky$D>g!j|4NJ!f~_Jd|!&QB^;%7WZGw)SeUX zs0`nT>)yFuMNU-W-8Hn=Txo;1TN+N6jfCvSo2IT=dy_FM+an$KiZrJZxP|o`}djfdu!3QCd;Z-5A&lV-0<3okqy1ITU5|>VjO$OHb-xk zjj>`{0}N_aWtV~+KR_+4+P(c9TBFP8%Id-d=djlZ$IN&F;9-7q-R0-s^0h9RH`n$u z+W_r8u^jz@bAOy{R!vL$$VmAkecbLReUc;|l_GV%pfd;~iw6SnUT$Y%yL;#E9T=Z8 zcdt+G9q8YoR>R_ElD4N*E)*|)^UW-XUUr{4%Jfq8j1F|Y68!Fni98jaOI~6c{X~1^ zsuc#Z*;_|l;w~=U?ueSbI3$d3)BW5Q@udPN8dLN&BL)&5BtE7J+$n7+uEmMrGqlqm z8-DtoYo2T*?NbM2ynDZP{&N(X=a<>;%%fo9L4X}leClLGnFbHmgFZI|Ca_zHsbLKV zQ+;jI+14XXu48M3cL>DEj$|>ec~;lw3W@I0VM~wMf&{jOrK|j97fzAnw=Ua%2F+4M z^-NvEEri>yZnjny>PtW(7BMG66Qh6^+N^-l1HDVJX0hjo`LS0Q(yuwCU2AgOUOKQ# zavC&l7c;H}kozNAw!aZW0M*=H)}3m%S7!CRU}%w2Ez;WEcBOxf8#~R{{TiXy<8%3K za)Wm8M!&QsYuP<>iw>TomjDmD8HfTcd`uB7A#XCWSml3>_rJYzQ}x?LJ#qn}N0n-4 zxdL}ims6DjcakR0YS#hFS7IWjNnOW`lnI;LrF2B?8dkR%Pv?8h;d{Mb7nkB87dI_E zvjDLty$Q1s%dgG@c1=66rnk?U-5i_-vRD^ds}p%Yg7xd zDOxKrUhMI6oBo&i_)I(o9Z%A=xEE2rL*K1GPJh?t#k|_D=LsxMu3_rzKbf9#34?&S zkSD&c(Ud83;b$(RFY*t8J#y!s(QXP4ftLJU@veT~C|0RXCcl4V^K7@6E7c!r!oSm* zfrU;{I=9crOz4-vW^R^p37dz}hzmkB3jV zuMSn*weT1@hPw@)DFir|Sa*)$HDpj7Gx)UZxzx%MEq^?1USkP3K1wx^hcjX0g1v`s zj^wxe0G&*QMdd8qmT6B`ePN=WEWK03m{fvf;mX{PVb%)X#Mc5r}XytM0K@%Rsy*fl|U%j@N_U8p&@@A)Z zSEXUI+H`Y4x#*miY>gRu=vXyBuM{>}_Qz%Zglycqq|G<*-F=gXCxdpHPL`kk@y{pQ z=`pB!QZY^)X?{l&P9VL@?($T1M6E)=0w(CwM0m|+1!}iAD#RNNXgvGz#{c)( z&}5KND(5qxT^^n;)Xw!wPc-MiPX6+#_}VzL+N!5K)X2g88ob$={FB>XA)xoEEfA>v z>N;kPM77V13%xf0hb*>*pAT!(0gc|f(VGw7VCfe>SMzpBX3;#upET2~DCsaR26yKs z8%6PZ_xkQv`Z8jGj!h_*<Bru`^!VBpPg% zY7-<6FxE~lQV95WOETYF3p0wQtf|@6-G$qpo!w*F$01%TZjz&=NT>dOj(r?_W6lk@lu-Z6>9Br4JQ8dIV;`TcTY`x&ZWH1oEY z`M}PHcyxcko5gpHn`MKNwb83^GjNW~K2E|liz2z-gKVN1a+%V0A9MyTY{gcegmdNH zosm+FQC+k{BleIW(9*9T?c~<-GowPC^{@U;x4$rE8L8g1H)5XE1d0LL7VR*Mq8=6Q z+iddudd@(J*rXHi`Cr#9W#q}%PpAj?_7^oqwEV(F_pX6uf$NQrgY!zI0 z5a`VJf0eDis^+?R*xxfe-jBKQx#9u2J7N`O-0^3U1N08j_jQqs4S9`ZjhJE%8%hlZuEt?GXvP~C<=R8moy*{u&Qc=8?94m_o zr*w@um?m-e>M0~qC;cq^`_P1jd+?pFOnHbTTYRY$_FWc>u913MW^`xb#+PXlnAi3PodRSnh+-Z-kB>)Cc-RKyNLsIokfVUGPsPMMs?xBwdTDyJ1cG z1ssP_E}wOeWv!7J9qPY3Z4l&nxq{h}Q8M_bB(U{>%1Bzj#>^cyJ$uJL)$f?Ld*74q zZ5CXtFs4<>AZTqqU|-zN-+l+H2(~p*ih#`qIEX-7utr`6cgU?GhV3lb@4CmpUm1N? zZUN~P>}1;ILAgc?F#^f}ztXkIXD|P4s|P|r`wy^+UF{I%@A3(jg&oJZmxsZoJxpUI z;KEYTd7szH>*>nTt3M$O$+Q1Z#|%Uw;wVGkL9>4Ct{|*#E5IsXf1gIfH|lCBhaEJo z1*8N{MUR0CuM+}%hc<4Q_4)gqUJt)6an(jAePn%pCZoYUajh6hM1minHII)9{%}m=BB3V_IMu8$fj4 zD4i={4G%W220iPX48E{CBS~#9*n%77QP%bGFGuqQU`M%PMXlvS&hkGxT0e4WQHs2Q zYi?kXc5ntzF%E|&jH&h2%WuKAWvwQ*=1#Nw9KuY#FFw`T8l33CCE-jwWw=wmwi^uLn4b?GSyMk{+|; zw=O&JAA_~3J?};C|Gu2L9Vb3$FOS=Fy+ofnp7OG{X{iJnW7X^l0K|3{?o0;DBYnco z@C4=F2(1yE-s2`8VIM==db<-|7&qmwpn*L{*fACZ*m#1oers1B26k5t4w1 zg{M8w&Bx!>5w~xzJhu$GOeCMSrWfEIuP@YjCR0MuJhS`j&G%H$ik~t1>dmeEey&ws zyrjNam9xEh&SG2cMLpe`DZG0^TU<0WQO0&Q_WN{Fej!Ts|CMkaZb|R&|F2^n8;(rV zN|Q`+90!(`6F6pNN@i(g<)AV%Ges*WAe95ll`Bh4b7kg99654;;>x{BbAc07RAeY1 z0zW?A-#_rauGjl@U-$idKAx}ZrX+M zidktjuw^?;c;$iy5yzT)sLRMIm&-fA-}Be+g^@>>-mza$t`Xgh^SsyYn@`qOhOcHI z)du(hQ>|fB;gBQ{n*KhFvfbTg5Sl@f;zgBV?8D;9XL=3AR?YtljlZ9RkoOkO8L9rg zy+tCT@9x4T+>Vr=WaDxrWMHfnk7U{BE$Q4tAy7?G!+b2<@6boRE@}cIQH{ySS;1bA z%PCAj{glM-UM@JF6jay_Dc>TcRL6O}Kj-*|5)uV&QiTUNFR6=gg&@AK*1#QhG(9LS zLCMPw5}GvIJY`qO*hvue%HS1qp{mQr&sIYH1KA)Aye2uYUu#1$LxtLiW!iNqiAL^5 zy0)1SD!3Df<0s)h*)*q_dZ(F4?)$b&U$f}${_pyQ&=&>IQZnh)?oM@i^w(b;{(M1| zt)7l5z=$q?&(WPK4wA+>i6WfF!V*2{{1xFDenZ&c1KFEazDs#F;tLT0!!wS&R(TA` zuxG7&Yfq(S)L^_&3a(P@6IUkc`{w+^;W2{urH(2?k=$P61gXScSNLJdfl3x8VKB@2 zrZy0b&qGZ(EjtOcU0SS0T+#qpr~XT9W&DEVVS# zQNk}CQAQ5MK6%!bzU{CkLEja>raTFeu(I3eYgb+kjAB02Cf6i_mR>+;Fvr!W6b{IUa@g- z)Fktc0ibd7u|h}G3re5p+mEm#<47TiviIw7YIJmz##M<7O)YA z!1lrF@51|@3i4>FgpiP!@{Bk5zoz>Q^>Q3Gw8fpf?5o5W>K~qd zx?UrD+d>U^O$)L)h%Q4F?U_$O&J1)k6RUxlMA&z@aU08BhC-`?&XAS{ zG<;}1QkKvl9@=*~bkn5mfa*=E-y{N;iW&>3PupH|sq89ECk)29u)-TJe)rd#Dh_8n z_DHhb%Q?@8C>aS!bn?x7=(p)Kt1tV~F3>`*_8`Two0EWo(JZz(&r$~T;hE4nZxd;t z)ic4C(Gc?ElKXi3^ab{0@?4LbAGt?&Q-vl&x?QvRa$4?l1jup^`+Fh(Cxt^F$f^xC zbFZUXOy147u+$TAeBcHB$fEu-@=F=w@OpEsUc2&K-Lm~_wFOPd-;!__;%(KrTBBxa zz+gSTjC^wubJ49!{8M|=(3)Gp#Xmc9P;Yv8#wZ8n5l?wR4^rCn>gU{9*A@559#&Mt?QDsb`wC)FY#GH0x_bx1sAe50d(QD{ zt()4uZbf7jQrB*HfS4e`m7%Kq>3EnO{L!oNBQW*}ZCy?3wx2B@-T6arwtpoFs|!$B zPRA2A#>Hf^oGpm%iBm#;C_az27dAF5fgNg&vIwph@yJ-M6e_!2%Vlg6peHh!bAWRj z6#vu`G6Pol|DwD40i&C_`@BWoXFFZ`vZ#c?u=8x{p>?x+VQP}^t`nO<0=U`&k+qn`*nc{eVkQr*7mcXf)N2QmqAgJKB}VQ3|ySF;c5029$ z_e6nj)Op*619^XpJtG>JF9etIRbB+7ZFuE~_%c_CJ1yLFPsy@$?yJR|T&l^8Nn%!S z1<**3n2kfV$LjR~?k>v}6th0lw8tLX~Qv0~%6LCAYX*^e_ zEj#m;zD)dM=|8BG0M8nWceEob6w+xIMn)}x=yA|2L^uuRQ8v+0WumNr)vA_;syMsh zv_8HH3WwT@#ZP%p+ti6-+4kF&!CR{KsPTb_B&Lc6K5Fs{&E>5h$?9N8auPkyFPPS! zf@%N?omP^HV@cM`Cc+zLkYKjjm!QEhxd*q(?;IyKI)<$xg?Bh6w2V^eK^yqGa~*X8 zJomp(-!t6liA@D({IuJ@MJl=9etPVwU^iKcwjKGvn@>0m#E;@SiXV96kwU{EOV0<{ zjsmFBYO&Qdo?JfO9IWYV++RUHD2vXBK9%(eW=HlsNjQZD0K*YaBknLuJzcEna?$8Z z0})zw&M_rye36iQ{w74<9M*yt`JMUrkvc!9Tu67&%;LFVtKK<`v!!SRbyY!&Mf_${ zwc%!GmiB}kfLqN(Yv6wYk`fv*#{+?2;l=MHph_kRIOU(*-=Yzi5H#|Eade*vY+LAD z=#7HSCj{;BWt3kL5=HwKLR1nE-cXa=qJS#R_2A$S9Ay%}9{*tesKhtRsdy!+1j5eM zKkC_HB9L%DqG}Y#ci7Cp#=Rqxm)K`y9gcn;Mn)}-Pr-T)HDMCBj0}r}i^3FhN{og8 z$vz(r7tdzTbD}GPri~Fz@(o}XPjprE75`%5OwcAhP+^fDzdbL=_Zfc9E+5p7E+M$~WJaOO^1`lO_huDM!{2SsKN`xa zG=xOtj}V`&|oQs)tvSx)Q@jC~7R;mvG`?O8rRj?<-srryb` z(g+%9V#$-ULbUFNr;65;IuW`ar@S48T==lvs@qrJv7=4L3>Ftgs++f0$msx822hnn zlu29TA+Ymb94z+l$R3>EnMn31rtdV)px=xZ3B3eF@ZOrgy*bk==F3h9!Tmaxg|zJ~ zYG_vNE=LDm+^K5R`*HcanE*@mc-)bUJA4spJ_%F*rn}~91pn&j%^ikpe2kLMJzDl% zZs*$2{B$*}gLfw)l3rLcPME7r>KL`*k_8tA_I~oc0^b~%5=r;Yz7+w^(}L$3_|4p1 zogT&Df>R_v^G@(ids|S~>-PUk?t z{X)-bAi*0Vh@R^>V@p93f@2=O&grn>CNE4{G6{|43kM$kDx!p>;X&Ec9I?-iHEKiz zPG7S}db3=I`be(~)AJ3_%@QXH3pOtCcGJ$U@g*ONncAJJ z{PB&=!$AH=b^I#W7}Da3O3vjgaz(~?Vt|k8cG^XRU2bC`euT9Ly52Kyp!i8Bs$JIwQ~ zERIAD&bXMYJyFrx_b70%Ez&uvfwL1IcE zj0Fumypq&tp!THjTE;6a*5Jor1~5To>CF>Qj_c~a&~RYGCzfKi_;L0d6+|kkO=cuS z$NwNr#^sF)azD$N*Mv^ODVlyR%VkgxnfU7)b{7+DFL@bT_%AfYq3LqWejCG}VD0q21KG=3MiOi-7s zvDJ0=bT9@X!|&I@w%>zF?!m%C$D1kKuk}1x!};N*^Z@EUjljPHH&9q3Z(Wb0P5!7` z4$d(H=2=&r=lJJ__$4g#_HT~g6O}T(sgblbCR!7Q}dvb9D;t0Ug%sl6nUrotb;eeoIfE-n8cBoVc?pKMMzfXL$R) zL>>?9AfvG=(bZH3Ag{cqAnJH)7pB#65%cq6_mB-_{V2Kg=_*8Vyxf>`VlY*8oI@)o zBciJK

}(a>KxDls?$gRlL#x-$1(7!V-a%j!P}9QKf`(qAPIAYS0nE-*R#XW zozU<1dmmTuT>BK6U})b{I{q*G6iMjIQya9U5aAO*pDf>OpswnY9r6kU&$`NL?ILm zP_!0{n1^=aeV&3Nvwx~2Tq*&yCkrZXd>@l02l|I>@PtDK>#3bPYWkkXUFEv4(8wxu zqAGl$iYsB@Br7$*k5k$*h8m0~F<8LaJCDP}B>fgtK?3)m^x013DS$2};@pm+@;w)|FG;xqnk`c^ zd8nQ};7~QMQ!*Cj=vn^Np>y6yKnWYO+e2HQv!vymm{S&A5Pc8bjPE)K-?}A&bo>F@JAAk$Fc}+gpx3p<=<>91&a2@BS(x7`0;z1f>n(VSczrZ z#2+{7=@FT6H)b>usUw2C5?Z+M4{bZZJ~X@CC~0cQ3f``m!1S^skBN&u2^;bIoRp5L7ti%P)wzz#-9lPrIzoZDkqekrjw%m+87kpn85H65X`L@$6p0TlZV^7t@0~o1{gb zxk2DqGKe*aXd7EciUqQ=peQS8^ec$gZ`{Voy9QtWI4$+?TVPaZ6@7f7Xwx9k{V|DS zaib2Z(sIw6Qh=47S(2lCm{0G+bx=NJ$qlAv&w{)EYky+kdJKTv*NTv9dT_VtC5oK1 z^8|c7MNU8XSiR%!XEF>ya-{$g*)B0ruUMw1UsJ)jHXIq$ZyQ}z161YgtG!8q>=UOY zs4;nioa28`w}(Sg%OPcpORpV90RMrp6f_rqE-;1j>4~_4(cU=?2jd1`UVoDsqC^QW zjes#*ke=+_;^E-N>Y||GQU=@eI@)lbMs;$*ad<)o@Tu5dgct*Eqpv`?q)SI8<91p8 zLd;;7mhaqcqRfXTg3LyJ&j#mxXl1Robj;Jz^)mjBqp5mHv)>m%iwlti-mT&*1Nr>O`t9E|pkhE1j~Lmrxz(j#w4v zCwcVwD03K3P2M*mv&>X>%*>)YA!*p`mDcUkCU$}4wQ~P`9dvsRDB4W_R_dWT`Q*Av zrQ=3J$pWUDV!$$3aD4vBj+Ld^rd&{3lkn}wqkZ8>9jL`b)V32rp4!q-0}ihrwE~z( zdx?+HrCR5&i;X0E4!JC@S2)_w&$kk%+S?OU!Hmc2yU#Y93EPK7)o59Fh!vl|0WVb4 zJ(?@FoD#x1=J62xWn1%fSE2@%*)=krEr4GF?()_NP&d`>MkG zJo6SI%@K(gFpET?yQ8l6`6^sHnCq8%$9Dw5*LAvj_3<94&LbJ3R@ivsc4gK&-h{$F zIFY3meh~Tk)rm`z@>Zb-5t4aodq5tmCAPY+q~sZLSLM}TT`g4d*6Px#*bR{82UAQ5 zrmQUSR>@W)nO(K)1_ka5&qoImGqZX^@q3@Y;z)jgU<^rrJhU`%{;@O97~D!2F7W$x z3m>Q3A56K5Wm~X|Zoi2keqN`8uY66potFRTfgw&u(qd5dbX-+s&E=de{b2zBrWkDS zqOJAk!HW32HC)l+ACI~hpZc5D=K#bO+O> z)CYVypD`nEXPo=xnI?$HTwtSYUw==*||_JnHwQmodC@y4A>fA|ZER~vU~(*-ws%akD3bI2t?^MW%h%cid;d!jTeNCoUUjKPiX#= z)$MHmO=9FjV++~Z`i$c7NaMYs6msal%}0*;VE;K%_9R=o>P-FewK@_c`iGJ4Ux}Z{ zaUHdyglFJUP3gNcEZhyJBsy@Y^i}_O%i*Vt%=_=Eay@EBE=e<;_mJcptu$H;!xb3pvB$YOR?ha!QI{6-QC}OzW4qS?+-WU zc``Z4Ig`C-&z?1F9RL&*R5{Wo$h#Bd5P?{A6GtZtJ6jS~b|w}kRuT~x3+rDbZ0u|# zEL_a2Y|Lydtn4HzCXTKa#wH|+hQ?MTtRyNjBpN10B;vm;oFRAqdxwFzfr65^{hxtC z`uu4V{cSPkHNY}c_ zG833^6FAYbnjgJrq=vi>qN1YK2(McQX`58|jc@9Wk5I8zE6%uV8ZA9*`&@=<%29U6-h(@ShHKWlP$jK63u473Q&&%NmWzd!wd;r)M!^#8*x^Q97h zgllTNgNmB^f_7zRUva}uPClAm&qTJhO#x?}ro!&9F*{%#-h)_E-rL~yoJ_ugGfK0$ zj@4ClLC%fA02#r!ip`>Y2fV3gd;`Dt@a+_fKeJhnhl^kB^z4j6Cxiw?jbt8K12^4^ z(#D@!CFLg)VnLy?@hn|jTG$<*@_fO(6%t-^Xll8!G5+ByIl=J4v%6aRuj|!M?Ct87 zF_hLel~@fx<97A@`+Dp%B%HarGWOSkKyJf<(|RkFiDt7o%QotD{Ugo{-W1$(URkOX zo_jy7#LL&Fk%7^SWhe$5I(|1N#7c?Pc{7A-I~-qdxsJf;XLgelP&}A!TlAY;dGB0G^y+*=HakCZrFs3c zAlEm$bpGIrpMGBMx7A^;SX}}9|E53~faU2!%RW8Xyy83I65HE)bW#a@dK zb!WrIiItj3!;(94i!INCRt35TR9tHU)YTS+-iznD7CaH2J?y#OCWmxkt|sDk-B(bf zUh7uJOvI}*T3TTBihJ}DL7-=Sy5M0Wc;Q)Q!8Nb;u9LU8zPMvNo4ef3R#4e49bfyP zaK2FM@wEPAb#Zy&r*l!eZiu8L&aXn2_WN~?m358@IYSBV_7)jQo`AHBpE0Qk&AlEK zJy8|9c3BwO`U2Dq*OplYQ>4@q+QwTYbS_jDwZw;ZH0Cl2}%7I5pj`);9^Mi0NSLM$8Vm7zz)mrCme^BltGXh`>&!QEhu10IXbZ5y*!NzZM(Q zE4ZNW>#uS=Qx;>B{q`Um-IFsYu?p<~Tj4Sd4z~7hMTZ7C$@Fpn|5A&{odo=;zx@a7 zjv-NZQ&}&!daUnFuJ|i6uet>urTLNydCLwqq19I^CJM3C%`0fe8a^FKDjphsRH|)xuhu zQz@_m$Q#ykC$n*{+WH{Zz@izih5oTkn1oC}8zHXV3xk#EhR*{Mi~GV6Lp1aUFCA zaQ#lxsJn#@tx2H|YrY4@#a=^M!H%?oV13MrgAo3(l8Mk$3;aFedKHZbA3pj9A9W%+ zG+Pav=j7q86$4I|sH}^?Dk=oJf%5a`$$zcxpKpfzd%_2wxt=KpV9 zOpOftVYdNKRkK~>rXdKm$V@*&p)Box`cj29nX+Qx~y0L`fGz}e`G@~4nTS2Sct z^%pG`gNqG|t=^K19e3p?DAAn=K;q6qnQ-4ox@+PVj2#Jgi*j=XH-(P~KnptL<1I;I?ikUexT zu?N$?xhnyia-8cSv0fi}GM3@v6Lz22BGpJMo?&Iz4a=7hIyHPZsDtqs<8~; zLUtOF3)+H}k#!xvUb+sRw!GIX;<4dWm$F|Y>)5B*lFtz$AZ~KDX#EVE<;8{`KD&#; ziuPx;f-=UCrg1%3vKRcfD)j0LN3jU3c)K=K5P-|dghf^sc7e!~AxAu2o9wy)HNEbu zA;l;KfZ3;dYLb9PSSvzXPj3mlz$loxnfmXmQWRQcvg9dGz|~g%4yB?66&0ihS6=&D zm)^G4IuOE);B$;T5Tff!t6f9P1%u=5g&=lIG}T4^TNAkos577Lf|N*|LYl|ttPZm` z{>8F&+~5!Rsk0jndT)_T#FRHY!zC|Gs;$QJ&n{LG^Sxh9>h~>vYj)1KV-H`z$(O{m zh1da>#G+vNajc=Tnw{ZSKO><$j>jM9Q!Zp!?2gT3e@i7NX(WR85?!R%p!bZHx%0y% zRbePkiYv94VD@fW;fHtn<`740{(xhe{jbBh?fVDd|6r4w(kZSx@CTRxE+tswx(hwn zN>6`;hgN+xw!g*%Pz_(>MxP|H2{H(>geYFbxi-sB%UDE;*M>GiKd zC=n|+uAP-f3X2TxiWKU1`+Off=RQvn1(iz75KBus5D?5x#xsCJE&bKOsY|q2-+_+W zUzdIU+P;O8ooxC$$Fs8{l4=k_IMpMmB6DV6iK!V|Rr#UM#aB0}Zfw-2_kFNB4o`N(C zy#=I72__+8QrI_3{Vb^fct{BP{iJ!KP#L)9B3lMh>;ZCxznl$lH5?~4e*JVi(-m;| zt#R!8f~J?5k`Ph#PMaf9yx8wsiCTS~wbwMVzhGP$pS}G0ub*Z$>pBl5QRr_hweZ$p zE)el!R|A-QJp6(~$2uy}D18N9Y=}PfBN%n0llXs6Lrs1i9#TJNQ|Pr!7ivn8Ga00q zEI9f$3k5)D9j~4IZYm!LKmsIZN<7)(kUmAuyhBH3mZW;k3LwZDj!w_Q^b3X>!M8PO*rSq-NMNvJ!wjIV}woS$WTHnBFr zl3~@px*0Re884K|7#7TCZ89Xezp=;go`{_Xkp{0;?8tskCt91Pi7d3xZYx4 z`8iLAMY(5&69aV?Mq5dw*YdEpC-~;Qa&13IzKeo?I4j0uGdbpO@(Y4iwjB-spkg#l z$}>X>sL1~P9JxeL_L%)+(O@s9c!!XcJ!y*g?~Wq06W{qq&II?uv#azuz$X+%bNnE$ ze_*w(f92abXH#cO(0^Nao^x8W>}N&;Dg=PmA6Cc47)s$>DgBo_iHJ)2@v&uaY0cRN zTU;Z$J||__uG?!@g-2*hE$YT%1Z=bKp+xkc5R07-zUmem4TR29Yn+^;3`h^9-3F`p z_r+Eva80kqes8Rr-A3s|j^_T+D4WV4RrRYxQ!t(BYK8?)*$N3!TiPnyG|sd{b`@{+ z6PbE?|3m@DXE~(UG4ej+0%1dKdcgO$+Of1$F-HDU9A zh`qTA!#ysGl6}|p>sfQdwVK1z_Wl1F4W@hD9=iOpbeb8HCxV{w&v;L(TW5C6GNju9 zAu6K$fO(WiC<@KAxvd-31sXg_av$tjWhB6N?}be^)5K|kjskhWCnlE@fo4v#h@=yc z?4R5;geucp=dkbUaU|X8sqxrkz*T${yzpEQ5|00fLQKS(gI>%A{T9FqeJn9N{+j)J zFv2B8;^1R8NbHrNK$9!zsmClo|0>vThLCSyN*ECx)$dcm-~89xE5GSP?nDjb3p~Uz z@_v`Kka3NOPYV8t-2DCN;7`mfbDf{@njd~JF^zxk1rs_F{T2It4Lb_v26p~Xkz-uj z7SsB5n06Ul@U}%j9+WvNCc|yl)X>U(N(Bc*bPtHG)xq$7tK+Ll_^YbsXHDv9z7Zp?dC)TL>FY3P@M^G@orT;eUQAf*AyAkSG zpgZ(8Y<@nlJCr4p+GvWbf+5u0<5-unGrD!1TbpuNPe?jzw9x<(x{8Q z)b}5)=T5Co&U4j1-bYb5J4XS6Zq_@&P|V-ssOf}^ zs2YnpQ06#?bx?tYW70}u?p%>l>}e%5V|O)GO%v5HOnTvlNxu-x(d|9j0+^;vNR z2J-+6Mm7IKmdH>J>{2G~nYxRxP+;q#tjxFNpFaoc?Atj(frf)h2&Y#L;)D*ELYa$+jz3Vb-W(gO7S94B&MDkJm(as7A*w5j%tbL?2KvEOu3Y|Uj zJrv3vb7u|3h=?|BSVIg_YR}4Vr%|?p`5X9%ySicDu@}F7yC!v7>&BB=gQ5lCOG$ip zn0;dx7oq+1LI`g|VV~Q@D&m1A@nyU~>fbi<%3V7&IL?6AM0dI@fkjv0aq2h-FA`mQ zRkcZEuBwEZ!HuHqd_AnCc`J)1ly!%(GCqkmx&)xK_8O<5s(OjTxm4wA6T&W&GEie|IpuF`;=QHu^Za<&v`cq#@ zjw+>Gu6?SkGhTUs47I>WG>mjPEJT>t;t2h__wQR-J358+pBmH(!V=N?UJkkP?YH+7 zYJXJeG*8Fo<0f#{Wct6Kjga0@1675vRAhi#BpTk!1U+Yk}Jx-X!EDRF<+&uMmL`nietBmu9 z>x|f8p#i2<+P9*G>4hh;()8nX)QQ=`2lmmET%c`{fC;hR;BN1v&0N_hHUG3Qqy^ZI zei33E9`*D=p@^8E;nQ+AUt>z9qFWs@*g~VG(^r5V^_K|K|RJ44y`Bh;DNxOKL2)YJm{&ZJ4B+uoC z^{*A_2)s*8;4U|$Rb8^{#qZG}sw$&aor*s9Tc07gvda6G4iM9{B>j}yW!x{96!?|+ zrhYIb0wKQG=*fKBUrW54oGSMu$5WVd1BC%waXndiEfam+ZI0$ zjN$u}yOVc04!7iMQ5&|f8rF*k;q?Y;4;&$1of`yeI8_vm9zY--16^wFNo48%^sV*< z;YzOy4aFfbx$eoocem?5Z#DkVAd;-(6W?rv;z`;9a4zz9bB-XF;D^ws2iSF%7E1#S zvPq)T^7PEO%iy_hM6 z2&FF9k$|L`Rx)Q4-#a;^QY)x&1KdSYE)?=ZtFCeJBt$rw5;YN;=pVTY)-lK)0Fp>X znN#uDI8CbZNw=_r(0Nwu_K>9P*kl{W)HNE3>J3&_QublfXAv#sr5W}bZ&&y#T!L=c zfT^x~WO*+pc254AsAd&z5GBAwaX)NR(}L`SK~Ru{4Er;DH+kU-V*|K8R<^OpK+T(` z`S^Nm&*$E~tu;oow#PdV@N2CzI>Rvn#R54i@06rG`!`9z4x(ifcp~Kbmo=m?Gi&Ds zo}k#QecD42#8@-D3W?Q(9Uw%@XD|D`w$x>+B z%)`X!AF>e@+;)HedeNI3yhbO6^*>b{!$(=y5KAZ&UAh5gewD+J^n{U`WWe^CLsycCk85TcE+w z5udUIqp0RXxEE97ZyUE};~%ys)f#R4Y!^6A*E4<$Po176GASP)!q?n2@=nj5rAD@E z%j11OF8wafi!C5c*m2pjmxg#RV{2|TvcZx69@HxN^_#NqFCIsQPq!>M#4R3yOhX4a zuqwa-_+igefuIKja%h+(gzPy?q<`6=CT6|vKOO)(EG{U-=Ya zv$XlM0DYrzj`nZs_R7?4hHHBq5=Jh?;zx^2pr4`Mr*Vbs^Xl3`H=Y<4GHYm;iEME} zK~0H-CwzwJB&zF)?H%tg@$2M;{J-vnmBFqk@f?14z9SK)79Vwsu@ckLS>$yfXn$m) zR>R|E%2*iJYn}V>yI@e->W}|QN6L#Crdzfi?g-ne4D&c|XuLtW!Z?-b{_AZ=}b_^=ldm$u06q?eQ^nVzb874ooq3a5*sQYBQ?RQgOaCRwA>*OpQ#9+ zKmieZ6NMjZT=5utobf?-+xcPfoRW}eB@IV&0RhNqN~=J_+>J4eI(>|&V}6;25bf5I z(ypF9lhUqZXMTbjYjBxHvXwqSQjJSG|E4xY3~BjlmkEd2*X~W%F!Ej7Pfd^WgNNu) zfs0ma8gTR3{Tgs(8L32q7hEDb)82u9kUHj~zCK&*75wx>)}`yX&^-02&O55aHB7~` zYUXaR5ZXgA!Ia3O{gH!Hq7{?b1=ucPVglxpGNo?K5$t$tMMmO11f!KCH($fqm2Kpp z0w^uq1A@F|wD*yS1ooBrG4>XKh>g3+hIDd8x4YV9Ce zn=3eyeIPrlPIg7dwYbs!Bf8*!y(Ba0Cun`w>J%l;U(_~+cQ0{RN?{zK7yWqQ>4^~C%9P@(t~**26z`G? zceG*WtLOHv0W|*o?PH8bTxgE;BN!LsL$L*gr4z zz@Wdv4XYCvwp#TRTkC#7es_igQk#?T19VI-t2Uu^_A!a5*#`FbsBbJ5CVHgwH$3Ai zL{yPaUlNk(ehT=VL?Ya`LO?9n!}u4R=N?VzHjlzneyd;l!WLZkiD?Mz$?oiA7X~sB zyKM;tEUKeIQ*!_{?x)>=G^s4B{eKA=d^eSqtuE33*!Z3M@Pls!1xGg|TF zWTcJyv`YR6u}H7h-!)_{Igui>>h6n7_l_IA^yAXi83pE{QH8;a4XBZHnvZw75Emo= zzKM2S&Zw_lZS)!T>GCUP^mq4&~(_g{hjA0Mx z-X|^c0Z6m!2`!Ua%Iy+D7rnD8+F)9l%EkSL=gyM-+k}ql$HV%T`U0Uk#1# zJ(EIdO(ejFDo^wG9g4Ya!Wlv~pT-%bdX|z-XX>hmS(Ay?dAX)woqu!x%-1D6>v{gMlt?N09S?Y zNLD}d<)$!7Yz0fsCrz7#HsqmUS7D?zD~!4q|Nb32L^USF(PQL#oVQ)haZyOM{h>+V z4^44OW0+qeEJ&p~p%!CI6i=3W3U#`4LM~O-8+fADyN_Ydl=mTf;4pr~L$1&lNH<>9 zdfKhW%I9_UizYO|pj>|}qBLRx_fw`Htnyt$%P)MZ^?a&9!{>joRfXYHr=VyrdJ$>3HEqhU3#`vW8(6B+5j02^S* zvqye<)7>n$1C3|LBC-zM9hFMGm5rH8}Sk(x8G2ifS;0JSl!SwX^7=7ha2Ue3fKl3(vcsu+27* zivV6A3crgDGO{<>x$q7uugRsCPI$YqlvX*0Q~X%K=$1;Wr2k>^ocRqU45se8*jTxO=+jYdkV4#{IWKh$d zmWVCxhfZKW$tLN$$(^FR?I~o2)GYXW`-eJ@Aa9Vdg>kpz9H9sLEMZFpy(aMAzB9dY zf|=$I^Y#R4d?3KJEw zr~?)0WQG;|^8nwA&pdbDd_X4E3-Yi((+hP}viMrHE#+bAkC#J)o>B#HYkAmF^!x1Ly>Pk_(293m6T>#hi6LFO7F9i>imP`c-9O>IfiUCQFd{%a<(_ z!y#4~k;vZ_5Ff?O+OIJ`XzhC_0&5WYi(ZXv(7rHiqGZDwtXK0;DFt(fT7pUPMm>8t z7ZjDd2cv}SlS64$7=qL#&jlbNh8dzIMYkS_ z4pk+;Xi=C*1ZLTdM1^voM(GiH5hgx_N_n}LBqB>7>tv6pCbxPIlS7mn2b9HP@VQyP zc620DF+O5+04cf%3Pw^oq@W548W@BJ(xJu~P*)mP9-`mOj}np|A#O%OIYzhU+fr!G zkWG1t{4*rmO~zYAE=(#9Dj%)dRo{0|7i@O%%jX|Gqwk!rJDh=lv9V5l(AZ{o-8Osh z4)R{aqLL+2v;i20LFK=kTK7TP$D;7EuirnP?7PJ6cY_zwNteV4a098{1a^_PfVf6e zQYPb-JqgGUQFnDmkgZ}4dGzpX$cJK3P4o8v?&X6Cn+nOopP{|>lFnJ&#khI7n))|! zt=|Z?z6xt`_SpwFKMD5{t!>TrtOyv=^=lJm2Nqnz!pdPz!@YN-X4LcbSVyZe8 ztXe_P!Tpiq5)2>+D*0I*%yYy}&Jz}5;3}ExJ=%O~5q&HUQf~ZH{QygDKqW^Au4eP3s%H%C1sn?Q(&y6*!0tsHh83&zZ zx`7AfPI-gyb&2h<&b`|jzCR=@)`_KAL?HtwsPIU}-)?B}U9|<~OrPR@IIj@Y4P}zj z3$3@nMt1>YJOyh!K!op_IJS1!>FprIH_C_zc^r3vNS;HTnE29Gv6kydFK7SbvoLe^ z8}2v@mx6(_xyK%k7sGW1!H6-gkizARX=@=aUP~`*<3DI{80-?e{O9gpyR_)0#?F7* zEz`LWZEHAU&!iO%xp;C3kyVDTCoTc>wKo&K?Po`(mLZNC7f-EH`a~;sH-U?seKYfL z3Y+bIauzHjw-miU+kU6y&?-P(&CQJ9?R;4wENto5R9^A6OS?ejZY3@!5O>oo>Et;? z73+>&ZV@euP#yV^9ED0Su@(u~`DO$?9F*E=n{65&=p!JU=%H{}ll^s>G_5`gRd$Z> zpADCQ;ZiEs!bu2cz(8X zR8fitR4}gS-&JbUNY)7wm_fD=5rt`-UIY^gpxtPw8H%nXuM% zfLu1HWNhP0uTW(2x|ugDiUY7u#kGLxB-mg1;I3U9G3$x5Us8->nPo*)c2jU3E$f|k zBmIrL=E;*Bxa!_S(o?X+On6>YQimVCe?xUK2hUozfu3t!cfm~A5HfQa*aL$UTV)R< z!~kFU2-&Ba74u9n^61fE{rD;SV^N_gCioo+@qW88oQ-R^gjPcRYurw&Ps+FE?B9t~ zbI-iz*aVGr8k{cTNC0BBfHR?huB*B~oaJ!PD62ow&gHVcoV* zyaq1-5enzGBN3^LwQ~bGSvm6iK=IjPF*kFMH{!^+&UaPi##9y*^!yj84%(0_6-wiI z=}k33OpKT)axts{&fdTR&{T#MsXtiu8zSP@-)y_Zod}3T@I9CGH_ItEAp zqH_U%D;FlaEuH8WC=Ha@++hOWt8HjxK*mMv%~wk3L_9l?gUO3o<71Wg!%L`f{=tuR zNruHL7HD*;cNPe_=)zZA+N^V|-%wGPV}r=-KrYBu#*+{{&fxFf!^w zhjz7fO$(wWw<9wQrQnSZkA0U)UD4mKDHN|orNAZFDLg^kSC8$~u#)<>l>jgyGH4#) zICG0YG{uUS_|dJ}PG#P&z5c67Xh9x&>}cBv2OU`uBfE;mJc8P}Igew9r2Bs5+7z{2+_#&YVmVWYAP6Q z6%FaYGrls|Z|xy~>p$^TuR=q#vMofTv_cz6d6~+k7M5E}AVF_`o9jM0LS1QtNV86} zgw~sqIjDY9o9qBw>a~=?1D8kiCr3P7J4OQ19BNq9{XvX+-NyToTF_l)d+{c!ju|HbmUbhd(5eTOnbbWa*Pz`SZunOuYAvr`s^_uk{<~ za|jx^Z8H=9SF1D^@b#^LEJnz&*Yb~{NVb63wWfypi)muPuI`M|dJEy++M3e(YxMPg zYu~3+sU^?G(^I3$cdk_)M}~skwIwCJxgwS8S+O16TNQqjLVS=6QOzPUzSTyE>aT)b zH5-<+If7N!qc>so}M0lg-`pbnd|t-isPRg8Tz4}VHF2Z3Ow(G^|WTetWu+&egBmd!q|0 zY8^bbjWYbbr+vX=1s}ax2|GWR#?E-vVa}?*xxemenVP=9fzqX7jJer;xuWs}O=3#x zC3S={8o?iW;%%&N6g)FA-}mm$s0oW{f^KB0d`Bo&F2RVN8zdlniy3aCkX^O2x1XCm zyM?C$N{&p3HJydGo=LlHnky&JF|?Uijz<54g4o*_6qa6~kM3(*sRqzW21}Pc)p9f&OcIkaN~5r4s{ww@V~MFaJor_ep%$HY??1ERxHJ1iZ=*A_3y+5N z?j!6vjMmg47F~eJth*uyHlvCF_KiGsuTkLt=)fEwF%sH`ryJK4BGFr0^uw{P_D}(r zsi&pnyFXPQ&#)~$^2LYw(DGlV_#$cCsZ==7_UPkcEblg3U9Vv>KaRZO?e4V`f||eI z8C71b_KtM$ZEhAI*;crNzDw$jBY{^5F6F>wq#0w`n5cTrOjbAa3C5s=4wh^ou16;o zudBPl=P5oy(9t*Uc8WDz-wTXe*{klRdzG#1MSpfdhL|h=tPfJHQPPq-^?|fIzGc~* zI=&$l*UWsrYPU9Q{;CJ&?zGWFAio_bq3uxfuC$~r2WQ`588;v|-pp_(owvh2R=B#Z zihkRv&DFapP3!=(&YCsk+vg;MOY7_J$RV?i#PLdaX?z;S+v)t-Hurv&8h!7>Hdxw^ zGef?+q|LYNnYi1xt)`~8$HUi=9^Q8Az|6k)K#X$VUfq|2c4&SvKyiV4ht}#_dw7buQ>S=*-+sL8o$&Bvidsg*cqbBJI3PNAo zhZj(JW)=Euc8@T@IhUx0WOPRL!}P|ql$$E1Wjv*A)%S8BA$IIbsFAwO+I9!uaw%t` zL)%MwTPx+c(M9f0@=<7}LSolH2?}!e**NnAT?4ymZTM0ZPfo+@W-fIacfV#IqOd*P zzPwh<)G$4xY@yCH$jW~FklZMw<0=X{xwni^aT zD)C>cU1)D#^q)5Lw)1U##KC5a1LsyM&x~L9>zB_fqddm+?sbPRm7;~dp2}^tldf*m z3;g+f@av$p`hGHO^fd?pwZ04H;#}{ojvxN{lvP!aV1jLB7)z9$vhy1%6fT70=f0< z#bYSl{HP=5>wed^-3$ry9<%S4gQ=**ZY;BriPVlsQ~f03R~;AjB3PU2lDCJy(O1Vh zLn~S}*1EI1N$IK+a6)mM7XQ?@N%i}lT6;X-6oQJkdN(!|Ow*BTMfDf)M=|;~z>7^E4J0%39V)J=)+5HRM>?hl zj4?;>sCvkvOrV=bWAtt`bXPAOo^yewf!)BP0|&bCd$fZNjNNY)&p=E(!Kh)>?3w9} zd4xAjr}I}0+VlJ2K{)IEtlDzzefS!omje4z=gy;((w&0|=EsO9Viz{M58rg0m7Cw% zbL_VSrCbU?DNWS|(8WJ@bpcS@tengfl2a));*03s<`1E(J(UKQLHzm+p{6`SyQKHW zHUT&3rK@ogyR%q)Tdix)P+vn_-;CFH*r*QQi_HnNIH8k=9=6^7SFOIZWq!|A`wjEX zt%nPoffWR^v-s;5HzZkaF1_e*vsSx2Tjk*kAsT+%A{*V#+tTMYKJj3J3}Rect*exW zVYuyI8H6FQ{1R)vtIK$uPFb3Rwbhpc9-X2ZaNq<~-ZWfIHZW~p zep?-3KVxIE2;MMP(O-DTRoVE|V7A*V5Ah>E~a;?_P`YI$d z+M#)r=>ENtf4X00 zi1@H>>bT|kW zkN40*o6oCHj(gwF(+q!~VjomyN!bpC#>K9d>)c%V;{xx9_pWsklMM%48D8z%m|UuH zo&y!jwJGC8g}WHZ&urKrAG`gwU-ZQaiV`xM_D5JD3hNYTFU+NCuOHdt-NG|8S; zJt+>OX&%73nTque(e2)aowI^EMky9phDf7@*| zwoXcXleqRN;d#%;s|iSb@gAD78#S9vsuA_g=g>b{sH{I|N+bj_zZ9(_dicn;+R$qY zop||q82k8E?8?FAaKA}xJ%D3+AJ8LHs5&-8n+`s|EBkch)nf50zY-SQZ@x}(z8jdw z*3kEWvQLzTwbnTmCw3;4+vUeTJ&^2fa=>f#w#%liOt=~QRAO+h2B_vXSbhUb+HAlt zycpi>Ybe#(OpdhcS2U|R``qDOb+_!aFamQh$L+8k$71>yOKxT)gKir1keNl1aav3@ z;NDJsNP_4$_sk-NbTisiuPaoETlm4;X4fSSI|IT(38~j9X6p7-6BS6H`-@EtbyTML z4W_6;d~x5Mb|Y^a%a2tBmA5FjX7`-CDd7oK-`ayqQ@bJJWHe=}oKZN*W$7#K86JJ= zmx2!DhYgKDAKM9yBT;KX=>udvA6h*wv`0*4{*W6pxNKQHaBuZ#W_X8UQFa;)%8i-N zGbVS(x1bKNK9_#E!^o-4HO}_7dTy2q*o!o?gLIcxZxoHew%|4BVUYg@xua!@4$Mzppko7%R zd0an6s%s;C^i62;rS~$R0^V zVvRBWkdZA|ytBxj>H?nBw!mK81`>LlQ_i;uw0EO-9lf**6Qn@z;9Jk15k6&zIz?j@ z3P+M_WiHD%{kW*eHwg32%h^3XYKsdI2?}uh%09!bRvUB4h%wRC9%`AacH3`PFO8e2 z+@!Tyw?Ts9qRnft4a(ycC?`b|^VfYqFi1?eE_$=+iRC^Kv=a9W0~v&Y9h!89S|a}SsU#r3~^IGzc& zsrmAD)-$3j-=~)!MBv-gdh#BqoVM9#O%T!Zj`E(h9|*_ewqCruOm6&o*F`C^nT{T+ ze(0%rppG}YBAIKq!${uvu|l$#RiiP1-p`CQ zU9}Ztgc5lXmlgZ6ybF>Xrfpx4Wp#)(5kfQdZFqEhtzZ3h$8Fna`R;g5E$@nSy=jN( zU~F@A?|met9jgp}C9g{nSjhWOeg2wa_BbM0Pk4UXnJn{dlsm?@tJ>C{*{0IGQ`+aA z&hXN6J*cQ+md8DKoV{XwwPwB8b6W?sM#`iWYha80rRZ0A$>VkJZ{`&nTyE$5fW^nc z?XvKQwFiTXuoAa|Nh+Ni1##%3zZ1EMZGwZ~f0WtiCt9*CzL#pJ2BrD0FI_^Dz|m}* zUi-s`A45BMp;5%e_Xe3;ZwQ{g>~k@=m4eKxIROII9tBns1lN245q+dFhQ53cxr;CO zbFH}zFK}<~e7wvZmF2f_)*HX;-8*XLU8rdTWl@NEoKe4zG$L$!BGgpzN!1RB)WoqexRdhLv5b4a$B z;LEqUJe@XLJyb_yc-MUQExp(qJH*!{a22=Il7h1j^PF}^rD9Ja?MF6xNDChlDA8>E zDKXrtrEzN7DL;BS?VZ_hovhw{3S#0!=? z?~ygCU=PWG`$hJ;0Y+h>@=nv7r;72nA1i9z%U*7XekFvMTK*u@UBy+QSfTfd>|Nvu^sD-}PngZ47ZvN0hL zRM`+>DJoGyTupQdKg6N4MAW{&{SerG>h(znpdai|hH}-)G>XFuQxVEcfTGN`h{Rv) zTDq-#6QJ>Ly+f+wBE)4t)vLK`mVSY>FG9qXNWUG&TK?*9Up#@CNAmx|0?J*t5p7KZ zBfq?DhF7r@>QRvS+I}F91&yPD^Y>fJ6qNU7dcd@M6RtTfwy`PO;(K`4>hw?1{VG>E zJDu@H*Rl1@w=dxhHqe554;PMt;b7I5bF5`UA2}fo-279ctb@j*Y~>!5#+8L`P)5wa zY3!^u3GZqCa!S+InOq;eXSDTQ;r1N4NsmVT)jNz@(Q?Xti_+@p^7g~%!h9T<7#2k9 z8D^z`aW0F(qnnXMF*?8O9zyV@d=fo7LU0LUymp;=8W@Tm2znuEtrTyN#dC22e`kZR z)UOLZ6ghS;-VrlF{2CccfCR}b=E_Hl;q@2Two}heR**8kN+h9g;%U8uP*Cj)5TX=} z@a`utPd505!qdK;f8F=lHmU7&Dp_X?XuJ2({nD7co10RAi~3f9X=`S4pD9#8yjXX(LiVMp$7OB`-EuwXzeaUlvsXE^&X9yO>7OZMHu6s-gZ+(ty82uGjw0(YFb4NB* zw=qR~VqzD2-85onq18rd>j*V1FK8zucYSYJy`bOgLwC+*ckn)VEZ3*If7ZUPx+x<_`+e{7XaO{j0H>_c;JoEe(%{*zjXasw8-V@%XPBRbx* zX4S{vUxEk|efn598I_0$6SA5!ta{2f=kJbPuf}@>dE%o;)H{2kS1pE!UzFmngkpIx+u4wt8s{~`TpV7xR$v$Kl ze)+u7e1jQjB{0wXsP)-Oac2VcJtcf%b)ureW2L`~aTh+tWzw$Apz=z&p)zS#Fk3)} zXZ|x0f%}iAjTgS>4cnTe3-4v8_!_-?INFB}`oJ}cS?%rMw+n_Wv$tat&eiYO{7aK@ zsLJn>Ui}|Lm=)4b#8+uA7Ny*KzP)Dq4=0~N#g9P1c&%+@Me*Y(d!cAhaR;-|=mu@l zy`nF%acTQQI!<#8CbPyr`F&nf$#5KMx(zJm1nYL4bWOU2Q_}`;Nc!$R4Xh_4s zmGW8*DT1H*KAh%O8i+=kI1?NK98Ta%7Um%>8sw92pj8C^1i;oH$yIr09xnc~Z9($; zmFJec%E#rzTyQEq-N*r@5DFVD9f%1kmw{hA)uDdK>1RLVHqC=wW8L8c>{C~A1##c8 z+S-%5s%89qjLY!@55MvbUG?V3@^UuP8iN+N=SJ8N2A-E&59iEmnm@)SgmbeKs8Dm+ zA^BN{uHCE3_+wFR^-4hb#rrB=2(myI#K4p~9=+{g5oezbr?_24>nc&@TEE?@gl|H) z8X#MP|FUK0Sh`}p(BUqj#(3_JC|&z2XJ+GFp{m!D(A<-*&D{Z;aXxogS4#;#tF!kpdsldNU!#wSyA9lN3tZCSMkx zP38&6Sm#V;k5oz^r;3$N`v2L>)!}2gyBKbyofEnGi$MEU3;yQ5=#ZcrAdIl>P(-Ep z#0G^`LNX||VoZ>J)q4*<1@=R>??55QqdZx)ZFH$wquQ-dnP+?CTld+w-G2MSBEhUB zcBEtZqk*4@9raD#xbVi;&Y<_~TbQ8Q4SxA8BGOYd?S9!?&jPO7n#5^4;-dk9&@JV= zb1F~@lGU3{JCgxKbFReR*Ij|eu58n zNHR-RKy6Z8eH_Hxkq{vIb3|hDQS{uDqOUkpNyPn5u^M67(HFHtF_EnS zu@Sm$#Z6Z{hMIO{M7g4LwpH?jz-k*oP%BHv^gLIGzy5p%m-%MUeVcM>Rvn;dih0i$ zh(p$p1{}NjdqF#iU8Yt!Lx$%0k$cwiJMS(82xa1Y{!AEw8M+)G#mA8*YKh= zaEnm&1=W5y=L2hZvVS%s{a_T0r#!=SQ~6ndZ}5{SG)~4rmHZ>fP{ZNoMQPLtRjEzm z@X7xwKK;O+*yHc*3UDzao!Y0&80a3fn?(xZo_`F;6&^kS^yW$~*eAfmx?Y30UfT+S zZ>s*5dr8v~u}ti@cc04j!SoC3+tCq$Rcih{{C`D#cTf}k_x1CLf`EXEfJ##k5Tytx zAXP*JsZs=K2_2<{9zr0f2q;LAVu&=A-Vvk|LXi#$(rZFTYJfmONa2^~JMYZC8kbz$V6k?wPReLOahl=_WS8qk zhy!VKz4nu?qEC9uOQZ_?l=C);Vq5oDS3sSkgG z;Li4+c21Z0{>p3-ALGgB1S43GvbR9UGjiGnh*)q8ZQOJY-+Ac{YR6rSBRACMR1w4N z(Y)s$I3NxnQU|RYyG+ryc&5r)@}@jvJ)2+A+P00z!i1Nt5ib7bbkgFRpZMv#ZfPt_ zqrs8Pc{MoEh69pa$wf}S!l`+#knn|tep~wT`b+blIH}zG4?s@yppP?N>&%cQHKrH= z14&xQ{B{B7~dP*^L-$2sPZ3_%Fd>KAWhU9&D0EU#aL#wN9U_Wu0RwS zBCVhy_)DMy)SRws*W6p{*MF)Zbnoy|pk7z4COe5SU@fV-4db`YyW#^|D2L_P+3{5j zaate?`%kySdo1jr(JS_{G&Ey}k6Q0#*4vmVV}~H0>uUH{HfBCek%~A^XgUo8QL1f6 zqMH?Y7Z9XN6A?Me(UF?iqbZb@P_^;M+LqpaJ#sUwVB$}r7X;RrvZAg%C48>&FYjn# z6U1bXg+uwCTH!MNfc0Pab-o1l;IGof^nsT5I1D`^nYC^Vq&ML8ePw^pA>(=Fv4C?1 zy21D3=C5^!Vpw0;f!%+{+MJfW{`i$MN;_>6E_`WMggoB!u4M#JA(TfY<&fCrC5;w& z_0ZlO;XkypD~4`8)(#~@wIorYb-paDlSE2g8LOK84v`QYTAUK}cwz`J{6-rEVkfwI zH;J1_(&$RPa(1zBhnn_eYPe01PvK5KFYOhO9{h&Jh?bfsv%>G^o3yf1`zM)>jk4>G z&tRx-SSJ3HhjsqAV^&9R0DJ^rt0gNc-YtA^Y;Wt7U#_`@*ZvE7GBz1*oc>r7WiFp{ z8!`4jM7u_$w3x7_G?FjU?RVA>b8#Luems&k&4Bw!7TYdq%PY$ET^qEX6+HdGhX;df zEZ6`?$Obf^UjN87lKR4$4dRjbG;aAdmY=9G^Xx4?S+iLlLm<<_$Z=@}zT71#sE39T zEc~6{ad8Zf_zZ!Yks3guZ*M;6q7)hkIcqljkJ2{VH0=v|mcnZ!HJ=*_{blj7fbE%B zfl`D+XlAgs@UEa_Qc_!h2fzyx5ML~w4HSWZ{AfiEA?fRyam%_fb&uS*F)HxBw+fx{ zvFm9rEck2YAa0Ci07Ff?B@_ijHhkFxmC1P(hMaCiH{1=Xro2)GOhqNYZ$_KitncRU zXm{-3-bCU?JIPDchfQsNlDkOeie5>&$X@CfUyNtF_cww&`=p|==F_X$Ji^>7`AhAc z+E76msXE3$=>UGUgg#~f} zJMSpaVyjG$3{33PMrpqTxB>MUi9@KBbnqszFXhvA_zc}@KYxcdntKcHL?&qTXBoIn{-8s^fgs9JOza_78aaLf>!G3=GufrF*R}FX@&6 zUZmix%0vNWScb+VvL6h`r;a3&^VaGkNv!{|x?Rj98|lprrKs6Q>k)VCW<&cDqZ*%P z93`g0u6q*JnPII4^J%F_^@TFEd>rzhq z8&=*@Jm0oofz7d5`7Z3|!Q&mAlRSdYO%8(7#k-`X>EMVy5o7w(hjqWVzhPCQ9Ou`6 zziEd0dl~+GlA|q|QAy}wt+#FKTG@!Ds#g%AYSxV;1%edtzk*g7;zcQnTv9i$&2VDS z9P36~43|*Ur)oEs^5cRRn>*pgy}YZ&u4K@rJYYt}OkZ=&a87ty#nmvoq|fJ4GANRth4fp3N+=X5|*DohRh=Xn05Y_OfcA!w9K*cID&d%^q! z`FQ=KtUHUHzGet4TaiD)T$xI4?(iPHVKTY4j|kmqwARWPU*x$=$IqFp+51vL}Qw?x?sF zatZ%GpWh&zard^Cy-b5~13O3i&G&B%#wq-c#4+MDQ-Uq}N&Jn8;#==kUGr`1A|s3nc`Ci9lL>zhp4`WrEZ z=(u_{lSS-huLofldK&9r_)5}v&qJHnnoH~ENZWS>Xd_fv%gQl~VZ_e5WVAM_{8k*P zc-;3|TPo}q{`(9kS3|m*efCYlKN7N0&;;L>2;ZmL41F7*g6*PwB4!*ehu_*fr>#Fe zpd2|^sWetPKp=<9+z$KA0EA~&OX*2IFU^N*-N?;Q=;-oj@c2Y@=V=j&wFg2?q*lFQ z$d@x&nlGnv&&cEp(@AcNy!CrMndm@K##K_OerpSR0EJe38No9zuEnkMKSq8%eTuUB zJ?CK$#-%6sgq)grd!r=Of$6B*oCs+-)j%0lYyNa-?I&B4G#Gnc4pR2(NFsfR7HF&K z?tS^R2Dg{m>g=V_u0WXAj#9n52QPt>6-c!h?~l!Mn2^kJ@JVQGPS*E$`RtzL!ei&G zQ1%V5jR7^qdm7q>3t{49STrVMYEF|5x*jlJ(_v5=Z$ts$5T&D%+{3_kyahgL5cpVs zpSpF!LE5-|El-GvKVL9> zl~~3g5X%DNY|otP{*ST~7g~c=7Xf28OvYU_IoG*-+8puqBu|igk+B2Qk@09rL4^+F~?5+QYPhKdZm{-Km$YNE*rPC$NwVb z7y|kMwGf#eM$IKb8;jtx$2|k+zz!O5531qhivj)>&yXy8->M_l8^)mjVBl&q@#M1y zQWY=AP(TuCe0b8F`eO3Pj2iwVNJH}XnN6d`zrxL!BvjE?s?h4z0bC+zA#t7g?^BPZ zM8*Ha#1aU)Ptu$AbNhgiq_43&RLs%a?`XMYB^8$E`*-5py4-f*$!y~RA69cnU!8zj zw`tU%d`Aa>JXa-Y!B?UmZu)2e*m@d5Kl+lq+Z?w>qpa;`Bi`@J^WYcB`0G}a-dC;i zq0GCVIO!}t=H8W@JNz|FwARvi_d;k4-G%O?7Nw>wgi>A3kq{k~SW&mD%lzO;+o!E*WOzW4k873$h`)CpTH(_2G0bL| z9uN8YfdHFsT1z}j>=jk=$+3R&2&h8C&iAOYnPBW#z(d;6a-->$(*Oq#qFG z;mH^`idIf&q1_42Tj40ZBy9D$C+w9;S1ET#=6Th-b!#nqU|@YROc7PTpQ{3_3Gyoi z2Cqlt?4n!p%PqG4EukEhK$+Yt*CIW5XtL&(yS&h!Ichh|U6rn(t7wpr1n~$qL`t&iG~W`ZZ{S zr8kmq;-4L|X+MDMqd}|$rozW6HBEJQA2X6^I%%hzc<(fBJWg#_m0`DVKc;-~>rC$_P{SW*r%16Kb+0(e z9%*Rr1eCAsP?^=us8nT`E2;-lUB0~!Ed>bt=9FlnUOD$ncu8LkXfv=5`~+2N7=mzze({%&{cJU8pnN^gtBNnUKtc8`92b`&tf$+IH>S~~CxXbZ zztL||S7fWb^?Jx70Xrol9bx(J_|uU5y%QJ@Xh4zXQTFOX6--#s4%B(hDuiGLt9n!o z6Qk3w?8#;J%R32UlDuddtA!x89pB8Vu^bPs{hU+e*-<&mO0;Vjep#;`mehd|7%?#!-oK}sxp^k>#$#|wI^Ls9Ce|P?dDYV8OPf_2`?j@)ijN! zm@;8C^SC^HI#lRympTVzQ{zqJcyW_1#IbEU#9}oOMn1PF!_F|#muVu>UoSYhmH_qh zFCYUAQk@q;b&z)8#PjgVJoLgOL*X2^3!D~enfzBiGjyF!d0zud5cJY8txV7{AU9_g z`^5z%;n+#TOJD6QUkFvBhl!6DdxJ86Uy?|?Qt=vjmR6N^^kj{-*I=l#h*9O2E)YJd zTMR>jSdR)qz5`k(-5Lr)+vPOd6R!9;JNk@G{KCG6&G89J&tbIy`kE8tSpJje=15I= zS!8EsF>6nN(uu%Tvq8?oS>OSB*z(&5em<7 z7&4xH6SpA|68!z1fq+jd+jLk*g6w$$#M?4^3BuUEcVSiFPPt4j_nQg?RmIhZ#X*ZX;Ofu1fvfH(Mpjtwfp%s#y;;beb)JU$TUiRUgjT&6)`CXVC7!9PRTFofE9sX3&r z?;yJmH+nO^uk$op3aT{87#<((t~W-HTAtdUU&Cb9|EkW8@Wa0h2QYfo>T!rY%s>%I zY(}Fzl%tT6_7^H2QMBL`{CC95v+rQpkc}l`40P1(n^~ydTDX(-Z^m`2V#1yB$TGfc zga4vq?TpNGXxZ$DkD^J7f-iSU46N*JmC$|@FYTDp9I8}$gxA;`j9sS~wK%YN8kGeh zrN=Tx66N+BXDS#Xphx9YX>n#ZCFjNkTpan4Hu7&z=E}{P3XisS;Q%NWIxoNAW$z&- z`mL9+zRq<89c#WN=FXNvu{5CU3Za2lT)K}tIm_R=SxP|I{^KeW;Kb+QCVs(slX8kV z3$9$=>&;DzxgD%WKNZaJ6P(FNs;;~zVDd# z)dPkif^04;8K8`qH1dTR#xJ|OJR{7?Xlvq2B?V~k*4JB?= zk$EfMMYn=B>#x=2O>m(v$&z??*WRSqGsMR&uN ze-D7|kpG3&>_2~aGtNSamC-aYl4)=yJa)NEr>b?o_J*vbY;YCq@E0$wO^|Qp*S-^@ zi?PdZd)|g9R77pns@Sml_-8tx3r7LT&NAxw`^luPJs<9%j20)%uWJKW!EimdBl4%5 zN!Bcy|Ine4AffoG%0PFI(C}; z((=DbgM@V=kE}O_z-c~@yZnWu+|V=z^1orIiqLdm+&hT21Wc}n8382!g+|=Guup!* zFr*6eP3ZOi%yw%n^~nEu3ew!s6%-FL!Pm$yl4a8b9OjgnRd=Om@Zz;H?Uc^SyqGyQ#%s^fZ$ z3L|vn0#@GL%=bNx8@g$xykd8g_KIr@E=iNQw5H-76Y>cro93DLg|{ea~~FmxilBC9oaxdMjxsi zWXzLP?0q69Gn`n4O;@YT>GK@nYFYeGz|PJ%wiWpD8Ptr#`L0v1(bKo+W*PJFu8@C8m%R^z zY_;PTiwN}{d+LrgqWQu!u(Wq{Twg9$XQmF{ma}#<66f=np+QfilYNM@{fu(XTURFS z*gkVVG>}h#TDz!>`h2*I00W9O^l8HmNe85kk13WN(`V#f>#R)6%(U`$L0;~Y-dlMu zUZaIXBVG3-Z>64a3@oe89$vaDeN>YihW;9XS$x`8JHqgALH1>>sziHlafvUdaAw5I z#x%wd&F9<(wPIxrqS2gEznL8AB5;G1Um)(wD3%>GK?;CFMJs9s7E;OR6kwaJUe%^)Ju;vN*zuf&~9m`B9 z4T!let61#2_QHw*W|o=u?4+pqA2&`1=UU&d>arrjKmmjWeq-?=)lB(_zb?Dd?Ymb( zvkSc`O@`s>-;(7c=A;OT$$fSycQdm`lOF=Z*Iy_|5hO+k(~LK^zOa=*7i=N+X_;S^ z5Z2y53_I2sf5@_2xGRz< z>j7qy*EIi~M`e>pSqxKlrB_V{PgF#qD}Ch=LLoQJM+m}E^Dhvi2PP9sqM^nH;@3Zu!D|_m|qe)Bf~_<*?r~KR%W9r*0U4 zD74rkYRTZYYLA-KX--H$^QkTF68CMU4X+&DoW$EJLq&t-Zdz-+H8%)!#;d(6v!Y+3 zUbj<{5HqyXYHw%aQ~$pmac%wia97emc+x0M?RT^!3GJTKU&= z(?DUbr>!$X@UNfEJw++DFOAn`lJDse4vLPij{8Kcy84zhC1;6Fa*xo3bQS!Aq}WQM zI3EH?WQgCa=)Qs@^cD;a2Or}mE?j@54=CuH`;l`6#O>+|X)TO#(=b)QAT7GrMssOe zazOpR8y_ciz6}Q?su+BR1=`tRJ`9@Zuf+!;O2-fpEiUwmgs}N49hH8?pjtxsRWWsu z94dZs^QT@(BJi?HwC(xo$ztdVoI|6!@VBew1l4E_wIzQSBYx}Q>;oC|i0BCk7r+g5 zFo*m+cc!BQ_Gypa8mr?c_QF09UctX=(?75L{6Nyxcv1ffqhdhmkyi0}X)3rnC~OUH z)U{vRT9!|&9GElp2eJFLBJ%Nl#PKuoy*EdNuU6JIFC8|ZU1kpOzgMSMR#=Z__VdRh z4c84Siw9a}X;5KF{%G@!iAq0e+#A)49i*~! zQ5V0@M+#J1O?U#YfWXLw1@78T>h~bvNKR)(-P(6Q1Tc1B?%|>6IWUoLXUXc)C;@Q3HS$%m@SOU&S;HYWBFo z@?}yc=Dx|8YhGSI`c2%*8uT@DgdH3@BAldb?SAEr4e81c5Q_)GN?ckc$97#{p)bX2 z30uQJPQA0y_b&`O9|RejL`o*!uwHDub2xP0%)NTA8GEhh#s|ZQcR%_^X76j`q$*cb4Z$)Vd-NA8heqU^53e*EtWr-9Lox75eVryuR zkwxxa&>is{D^PCkJ8>~J+i^Kzbh6GN_2f#bF*W!!`0K0ZscI=VJc`GOMg z&aj8t&y#X1z)nMU-@2%?dT1+5!>A5qH5tGPPi0ld`2Cq3hvC|^S<#$uHHgtuQ)Pp? zXGkKcH<*%kNrEuaG|2J{;~AMfB%hc%SlVHqmqHf!bxofPzDvKZHr;nYX*7BqvCZd% z#eVqZzdG9#zVmJc3i>=T_XGPCtPJ!Itx%u)+PeZxOXSeNAGR2M9+LdW|2x!a%f-WE ziMy8+_x)%K!1tT~`il1mVOPcAK|D4U= z_hWvb<8&(iry_Rz2N{&Qs_KyLTfLylhpy@LTQ!aC`wl%k@$Mbpy&MofbUd$bG5#GoLAU{3#KTOe4W~#H^L2EH@Ez+}W z#xngb8mG`|0F)W0?5k`e0!HhZ#$+mf$0 z9fD6FfQ7q38Ab5`p2I-ip^VDd{#*73sC9@=(uUNDBNALDi-1nJYShXQLiQV>kG|Ae zi#8-1R@`g#{&1m!Sh`g!yzT zd8OnQ)ED`nJ{H=Gi{=?OrIVVd_&H`zk=#MgrO?ep?vwX}0fHl0{1s{-QJ5d)1LO3` zt=uuFgb(h8O@0*Mu8O@tn7)v{9FWzNoxw}b7G*R_{4vj;7TXleIgb?06t_j9)lkCD zsCv&cX{Wgr5-7cRujj^?2b-a=?wkMHIEKuY7oIWc&>y3-HVBfQ;3`vyFv=q5+U*!) zSYEtmHYl^~8MzbmB@mp$+PCJ7a-O;+WhOl>6r>I++JZc`!}NbThhP`H%<=eog_`?@ zv(6AgYowQ^GVp|{&ygT$MzJoLhuNxRic{R@iDD%*XRQLy+z%Lh>D6kR!k0a=f2b<= z@K@shHolOU6%!5cmwF|FM>px*K??yZ?JHRjb)1Js3 zf7J{Y8We=H+`FwC{A`{m(Y?%A8u2gSIZ8FIcg{v0 zC7jg#@!-4xK3+k%m^O#5BV1VA4sF)mJ%PD?igO_uD6rXcAmOYYW-Agb?14A_yj5`}pfpoJ<1dok%UX-kz`XVur~jL<@Mo z;pD{q2?pM`zGG#RIsCy8`9bZ&uc6*O_=8`r zt7v~2og`fYMk9x)*Ao-EjhV}krU-#~zwx!b+u1%Ku^QB-v_;N9%ST8fh+F>*$>+=> z#9(q8WyWFIWu|M}KeFsr<+v7QmG_gJ@zhxysj4JR_vK7g68yht;c~3r zc&GY6P%hoQ;A_;xg?GJJi=1+Msmf7=T>&8pWAW$*6AGQk>4yBx-`L5@K;OOJ%IW<5AEf`BwE( z?gO9wYoQ;WaGCuUInDHZ6L(?;R_6v8JoT`mxRs<06`oJFUM1Mf>OHQm8T*=3sypL8 zvEIinM!>YMXHC9#vUoOq^YLq)$hvwT=2y)OW+7(oF7Hh@KFxGQsPxkfRAvlsWQtL8 zLh%k?DijckvV>KFBxCkNFMM=2G}DmwvF}+-F7ac-p#7G-u!S$;W?P>cCufZ6t4F^*k_ZvpGH4?;N zG?Ql$Q|hOt+$`Fd+5C0q$jQcfiijLpNoItT-A{MhP3eld=<>HlqS5@OWmB*VJ-=p9 z)y~3j;5SjXB$%=z8^}@IA<;XaItzojs{_ZTi6HQoMs0TlRo#7hU}EIyJbA8jJYgEw*SIq-IDvNB{kV`b~_uvx@+IFTwyIWgW;+JE3!>Lq7+Z#)YF7mM5tK{0@HJe ztvG9O%yLqBdH#IFb!KC+v#*;uyiHZ9Kfp01s(;{QzULCZI9O$$mYR`)hfUs9(TwpsIN z!Jd0ck=W~EMqOgYkj7$>fNhsei7D~|>zOG#$+U6VA=&{VI`W+} z@ug~OH|t9toGb1hTaNO;Pf4PwON-GxU*Q8OqMm##&==j^bWO&y-A+$K{(WzO@#HqW znC+cn<2j1!u487sefz=V7i@~r9paGbmZoVVFwiU@^!$zym->gsCt4Tt-klffvVBy< z(q5y!dRu4jh6s(x;>m|^XN$WK@NIFqe}yklg4Z%YZmpzX(R)m$|1^kVv$|L^pV}%6 zhNwBK4)U>f7TVEFzJz*35Jhvc(h`+GejMu*+n0-!pOC*jK)%BPB5$3f;J)%4T)(enIH4T6HhjL6UA6EqxkLJ8$Xv2gKIIKtq85*1+-|={pWv?H{_wfd zbZyOl7Pa>-f`KtV1}vPIhh!HQ8_VN6-9Lpj&NnoFdN`U@l)R{?&}C?@%3O2xxQ5WG zpRYb7ATBL#xXTu(IO+Fb!t$v5CKC84_bYRaOEP~*4*NrdT#)D@IC-Vx1$}D7j8b#; zZAQ9{ux+_HvX3|3tgy!EBGc%mM$447!S73|6Ri1hh39U>w$4W;O8(gViqX`UF58?3 z7NuSqHFBDMU0_s{=t09iUgfI}A(XL_jkU!0xU927KNm)kF=L}|8Di;bVMdfTo#6zluB_r@2)x7lX8z@GiUjHVgT|W6Mf=0g zwaOP>h0lPLRn4->9+%@ep0eWw{pap8aH|6lUkc^uH#ctV1OXwD*XQyuW$7xDbF07D z6~IQp5rq@|Z6LPAWw)lP?xghc$=AHcr;f8qxWWI-Nm*U?Mnqe}BBgJm&D3nz@tFL# zsEm>7KB9)2P3)OL{em6%6(3BfSu1FHmU%y_koD65Fy4MbwbSF0w)CmuyvI^^+4ZKh+43zk=!HYj3XZt7)Zq2Y@wu^SrWX3Q;9t?nKqLcVdX(;} zy}1}`%~`oqi{>i7^B>Q@dTlblHqy7-&$_nfm{V=dH|@`!1x}w;nH>$uZL;s1=g z$-k6=FsyaHp>fb3d`iJj4obs5ut|Dm4ZV}^SYOOTq0rJ~bk?`~?PgA}*N{qKH=a?f zV5L@Zyu2=x6-C`LIbU|!u>x?b^-d^qQ9x1B&oTO5H*m~Z5TfKzZLNt{;me;{&uAX>~3i_qxbOQQJGP1B?toA&)IM(d~FO2fsD~c`t66Czf5x; z#jRqnNm9*|$1(%DkXmsSe%w4?}F?A)&PoJZXR{rVz^6Xm)mWx{rougI00*J@7y z&YvirVz=?U64h#z5GwGhcis2{6ZCFzwN))9=bCbzC0cfKMk9{EG#P$YfOdlw@!pQ? zuDyHb97dEHmjVgJcKW--Mql2U#F*QiUsni9 zE@HMkfO>7lvpmN>M#Yu;FGlRahIEO*yyzxZM$qaX|8cNW`y?2jJ_}4Rk|FCBQBe)R zbR+zJ`U;Ji+3g>k+C>>(e;tU>>agQ66`wjdJ#Mv@`HQ^y=wVMVDWb5R)@ zaaod2+bfxjwl#OIoS)41DYwRq?Uv4OBUXA150Q@J8-}({ZrwGD-QT!i2}C(R_-{5n zzr$Yp2$6cfQ?*7Ovys76*a==0fDJk~h+k&XgeIyp3K^EtPdZGkfjau&VE`~rLPf!) zSi&kv&TDtYzAepZ=lo)DSdGgAtSJC!Lg~%=C&?$LjT{SdJvfY0^_Hig4I2+52~DWE zyPxb}3qE37oc9(NNi4LT77$Bzt-S^tM1U%yJGa&5P5wnfJ{N*>3Cteq9gJH%Uf;t9 z>vA_rXuQOqTgh~ey$~iZa)({68n4e*G8G~RhrqsC4sr>turIBJr49i7r=~we13e*) zF1ycV2TUZ;mA5n*y+jFjOua7+_JCh`GU)`pEpawL(+A0)e$@A^&su)AC%^90IxL^9 z-x}WBm8618M$f$F4w6$W1&bhg7sk2_cfJ`on13B!PP*P1g&68@PKT@LkARhLQjbcs zZ!tffq`2N#bzO-`T*hZa-U6c&?XsFFTWy7gN2{*&fm$baJ)C^mm5fgvazB^~;_H(k z;jbEC`HN*KHhsa1cKEX7Z+49U@pG1?tKSF5_&Q;oXV&i3t+u^m=lUownw@nV5A|Ax zwrm~g_NyJP+r4@x$M|hMOIHaERcMG*gh8S0qngx>wJ}BAr`Ao{Zl}AQhwdJypY4Xv z_fOdOeF1JPzcE~YN2nW!_AS{;y_DY-{yd?>oV$4o;he`5ocZK2Df*)Obyr{-ag)i$jFyaYq2a}t zmLR$v2zh$9sCKTQZBc2NyR`Q)U=0ypT-rFpY_cmG#9zMWEgBi)C8RFC&Jn0mm@^~! zi$5w?e62c!PSxuvGd6j?gGH|l^vn&xB-05l1vl-UJ^plS#RVJNPBx5{@0D9yi-`JIpmzPts@3c0(L{sjKo*L2ER__}ep z6&JxY3FNyzek>WD&V2h9vhRw<7I{gr6?WTO)w;1go!2Q`3%VaRiS!XAAOVWmvZ2I2 z7QA})?!`#iMs)l0pd6tZ#~%U$~Gx;HlhM_5rlg@zyTbiU$BLD5CozZK$6P zJh&a7y5K(_&I!&jk!UDJyb-AgR%R!V)4^%ib0ohz3x~drW;T2sg@eM;|?3Rk?ZuM=opu z3lv?PXY_CM@2%Yscc2I6Eg{!^V|V^Y^2n1fE^^M1!>bFn+2T`JZ{o89x87StUfxf^ zx{c#gA-qJs*^QOBMNW6~@>-H6Ehco~?3Ygb*QZ!O&Rg`_v^w8Fw0_PQxLxMR@SuQxrlyf!_TNC{CfFF{JUSKG3^a_$>g0*p;H(5%UnQn?!v7cf$mgQ{u9n=Q|Xee?5<1nauX#g zx9WS+K5A_rhYhC+GXW2l<>kSKE`uL&NDzhTO)Sr4)fJdjUX@&2q~K1Maa0OfNoyw; zty%*nkAUI_n+^((_1-SeC$a@9Q%PxQC_W-$kNO*vjaAIaXK@Khxpy-|b+cBFh^MXq z&6(uYC#<5^M3aYwz_KD;ZvZ^rkPa875T_QizrN*yl8j5Z0;#Ync`$x#={G{X?!SXY zcEK-CI2H5T&9+?=CbsOHz0ATdvS{mNznZ)C|Av3lbO#r44D|R)*)+C3Qp|nXv4VV` z$D=nl%~r~`XmY$@KHPRn`$Y9?ZZ&Bu3yPE$OH@y~S#dr!1gTnwNeU&*aJTnU6}nAG z9$CE=_s*)ye)~M+z*Kec%GfzWKnr3qTKX_pyy; zZOZQY;JvHMQe zsQ1W|gET3wl#{wzuy>_vK;^Gm&)T)j@Cf#dcdf;>&rVtHbQzc5u{HoQ*ZBBsRT16V zDri*s_?%v`{d(^KZWMH`ygmski+mW4Tc8GC4z_az4SRin=L1iiLBs7I@cIDH2c9^B zUe-n{XzVGoHLJ>Aw#@6kwh1AQ>h4~3FtD1deWPMrfLiPvA$4)=4wBvUen|dvH%S|C zqOS%stev@_Wx^z}3f7eU!t|M+2qr0jw%Mf{L4vhAg03>Nis&10;QdSdgTJ^t@1XZi z${)WSC#$chK97CRg`jc#)jOV?$a-aIBsuSBf>ow9qzAC>gH%>DT4t4aE7;8r`JQeY z>ZRrk>V-N0_B77`y&kyD`{&Kk+5goN4q*w{;`E`gqU{s;Blx_&xfkpJXc~61X%4xW zka;I#J|a)AKezr?V7JGU2yM=P<=ld6Oq|`L|JkJdvPpDN(s{3d9Lwg89NXyjA>EMg zbyo^pAkhRQ)!N{1i9434--ki?{)FTBg*yN%6C|r%>?>tbJ_@Yv-QBHR1y2vDxV1qY zax7}g4AdAdrT z2kLg*`+Kmn_w_+vvA-F5g0u*Aq?2#wW*A-yUelq6RMPF&fRp`FppYpNU$|!RK+*~4 za3mh&a(7>0`dVt3dPp%61-eqm_R4JtZuGmLu6DQaYbCzMcud1|!c~loX52)au_t-( zz_l4ZiOz0kPiy3olFo3bC{y5!uaN(UEd6*bVRe3u-H@bIDDH4Mh?JO62OnX-DVN_3 z5hL(eT+M@uL$QnbF9K#rva}4l5{WkqVeAMFAqStTEihW|SG&yYfhKbq;-uR(l;Ei@El|Edihv0hMpIC_5!?4b`POAiBtkh)w{0f|iAon`xAD z&d1CZz@P6T9L*GPMEW>-wx`YPIFes?fm?^SuA1;KpYCjzXJ|DBp?W;~dQw zkwcV)*X;lGv`fTjfR5<5sg{6gy@d;uEpw@vzghkat=JNmd$Loe?p6>vKfn3_R3rPW z1!T?&EDuB26ayEK>ELOY#iA!2kvy2nJ~5ISRk&{v8H27h^7o0swom;$8(u4#fj+HB z$ZajNxrjd{xG4C5vwZx_RIA0mC{+vH(O~0`bhMF)mkfT?p1Bq~E*>?DRwFg@K{r18 z1i!UX<+P(u4i@YII!5OXK8-IcXPbro9MY2ifmGm8^1n}dR09bjrz4k-R^M;QFi)HYTjyh!YJX_w!Pbh@@-Bod8Gi^@ zSE^u``aApQ>8XON1Zj=~iP%7XArX<&#Wl#^O9;P6VyQ6dO>9fIWqwL@ta0geLu&7rC_O+2}_|=%8d?WXz-EMO9pk^X4_`pD1|7iE}VKf4l6qHBp`a zJ<~=cY2BcWXnL2j5-&EfFDq*$w~}OXnc9yRvmXY(6-A3{OSU~tRvTA+k+1*wOmH_7 z!JK)CFP6@{q&nPHp8F3(zDh9Ilz{Yl+$>-p|6JFsqjid9XpgmeKb)dJp6=;(gYN;> zt$28d_|n75?btNM!IV7-^vzwYEIuKEy}CRnlqFYI!^g2w`dR%o*ByN$a*x`?bjf** z06Ip>e#ajek9u%19`2BJ0j&$jrhvT+JIU@&!rX#^7F%^ZGjnwJ1NE(c2z0>eN%zU2 z$!6eY^K{*s>AhmgtJ$9s2?{vnyv1@z5&0Gt{CKKhv^J9geB$LS2i%}?p2s-Em~kK$ z<4e){5uMgP@kmJGh)w6 z6%i?v5)1)CbR*8IbD9}sr@D9{K-5W4R0hA_i9f|?eTZP8yzXW=Ywh--TU=3%!l%rv zFFhlj8g_6_SBA1O71@pR7jR=f9f=M`t;b1q?iF?NV$HtQ;vy)91vyOLla-H=;p>u|3+3$hWR5qrzgvR0Uzvc zh&R#m;hmwx?hG5nooZI4OvIcnGJNnf$+2nNtgtWh<3;z0zBDe@x%@YVGkrg?d(7p~F;+K}HVOB&_h(CUR-0AVZ(SHd|_W2!u_ zngx|>9QyHk4O`Y>?5sTYkw0HatK8_pn<`&RS*ozxTjuh3AzPNVYyQ7nuFoZx5gM zIho*R2D>KU3rT>Eb?WjdCtc%Rja#k{q)ov@=dK;0iHcjkx`Z_Y{!%hZt%0vy-j$&q zoyGE%V^pgS<4R}QRDab?$sQ-VKP`NAM&Y>4S|Rm2V3^{lHK=8EgXZ_1JGaL)F#^SfXim0PO)X9btcM>Kf5LoT<{s0ZDR1xZWZ zKRG%n@|1WhuU+(Y&l3*XZu;wHlvyZ1uJkgOoZknFvN=854B$?;+S!da`~Cw8yM6kl z_KfoKl*dk$xFtH<8gUO1-l*rd=djbg&Is2$FKg@-4ZRHI21W7B7TsM6f7BQaGX9Bq zg+J|19&4&k`}ba2ex+!ImC(G$2G&$yuQYYtcvs_Mm6m^!E2wALLgsd?%L$`h#hA`xf!MyznT{nfr zb+VjTS5n0X)NdSNo@~Q{Z0w`KX#d>M>s+1G(_(;FA(=ZCNr92D7(MvS!9(QeKblU}#digDAYARAtDvzxTO$(CeJr!ay z?ws3pu;-D)o2NW^3I=)d6PUUrzJ+*HPsq( z{^P+#@Ohc!ZU!Wh@6i%t@&d%R9r>Ly%pSqwL}V>H35!M77{zwgJbNIsh!LkKG@_%P z+o*Se9#0VE7E9+ni{CcWNSuwb97eOOcQV@G>um>h(G!_Q*v{%uw%6);D?sS$4EcBt z&j^?K%BO%V)9$7cbRXC$S2N_B>sa5x{P#R4zEya%iBxa=2wle)yr1oLM_)M^-czJN zY*ToKUb1Tac`?>Md_0B?(ZHMC^LBerJ?Jee9?D5$?}&Ddoi8m_??pF6-JLIY-?UsD zYmzQ4ODBgr0>|~(C`ptwO)UPcG%esP9Hkbyj&Zq;sjd}X1XG6DEcv*SHJ4V%_SAhk z96Jb(g9>KQ{(*D7`*p4GtIofo{7Zh0P<=c8;q(3u+Iix3?oD_}RdTo+vyvZ_zYLdT zA9dqq(h>VsZ3#-qu>!qRpmKRFX#de*{_Su5JrKWOA}8{#xz3(4qykC61v;d{D}6*F zceTp+naR0r*Q`QInX5=vha}rc*j2=Tg}Wv3#kK6y(ptwS58B4$Lru4dy<~RE=hp^t zyHMQ-KR0fbR%IQT0utZOwsN<=uBayaRVz8!V53~+%#W(V{8Ue%gd-tWvxU_~izDy$ zwQ5Gattyq@urh%TDs$IiHd3ulcU5b}vMNojzT1nP=v*A-C#q<21`Dmb<~d#1Yos<)8l)Wcer(F~wX zb2-Ypj+6I#gBQ8(RTbEKV~7#)>N4!hc8O@8yUEmkp!SY}IQMoryvf`)GNpSuguW6* zvfc>&HSYiM`UvaV_r8$ZH5Da|QRe9)#{0KUR4AKC(;22XV)q+uYR4@6T$Je!cLmBK z+f4NVj5xy0N+LT(fi{!dc*O-`tc{ZkM1FVXV8h?&HQ?})k>*lmDo^5D*uDJJ!=@+l zVID=91MLBYRTuqyk~wi)-*Ct#5XpH(2Yoh_)oTO32Sxb`efJ>0w--LwotxjT7j7@D z@Ku^fPgL8FZKahwPdxUWVW{65^Fq9b=&3US1oLJw0%2S^dhZl=8MiEa)gGyX-^YzM zMjQ3d+(9dU!JhKB?wcP4WGS$7no+O|Lq2g*S;`(*7?|s~%_$cjVdI&$22jtB|EDqjL zwE+%6%|!4lY$~zwr`5k4x4EN!w5&;Eidlxkq8Oqd8H3KU zne9h~?e;R;F6sq$oVhmL-)j{TZy(ey4?rab4$c>M~oO-zANOhS{9yBT0ea)I{7q~%|a$K%Wo+ATzed4lRHO|%%*dkZsXg8{&lCQ zIXCluD{H|fW2M)d{%eu79myHW1YuEJYLRswQH@wMpeC!doy4gmeQBY?Iz!?0X#~NsJ_Agp z_~gaihE^hNuiXpXnFCh=f>v17Rq4Usds4O`9OcUI!hCukf}XMc$2-w}FDmZ3{c~{< zZzJfPkI292=Z|*R*6#{(Idn`ro;j0#7i!L90L_B!0d7HfR3_b}w={_GGh%EAxAK|1 zu8JJdtVaIPoMPNxTBfu=rL5}+#PCy{j*dQ;l8Bp><3FY}{nw+3B$7Du0Yv3uvwoi5 zLZ61iREELyNGo-ko?{vi2x+84|8Mx=YpB%h_rM+Y5vqw>L82X+ce-me4FPawY1p4j zTq4ZIoV1cwF%o;W-cVK-17`pUdu3~@69j*ciTq#z!7P=E<-9d{sDA^!r&c|U#lH;| zVXucZsO`g{jF$CFn8QCHU%z{=Ti;u#dmAN`8r1b_#0*^Rw% zb1@A$!6562`3_r>@Bd)x6~fRabOfN^>g^hePxe-J5b(VJIHw!&su%1HM^026vIp+z3`?!2-h*(jOuoQ?VrwyH)3|oH!T_Mm?WN*aTuZZ-f~Mo;GbHZ`0?)Mu(eO*i8O;O3SY@a=knk)UoRKnL1(LrQ-&itQI7RzQZztp z1d#QHG~hbf zGOU|$&GpAOzc;$WZH40^QNF~zYfe#afw&L`NRzwxxx zX(c&6mpLi6Bbi%^;T_^Q$qm20Q1f<}8us7!OH3o#5Q(k6V@{b08@X%Y*z~eRi!x9F$=%d)VuZ}20CQ@R1lLv$-eM}>M_}C&uY#7?e}*#^j|Zb z_kz8+ow1qOv^5jXsRQv|Gh)46b!)Ff@?wyzmIH8XM8(0cwOkA2>-@YE7_Fc3ITOhG z`b4e~r-+&aQBNq6@k=dV8nioG%C8MVXq}GU4~w{lr%9Ze-Iod<)ghq0d7_QjXLfu; zO$aSwE%1bp#?=mS`Vc-1qcoq+;bZiMmre_p-UWqtBi7$NPO!#+j;~xyYrWq9L1k}d zngYPL8a;<5rX{GcbR?v=jzmdQ@gca!6E}SZ*%cBkQCcMnWk}}{D{;JdEw4@&1X7k= zk)juitY$GsIyoU{sQn2xH07WM>}h5LKPLq9gcUxSG!^S)SoE|N;r1Vu)TWEMizE}d;>I=PWO#5r_s5~zBU$U zw>8HZ^Fp0v9!84qE4dtOmLP*>@AJ_&jmDog{72vdqxM?8P^AelVugr?i)hkv)dhto zNBhK@1%K9Qt=+vnjG^H#uOY{!fwvZY)6*_kW7sZUc)lLpBVy2FZXaevlXeeyO5LuJ z#YsBE0mFaU0$kOS@r#f0*=(^{p?L$}Z~gVRhyUtMX&k^WGQrStvEz5+M49HK6q*i_ z(2UuBkCNfF+se-aAwrjAgZYwL27bX-dA`s(-j|yr?c4dWGIMlgraa$epuW!tmz(=( z6R6^N_k@c*l~*wDy_9?w&+>12`H)U|4v}DQuxD9kPRIOm!z|}X=UBE&oKl@mO%$C= z)ucxgtogGE`JABsPIY6P(qc}5TuyG>e#;ajBa*2axGYAuC6$?&E_h;6R6Fq;sVVK| z`_rv5OV_s1h9qwfcW>XWII~%Sq4wVC9xwHu&0}S5&s|zkrA^fd(LTYi)*2GOw@bpS;VW0k z%<xz8RL;El8cS%r(2M7^YvKu+<||Rv5-G5&TXC zed}CnsnuV-a{l}-$mY~~wf4hM*TrX{#*MG+O!DBdnvt3pq`@bp)LP>~seinX#w&30 zSXyMq>spbtY-dB}*XJ@|I#ySx%oO$KJ~4-PN1X@ne2Kl_ zl5Y;5!STw69$Q!2t6x!Lk2*WuLKpKooj>OKXvJ%`u-sv<_>t$ZT(uF9Ihf>(8$Ue6D~kAIgcHlJht1k7+W7 z@ebRAS6`6876!CeODo-m$S+%@2hBQVE=j8Fb}vX}qUNXW#LYRTh#;1? z9Bqx#=4D7`TTfvq=$oaR-;(}H^}C|e%HNe|Q^iiL9=2bPt1Kk<8;%OV`2*(L=#+nW zbpSt)7f@`0cWlvqvh`k1536KL(&DwIxd*t+o@A1QFRVxVita3{wet+ryjptzs;Z{g z1%bsN=l4SH?@IH2WN-1lH&0JWlaC|dG!J&5%iA%Jr)K&F*-OZZ*nQ3~AN$^Oh=@NG z>I^$2%hk=Weecv`=UIc06R6Jf1%fufDq}i36%EP;*4#icT9umLCm+i?1Va{vh3@Yw zRy;fo@_qp>&UfM#^Qt7=Uc(POK<}79E5FdkoBN7m(8Xmr;d@rjiRC)*&g(M=@?S$M zh?*Vf?qpn$?A7JT{#}XdU41X?_M%1U>`i(^sMF`gby#XdaCYtE^o8xK*sD^rZ{UN9J`_ zb2_WQV+xYIwnT_T4}j~a&em)cbKfhX1||D|HFcCAV}p7$nau2G=Kl;mJQC^YTeCbt;r1yqN-uE=t?8CW z2CMlO$Y=G^YEj874I+DJ9?_n4S~2yGvwYE06|7&$avIFqu;P!lD*>fnQb+AiO3b4) zw7QCFP#LSZ`Dx~E`-PBT6EM4R3^fM%tI7{mD!55&{%QTVQi;gNYeXrI-m`1T*5vQh zQ#s=d-^6QVHSbn86aQ(nk@#;jZtx7VfKT+dzKW!0h?DNO=8S}g!8D04(fnbQ#i(1U z(-Qps@Rg^B9wfc4U&|&;s-1sjr`kIltO}2I2{GK1Y@d$)H14lVIQjh&JYZja)D%2t zZyOhRobsoJ^v9PJG@Ydphc(}z4ODc_kp+ymRZ_K|DlE!nUpmqZx3Xlax~&E~ui#C; zs8po`upCOgA7%LgopY;DQ<2>zPlD|YIzQ!?`f!B+?W#Pm8uk|ICTjrQFwW~R8gY#G z7mQ@cb&IQ?+0Q!EbFAvAOuxde6u?)7Qmi^AiXU6b87~h0h^4ko5H$4C>O$wB@@<+M z4K|%PP-$b|2+Pb&oP2q@lBAMA*!*S>M8j?7w4fkQyTf0X9I0sE4>eWdC6Zlv8zfVzXj&4gDLAQzT~1AM}-tIS0DWw#T!CXoiq`!jnhQLxE7yQ__-=I27bRY9J9X5txll2_O(?5S-XX50HE zmHb37m0S`J53{l!A4cyhC65IKDf{H+OvH2-Zu0RVe;j@Y@Ehsl#`VedbA7y}m}Xi> zWgbO;JjFnKQGa|9bOp)OvNB43L+1lGt>yy}mpJ%d592%Dj1Ea?Hc6;0e6gP}UPy@E zXPoQI`uMRR(MQ(Gfu{0_84}@7q%X$(ypq{wtU51cjXf4a#3+ad!+|AHk!l=iGskFA z8D|0I+V=;<;WG~$A8fDLpBujB`N>FYM8SAu;@mH}!O)!|xz^C>KL!g^r6>2cI>TC& zbWvvWun5f!)f^=?ED4X-d=L{$Phh~#&2nlOb`C8?>gcx-WNmiu%1Va#Fqmzrl=JCBf=1u$v~0r#>*qYy)N^=!~mzoVl*QR zgH6Ry(ip!I*H9LbpBEQ14&|MIB(o zPH4iQ?4nROF~LlJ@snL5B?Z(snmGahp3BNU04rP1sZQivICjG^f^04uS}a|jCa!?o zud)GpM5&x#L!-S&W!4wl5E3bLu>CJDbeI*S(iG{nd8c!QIJ=^;9k!MZXa279G|y4! z@c0mr-pT!|5N6k*RN2ti__}_IOCN)jN29t)(S|EDdIR+2w~w9TJK+EUCo-nIOJilXNd{QE{^l za2XEp>CdC#${?LrYXJxIi#>+eIilD(s@OTQm>+TI>bFn`Zu~R%8ZoXY67-k=7Utx1 z?)`TMNAB4qeGu# zU0shBb@-G@r3UiTq4Kb!^3bDNhmnPak%7>~ViCn+QN?1B#bVLLa22*nFlc_XA$Q+Qw)`>$QIS7TP_ly|g+Is@T z;fdEEe@EkQ1eroz>b>VWp6r)=air#%8?b@z90iUJS|h&xm*)tz9AQJaFAk!s7(~tI zXV!7q^c%uu$-j4Dg||@mglgWQp&)hBPa^D{qDpsn%E}`H!k2KZP%o}8dbOr)9b=T2pbB07+5NR8gA-ezCQa^Z`Gi~6Yy`U zYwOd1*bR7R0L2D((p_tLKusS~>Z_vMYR?* z`{OTQ`kA_U-&Z;4CRZ9Nr_>VDdJyn6S5kC29m+T7mY;JS;}CYD@3q2@&-nZ{%e%tJ z-K0?u383b|FB!s)u2E88lu$ghFE1lka|%#|BAQy0coM8tMX1linPT`^&ucKpc1 z#M-#@KDJSGRCW4kYy>rl#XyB+3F|=Vs@0!W?NGwEp=5=aa7(-?0d4 z7PLv*zt?qJ?Sv|1X;vHedZ{)n6fDf^quVP4mR2|ZtXrx#zZqfxO#I836tfdvsDj!W z_#v2X*k*P6y-S%@kewyqAZSN1p}Y$Q!51*I`52FJW59k{`ueyT?j>eqc0|5fR7+pZDJ3=%<`U#wF@y z8bDYu)QA}#ZymO#ny5FP*N(-L?}m)Y_&{Th*B(-CFUc>eE)*qzIS(pFC@iK&5;GtQ zjfVc*aK_DihsA|;Zl#Uy{Hs21HZVL54vPtm&e;STr-sSml^I$rU=`-oMMJ5^CQ*^oQgP&iq_44=5JCfs0b zS4$h8__HNJDFIP()j}F6m=DB_E?c>4|0VD0+qqKA9XlFCrqc@T#Iu#nmEuer0v2_* zCNao45qy>t$u00&F=W;!KG_F<9Sae5L{L>%k7%R$GR;Sahawx%#{Gq*5lT5kvy@!+ zK_`jm`Ue*|LKEXh!|}DwokE>ScMWlV?2E`CVNf{NCoBhe@}@(>qk(cP!@YBvn8zAn zIK>JF6lAYXsqhA{p%t>WG6k#h43#fP)unY_*Tt)oylM4n5aT4TBDsvtP z@*?FaynABaEoI(CF&@E#u4o0%PV2>SbBA+(59cNc_cu4h#<^bk=qdZ-TSLj-sZqU1 zNVf>B-_NBgtUQ4@$FM5p7?K5oI3dq*G;?9EG#s>o+fo%so~S0@F{yN?(X}}oR&7zp z8PUiYVFNJ^B}1=<6Cp3_Uy7n$nVt+YMsI|wyLp#(_=3VQN)g|S0x?Pu-*st7KEcR| z9+`apl4$=YBA`_W7h@|1%KP$TZVp+}SVRyyk@R_~z=;y9pdl%sb zcxuELbk)N$AT+a`hlRnIsnua*_IG>wuNci95;eIO`LIX3v9)Odkr8cQHkqczURI&Z zHe0w^bz}ym6uX_DT zlwC}T8x{XK7gGxMG7@Vdqyu>CYAEm{LaeBoZoz{F`vz-*_gh8#loFgTPi$XUi-VYc z0|iE`9C`wsxh7*em6vpEQ<&MW?5V^TPq8yWK|_JPqZVatQ`5tWV;_p`U5h=n1g{01C-&&%*qz&)k_JRkPr~R<#VJoM~BR2A!8J z&&{ohx`O1CTs&kOk#PvR@np~`W+~V}FUUi}r}zcd5lvTDy9@($TrH`wj~Q_5SV&U94$ii=%XLzFD~uLXU?ZcwaShiBDJh$e@4@e3^+wP`;41!*E_mq@oLYB8 zSsBjyPdt91M!414B7U^;h>G})d>TpVr7ls?I5v@(UQHo^G~Jj2jy>2!eOSldo% zIe!z~WPTrcFz|`L%3)M(UNzvj)MXR@Q6bikFKhDbli1_PEXPKDO>T28J$j=031b`h zkWv!M_@zjav!cSDm03eh;e>HvP(YkwP*5V=W&`w;VPHazwfTbtm)p-s3&#@IYnC~O zz9tkzVIug7$f>vw+G00JE6EIQRCPBh9l);VSK?+rw*k13^hjbuM{VZ+adD-q(9JCH z(b_G`^vdJJ+rQ+AM)Vw*W$mcIbv&m_ojta}d;f}BoGf}b^RobF%B6><=n52o$5T~| z<5hjo8IU((S zCmfzjud6FkT4Y-lY+RgH@`ov4hH{ysO3|7RD8B4nbn2Y?8DZpDaU;L!C zb&f#mb~-W#Y)^v@u5lFp4qT9Ks|4e4S0-SjgqUC!5Cd&be3n?guN0Wu**WDedmXIO z-B0O&C-3rR>00E=8iK5+#9l0@R^7r4=%y$kH0SM$ED@CcSQhE z&V}AAX+t4)6t$+3&*T+F&Tu?qmnmB&Uu)!_A8L{`j~HObZA9rMY2onb#Qv)5v}$tA z*XFlI$aadZLZAG!kO`Z;#n+QI44?s6PoF8~a?>B6fF-bK_prKID!}i&F z2Q)3E?jH;Oe*uOAdHfQ%v$#VzT8Ex%IRdcEv4Zf%0@sqMjQ#S>iQCb^r29D2Q56`6 zVW4QB@uSN%%@DVg2%1IiiwitF9wh8!6Rn5ONH+Yq1;=lKw^|!9B}FRCHF&*2jHL1X{g*p{lWG>4LS^-e>R)2^(sKnE?#|%A&uC77YoBiW zqJh506G6|kYv)3dxvqwFO&KLBX?@mnXR4kj<)m&fM&2P2G{F%h_H1A|AUD{?azv;i zmbSF~ho?Fl>t;qK2I13Ryg@%o4wt+L|H;0E;rDbLOZSDC}UK}{>H>oh9_*jC0!R1Ili1TsfXh*qY>sCGh0etb!b z5D&%(bP*X<)M^YX9i6Gnh%t~#Vv=?)K@BqEQxRjpN7}=n>qP`8j{$EJ7b!}@rVNS@ zNk;r}yt0Eh#EIajF4ZJocNLx0Z0-04y2OPt+B}wHsomNF3+KcbB+?135t~qp@22Gz%pk1kXiCCB-wRcKm}HE=mely_gXe$8+KgIP$_3 ze95|-kCniuNYH&Oaz{ur>tnmM3z(7i4i$~CN{01qE_re@Fw*~gS@3k#)iS|iJztiJ znWM3?;ygSkRpE<-N!L>R&odDre|T8et!WV?gzJbez@y%CUKI#^4ZQq?~-P)k?ExaUti`2boo^I(jWF!XfDnZd2H5m jvk$~I0$aMEmHW7ojBfs$EsA6Y$|$UyD02S;00960Je}&% literal 18890 zcmYIv1ymeM&@N60?(XjHvLpnz06~HW4esvl?h;&ryUXJ4F2UVd7Keq${hytgsjAa6 zT~*!nb@!PTOeiR*DrD#n>hy7a{oop=j!qVKwiKM)Y#eNy6klB|tW7AmxVb1e_}DqQ z*ts}3xhd349bGMqO)0+rGPa`Nq)?Ni&@?rokTkJy{>aIT0P~>+3W{9fe;Cvw`v2x* zvj3aAL!bK~`Rl8D+$$DQF@36MXyrK1gK3~m(i#*tq5EZGhGk9%ElEf}3P&|cV}fZf z``V=4bg5I}I@=7i*^sPSDyCv%VM|%lUM^UI38eVUR6ygC<8y5wVrg zw)J!KNN>t3)$6kDp=0ZEqr>g050g@aV7R_c(ilRGE`2JN%qPgO^P2>{59D>-{TXys zx!+1kjNSs7xkM+ixbU5+CI5#k5(fwb`$o08=dDZ#ucEl3f6tE4U?cr?W1p3sy;f`U z(jJqux%(U09+seI@6&C7vo#6cacIFt0NeQO$Tm-{HIH*1z4;z{|op$?E z7VFxV`n(LYo_{*={N&pG2bipm$1OAiETxc2#$ryXpN-{FOEn~-Zq830g>V2Cpy$xjLLM_C$mWqbde>&3}>*lR-biXFp|B}KIO2Gk47D!_n6XtrqZ^hw`I>fLz~sI^#2)9FgI=$?f0 z;t)C2Imds`>khfp%UY3Fz4%{fqcq%=-jYTz8q9UKt^gyJU)MAe)QjZXQq`0DJ7?h8 z9cikWmY?-3cIG)xAYno=nn zrOJCM4;9pqwusi&xQidwF?pGiwCytG7-fts*C}DwfQ9smWPSMvSc4qP(a1|;^`$H3 z)LANa(OHobia9AAqX-Q2_rrE|-Ba;Rc?LB=nkf;wq}VO=U)4n!G@VZxlabfI9L(j2 zV=ja*d86Eu1S}$BOBCF~WXlxgzIGsVdR&l8P!<&%vKecmb7kdg*n!udQw@8-pHOx| znS01SSAMLWwjCwVi({&}14mu&T{q%7sGV~>&s8zT)5Wy$Ic}t^UhTKgl0YiHi)DM! z*<%#XC~{3|&3I9#Rx|yLk%X|Vm!Sd3KRS%b%g4ANvQ&%i#-(z8;H*;#-y$&=Rcbsh zySy+wp$WaNUMh)eNOh`pg!u-Z-D8I_HOXL%28|WFb3jt3D7$W@d_0}))G`y_L*2Xm za?p8Jqpl|0DJASib4OPw{EyAw`ZO-dQYHC}>rXLtXhh3Aih@)IMiy@QvTY+v2bn{T zp;S|;sdv9CyH zc3o}O^QrO+9lk|>5B~s&`ZR}4u28V#&Tb|U5KJ}$R4hk28&FqK=q7b-XR7BG)5#Hj&q;u(c!mGD+=)?G1U&*^Dy!&`IA<&?+3S9eXf(kTJL=Ndx!Zh zdAt=sGC`n?CNwbv(r6j!jjHZLmpIppKJmuP-1JNftf8gK*j15~p502bpK=kO3e0`$ zucYQ*8=1iB!TfaxUps&Q#QBqqBicKV$W2)IzNTDnQ*l8J{BF(CX6bu>@{N?b&_Lkp zBd%Q*@B*0khV3JE1{{3TBq4XjDeamVNo%N#p*82=d^yh{Ault_`mGD-zETj` zV8u`iZpxi=aUqStY`XQlT390_t({8#A3H>1n%qegV6M(xmiK%D+92~2L`Qge zY-#=799!vBy?N+4GUskeqj_I4@K~Ufd%!$yGRjPT&}^zQ`KJ13f`Q7oshgpFxUCd_ z>-c_^nOx6?{y{d!E=Ja9G@~zlSrXL2n8tWXQ2NFD8S8AiUYWYV?q@Y(@k*C$$qiRT zbN+-hYV)7+_1f6DtW-APGw*&MGX!G!DV)K^f6t+1H+L;xBqyy+tMq&#ZA>4KXj8Li zapnUb^{kmo#x8cs=@Xi3Qf(%Sk}VpWQXJIi!N1}yhWXV*<~S-GEQ^z0aO8EtvbT*4 zo(ct<_p1MSbXR*ZjYC`9yJG2N`p4ytpGVr@;8SOL&|W$tnMF9dQj&tHpvkUu5l=}y ziABbusqj%?svbQ@%E3Tt>Pu3;dC7)?WO{v7I9yO!hgIdIq^idm6GFe&1RG4uQ`VZZM<+vl8&TFrE;+4rr`cP+7n9$Z33SqO5ahGz+(DhNdIsS zgWH7y?agMG@e8qoEorv_;8?r7OA={Prjs-b+r8Bj$|`f(3- zyX`)jy-K{Q0LCe`d7B=Ah8QY}f0Jt#@r(K2gFMoPmsi|Se!m~o#fh<1YyRw0XCYs6 zC*cmc_y(Ur=zOXRwtNt+D`+T%&mC;{`&joo^by_u`e`P{WAqXxJ<%no7HN!{u^H9njD zsI3HKk)ufe&;u%1roxEPq086Lv4rfVE0@&{vkMIO<;G1XtrIsnJjrv^q~6`&2Rf2Tf<{aJJ-ygJp7MgYC6a?APx z*~(9h*6nwUPj+ss-Ki@^01a%v@5xIz;zRC`Z2! zJp$JNx4B)u?BJLEm*a&ID~ygagR1|D3f3NnOQDZzT6bnniW%chsg%C(%AJ?hGk4if zx%~zj=1JABA8F)HF_}%FqXVzEpd_^W4cFl|76ZA{A@n=@m@-yLl?lh4mV4RQTCl}q%ldT)Jyl(3_DgF z^~!Hrr~Zx2v~+1opX+jH4r~PmRTyO1`=8`s)44ridU3515Q}S`sf5R|u`3 z8V)!~c(byQlzdf5Akv7$0>WzE@223P6XNV#^sE!ER}DPSI|fZ4P;5X$1=i7UtPM>JTZ!2lg~eccAWGN+h3mO zm#(qFu=Sb?aXe)70*|tVe)>_Z+ST?+v+oWg-fcX5unX%&o7oewES(nd$51ZoaqCqly3)3 zKvBO&4dC$CxC0jOJk_P&&!?)@hmj((0{EYy>Ex7m#_vr>x%EDjujq|$6Q?YTMQ?>?VSP4Z&WWWTETb6q3Qkl!IykG2@E=l`*%k@3}$SW>j5 z|H6hiSe<+Rb4EPHrxDonLY(2t+;9w>0Ng(p%Cu0QQvcoRi1s&vRC#Y2ly|=$&fA@= zKGD~_dzW`4@#Pp?3b#^p!(4a#B3`Zr7cgIP)2+olDq|E9ct6F@Ly^}^CMm{xH`5~j z{th}%#eD|IP7EUI({i-=nw|0SnNLZ|(&zo?1xs;()QFpH3|br<&E_X=O^$94M|rdO zY^6LAz8>PT#V@oA`XT$GTk4T$DzkoodUevYyAujt?j+Nt?e@;^rfj}vipkV z-`ARSRt{#?eqSaPdfacoDu0=!BB8l>+N*vg<~CyB{6g${zc4|&s?}3z!L4JVl)X(@ zZ1L;xHv!Rf-CDw(HHL!2SYRMe)oK{{T$e=>)9yq!IEYFc&_gKUAFPF?4bcK}&c}au z=sL-UEVW>ST$#L8`=b#c_F@`#_%Aj+f!(M(MOS-{YqlZKsMczP*bVQ()Ocb zGVX8{dtENssk?E`TXn^42)x-+5$uaG(Au63!?IBtc^H%XXIXNJ!ILTWsZ+Rq-sD1> z#bQHcsN%JiOh#wDoWN2|1**gXb3B$qtjp%a1Lqg4P$2KQ>i!XENv`Tw<%)-~da5Gf z8&idrMq{+OC{aEuF&QuqET}S2(V!ciF|TP<0zlWTh1#y9LW+Vun}h4bFw~ia8ySJW zbPDvaVB>2rcM9dz=rBdjt{}RNKyJ@AgN+voE}SoLv(D)LJFl)bbuJ0-TyPIHxK_?Z z`CDb?DO(#p7%8d@nrVi#x+l*HH`x*@6@iWGc<3`x>!;5mY;(LE)RpgngI~yY`FV$s z(3%05z`qfXk}-n~FE7I1jeHD@K_rpAyZC%~S2=jj56YDWGg{tu*k@kEQCAjJ-KF{> zHPoi(j&F@%tEMwSKP0{r(_j4}4km70jJU=$*75Ya9Yj&+#Jdsh)1Q_}!=?z!!8DKx zQ6zgUbsOxqI_=uQcAdY`E^aIviUNasueM4Si=>kBc+TmQfoKidGqxQSEDmu~OpRXU zf4_DdRecCp2SkCQk-aFqxgD%L@XKBuP}Od((7IP=Fs+-5@b+D$$lIRM_&s;NsR1Ju za1A%8=c|O97dAvxH*I+Pk~Z7lmD6HvPui7>ub-C|hSEbUe7sV(?^v}1ms>25^tZ}s zTRZfaMfdcv)cZD&c~H&SIbsK}W}3{(hQgYx=m3?!xV^Q;%^qt@Ca-_lWbO15@%M){ z*@mK9ZK7<|`g9OC+qw1MU)Zj6Z(QCtq2oDR*3rXVjjnxFv+p|iaBmg9cHJx%6G>#R z*UT+!f3tA{!TNiH)1u*8^W(E+>cR4IV{}c8HQ`mYodZnWeHglU6gs&-9=RA4tC%;G zw)YCueoHNjn0=@^$|c?*IBgE*+;&CFF{tSU+g{5+rso$aC3M(bARNgOJeIxI3;lNO zkaEv2)?EMo%s*CMd~z$5%*VBSIL=zspM@%M;YpXG1JSIczl8A?XL9YD~SZsrSK2Ek{@~t^ENKRJ04CJlx`!PLWNoc+=frk1u-#1-B0ezP`+rbhM&*Q>ZB-~QXXR&Z?a%OwJotKsa$&#fhC|tp5Dcr3UB<_VdN1LF4 zy0?Tk-u3G~W+1O_7wTl?7G7kjs61aaYDm;$eujx+t@sH3() zK5pMl!%wNzl+CWxxl-ZRjrpDz)WSu@l&Q&iZSqLKIJ+EuZZQFpIh%8#QTu4r;01pK z75G6godMfGB6d)Cj-VYzOR|J%R(@C*TI+N+a@~HTb&$R;y-w>2l69f$ufNa54eE$F zoQ_I=tm}f6X!u&y8A)E?3CcUw|NHoxd*GlrDmRg%*Vkwzrf{=c^qHc-{>{&kpt1G8 zMO*gwMaA+{sC6L?RCz&#JC4H=c_eow!Y=SJ&nU4|rw@h*(sp+*LDvZfdlF_t2Y;tR zv>fN8RZm2}l;)$5IoFSDe=$dn^;qln<{02SXZ)>XH=S5s&y1#Qw;|*JT2T0I$}7=- zYDChOCATK-;vzz_KXmrlFwDb!eqrsT>FEeJdPEBj85TGG7i371I;3S9lL#F2<(h;7 zVk{rZndw+lNKJQ$805d&3^CrruQ9;CMIkdq*INDM<4d8-M_&XAsMVKf7%o{x-R_n2 zS`75YGm<^k&aCUIU0u=F5^k21UxIB9Qer)EKb1fP=SrNUaVoe|7DlXO)X}D2zfKz0 zL3UPXf2~w{+BX9|2|Jfoi%ZTcL@z#Op=$k&Vw@;bvwH-8PUPi`I`0F7i9_p>d#_x^ zYrpY468`2Xou6sy(|S+L8^nW%akPF$Zle$o_JBdpbe$YTt|p}T2cRe7s4fU(;k1&I zL#yx4V-zB=VVen@!m-Yz-9YAG4&$Y!4KwoC!H*8%|5`hQFEKv3wqeI9JQ8hlgRz5v zwu5bK9@ZnsxjjY!H@3;S1a$TJe#NmJfUq5q@OH;dX*S~pg{TiVADcfLVLuCn7-`m$ z^^-_!G!7+ZFN^FFAxr>UfS1-PfK-6nhl5e6FrAS#RMFO!`9i#<#LJP=tQsa(+Bv(h z0wxwQv>ogijhE&uatgQJl&vj5*4Y<9Nf0~SQ#*njx|h!*GDCtgOzk*z7vZBESr+A? z+|;J|MY?|RLyOXx2{$yFbRYL(k^?+RtaG*bffeP#0CrH9tr7c7piC39k~Rfp-Oy6g z8WF@M5e{uwm_55uNjs>$52Sak*yIk;jj7*UWsFFO9~o{))cZ1}aP98gFn*$F1JIe@ z1g-R*&76Mz!D;_PP;`Ku`Gkn(;($c+-ob6063>A|NKe}oQ|P-}eB3wKAtN_x`g}EK z;XebU+mS-T^oUdCScw{iUzBoh0?d(AVVyh3O5<*0@R($Xpy%VfG8jMOYzn@AO6816 zs@P|HXkB0_i@Y3iKN@iual03eDOFBV+~f$-I&xkYjHaz}Vh1e-DXu5);)jeL35?wv zS9l0D!W6aH_rOAgg=YPd(EjbUD73b7v5C@E#oh`B7bJ@DZPeOv#xOthqkW;P`+G9A zkZc{b3!|o8yqVgHXQGR%?s%fDHBM_#f@5o2x4N-&spJ`WHxbfGoi>?S#y+pM6QM0S zmGQM=Ec0(%Xo~Xy(S-BR_jy?t`3AMUb@=qHj_fGhs&6}gDsU0IZ$1NI4aKxsL`vfB z_N-GqG-}9MSnwJLkThF}_;9;IE>uL?@-H7tA_dzlS_pcjP`m%86Qy~yOm{^t8gbdV zXexP;&Zw!pT;^2X7mMNye>&Tmt&-A`yiiP82z#vb4aR-3KMW+hA4zoK;l#nJTW%rWzq;Be%F1h_>@(laQkg5Fr(51d zv`|ree`-_K<*8#?qwi9We5KM=ov%UtlwDT&2!S$|wC z_VlH$S>@k&!y+$QHUxx}clEVBCm;2*o>!1-#`><5u2lqtur_HL$X_fjt9sovE$I8) zU8wW&gL24^N``SsN$`;;M4<|T*w-%R#gg?ciCS*QnrvrN|EX(YNLzL}5lf%R%ucax zauK!M1hO?$TIU75rWX(|VAc0qje4c-xMGvq^3Q&-jfu$Y4%512u6GUao7NPl8O2$^j=D>m!VUfs@xBBW7VD_op*;V^g8)Iqayx=gQqEE2$qZpO(K_a-OrHB`%60xG>10A-`wcCpfKrEfQejq&%BH1j zL}VvlmN013P5{gmCo%WOQgyO!Xe6-@IG*w5Ilf8@NstQdJ?%*DN`gh1KZ%{+^ zD=z%3;nc(sjt4=7_-2T|i9H z-BUbu+Ap-ZL0_2LsTiA9|CN4qL4~HfAX-&`uS>+FC%< z`}th%WfC8DgSp$%eP%V{aO6-qUN95dXBc=qkMadSJ(KeIIT7Vh2>Au3m+oh14>NZa zWh4=0V!@Z`|PU(Pri>xF)8 zTZ8@yUqO?qT+4JhYvAoop)_gU88hiA`PEjP%;F!k(J+Br#j7oL%aiJTssr{5f{FoM zK`ZP;qMctTz7kcs)b%Ikw5<%BUT?kh1RZWUKcGdt#j`@P z@V*nZGc0`Zw{o>>q-WM)oa2fIwsRRf(ZK7$-;(+VmsiefRJ=Xms69yOHELR{Y`8(l zK)3#KGvwt+uwtY7MWaKE$O*05WtV(sZJVL()$GucLCDBU=)*ad zG4WZv6Fgc(PbsFP8onp-jgdRe=oH(?yQD~FHj4BRu|m779V&VPw3fe7OSu?6frLXLU=#>`bF@I20qzfy*l(wGge0$?AO;==#RBx@zb{&#Fe@$c8S6F zaE+LdMhzc{8~+3b=?`cg7(f#)$gfdT9O(|YZLj#JdLnTcJgdY8%TSgfG(Fwtc6(#_a)92}q2EsZpTyRb| za75ut5Q{mIPfFg8STD6ay{!hqhCCB(%f9d!{d41KJ@YpQz6f7GP(pAArV+@mqV$6I zNDW&*J-Siphdr`mDpS9~?Uqu%5f4;g0+B&DM!j9cPe)YmE#dT-@1(oPnD3&yA2!f; zkulz3cg-o^qz9(IDHvqOJ;H|5V}Q*EfFZZo2|4240@fXb!(sNF`1i5Bn^*a-%srHH zamsnGZ9T8NVT z=zID*q^;q2G3b>enw4p4AK`HG{%W#;0kl1L^c$;R$RizFu_EI?Thd^t>uG#xYo-0C zJi%j`D_7K;Dm~p~0^R>E>Wi`k$dbVtGiZ_%4sRtz-pF$gjD+BTC#RX_!dE^Gjz}YL z#$W@Jv0vwZOXgS^m~I^DB{2ZmxgX3HYa4Rgf$)l!CTGj)YfL{QNae24u~?!OApW}A zHN?X>S1FMX;_7eA>QfFZUup=7S$H%a_U4`w(tKo0X41N$+#{E@F34HcjCpF74w$6V zw+!ce@8D>fQSm`i3&Z;la_AGsmCyo9q}C;2RLsOTcdE4d zgKakLPc?>OP@UJ!L|{}A4odt+fTU(pxD3Q+llfXS##5s$BT9Uq zvxGO~0etE=`2pQ*Doc`Xq}X}fE7TE#I1zR(iQq0m-xV#DQlU-$Kyx(-vIIm8?gqW! z^phYEs1&z8R$P!>Dx`)f2XTUz!d}-2T429dbM%qLr1nDKu&qsMg5Umn_w@4!oZz$c z06#@<2-jBQz#|Pw5s>8RYTNLMJw7aagQOz+c$FMox)X=fX38hU@2lT9r6kuV$(_{cO+GYn#vVV9TyueOB) z0v_kX4Z`;3WzebKSaxZ{0b#A=pd(Ch0jNo!+y7P#(+>$mPJQ^VjsWBmz7_V!D$MmAm1&g$cIZU25K~~d#bu!0irK!B&QIokLm5LrHqHIE`aNF( z%mMjj*qSlqG`F4rfDSWM`tppMr<^A~M(~b3Hl=C$?{}N{!8_U$vih6eh;o@oqSU6a zO1DzVJN(Tu?Ng!Qv`x=O@FOQuH{vZjgUEphp=mBla-BES!N1ln-Ps)f`Xicplb|zp z3O_DJYVtUs)T#lkMJEF7?#52s?)Folfj}FnMUJ1oKkVwyu$cI3vysd%3J~S~VFGK@ zcf%Y=03`TKtvaL4c3%&~D|Hk{cF_>paY18Rav{oW?|Psxq7v{73f z#FmCG!4G?vaKKLDRb+rdTAHehC|z^Mo%QB+6kf&&<(i}w)*?13DgKSj=$i^Tnr*fZ zKH|%wTjC9MLN}^~KJ&lK{gE3pw1Q(Bft;{gX3V58U;VIGKRSy;5Btsi_D_n2&x;Imx#HSN3c=|`9z!I!8qc2cYBnDbZc(nOVXByshoGL&4y_+NmO`8Cpn!_XGv*LA9({J*6C zZOk$R-1oR~9xB_$JwaMS!0KMCYhGuNf14sL_Nc0)GAZf`gkPAZTNVR;{Gbz^KTs(; z&4Tet9mAEz(*nj$s#&pR=&y4&nT{{umfC6oxHo1OyW*KSv42lp4QN%fMyoNUdv*vp zDIfv$;sr81!I^V|HdQ5?-Pv^2u?idtx&|8QEr0ok`xhgTLc`iv=kaMJUD2(S4+p>4URI~ZVrj!acVlCFC=J?BGskp_cmA_mkT$Q5sfwWwE4q%J6iTPU!3tN zO>LWJ|F@;HkVjLk{Nog3|D9oPA@ z^2>H&v;TNSpy5Tz``B~EK)R`F>@P_kYq#J26}rc-if!8)ZXb9e?($l7;IUn5Z*=6j z%`WV^_{<|~vTL=pws`eAPaDxJJ8BLDONhO71EHhZ_IHc7*A~s!GR~uUE7$>hH!;L{ zyZH|XHp*JGbE%*)t(*u^PS24IaI{09$-e;Ooy?q^vJ4Bpu_5>%yl~sC2?CV=lFK9TAgzf47WRn&f%L-MD2;GcT}*lOGRZ6*7y{ ze7JRlXxmMeXU!`3gLAXIT!U)bH?65Pg+oSaz*c%2vQDchpxHo%Lussu6}MISZVd~U z3-cF};xwDG!lyG$*7>C!;*@7y*TePGK0!j*#_mvc|m=Pt1-m>d0 ztZDmmaGaRtT_refd^3oahDqj33{n@j@Q;zdQq!LTArXq?1cQ%jzyd<9v%T ztaIo8hIPU`+6ZIH%o+kk??Nd!i5d|Y@mMa}xn?Q%3Uo zXNeKbC!Ac$7tFN*T6PO%xHPs4Er6Qj8f<>(g$Zd_JimG`PxrIn&|g@tC5kTHs6TA* zRb8Z=zB%5rxzkmbfI!6fRc(N?!*;~b`{J?ZpE^0J9@U}apYWD_Wl;uqrGw7 zcgbZenZxozo_Tq_7a^6^r1!X)Hb5t4h>;JZOb)2k?edZCv$^Ff!TUV+@N0!jgO0qD z>7lsYwbq3pckj2LsdgJX`(lFgjrN=4*6(@qEAr+nn~ryeCT2+1Yz1Vx?cdaqGSejW z{`9ffo8>dP=gahxpO|m1UjyjrAq7HR2q-NEWr5PBd%gM}@1LfnAD-NrZzudNKtX6k z4M}vAXeR)_<2UdkP%-UJ_U`fX1G<6mkIP)bcjtpA?!sveKdaYpB-!ug}c_K|~ed>I|;r>;!Z3b_)+?YCeK5Y4|tS9@YC8ilrlUgoS|1*<>Hh%YF z03|C!-AIR!>bEjB-+sC9Qk^P|Cb_f=3Qk+hU)}8Dz7~Sr;Al!#4En6E5^`dy!H>p# zpUU2>O|Ee*v5aInlvsJ03xl!f>mpQx7x-A?$BN+*k$~>ec234Jn6#;ajPdP7meWmU za4Wwm6=&$=Ov8)4kPA%GfriTIm&?0SGRjJrub17NazfDpf|ALf*<`d(vgFd%m*N;K zKn)YD1w8QMI=XVHCGdyQuoZKGiNo3OUIlEZ3zrc~ZcD`hr0BIMEz3{c@)98-oY=JT z%BGB8wRN0;1F1h#Y5q{kamksRblWCU;xZM^;Sj0bBv8qH1^)b&Ow=*Z*J8$1^!15J zDYVi5YQ#haxv1Hq*8wk{a^FUkv7o3q1O_B6`Ol>-8-467sX613v_FJ z*Eo~B)*D1AOHGXKfvMC{CDg>XB4#jFUa3-ACM+6mLu2v-;x%zsLn8 zYubqU5Hu{u{OmJ)R&_sb=2PixnT_4ll3t?m$4_ZUzN}7O9{#NWMZyb1iW6f09vv!p zqWTbb@(`D*I(%2b$~yC^fh=YF z^HxX844a+oko4)D>cQnOjLnI8r2MG#tSJ%bhN@tJRL=N)5u-PL>Hc@t^k+HZZ^fZp zHJP)n-aotQg_ZU*7#6A6Of0NrMu+vo;>o_f><-9a7pF!7w1|lR3)AB~(f{BJ)7MYN zfZv*REFPMNm~bx0jJn@I=ft^Tww|6P1$<$Be!c9v^+mT>$V;I#9qMI1r;|qTPdo;( z<%f>fi@A!&a?^8RvUN9>yO!~8wKT}+oNGt=3B@14eQC4JGVNCL8`#E+XJ7O_Y%%B& z*6lx=n~3INUB&cQ5f#|)DIwYdQs^e*qnjZoJg@Qb_j#fY#RudmzJ8CacUEnF&*|13 zGbweC^CpJ*jMqA+(vPBtX*>T>fb^4e(3bI={&CAK$s%3}Ax-YR3lNaQED~;7k+I>_QRjD8)jxYGxGMg z*vT5zOX#93LA@xv2y!L={Sfef$cpfbzxMaWr2o!p%-oBw!~Q4KqI+%^Jpps^Nqf4K zUaM~xmZHoKGq$RgtgzM>Mqd+tVYfQ;TnyL{^N%O}Ni_r*6mY%rp+5-F<US`3Q;EY^e5@Kq5b};K zeofwHr;9YH1#zxDE42)--x62`&a#S$Q}zP%ZPr?#PlMf zAR(96L^}$zq@z8(i8^r-UtoCgLkq8eDE=S^rv5*EXrDZV8;rR4jx3koF&G2&W4<@P zW#K!(Vx%8XJ++&Ty>^*gzPUl6NuM0w)Fr&_#L|vSYoMS$D5JC;L0Y1Z@p)FG=<;F!2zae?(!Vv2F<}gjtlB3HU;M9B{hUsspxhtgl zrjmUigk?GiDo_w(3vm9E07zhB92Ta?$T^1lsGtK=hMv)gXd-z$K?=DsBk@xm(5Nq^ zAIc}-O1GnTZJ}SMv9d; z!{cvxa*53Xgk}JId2ok`=J2~Y2)gPIYJJbpJ28K7A$xlg6$rvZh{K`Je;T$|#ldyi zZ?xFH*NIXEh?(JJ)-;}Ff+PG09SELxDlp~UJR^eDzG9L1|Xa_GrT3O2Kbk~2y)YSnI! z4qvnP!CxnZD(7&Gn6A(9&t>}}6hxEQNBpMlW@@44yr!*rjQtJ0Jx62PpnMe}ZzJnt zXN6zbK}zNZE7_*?Wk08F#yA%L{asXasf3mQgZl=zZ=#ouo+#6xRJVNi-7$$FmS&w_ zn}vc0Ryj5g{Y}DucW6$71Fl&k+2lFopNA1ru61u54If<;^Z{{(I~lo%i*OF`{??_t zeKN9M&=hwu*Iy}MQac>zZY3kuGDGH~h>d z<@vd50fY*RBxiGZp@9g7ulRT%xy{KuPpK_d-w|a<{0D~DU*mJ1C|h1A-C$L>l-*uJ zz6TCFp~D6nH#QiD5|gCt?DRYe&-O+Xwd++&=n@n^VD|hVBsEW$AdN@CBs~lxx%B^w zbinCve@IAtPEJO|J|!ZzVN6<&v+v+LvImJbhQy-*_5}d@?tuOO->?AtIDq{hfPEhE zYlDAAF%4$Arx*o7lU#^2*jQCC`zHI$sn(=NsEXP14x5JWZKTY#L}d zUh!kp<82nh1%j+=q)hyedBuOAN~`ZIe>B6*DEA_?Bl%zYBi`VAndd zioQo2Y(dd0EJe1KGy-C%#_6!0QwxIc0Y;H7*y_WLd0gK;d`EPOe~_N0!|j#7Uf8=W zJ+r6PQ?Ut7`>4)i1X-Q<4B7erO7FIy{ojeQ{LmfMm(|)Y!Jk**;gs#=F>#F9+K363 zvI#;-I5h;d_6EvIay7%$b`N0@7gY@O3%`mme4m5v*#Wv2ev^%SV^BHVZ1$-&7zt3K ztk-$Ppzw}*3xeT5N9_?2feKp2@w^bEfdYp^P2@wT_xn5CQ!eVqp$w3cg$q+*xY5`@ z)||;o2Ta+XUCMgvL`shoLgGJe za62)-SRx3PbWdX3l5PqOST{`D+^XjlX)t2pJA(Zhk55y=VlQ+liBvEg;a-OiVggd; z-b;v`g}qjg-v|QsnE?A>|An~N3dq;)?J)0I^ruAf8&mPqs;(jSeIar8jAUC8Dm}vL zhw*2A!2Y`bb+M)nf90P}_m|V`5`l3aM2@LOwe=Pork#b=XC>fJp@Wnc$2S+3+`8=| z1qm@1g-^$vP>U}wb>g+Ih2z4hXsGCiE+%cm&Q1DebP2J&a*aSpEf1YBP8WQ+Oi_jb-L?;H z5pChoV=75b(zQ4Z<(>X9HNgMNdAt4ocx6~SVq+Y=jzmkDwr{PzW6O|Gix9ySQvP#U zh@x&JydWxJKUu`*=}=LpS+Tl!lZD~unTmEX8>}?9jM~zm6&=6LR;=~4hW=DQeq-}7_Pvq<9nS!gPoKhEPJE%qXh`-k3J+_7 zNaM9kwjJQa-90_Wj!QC58tNU3lJl_rcUihWD{rH)8}LQM9(Y%u(-;a;R|}aNy4PEQ zcFEFaN@0#G_J8)cYu{P;|7f(#E;|s0VZtD!IDM!PB*xJ0$eS1an@VHUzOftvtFMDl zfmzO*szLq3Clz|f>Ee4+vH&*t*76%d_!N9Wxkqwc|Kiu=Ow2HhV)F%P70*=Rk8$ra zV4u);gn&Y9D;8F5Yme?VQsas8$m1^iN>XG#$~|=}9vN%oTJGrda@F4+trr{$%bd0? zo84Q7xhT-K@ASIMb}K;#i9dR#RP(=9B^Vb-;%nK65@F zX=8S9$g92U$@t>m0c=ZE%Q%8JWJBuN?hsH9Vx0P6Q!Vv(b!QH4mKm@Y&q-g#id0Z~ zTlwEgIOck%b~Al=_v;NM9;wRht7UNcxT&~3d?Npkh*lBI*(MI`sAMb$NvZ;V#P1pS zG*q9xsvHnJe(_Sleq%3}V&K--jrp$a`hz+-b=e)W=8j7LNV1dc(^k0GZW6Waa zkC-i#;G^VcyqfqHq2Q0sbrM=-sC&8M$j6tG+)?~T3gFzI!3uErAG!2rV0=Fh^QH<| z(1rGfj(<*iX+je%pIR2CDF15UApRqyd&i$aatkgP`E{pPJ*fYQQ3C0iJx{BYd+J9> z8{^)KuQ~v#$T9w}+(x>g-1xD8+N%UUUC=jx+(em0X)aBCwySV4MUd_YxK>a{ABrgN zGc+BO@c)Zda9e3F{-Y`P!y`s=2k~T9O`(sN17KBEC_^5D4Myl8@qQo)G1O0Xtl9iL z91c?^zF+dspP~)s%%MSd+kU7$-fU1#DO=goh~aHS>Cdi@f%u?7%ivQD1DL*fLEyRn zz)x)5y^m4@)i>yj;vuEK|qcQ^kU)nqhW8=ki@&^$+oHixIZVj;V8O>tUTo$~|_? zO7THh?7J!A*9aRU7~L$RR}V^T3QokUwFlHN35TJ3OkGbsKk=f5Ij zq+@-?PhyC_mdqyjn4lC2XHP+E2NvENZ=YuE_;rTox?{d0!?9F%H25WvJ2q%ev+55h zcZKV8g|C0S^uTQK!gO=T1Uyh(-ctGguK@B04fo+i{L+iK3kXl^-t86gD=*^LUc_(w zhTP*7a<3QhJ1^q*Uc`Msczkviw*Bgd=D8SB9OPX+XLNRqugKrqQI897!)6uoyNgdst-FZIXB=AeyPWb{tyd4Mki0!TP$#v^DOy|FO6N8w9 zn-Fv6axQ#t29ecX)p51!r_E#9zrJQ z(-wv|(e~cgK53y6?Ye2_qed2E=GLM=U#TXXR zVL0yHHTyY~vXb*cZY~HJ-#*NxknO{?>G?T$Z}dm9KB(*;=%;BLb6Fo^f3n7?lo!I! zN6JEQ#Ay4tB=Yfb{haWX#-fbLxr(_ink(6Dx#hWaU-ilV0RRC1|9AnUS6gfpRTw_Q z&6aX2_iHJLl|qq%NK0ETv$M0iQ+6)Q%px_!Q7KR`DG)>yu@gD;6a0TiHQ%UK=l9qnKRpMp)KJgXJ+S|?|kRKpR=BLK=czrZ2EQE z7xWU<)HBIMkk+k0w{m8X%BtxG&2$3KSN)vFgH>BvH?LdUy1Kc1UF%beu#DU60;c&i z)|Ck(NqxDY>2-CW?vAgzfUo|xe4d?iH9bff*6&lYR?k{b5r;{t^_Sco z^b(_}WBJ2IHmRv@GB8z3P3tb^L?7xS!~sQ!>)+1(iC$tNb--2LEO7k5uqe(f@}^-r zS(**oL!y>`1e>Su=Wu#rm8F`5vr=|oro$aDJzqHVJka>c0ep?74lII$0?*N{q;6@3 z?qQN!4RfC}7v}Iej5_d!r)6|gEnw~^R?_UNm;W3Lq?AJS(pI4q(6d&Q)ANskmpQAX z6nU)YyMdp`YuJZ(K0d1+aU+IOhZMF0Cj`rN4WnW#^{GreV|CkE7;p@A0KJUu`k_G} zd`H_YV2@X98BvXs6(^JeQ|+@$Ag5OY@+$Fjby`*TX5nW)=7(~4vQQno2R=t%NUQeAHxy# z^>%ABhNMV^xdyK<5hLmO?!l|=z)3X=O`)=S#fx5Kdg-|W44=+m;5M2Q;QFZ@pBnT% zo;vhQyLK)Vnd@p;*OXDBisolOcfR@*DJOMC-;W+Id9M*eBdCX>P*Tbh zI6PRuF@tN+V&&O6V3j%S8+2%eb)80q0Bu!_MAeWMMj&(KglJ`YjA|p4Rcq(HIc%(fHx?V(piWu+~u}D!8Hf2zRNHXI0 zlU41+5GR78x>S>V-Bol}v$g#z=n@yoXz^H%r8a9DES%q;PHx{w8PRP5V)f;{m6Jbw z1fY~7y1T?B9LNb`1wCuTkF!zo(c!rWsib)1?5@92NJMGjtQQkuay%za(Xr>R;Y-%t ze5?dMMS|{Ql8b$ssZZ?HE@4F4J5)5nDjC+dx%7#xz)1h|Wx>-`SIY!T^?X?>VMb$B z<(KfFRD~~o$pi?v4H6say{4>f7j7%khpOwUO?*jY5Dv+~eE2r(p0^SiBY{~vZDsGgsFklVO3YP(qT!&=u*b)sjR8RSCaZNpTa~7yew7D z1}uo{W0k{BNrzWiovtyeuQy;Y^j11ZsGh#4JpZ2~%QdfZ=hih~M@ln$qLJ>efu_126op4CV@uQ-3BM00000000yO00000001BY00000003qM00000004La?AA$a zRb?0kU|KLv1zTz9Kq(gxK|u=w$|yq%Efla66$czT1slq}SZ*C~KoJLUAQ~2eMqTJa zSZQJu5siRDP$&qk1H^?c)M!FAU}%BXbDF2<#=wT%xyk8s-hc1=?m4%AZ%+Tt&d$zW zJ^p=l1i5Dr!{bzNo}v}jQ~z^*GRP-_qdhpYMpCGxRUzR;KeqPBy=k%UI8C=04(V_3d(n{IUEAOVzL7 z0)DE$gC*)Wa;JK^yi5L!v(y*zbM+hKFXS&dU%imK)o03I$@Ao^S` za=-cQGCj>)gdqc}u;v^-QED__Im>Q~AKc@;wSr|^0fv6v5-pDE8_8Rv2VE4bYI9^@kRd*#J)C98N3>lo(>CfVRR zE9F()bLwm4wOq&bOq$yuZ{#Mv&PH=@%5QNqx3I|EJMz1HpC9l(bKB(|+{s;>VQ#nF z#C^P9zgceKAs*&*b3e(y@K^rEY37c|M|q6xJb%HT&7YJ{v4dOm!wpFIyhvdxd$JeL zD2nA=>pncwk6KF>=$fA-6-@?k#0 zm3)Z%IKllUauO$V3STxqRi4HfEMhT#G=Dem;$D`R%l7&AsP~ae^~*Sq^BL|TQ-Y&M z_Z&V~wKb*+D{7YnyUTvtDsP5Hms@I)V1^omv>T7hT!QSKzGn8fUR_`3JMu1XclgbmhDN delta 1178 zcmV;L1ZDf?3a$*0Q-2~Q00000007(s00000001BY00000001rq00000004La?Acjt zRAm?j;9)U%qp09^qjO=zDf&#M0j)LqW`y!iw zAd76Wqo`4g;D&da5M!c%FrEX?h;n5w2p677PM&$c@1Osiet%3(PeUq|N>z?sdtDB4 zr67jKrQm%9t*~D4AMgJR^2Ok|5FF=&H%PY8&tJuN1h4N~y zVORZb?9LwS$t?EbF`qxd>~!B8CaCx1Y4O5FXzjBS=agkxx01U zSfrj{lEv)D{w(EywDuV&H;@O(J>)qYqW+3JR366R={`rWj3YUU1MM@Hqt!>qW8|?M zq+ZH#>NDgrPEeo7NgS*{lT*}3%2VZOoX#1X$x+t7CC}n)zN!B*=cv!+JTBluzH0p< zc}V&^e1DCzIFw7QU&_(y%jIG63V9{RsK3qOT%*61>$sj{t=k}PZu-pBjd&Ci+7EN*ulA94qG@+0oyF7Dlg?tieb57$0XX)@B{nV|_MY6SiP0wq_eLs${zZA)BDQX^>=V5`|0;&F0+}#J=X8#KJMoM9^zph;ZZ*5esHMr z)%!uSTdo@Z%~2uf#U2Tc`dK9<`FV+=+- zurAp@-aM1J4uT@IIBd4+FMsaRP+SztL{;U3|=vm76Z#To@yxcj=#90)HhdPNh6CJ;>^n!rt+ zz|~&|*WI9R>J>#Nk0uaJAezAca{}SBaVk|c_~Fm}rw8re@0VEkpC{#-R`dW~u5m?+ sqX|S4h$e7DCJ;S<-;l9Jn?@6eCJqoypxhI<_H2A(9>D(svk(MU0YjHtm;e9( diff --git a/cpld/db/GR8RAM.cmp.rdb b/cpld/db/GR8RAM.cmp.rdb index 09cbe672b5495e7ecce1ab5380b99e372fc71176..6ad876cc6393c652c1e84487759fbbca2d60db23 100755 GIT binary patch delta 12180 zcmcIqgLB_cw2f`sYHT-ZqsF!x+r}rh(Ku;r+l_5I-`IB2r@|8qMtRv~PTA&V^;UUCy58t_=Z;r5chB;QA1&o(&A8+aBD@|TQ6r>a z(w$y9k6_rGod8KmFwtjlif}TBK5zggfH)ulx}JJ`{OMqNvQy2o!cOi&^*p3Zb10Y8|f(k_#1q@^^p2pFDHyEkgeKz5O5CoRRB6QAxe`krT)3}arqbrJr) z)f->|tJDH760&C%z27d2&Iz!5uUNKyYTqr~f)m)KvdZ2={HXxNjz(KWCuqBvS+aZD zK%*-`2buc|w=nT`PeLf0g#vDJAHKmmkPUi0=?)OnfRSeZ`I*A0Vx1E&mHBA}Au7d!wT$$NjK)&>O z;2#=ZpQbs1(}jF5ok*zSobPXVm+tu zLE)HBZLsJkD<8?hLpYYuOJVYIF~>NUyx9JhaXf+Z7M&-nikj< zw0M*c)Na8INR`AbCaMHn3=@Isg?fv-ga-DNIVqiw_v;4!8y*rL7L!& z(8i-p?p4U6@8wI2DR?C$x9!yuE)ZrDY7{*K;w=f;5@&U#_fykB$9;{6PpOkv2pQ6s zZx%Z5Ja4#Yg=78{?^JXZq1nu)FY+@2$O6naG(HFRWKe@52WY@22kBAIG%_sRJwQ;T zCPwP^gu;Tk<%8{+rXUi;F!eo3+e0?}N6# zhWKxm{VNv|GnXQK>Ssq=TY5_%EIc#mw=dtc%h+X${E!Suf6A@Be1a(plE{Idrt)5ZwosCpF&X&^5@kbNt`*F%eA#qY96 zCRpY^k4CY|i$}d^^F3FTZX1aJm)NDfCUQ(1^DBPeoMvTbM=m2t>nr@gjAEhEb5}PI z%^|USMNfI70O3=z72-?5c8OI1i3jK!bFLtn1)a2D7Xz9V=e+>S$~GbB9wYZs*dD#6S!{P_zig z{f>L+hsxXlFsk?*3HAkA;Qj=^aNxi;;{0C7Wad+A&;>_x{c~0NC_m|f_Fc39otsr6 zTC$=0{R@zR8TC8>hh?ftRYP%XzlOJK=+jCWmTY>DWVx~9Vfaomp?p2ai7;j)IqMMj zW8pZ1lfATn=5U-y^pGvP>QBln_DU7c=@r8jSZK%kq+ORcNPQni8%vi1MEEnTz><%9 z7*4pnKf717^;pO*!tCmuE}9=N#(gJfM~digQ7rIH0iFdu@eh?q2EQO8mj^u&p4YdX z#CVKFw(5lW*8()=j3_rLjaVWNOzRTjrBmALWiWC&Y|(;6B>V94q&$ki*i`wyn*0IE zcUR5rwRgF^_Po%)wWQdH!5vB%rOHPLk~8*m7C~8&R_3@oszv)w^>TAaj<85t{Tex( zdDuY2^8ELFDAK7mbZAZoq~JMZ-d}+@aG!q_imU)` zTz7e5=TmKhwIB9>IteIY-Du)%PPJZXl3gva@F`$&WKImzGgTlTIO9hCfJb%_L63{7 zogc?v40E)&IBn&tM~w6W=LVx_217xvLsBd7~4NyDfRvcqaJ5w;X$IL^e$l+bZjYB_r z!6y<3t&zZMPaJkrUWAqoO!&h8T|D#7bsil~`Do=!edXKz{{csdGE0-gF?Mbz5v=A3 z=gon}Z4b)XKo4|@UX!BJdpyA5_PJTGj6y34vS7&R9k@u}$aunFxeZ3 zIvA#x+8}*-ihf90 z@G@c{#GVlso%4`@&M0Iv^gGkV?_lPAj9kBzv&YF|XDp9_&js3sQaJALCyM)NxRXb$ zDb(=K3fvor+-E;nFl50j1!9+>j50`1KTHEvfZvR|Ym%IKwoiJ3W*q5Adh)PVl*Clu z=BG4t%^E?F_EZxR2ti;cO&s6A1LN0Wq0q?lc(`NSTwjFdX6bN8rAgJGq)UYLC=0C7 z07GA&aSrm=>ye;1j!EovjAy@wN$wZrjMgJ4$mi(->55wuCs!V=mH59~W5*flLGjPRa)d7&bZ~lf;3qPYLN+WF2W>Q#+xQ_)OLDm40c}pTex} zjCH-`-xjg);#Mn~*AQc_(e3(H)WeQSf;e8}9rW#w#}A*oQvuio1{WU<^S*L-AV;Rh zx3;CTT~kk6m$9-;b1u|6x77EsHnTBrwWRv4_r5W3m#v{}mzl8tb}hg4i@&Zerc6}D zE_NOlwyTN(;mA#V@PphRdo&;8O|c%lZ>Wt3kKTMs;3O0m)_Awk9&@!&$x%3ztG}lP zO#5_WvlE31)Vjyb{2R~F#?DtTcIdjygT{e{? zr{sDu%d6k59eP5QSXbvq6;EU9VS`LBT*F_s78eI&nwoTE&KMZZT9)h>fvUZproo4l zqQRmVWIf16avU7hj7C`50wR76*q~WG$ZW?5! zd0bSKilVtoke{EuP7y8SZHJoFAjr?sK(aW`VQs@O_}k6A)0g;b-lyOIjJzj z^B6C~u+Br1zZB;w(qK{p2)L+i*K6%C@OjIjiS)Q@Ws(J%w%NnR{MJHrMJ_V>#1Hvf zt0?B%6$PFA)hFVmF(g-3sv;j(1cc`Y-Ok!hmC0p$m(=IWIyD#H^Bire{o(Uf(sR z20!0uY^pMR*INHUv3gR{L@&dW#}_RUvkF0BGOI<0H~dSw!8{lV=F%9HzMZ_*8syNg zEANNpv#B>qWvhL?z}McW_W`bZ?#?$To-!*FZEBUwH#;UVP7;Lu-Ce?W14K-jE|WFz zhZBVMsjhXn9egO-VC_)`a6z;nxMgl%I1OwFD-o?`KOY&&wc>B<#XrufP~9ZGMK6$A zh9Wwum5zykbNt z5xWl7IBZSoV&{=5)z@!BtGOfPd&s}LHdc$@_4D|q88fD>)fTj#k6Y)W{pru^@*3_A zVxFQ7s6y&;1gbNFpb|n;apZq2>tB;xwhd9QBJ2LKNX8UEM8-r_^6-xHE4__&>W>XI z#+H)~{i&sDAI#RugoJL5qV2-{y}E3^(2+}BS2H9&<}Y>;Vik@lJX8*zy2bfE64D-F zR88qZkbk=m6jmQZcd)zuJeIQ(lZw3?EHXYh84;{fhYGx6hIytL~u0SnoBmxlP@UMHy@ zF89k(ye?)#xyZTR(}eQtptFoj1_Z3nAga9AGT?G`BEnMgZF??eCP4r8TZ1SHMtvGe zCENP$8k*b;)Hc5fejHaHtRPO}g>FMByd>U0ub4DfM?Hngez-CuOsmJ!x!^#;6AG(V zL;1;PW60mv(b;dXks%8%4Wkyp61U%(ju#+oR_Zx<;q{y0`Ee)Lv=*$Iy@-|i)$V2| zVSuN|Rg*$9EtNaZOlG|FnbB$X(a_RPRdZvDC9z>79-bT6>FK@Pb413gK z--_-Pr8F`nN<_jfEgi)*Fxb*ROu=kkhJa!_#Pf?R@b*^g%MZ*qwup1CWLcaM)J~Am zcB4-C<|zL!V#ZChmMS1tgJs`gEH!B=?QB%jA}9nyHED&(Vg)yT&Z4SY0ZWz2Ut%eh zZy-YRI&KNq00CSm{9Wy8a->n5+Rvgbv!_SfV;1fK>iHo>K_qLAgSv}ryyAk#G zt9xqNQxe1_(F!Q7G_q^+3qAP$T(}?7DG^c@?Q?E$FgV|;QFm7>oSe0^UZ+iKoTFi0 zjOvBdQ5p7ws2e(aqKt_6kX{+dca~4JsrnSMNW}Lx#9*-pjk~Gn`$dhL0BpPgn8YZH z*YBmha?7=DC)l2Z9VHz|vwKY0WLJA0$^Do0sPVpFzqvtuzZ*e3nK_?yp2LLkA~D`r#dRUnN7fwdSBdL3%mkl2yO11qv7 zREdFEj4rTxEvkrJ(WSj_FI0LNTw)fT9(_+EG?)RA{vqmlRYUy>@DE3Mlh`9;ZW`Y* ziU{>B=)5P#ve^CEp?FHCJg?<}307;c&khl71Y)rY$F!i?ba26hqS-v|Pr&OrR#suu zx|3a@5k1{VjI&a#jMuY(ZQTDw*Gn#?P`rTsBOsQZI&4Y+uVI&QrB$mPyBtxRTDr@f z#Lxvo&o%G5_`5+WASEh7bz4$`-f7$FsZ$Grk!&R-a<@^)3dz?oQ?gm=c=!%ZpLerT z+do5TK`Vu70X;>gWEmVMjz4cv&U?})0(tdY(L{y-dJF{wzPSY(pBCb6iw7d+mDi4S zy0gZUKe3v0=~&i^=Py=_v+D9%_K=x_FJxmDpg9tR&~vu~-dy_;yQ%6u(_#{d5Ldms z!D4B%gCV+4T}gL$&hx*C)**UR?TYn@=1VXodt^r^{a{ZbZ;Q7|k`e4&Ic*~Bgvh~M zGTwa)L^Z$hWcCzlGrL}^JJK=H9Qh=DWH z( zW~|kFl&!9+@`~;SzsCMqsutFQ$vnvYNLj!Wg}oC*_LDe9^*9%5X@#XCuc+^%l&>-s z`>9gN<{QiIJQC3ofR1uTz4Vo&KAAXFYemd7OA`YS&Hl}09+z`2UxVxmrXUt}Mup>L z%iBO^v8!$LROOvgPZC7Sxq8He;Og`8?{@DH+|6(vX|1#Vgo@a4P|QM&9X_=o2fsOr zi1E$T>IYJht-MSQZZqmpP=6{oDlwZ$Vw+*J>4kATB2I1L$*D z!5VmA(-u0=cB!8g)#aN}u!nrzW5&*W-5z>DUh-9%^JZMZE9Dwm33}Hh0@vn73nY@u zjzp4tJ*GT4E8t-wzte;I&~}9&D0)AFP)K|OWvrfpEuhaU zzl%twTIN({pIM$FAOukgow0J)*YSurU6miO*HeKh*k99X_;77_7Pk_iGK9ps8CN&l=(u8vTh1a6b#*sP4XbG@Fms7&&@>AK=4l?B0*VsZ14=V{)#p8+C_7Z}o@41YF$KrK z4&1QpPimTj6T2BwlvG^Yrb&LPUn++1NcSpRlINhg!^6n@e$2r&e;vqkP6jv^Se$jL zeyct4Vift`y1H2O4NyIpEJQ^1JaQ-m;S zM63%ASDm_(m3Ing*&d*gs&G*BTq`obx0P>6$7UE!TwvFzZ5tEgqpQQ2G&sr=%jEl< z!CPs9Cyx=567?KKd*g(R{$eb@<;IVwHUYYKSc+i3Hax}3bZ~UAYsuOs?6qmef@d}w zlwRN;hC8Y28?;Hvp>8Sa47Z0JN?B~l-wtu^mu)a8j}*Wf4bnfd0ddoSr^x_bLRmQR z)v&SE&DxX8?fL#@bn{dj`gRgTbQN;2`9vfII>t>}{hH3yN@o$c@`K0sLqCV&)u-*F zcfJVNG6jYBw7oMg?U~*3Ioh$xYkb*nX>KSs$t_jcT4matx-yfat1#e7bvg;aW2sY_ z+U$F@JCxPR%UJtiS&~t>SoIy?k*&%XB=tNErV!LCIaan_*SS?}>^XVOlcFG3zq zC`+Rb2jigTc6Ou=be&39t%p5okBC9l5XJlDg;|*V0n)>(d(Kz?lfHDbi z=0f7QP-nDnpgaOFcMh}YB4{ilX-y)K z!8S1pp&Cfn#^KiW$kiBte~%}2S{tmx&h4V$Dh>1gZeMM(vqmgKG@VSMyEjh~%4(q; zBXG-MR8h>4OAqQ4!PS#V!eT5S9)FX8*8cg_y-jTRn8! ztQyi3P23njzR*Tyco@lWIw=7L>0lB!-kh+1qrC(#pmMACva}eYJ*I_zj+ zVg1A>%yXO%Jq@NBtoosj6MCLr-9l`oU-rUPsvFi-RwS*m&P5@E&P;=%BMb=x;3&-@ug?fKskrU<*3gROJF zBCbBzn;Tro191a-JQIFO)r)^t!pvEnv%hUThFPjt_ta*&mT-j?y<(^oxnXEBRW#a? zAPiJcB@o5wfbqN|bQ{4n*WxyU#CXMhA>&T_Fy_HAM$zQn*unO{)4d9v`_&CD?0$L8 zD)0t5cDYyADnDz(}(~Kfgh)N#q5nasBwC45+7$YrS zbLhML@<5*jE7aT z((d_Ylof!FbW&rd8IMyP{q}$vM%a4Jg?{1ey<9|yp$)&8H2#~&)TqN3u(0rwm?iW> zb(kHECGhaDn%5X(5w5`$n0)m~UTa${zaSG#14Q#wlQgU->DUHevVP^_f z$Yuu+Z1_H#276?G=`k1Ad5NygnHJlgVBFchV9Yl=MOf-|4K!BiiLEsvv_;E_Cb{A3 z1kzlvb3@6kncBc+G|FrsEwR`mcDZ^=ueWv<+UkqVS)6d&r#4&E>oFI^SSw^`qA0I! zr8Jtd_m`NS&*j_T$fPE6kMho_Gi`VKL?;2a>RZ(&B{tfW46%b5sLc(S+)P@O<3rbo z4y*>sGWiqF1}V~B6N1)`>3;-XkB$>LEXAe@zfjGAl$h7x*|EQ-7x{XI`rRX<&FRw`q( zqV7QDk|P<8$qjk0cNbIQcrzJhQXv4|8OJjg>ry1%T5lQ{t<@D)TTOoi<%(;$I{T88 ztKmNIl`mF)EN})8@%V*8WGxB{*d@&Em}@Rner$2h{mW*g)bJWN%%n{4jue%#NSZD2 z+IqvmXr=!7*ed=n(SO_H^HQWdemLSOX4e--Bm0tCxv<_5{dZ>MxwF&2*XqLJ-f6Kw zQ)zdEX&LG9X}RoQxncY%Nv3IWRuApd-L!Jti$9k5syV4S?A|Mr=kT1(NN=^ZzsB8T z6>sOlm+6wg-_r3u*fz!@{Oi&2jr($NP2hOUeJWF@0R)rFIXj&Y!@@ zNnj@)40f!HHCM+NV&lxwqKP)v5p0mf8_x1DOJ|LRX5+)0OQyvJ4zJ~^lNfP`i*SeQ z@N@V`X1?@qPP^H2cgP+&fHP-w8_?~n+`d&w;0}lG5XWtd8*FV!57o~vSZ}rc%oJGF zZ~%ok*fE>hKHE!~{QR287NG$$82Ob3;Z*ZdyXv*^9S+rWa$~-?b~SnuA~R+aZWr{r z3b|oW5Q~_gl*4oeP(^sJouqf1T!$U3mP_)e^4FU>aPURQI7~Zi>PJ6(@!#<$B?=+l z{#DM3!8y+D7WTk+8M?j%6%oeoamSxc)kIjDE zh{J@vHzQJ=;`j^eIRY&w8tNZAj|Q>{VCIIP=!p`kKN-6KZiQhy{(LEfZyyxALmHbn z%C#A!Ak`nEAuRZr7J~$^Fnkp%|6vADoo#60@jIU*i)*`I$(a4!FPQh;I!ihRKT}&H z-Gen!ZjE$p3&Nkpijv}+t!0w(lWcuBW1+emADXy-0aV@J!l4p2;~_2JA+dHXx(VrY-K2O3ZWSD6)J7 zjf8Nr2&~OE#^Ni2=td4kvG%Dt9b5`6spgMD+ThfdrcA7yAoSDLQ>uamvRK_zrO*C7 z{aFFZI$q-Afkl0xX(#hdMU&zK^)xhROf+Til3L7f0?{SsAj208q7X}$P)hW@mBgg$ zzQy#9SYv`t2iM}ByEGW=*eOnaV;HX8W7z_ZVuitSV{L+LHb{D+v$^B{O2JEG;5ZXj zC#IbL2e12Pvk&1`@D=l1OD;Ny2#S}0)M~3u%d*~8 zH4XEr%1_qRgIaf<*ZG9;FAvAou~WK>pnrLfC)CW}^MdxSccsl7G@-3y`#8svqW{qy z+pO#A6>FKela^i)JNi`)gNShcc*0U6xPUQcH;WrSwwP1fd5y|q(W2u^m_N|EzL0pc z5c>OUt+7#cWoG`0ds*+{*acg~2K9`erL2$$_k&YRx+)?D6vQz@bN%&E|c zfOzoLpDW!2W}>u&ufOH8beM|?a{kc2fJCl^&lZE|p_MzcdQR`S;Xl&_V<$I0n9Q*I ztAK1d%W)8^X`V?#ls_mE_*W=ioOQzqjU8Xc?0x$DFX&+pPU0iXMAm?~+keq=+kF4X zByOuNGKNv*wVHFu=J@^dPkiIo!+9PMHw=;v^Fho5XR_OcZfFN>_U=Ub;T{PPNl$Ylnh$y-;G-9 zr+2ln*ZU{k-$c^tXp0#gw6wC!M<-aE3=Jhw5pd9MxsP$3AU7F*yx6D28Q~Af02Xn%@vwFzf!Z$nC6}> zxh_Kcj((*)YjcwdPhYg7E3PWZ8>xdy$wEADhC1FA ze>?mKJ@Kk*;+}CTFgORE z-sJsa$zLd8ImIgl%Sk6`UagdY2|1@^CDKsgNA-KvQwU?`e2N6#qM0=gij(#_7K-y| z`QP$1R}864pK;Tqb>dKLCUG|_5^Gi%Fu{ylP?331hs#)!D)~#GJBOKAzFGSTz>~zN ziUoZGLtV_(Qc&PL@bSqTky7-28evuRtKg-5F$>^Aw!mX7bG?Kb1>=WNS1G}-&G<11 zW>v@nS&H6+^t+ha9E{7B53hY^V~v2QGq@hTm^UUvYrtjIND#hCwY+$ z5-FXrSR{$8#V6ttO9}7tX)x_C9(MQsbbi1G=IdwVdugY4m;XukAX8+taWGSN%_V<{ zd0XXlVtqcxg{AZnD*(!PCZ=@xr7U}puIWA1*05BA^S3H>l-x0n4D?a7EQ`A%j5KDt zCz1tt=5CO4F-mO~OLpPflt>h6&5UC2rqGc+lz8G2XM3f?wsfeH&4Uqq4_yfq&76)h zFMY>?jnnCss!g-uEHRVH_<*g6>9LrsBK|{(0ls|UB%Gf4^K%>KH`8xrE(`(hXPSTVV$ z@fEJe6l32e5)h8Q3l~20TgQCr*W6{==&9}Hbs;Kg^7N1z{p+zIwO80SJ?K;C*aMP& zmto7A+`AflS!?7{Ah{aMwOyO`9y5yxXv&``0Bti{NCUYM$)={v;PK5(rWK@4g6(7&4SGqe3??t6Z@Qkd`8R> z^l5zF?IzxXRBA_Fi=W&aHdn2YHv)YmluSjDXuT=qG=BCcxd{|cA383o=#x%%^WXMr z`I_E6ODm9&C}%Y&*sNLp`I|M#j52$D4E#&B_6yZ6vGsQ@T4xVBWn+`!H|_wIOBf2K zUH}I7TZn8C&HXogQv#y8qXb{&Q~Qy!o9my$vfev8K>c-us9r21zov5Z(LK+ zIc{d(&@A&6;N#FUhZ_9!4eU|!&Ef`>DUn4Ct4ZH;mB+`h0o)wp8u0me?KO}PKW+;? zlNCb>K8$uL41bi<#sO!&CCj~9#bo!*VK@fe-SsaXJ5hI_yOd?zqyALv%YON@{-X*2 zmDE>=1%6EaQ|1&B#Ra0`ZkIrXn7dY zK({~WN<;A3=imW!H(G7qc`EZJjS4VrKg{gPtUnh1UB{m{t8zBz7V3W9C$rWj{q*rP z89b87K{)1g#P(@@M4UAHmMPtD&it6M5?>gx_v4c>P~9FtfIREIuV{2nKxEg zGq@}K%Z8c@@PgNT#L{l}oc2EXD(I?j0pm$w(^r~z$;+w3>9E_|(`id#(*W*MW{#kp z*~H$q_ncpE(xb3R@ZN@PXMGPo%Lt$(0ZV+Y!fPq6dG563WrEY|;3f?C5c%4Ap1js; zDGq2&bg}XeCVmb-T&636nPH=UWmr__u{h3j`F{2t1l2m)McGYtKOsPSL$7C(bnJ4* z6@25sH1zlP>^#HI)&~*tXdrQ&V#9O#3{08liM0n$@t*(Tuqz<&SeS zjj&29@DCYZ!VIT8a2|@L9S12;P_u!3DjivZ z`1`IAzK8I9(5vHf2zoiVYhT^aiF2MDr)oMep&o2C2b3t zi*CI1so!VsH^w$QfBd?Wfn5a-DTjf)uiUaTg0gU{IFSPvr(QQN8{!x|DfsP~ZiiJV<$J6&v-C+w&dc@ZK zUk>w=&aPMrZQlKYnG?ie=xlk*4VjA4}FBxSCx6H`V8H3cRGY$28VH-YA46-^(z zRa)usT2DO!h0)ooU@XKY>>Oe4j3t!0#zzmJ>v+T{B-Fayzn4iq?4>|KFuD=*Kzfc} zYtB*b`_c1WUXziJSj(bx#2I?j;U2_gceXeoQR zQoNzLi5V{#)}gaY7E)3nhY(TF915eI(jpw|IoOz7yC)VF!nF&uE7L!<{paWZ0G(Il A)c^nh delta 13115 zcmXwgWl$YF(>4_M;(mYv#oe_9io3fPD9*wC;O_2raCdiicXxN!^7Ve7`7)E;B(r;E zXLtW(lWZA$n#CxCMC~9U{%d}$|HmL)zWk4Wvi*;{LY{kBM*JR0RBIq{Em{h?iCEH0 z4@8-AHFaN9-cU_c{g@@-;VUcU8+x!eahi(2Eo)A6Dm$Ik;gre)0--Z(= zrT9vBGmF4Q*LD+LciU=mx*Gc>fmau2==ABt>F1+v*XJDVl7-Ay{0 ztT$81WpD#``$I9S&;*~i{eSd#wY0P_?QN|GA~`T^7tQipi$>;Pav0YTHl|4)k%xSo zuC7@^+h-&Fh-@N(>Lc0Sx_WwlL*E1ye||u8y+46|Ja20cAM8mqq66SiY8F7}zaFLs z%zJS+Kfg+vXHw4GNQ&!}wlm_`u4`oIYiZDj+JiB_`xdif#!%68Jj>OkhuH98O^{C= zEv@b{TZs?V9J8lKJ`(25@JF5Q785RMPBu2<^#|vz@E~B$*Z`aWu#(hx1wHr?yw-}F z>nrFLq$m9lD%^~P5}PqJe$OBo_O?v5Ci18}v1J@_$vZ6luO1XJzT6hycYthR(E|h0 ziaNHAX!wH2BtDpViR{tw+xH2IveOuu&Q7WlzpK{x8DTG+>i{sB*9t_OXB%_Om&H;d zt<++SMW>0P2wT>ahHV?%m=~8l*(hhkz?!*)d7s!KYf0A*d=jxR!ZeW5#38Y0{4#_u z3%6&@qQIY}_+m=Ye9w#kGB!}(wHKypcqzg_t7(<&3537Yz*WwI#jl6$9CXC@QKB#5 zYzNbXySshG4qq0(oU<#LctM%K^X`tTctblwv8=4yd@(FTLQoV2&X`n`@($cJa--~C zDk@w#VqV{Fk0m(STXQHvJ0&;8FXS+Y9r-5my);8I#qdb`DER#X z{s8nH!%}c$G2G{6^T&yUGD$7!ne-EOKTS5o76~VU^A-gJP~zC;7}xbVyO92TcjyXk z901LMAcabise4IaOgs$RL^L~z9i#girP~qopON5&SQL2jKgU5sD_3lNkcb~t3R;x+ zhQUUA*m#d((cg~4;>X2OtQym9-Ys0Q90`emZ8Q8oqg4oF>a1)@M2+S)*SCJ1m_T z@(n)I5xFGF2tB0AX3<6(>5BCL4=we!?s=iZSz1fShckpiVJcgEIMT2G%eSU%k!f`p zf9Q9fd^fBM9%q7mzB=UYfE6|jxO$wN`SeO2^*$YluTI^cDi@gq7E}^`V&ql^VhKIb>8*N}`5tbdE-Hh|jL1H4FZAKJ{y4ccmlfUarBWLKWrFxt zHa9L2N!zG5E4qdd9ZJ=N+^NY8nt3SLfbmR+9(e>}gG9v8>5v$Mz7CvQ+$ygqFjpFqz)i`0yqeOv1t4 z98oiHV4KkxOiVI%F2GryW&ayTb{bGsxDs_@`Wg8 zS-QxdsIAbOa>bk9e_0=56iEfD5_XIL*Gu0FUDIab83=gJU4)VvvR^n@>G6GkI!!^0_h<`vKJK_5E>8L;+~W zFv!@v`()YD+!GaEnXlVc8>>%J@Y**4c#H}*{SoW1z}k2etpYYUPUcbn&=!#?G1P-4 zFlQ63I3!$Af4DO$44U#M$Xbw&W_Z9PPf}n`xzE`=_ygqPFC3#l=U{Z}wsIE$b&q^& zC7uQ;w_eVLZ1ziVSa9^Zu%%q*UV(%<5yRysW_D23APp%txokRU^jh0G-7r5N!Oa_P zq0p{_H5q*^KgX6hYzl5uR48s2`48~4T!hR&vw#}4$XRkB4(Xa6p28c~`K+QZ*9zy$ zU^U}PgH@r5!)yO|d3(94CONo{DGcP@s29$?xSjr-E&OCy;E-oJaKz4N8g#|8kC9lT zG~^g=Q-)mtb$>Gjb^3*`MCgG2)jDVx)$Z1nb8jX>+^v>H>Rl$v)Sf-8eZOaN|IhdT zExp8(<8io-58taf;!*mG724;^EsanY0AM`~gA{C7@CS>8w#@j6Dsy3PqPI->Gj6^b zG0Vufcl~g#iKW#%{SB(TDA8NNY4UsSjLDiOiYD{w*mL&{U1dn8^v7&8p>J42IDKk) zuQw}xM-?m+4SV*H^$1OywMIJc2`IWlsWZc*!$Vu{X=hyeATeMfc?|sKo0ehSSgj#% z^9Krpo>!*d{Ek^h{W5TPpQshXl%nNZJZ=_{CYsIWJwlq1>lW0@#&`f6jLZg(9Ej<; z4i@lFHs7!@wyX~$O*JPB;d6NpQYI6+?cgWha_2RZG&7b@SCU|{4f2PC7W?IE`@^H!*E5V@@}?9?@}`+Oku^AEX;mPevKHi!k6iRYYW1In9d@?x|xPgScv zJ-L4O=}Y8TDVrn$&+0sR0_#eh&B;Apr%4w(TK3w=BSQzYkr!LzAKIS)+QUb|gN%R) zJyZUa5l~M#!}xuV4Ataopr#8q8-l6n%s6{S>QEh7uhnmMLi`CavAWoq|35tX^E-eH z@lhFQYT%r{dCn=YiOt<6TXn_Rf#guFyDX+B?7#wd(lB7-8ulOk8SQE&S9tCX{fLS_LjTelvavSbWCU7u4=j&v14L+PgwQghOLeQD7j_qwe|u;j{N0 ztzhwRRCWr4*v$~E8Y13J-F)a{1f2l03b_BU16llK)wgrjhfBOpG_W2>*KG@4ttd?qDd0;bZ;54LN_f2+Z#Xl_-fr{B=g zY-zEVrDvdLkRo#6M|mwf9pzI5RfNsXzC&J?v;|ul*?npJqS-xVbaLB!APOua++kcS zn2pnDb~gc@gta3yH_;ry=843jpFiR zjoF}d^pY&j8n5=;l_6!NCGzrf1qFTre)aVN>LfetTWrYv-8c<;h7k1tH4}c8$|98U z83|0j$<4)#}d-W8!VH*{mRzA=#(!?iL9|93sSFc&9j?FRsg>C-Dsj zZC3EyGdM$|fZNh+b(l+#>dvDZzts^^0bMwk!hm$RmR(ZSsBNvAjeV*C)t@bOMU@4w zB@gU!5Kc}8BdjxYU?MOY$x9XR%WRQ=Z)Utz{?9PAmr;8$p!7bWZuId`&dJ!?rAw`& zLv^#Qt*udCS2eq()zHmt=XG?nVW6yFcEOS^8MkV;Yj%Nfj1CD@Fgxo6Gb9>&cjwY1=uBEior*yW~bv1Q#)O4wC>L{O# z_}mTx&>%KfH)mtrsMlRtH*?yW8nw%8Y-u7$2GF$I-5uih1KskN2n-uqyE^LBH_E*$ z+TpC;JS-FWv==~Cn+w0tZSmDDXxr(ZDss^K9~71p0FP7D=WmJD^GoS2O|%3rhf%%# zj!RB`lYKjb(w++yI-YXj4)F(p`l63~YqmADTbpZD8yhPtu4bQ`kAr$Ij|8-qAeW2B zjmX>0$I84U9co?14P{$5iH+weR{xC)t|eNJw>6h1=S$G#MU|S%O=HIx8^^T*Yuc@+ zl#^shM1})!Kk$>{Cs6fxGt+BvZSmq`VdJ!;p~4HlNv&)Vix%1Os4+S!Y_C8?xXu{} z)x2;(QTM5*wYjVrlu8$kESct{gAx|r4vY$oMX~^AGB-0_n#UVrg5exUp2nVwv8+vw zDz~khc0m}ggnBQ5XM-H96$vF%Uddc!1ZXh!hCAOsm(rpN^w=+aUhC_tsX1-Qe2Pdi zzFORLLfetmJ3f-PyH5lw_$xz=);{cN&u_TsRcNgu=Qjy1lUc()VCt06Ub3%ca$n@H zXl|$JX*U<4NKf#VZV6Y46W=ok+;R9_U@7i^Ge{63byMF~RjHuMQcp!02 zaga0)thN5LSfL-$hk>fqT~}R>sfh%4^PNtYm@nMAbFrfCSbQUBXE8zhl_ zt$PMu!f#viH80JWtPJJC!-s#vW`oqCYoWi$Bhs-u+ru{Q9R#YYoO=J9fck=H2>B!0 zWz(M)JFwLpV}w(83Wt(rCleH|Z5Z6vI1h*VND(Hm{;ec${sRKP{J`J2 zZYD6%f<;Nx`WIYti()i%F@qtDu6Itg0_>Eq?vvGgzD#>yI#hh$%i1hLKS8ov8P+|j z*To_BrM{)UqDTVWgWBG8nEyg;Ht!>MOBQs|CeeYYFZ0>qQo8QBu-|S3vnh^?8W(7t ze&xfxZro_{(1KA`L1oP7u2ubkSGX@91I#}@wPzGM2NmJrdW9aee>*ze9LmSFFo285 zhTmGBPnooGTwh{Yik~iopY9?lqEyJ9PnDcQg<06H*h|9SIL~;eDVb+!Z>{sMUQkOQ@NV2~P;(R2&jw8vqClEdQ z$#fv1zwnU^)o~nb`ljFWgCF%;SnwLcL@1rBuZdH05IjfW9lXT!O}LIa$N_cNAa^(; zt|lr(2?`d0F;xKN-w_^)K5#ot_)`+;EfVbihKhw$LK!G4M$R5bbt=>bFaRkR7xYM8 z*UC-{hM!SMve`JV+j}voYfiwl+=V`9Q+7+Avf5j-h^wq9pMy@8b1t(gXgeAdWZ+@5wmCH$o&Q zmM)YHN={mWN0@!Xd95ibDOQY}BfF_eI8Q}88v4CiIj{xQ)lpdv8!V$$>c~_wB(#oKQWhyIJD z^dbh&XuhM;=_Ut6S=0zMdXn@%T$@NN_0sd|h|QfEt>WEmP%?fOdD%ngIY!umQt7Vb zrNJyl6*I$&MISz@>;9rmabJ$$_EjU#A{luxRy|IkLTR}SieW*gnX83Uv4f|Loy?q7 z6?7&r*>3ps?4tD2C+zcF3%ukL$uTSAsaGl==f zOPAsdzxIH)ztjKKc$CG)G!eZ_=prfhwA4vf(soQUyQnxXFD|T$CDOrjtBX<02o>Vs zd2U!VmB6S1d{dqKA4w^KkzIK5VUILN^2M{@AR>X0s#-$1je>k0uNOqU#ocWP@S2*B z2|UWUo8colzbG=QXpclJ<9OAsV?3%zMh>m9g!7}UrvOOh?!>DlwrH(pbOps>y6ny< zEoZaGplrYcnvdcq<*-7s+Y3L0vmyI8Gg*Y9csUlILuN%QyH2^CoCNEU8o$rXfOB@g z)(m=_uq|OGJfD>!43?|;l{B}VZE;Lido5F48-C=;RpB~e-7=Rl561vaxpXHj5qy9G zvs1~*w*k<3kx`)35D)mY@m{ic&s2tdbxl*X2HXRLNI3ld!WMlPa6p@?!v<}t_s4f@ z(8>nlNt$~3`!S<=IA4#yf+vGanmM{Ky5oG7VWMx|95#SUrqy}-T1p|*j)R3lM^m9h zzdt(8?=LLNF(Kb^{XC-w93{bh+k>(!eG_%>1zfQ^GtM3 zs$<`b?AH`W{Jvbgu45(TjYe`@!*u8p2Wi1_p&DV%X{?#JbR)t|4>>*w-CV5WYNgo= zilY`{f_21&z`VHpO+L}^DiQTens%NUTZw#9QuKqpJ?P3Cc%z{pLZV-h#STfasp6x; zGYp`*;z30!KZjn{8XSTnT0Ud}cxS(i%HkedI*Z8b+-2E|5t$D&z2&h>l~OB7_?;2Y ziS}v{*Kh|FzL=DkHiiiKwQC@OKNnQG+YTafMXHU{+MUvnN?!hEB=2BNs_#_09X%!A z_oI8jghtax>yDc~#tQ#}Jzai`Ry-Vr5zo{`Z&L+vb({Yz(r8+XAc z3!^GtQ(3^D`%bu2mhoiRqhZa0ly8MFGUN)^^VxZ)J5Jy-WLVE3CQ%m@$4d$QL|Weq zJ6`sT=i|ysIo1yE`M|9B{^kSz?rAxJW#*PQe#hI=YI?C~FWM2C4pvadaQ+Q@t$?!9Of`NhNQWLx!q}N|WGtThw?Jx;P z^T~Bs=~2>@SZgaLm{-Vhl94KNbpwQR51CR{K zgcI1R_xmrIJn643Z0G)hg$9qe#K?{1k^5=HeBv8;W3@zVKAkqJub1ouc+QYeY%X2}kC_%?RapsR@E#Z~mI#st2gpj$ zNM-Kw>)zVmGxz#~Eg1|Gbbf$B!L})h7D{h!OFRO6{^C5Q(#gKTruDd;>8Py~$@aWN zjbn)1AqZOw*Et@ZGA;YQWR&{hHd!K0a0HG{#1KYJ#KD2 zkEZzPiyY~AQRaNu{HNZ0vlg}%G)b9gl?S}LWWzKrWM&?NVy+#);U#qBHxb9fK=LNq zk3OY6&VASL!rXoz7H3dc>x@++JLfIXq^JCBxD#k~(ieQ<_aekCIX@fb>~5mRxFh`% zb4I^<@ggt|at3}+X1z8zRWWYnZbmk4YUc^ix1zz&=?w$tyM>|8iUcBUT{l&1V z@(m^~)Wr@3I`qlZHFQwfC{wt!Uc|p>r;r#kzP#8C%CrKwTR~dZgH=wyU?vm_M<}D9 zOd6IX&Vz!F7d5OGnHP0N=G_kiqdYP=tF3}U&*S{vXRZ3Wia|%0-4;MRVjies|2v}7>&CaF8X1_1TMDa!YKBsLP%{jtmXPI6FbkcL&!PIY zZOT|esldgg(m-ZB{Ts=2cU4A!skDa8L#Ne>!S{l3mPD@?v#>NbLuqzh4?c#VlNn+mkh8+X3y~eC`gXF4wrXBBOEdaB*qAY>>De@{x9lRK`Yg|f%)APKH?U9fY^p|U}Eo8r$b(E#6^Us@VIKSns)%B z>?D2u00Adj&*-wGS;mX0dJTVY6Tx@28c^0PvJk(cM@0~^)zLgdjAbe_bfl4YX1rx# zev@7Y1~IbR#o&QN?czzEM~~yyMTIJih&TJ1#!t**7bE(hUA=<5IOcSJ8|^uXfVJ5> zlP;}qk6%j?xwU>EbKva^T?dnd!{XlRaY7|wP7hP|qSjr7gCRMBEr7tb!$2l)~+&k>#>)-)DkI#i6 z&xjhyT6?kcB5xTOvUx@db}kv$8LQt_d4=7ZLfgiKG-l6VHBP(V1sZjVhZZiCs6eFbO*m{nISQF*!zN9GeFySt_yP*DpTCBdS8Wm{@|kG&$Y29pS1HirM8Jt z3oNF^&eH}^DN55h*D=%G$yzZwKFhAQKrZKIw&34U)gh}tDwu{+U(ip!0b39@z79nm zLLOeRA_yX3pqlf{~JC z-@?sruJCrci+a6APN+9{+GTalxMn|1aH~}ByuDYap#%sHn1Kp&ZETiGBMBT;Jo4aTm9DnLDy({uTWS&xZvx81#MW0C720qux=TWmhR56OBE_=# zZfXldeGk9|cu>BsT$DHooJ51>oo2#t3ONDxntwJ=P~}=O%hhsH%+A$fIHe*{Rk+(O zqV5*`xOB^p1`+%i$isQqi`L5Lf2P4{IBx$5IQfTEQ!ILfFUFt*c*hFYa@_nDeXqDz zq%og&M+(OI2TvfHXnI{?HoPycnGNF++IQEi#K;YbrHcKhD&l7%xkNW5CFzo#%Ddr( zbE+ceXOwl5dILSwkmryLrp@MmHm4~-KNKdpn+4em{CI{gRL#D9Q9d{&Hn+ZJ<<;UR zuS~KtNxXIIG-XypN|&I2L>&8^2j&zPg%Y{)n&cEiot_;0RP36c8}rS(EG?96H8jT5;3gM_8)LCW<0`$7X1Y+1JEE|~39lB_e5nmj}ASCj|` zUIszS)1isgAZDonB8+hPEBNsSSY5T;n8U2E>u(LP&bCYTAUDX?gKW_d3~Wz`9D7WT zx$B@^*w-yNc~6K#Z*@X98Xm0Z`E)zNeJr=#3WZPH9~EF8XaBaPr)p$3{KjBn!j_d` z+TVEgUiZW!4+!QAX{ii1bfASmvXaC5`4xnQt9P}X$LGWu7jc`B`7_S7`HPp4I?>;1 z{xU^-%>r`mS9uOnApRwO<(jf(796}BTVKoPiNGbIu_7q3&mHHVGud#(%9$BY=r3nEqr`%UvcJlRItldyy;nH~qG*3IDhY&u zvCXYq&(D|0hJecbx7^!Q#t*{m>jAT|pK7am&h{ovu(w(fyI6ayP6tR!)>Ne5 z_}ugV%;V{oRoYgcWxA?RQ%zEdjn4j}`xf`5%fi!b%8J^P73+938S7b<+%>1o+?i10 z`8oP4x-USe!(>s$YX2xyph;`;obmHh(ID;QwWVG_%qKq%% zP%C?@F`^1Ltf{%hM=(#t(gLyab|9EfT6r#WOv`1pb8M%-{}nWAqU$Xkh$8UP>G)5x zpyYvMta36#>$B$kbo}4s(3H7R0sMowB}3t}X7_m9ggEO9cGRSf^B@T?HzE_j1U6W; zIxmy8mX`=_fqUAv<0ukvr0HS^&?f%2W&JEVxNh9yDK5N%}1Yt6^9T!}tC^bgbm1 zopscWQjyC8H?Nw>nx^{Z9>r(uw4D|YVKYF*ANqg+vQ0eZ7(@@vteKU!rN*L_e^OJJ zao72n)H8M#pjG=g#Dyxk_rfIOa_-^~XYp13GZW;hlPbproS2CyoXV)@<{bo|aFfIa zu<#o#5y6I(1cJZIF7d$V-Q&kK4td^YMW1=W=J!DwcUW1P-1Ltx zpG9S{;{=EdQ3s9T-P&y^CJx4={PR^~JFi|g3o4UNAdg-X3+lhv5mg=G1tW@^5rB;l zLH0YxGRErv;w5gTH+Nz3FJOxWno5Fhv^Ly#yo25UAzZd|W)%pC5w`3c%NZNX_~JqZ z?aFsDb>un~UUU(o%9Jy8>>Q6RN?0@)5a_xFWQV;~J zOxYG>(BsjW)Z-aHpI9is&M=Ht=O38GK&0y$5J)u*_!XX9^+PHT-C=j2O(&kw;vrsH zr=RvQ?)Vu`yEr4fIUGA7rMds(#-JCajbZ(DT>Eq&6W-ut8$ihKHZ%+q- z@KDNraZZe~L%gs;W0En>g>+*8K_Kxv7GAzgovik5> zL6&@WKNUr@k>J{|sXb{*i8wYz9)#*oh5@lvXTMjk^{MnhFGS$khFBj1*W(x&PBgud zBZRjPp*j5#{8n3shgVZOh$i>2c`K)010(tR$O)S=z19r-n|6a`j5$&$9*!-2i1ag< zMFI7zp&n&F#;t}9t6NNzi4H_ZdvQE-UI05~d~vkWVt=2hJ;@K(Vcqq}55Vc!_rDk5 zFy5;XrrHDU7G+3tCH#3DRrzw*V=~W-rEDR+v^o55*pVx}>%S-vLH@xxZ$jWX0p5+F zhsB-0!pm_7XQ69VBIh>(g8G4-naamA;RnW;sivYYJng{n;*AB#g7J!i%)(;!c!guB z0;j`G^Fb}Agd}o=1Rmi+u80Hw+i-`^dxM`xoX4I&lP2|=GSP+(Q#X$i(FU2C373hw z_^_sl^wQBJ1Y4U>Kxo12a7C!W>{JxU!R$xy|45PTKVqh`FLH}CR%y#ig!sqiHvo}4 zLn713kRZ5D$(U$T66H@61D+OMUDFQ|g+}J5+dfZ)MmP`k7lUT*4mVroj1EK3e}spX zBud|T?1Y2KiujaB6#BZliM`F3qPPRy^ec5&3s)_QKgfwGkN}zv|0;`+7d~anVv=l1 z)IfF)4_aD~duad*f*7*QToR@_tx*MHzP|8FPN4uc1P4PYM6tOt%+JV5CJ2lA4^jnh z2ita;iwYJl6xT%yl$pvVh7BEqc}VntA-&2ma19b# zC9De)8U&rhF8Y(2^XKCpqI^kMmw!@^d;?( zA8VTZFxRJ$1`UQC#f>`0e9#{g5WXWOo%^vl7NhVzuuuA+2q3+?Dm!Y83eS6g5r5V1qrpS$e!kQudoE)?hysw zzMhF;1y3rxIn+b!lYbxrNu$$PPL>(#&tk|Qq`;b8OugLPe*$RHm4{>rIU55k)jhSm z2Dhk^U@{F)-HbHbUxP_JW3ojD342Q8%9XC)ld=M2I8W2;e%cc`p(*|F)~mVC7y_$L zf~M#QZn&6`F2q3h^hyY06M6X|uJ`oZqiXVcG=rw|mfwTdmHuQ;fRlICz4;~jhX*MI z>TY=gHU^)AiZcfu#{Rpl`Gu~PZ!!x8XYn_lq~&^^?k$O1e|*O9{t|R^i_ql&nUii2 z5p#;MFoKxtZ3bT5;r3oFL2aMTsLzi2Q9;|=>^C)n*N^)%@!7A>Rc*A;j?}Wu zPr|FD7fbq5W#hdcRPw8!@J$p= zki@I&#P`iCi#t7iVlSRX-Ws$%wPHYUTfji@6o?g$(jK3*Wc1SZpL9&{)RqtiQm(LU zG|}_uV>G|pJDofr`(gs(N)Z2b37{nf(9*lTe&GXXEehCy#-N^bR_V009itpNLES`&zm+w5HSsMfVeL226u)J7fUu*eP|C-H&roS!@5^#DUk8XdTRuHce%wCTb~bmN?wsh?=NFQprDx93C|9>U2|V*# z#Uhzo(PFzF$CBlM&gKTTp88*05BBc59)?$&1fXB9KcJ$C-Xk}Kw?Fh1 zE$ejw>7F(29w`5zWtIZ-fr6&f7eA!`)cqljw%+wLzori22Lc+$J2DOpEvJL%CpzmF zlmF~^dRb41gfm4C5g%EynFAR{^g0f~l&Vg0S|mijYO6Y4w!;QLl&OAT){9tSvT+^J zT`dXx+PbSx1)Up4pk-HiVKD^%lX5)>r%Su4`Z8_*E70@4<76kis=c$mvl%!W<$tx7 z)!M#iUJeq>di|5t^RlL>xI!FU6hCaN^>7vs)L*YZCM?$GZP5f@2OHk_Kz8)uUd>!bn zpdSCLH-P7q+x3gFV7{NY=T5 zg8E{Lo;t;GHf`Z^UO=YN-O_WzzWk@^k5;X?kIMcDQpnZdbW=T(O*vtz?rP%dRr6z_o3yfC+5?PkkJ#v zmQA;uIJ-U~^}oE=sXD;)`Dz_~n6M60sz1l!kH79D5)tv!Zkq;@1N4IWi7biF^Q>I* zTEF=XbmFqN=DK?Uejt(|LRK@Im!YK|;M5)r9VvPrNVh-TMcei}r5tq+fKI{E=dWVkmzWnF$=Q{j8wZKGQrjpHzN( z@2=;QTtzXA>O)EWjZ5QeRd!EOhg8$+T*&&qg8L=*<+{PYC*omP17|m$8ohq%FSB@T%}!% zXsM*mF$`~N&lny-8}iS?HTgL&Z|`o{W5BYiF}@z8hb&vIX69@Ed(>X%n#?zB*#i|; z|HP-xk}768YqxZ_+SWrejKSz-ag#loC|lyFTz6pXs)+-qD2_Sx=&B2{DnICAT(0WB zmn#-3@f}y2*J>S2CmpjfUCJC!dD&GBuX@Vt-!~DLHLRs00enCC;&|A+dw7f^e^~w7 z;U3Fn#d{(l+OnDrpD`_y*^7sf$K*Gv9Qw=Yez$sXhD;C^LA+r@(k0^gSVb~e8r7Rb zJo9v7e`#oD{Wj5k)TIwpJFdJQ_mP&qb!zjkUOtN$pr8)7Xn-b?iNOLo;)plYM`29u zfImOOWwY+B5GKL&aLZ5+&9gz2Iodr$aSMy%-HM0c_Q{30S9l>f3jk zjlLRjZdrD$Ffuf+v|`iN0WA`)!cnD78pD$!gjOCCIn%9~;*(Kt&l-}$WGc@yWuzsY z-s3kJi$TU51C*d_+sP-hBksmX$v#UjWSj56ZzG6LjO8oK9E!Bu*< z-@bgwW8|h7o|_`BOvv_y`y(?k5@$~eg9Z_zRNRE8i9ZQ(Futl~tl`5xO}uUQ;D92I zpezQcu4U$w)~CtA5GrO3QH(J#`)$e%fpzHMm=O=n{Daux%R SA[0]~reg0.CLK -C25M => SA[1]~reg0.CLK -C25M => SA[2]~reg0.CLK -C25M => SA[3]~reg0.CLK -C25M => SA[4]~reg0.CLK -C25M => SA[5]~reg0.CLK -C25M => SA[6]~reg0.CLK -C25M => SA[7]~reg0.CLK -C25M => SA[8]~reg0.CLK -C25M => SA[9]~reg0.CLK -C25M => SA[10]~reg0.CLK -C25M => SA[11]~reg0.CLK -C25M => SA[12]~reg0.CLK -C25M => SBA[0]~reg0.CLK -C25M => SBA[1]~reg0.CLK -C25M => DQML~reg0.CLK -C25M => DQMH~reg0.CLK +C25M => Amux[0].CLK +C25M => Amux[1].CLK +C25M => Amux[2].CLK C25M => nSWE~reg0.CLK C25M => nCAS~reg0.CLK C25M => nRAS~reg0.CLK C25M => nRCS~reg0.CLK C25M => RCKE~reg0.CLK +C25M => RefReqd.CLK C25M => IS[0].CLK C25M => IS[1].CLK -C25M => RefDone.CLK -C25M => S[0].CLK -C25M => S[1].CLK -C25M => S[2].CLK -C25M => S[3].CLK +C25M => PS[0].CLK +C25M => PS[1].CLK +C25M => PS[2].CLK C25M => SDOE.CLK -C25M => WRD[0].CLK -C25M => WRD[1].CLK -C25M => WRD[2].CLK -C25M => WRD[3].CLK -C25M => WRD[4].CLK -C25M => WRD[5].CLK C25M => WRD[6].CLK C25M => WRD[7].CLK -C25M => DRDIn.CLK -C25M => SetLoaded.CLK -C25M => SetLim8M.CLK -C25M => SetFW[0].CLK -C25M => SetFW[1].CLK -C25M => DRShift.CLK -C25M => DRCLK.CLK -C25M => ARShift.CLK -C25M => ARCLK.CLK C25M => MOSIOE.CLK C25M => MOSIout.CLK -C25M => FCS.CLK C25M => FCKEN.CLK +C25M => FCS.CLK C25M => FCK~reg0.CLK +C25M => Bank[0].CLK C25M => Bank[1].CLK C25M => Addr[0].CLK C25M => Addr[1].CLK @@ -76,7 +47,8 @@ C25M => Addr[20].CLK C25M => Addr[21].CLK C25M => Addr[22].CLK C25M => Addr[23].CLK -C25M => RAMSEL.CLK +C25M => REGEN.CLK +C25M => IOROMEN.CLK C25M => nWEcur.CLK C25M => RAcur[0].CLK C25M => RAcur[1].CLK @@ -91,10 +63,11 @@ C25M => RAcur[9].CLK C25M => RAcur[10].CLK C25M => RAcur[11].CLK C25M => RACr.CLK +C25M => IOSTRBr.CLK +C25M => IOSELr.CLK C25M => DEVSELr.CLK C25M => SDRAMActv.CLK C25M => InitActv.CLK -C25M => CmdActv.CLK C25M => InitIntr.CLK C25M => nRESout~reg0.CLK C25M => LS[0].CLK @@ -119,18 +92,25 @@ C25M => nBODf.CLK C25M => nBODf0.CLK C25M => nRESr.CLK C25M => nBODr.CLK -C25M => nRESr0.CLK -C25M => nBODr0.CLK C25M => PHI0r2.CLK C25M => PHI0r1.CLK C25M => PHI0r0.CLK +C25M => nRESr0.CLK +C25M => nBODr0.CLK +C25M => IOSTRBr0.CLK +C25M => IOSELr0.CLK C25M => DEVSELr0.CLK +PHI0 => comb.IN1 PHI0 => PHI0r0.DATAIN nBOD => nBODr0.DATAIN nRES => nRESr0.DATAIN -nIOSEL => ~NO_FANOUT~ +nRESout <= nRESout~reg0.DB_MAX_OUTPUT_PORT_TYPE +nIOSEL => comb.IN1 +nIOSEL => IOSELr0.DATAIN +nDEVSEL => comb.IN1 nDEVSEL => DEVSELr0.DATAIN -nIOSTRB => ~NO_FANOUT~ +nIOSTRB => comb.IN1 +nIOSTRB => IOSTRBr0.DATAIN RA[0] => RAcur[0].DATAIN RA[1] => RAcur[1].DATAIN RA[2] => RAcur[2].DATAIN @@ -147,6 +127,7 @@ RA[12] => Equal3.IN3 RA[13] => Equal3.IN2 RA[14] => Equal3.IN1 RA[15] => Equal3.IN0 +nWE => comb.IN1 nWE => nWEcur.DATAIN RAdir <= RD[0] <> RD[0] @@ -157,33 +138,28 @@ RD[4] <> RD[4] RD[5] <> RD[5] RD[6] <> RD[6] RD[7] <> RD[7] -RDdir <= -DMAin => DMAout.DATAIN -DMAout <= DMAin.DB_MAX_OUTPUT_PORT_TYPE -INTin => INTout.DATAIN -INTout <= INTin.DB_MAX_OUTPUT_PORT_TYPE -nRESout <= nRESout~reg0.DB_MAX_OUTPUT_PORT_TYPE -SBA[0] <= SBA[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE -SBA[1] <= SBA[1]~reg0.DB_MAX_OUTPUT_PORT_TYPE -SA[0] <= SA[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE -SA[1] <= SA[1]~reg0.DB_MAX_OUTPUT_PORT_TYPE -SA[2] <= SA[2]~reg0.DB_MAX_OUTPUT_PORT_TYPE -SA[3] <= SA[3]~reg0.DB_MAX_OUTPUT_PORT_TYPE -SA[4] <= SA[4]~reg0.DB_MAX_OUTPUT_PORT_TYPE -SA[5] <= SA[5]~reg0.DB_MAX_OUTPUT_PORT_TYPE -SA[6] <= SA[6]~reg0.DB_MAX_OUTPUT_PORT_TYPE -SA[7] <= SA[7]~reg0.DB_MAX_OUTPUT_PORT_TYPE -SA[8] <= SA[8]~reg0.DB_MAX_OUTPUT_PORT_TYPE -SA[9] <= SA[9]~reg0.DB_MAX_OUTPUT_PORT_TYPE -SA[10] <= SA[10]~reg0.DB_MAX_OUTPUT_PORT_TYPE -SA[11] <= SA[11]~reg0.DB_MAX_OUTPUT_PORT_TYPE -SA[12] <= SA[12]~reg0.DB_MAX_OUTPUT_PORT_TYPE +RDdir <= comb.DB_MAX_OUTPUT_PORT_TYPE +SBA[0] <= SBA.DB_MAX_OUTPUT_PORT_TYPE +SBA[1] <= SBA.DB_MAX_OUTPUT_PORT_TYPE +SA[0] <= SA.DB_MAX_OUTPUT_PORT_TYPE +SA[1] <= SA.DB_MAX_OUTPUT_PORT_TYPE +SA[2] <= SA.DB_MAX_OUTPUT_PORT_TYPE +SA[3] <= SA.DB_MAX_OUTPUT_PORT_TYPE +SA[4] <= SA.DB_MAX_OUTPUT_PORT_TYPE +SA[5] <= SA.DB_MAX_OUTPUT_PORT_TYPE +SA[6] <= SA.DB_MAX_OUTPUT_PORT_TYPE +SA[7] <= SA.DB_MAX_OUTPUT_PORT_TYPE +SA[8] <= SA.DB_MAX_OUTPUT_PORT_TYPE +SA[9] <= SA.DB_MAX_OUTPUT_PORT_TYPE +SA[10] <= SA.DB_MAX_OUTPUT_PORT_TYPE +SA[11] <= SA.DB_MAX_OUTPUT_PORT_TYPE +SA[12] <= SA.DB_MAX_OUTPUT_PORT_TYPE nRCS <= nRCS~reg0.DB_MAX_OUTPUT_PORT_TYPE nRAS <= nRAS~reg0.DB_MAX_OUTPUT_PORT_TYPE nCAS <= nCAS~reg0.DB_MAX_OUTPUT_PORT_TYPE nSWE <= nSWE~reg0.DB_MAX_OUTPUT_PORT_TYPE -DQML <= DQML~reg0.DB_MAX_OUTPUT_PORT_TYPE -DQMH <= DQMH~reg0.DB_MAX_OUTPUT_PORT_TYPE +DQML <= DQML.DB_MAX_OUTPUT_PORT_TYPE +DQMH <= DQMH.DB_MAX_OUTPUT_PORT_TYPE RCKE <= RCKE~reg0.DB_MAX_OUTPUT_PORT_TYPE SD[0] <> SD[0] SD[1] <> SD[1] @@ -195,39 +171,7 @@ SD[6] <> SD[6] SD[7] <> SD[7] nFCS <= FCS.DB_MAX_OUTPUT_PORT_TYPE FCK <= FCK~reg0.DB_MAX_OUTPUT_PORT_TYPE -MISO => WRD.DATAB +MISO => WRD[7].DATAIN MOSI <= MOSI.DB_MAX_OUTPUT_PORT_TYPE -|GR8RAM|UFM:UFM_inst -arclk => arclk.IN1 -ardin => ardin.IN1 -arshft => arshft.IN1 -drclk => drclk.IN1 -drdin => drdin.IN1 -drshft => drshft.IN1 -erase => erase.IN1 -oscena => oscena.IN1 -program => program.IN1 -busy <= UFM_altufm_none_0ep:UFM_altufm_none_0ep_component.busy -drdout <= UFM_altufm_none_0ep:UFM_altufm_none_0ep_component.drdout -osc <= UFM_altufm_none_0ep:UFM_altufm_none_0ep_component.osc -rtpbusy <= UFM_altufm_none_0ep:UFM_altufm_none_0ep_component.rtpbusy - - -|GR8RAM|UFM:UFM_inst|UFM_altufm_none_0ep:UFM_altufm_none_0ep_component -arclk => maxii_ufm_block1.ARCLK -ardin => maxii_ufm_block1.ARDIN -arshft => maxii_ufm_block1.ARSHFT -busy <= maxii_ufm_block1.BUSY -drclk => maxii_ufm_block1.DRCLK -drdin => maxii_ufm_block1.DRDIN -drdout <= maxii_ufm_block1.DRDOUT -drshft => maxii_ufm_block1.DRSHFT -erase => maxii_ufm_block1.ERASE -osc <= maxii_ufm_block1.OSC -oscena => maxii_ufm_block1.OSCENA -program => maxii_ufm_block1.PROGRAM -rtpbusy <= maxii_ufm_block1.BGPBUSY - - diff --git a/cpld/db/GR8RAM.hif b/cpld/db/GR8RAM.hif index 457b030c295bb82855f95a47c5b8839e4d36a551..5166530d017a77080009defda20acbd3d613f4eb 100755 GIT binary patch literal 527 zcmV+q0`UE;4*>uG0001Zob8lNkDD+MhVPd8A1v*qJtS*PAmm6>l28%Y0-;9>%#c_m zkQx#x+UL6&J!6f71mpWnY~w@6sG zcyNM}B64|>Fj_Mek*tQ5pwS3Nt!fT?B9o~+t77f0!J|>q7Hjp>w6#pPfb#5V8Cs#e9>3Mzjd@kvu-xIY-U`m@LpL>kMD`{Qn2KPb zGY^)&8@t2Q-&8118(5CaM->m6k76)kw_kirF4p*nY5yndh$ExEFh9$%%qZICogIvP0KjzVci* zJJ^FOySwy*&|!%ax?Zq+t~d|;C=NICIO=v05B!@!)F8cH z`;^OC3HJ2}6$jLF%73E8(y~>;v8t|s5jfnYN3ZD7|MdPoJ=oXs+{>e8>0ObbJL(1G4(0Y3`+-+Po~q1&r&@z`9B47CqUhoeAnHWkLc-_ R9C^Jzl+Xft^Bwgm-?o_V3IqTE literal 552 zcmV+@0@wYl4*>uG0001Zob8fJkDD+M$M2T<9W3poJtWIJus52Lgerk85PGzX84{}m zwmc$5n|}8iNcW+jwukoA3M`v>Fys0CKee=K+8VnqR+UM@8x%uT^RmFQI+dm}e&6yu z#fqw6$+(r3TSbyp971*E4DdZ=U$BhBkN7hr*hzUKGLfz!(=Zg|FBZ?s>tAtN#H8ES zaD-ez(&dSRa6?2yyc%{1O(r;OM00q}lZsX+QLNe5crxicPLYn09~Tloi>C9kBFtRVabW6YbLd_85oJ4S(>7Q zYKdcNAXB9AqJgTQ`EylqCE|9)>@``~p1+OA+TFNOKkT6;C-k?$+#%u04qR{f!gJm1 zVE3==?$YxEhr~|cuKndx#kuc=QLvpyVgJ`3?%r&Bq7~o2zx1O^lJped`QB)dqD%d} z_8bHxw(l@Kc5v>*BD|=Z-hH5~D#bj%woouYJ;wAEOhWTU%#{>n0Yrj_ak$DAT;)I9 zzYg~Rhq5~L;}Li42%%q5KaU{28?g~q9v;NG_${3b(8r}AT}eaw)6&>gW<@)77)(_u qs6rcBI@2wECRUb@J^G`W;v~y?xz4;Ea{Rkh(3>At1 diff --git a/cpld/db/GR8RAM.ipinfo b/cpld/db/GR8RAM.ipinfo index fd31defd82157f4838553359c6e4245fac3c70c7..6ff9cf3b07faba4bd9cf4474e826bcaf9509ce4b 100755 GIT binary patch delta 101 zcmdnPw2^T_a2y8%1T;ZuT`0W~N>?!c`v3obJ(~iE|NnmlV^T^&ia1o1L!q<{BZN`G`0M}w|MhNcY;0i))0iG^l1U=kJ}Hn0GF$yjl74X=RSW%sG>; zEOJRxIPHI5a0zS54i16q4m@kJ7+-B>dU^JYwjSea)>*MLA`dW!H0iKDF>>&AOlsoM zIj?_;4d{^n|0@`ik`j_Q5>gUw-1<{0%`EVNabtSJ`o-~k(jE3JpFi8)Lx4v@lBHqG c&$kV471k*TEMC!FUdYtR+?$*L^2z`I0Mo2sx&QzG diff --git a/cpld/db/GR8RAM.lpc.html b/cpld/db/GR8RAM.lpc.html index 6be76eb..fbc5ab5 100755 --- a/cpld/db/GR8RAM.lpc.html +++ b/cpld/db/GR8RAM.lpc.html @@ -15,36 +15,4 @@ Input only Bidir Output only Bidir - -UFM_inst|UFM_altufm_none_0ep_component -9 -0 -0 -0 -4 -0 -0 -0 -0 -0 -0 -0 -0 - - -UFM_inst -9 -2 -0 -2 -4 -2 -2 -2 -0 -0 -0 -0 -0 - diff --git a/cpld/db/GR8RAM.lpc.rdb b/cpld/db/GR8RAM.lpc.rdb index 82430f21923eb97a4c648f56975d13dab62cae0d..f46ce48737afd49e50bf75f2fe0eadf783b87681 100755 GIT binary patch delta 247 zcmey%JePSwaQzlW1~5>E(hDJ6hPhCF1>>Clvs{M^1X^ZyH$8ZNF?in5CJm0NkBm*6 zrU7P?^7v~jA0P3EwB0p<=VzMn%_UQUT7y>Ia`IbexOU%+6Z2{nVx>3TlPZhN`=!ge zKH^Lu9{ux97jmv-F3suK`k?rO_SdmKpI)+{?82d&2Q<%f nyk=!!`2W9xF)1-2NgyF1q2uny52Esur!&ekG22hx!RQJA!J23o delta 344 zcmbQs{Fiw`aQzx)1~6!6gwP8i6vJOAzk+c|fUoyq2Z3A>k=ASdiduB!!5g!}j{f7BdU*Z= mYhd{N|6jqFl$ektkdTnjarfhgseF^o8ReOpO(#b%x&i=nK%K<^ diff --git a/cpld/db/GR8RAM.lpc.txt b/cpld/db/GR8RAM.lpc.txt index 9336d5d..a463804 100755 --- a/cpld/db/GR8RAM.lpc.txt +++ b/cpld/db/GR8RAM.lpc.txt @@ -1,8 +1,5 @@ -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Legal Partition Candidates ; -+----------------------------------------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+ -; Hierarchy ; Input ; Constant Input ; Unused Input ; Floating Input ; Output ; Constant Output ; Unused Output ; Floating Output ; Bidir ; Constant Bidir ; Unused Bidir ; Input only Bidir ; Output only Bidir ; -+----------------------------------------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+ -; UFM_inst|UFM_altufm_none_0ep_component ; 9 ; 0 ; 0 ; 0 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; UFM_inst ; 9 ; 2 ; 0 ; 2 ; 4 ; 2 ; 2 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; -+----------------------------------------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+ ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Legal Partition Candidates ; ++-----------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+ +; Hierarchy ; Input ; Constant Input ; Unused Input ; Floating Input ; Output ; Constant Output ; Unused Output ; Floating Output ; Bidir ; Constant Bidir ; Unused Bidir ; Input only Bidir ; Output only Bidir ; ++-----------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+ diff --git a/cpld/db/GR8RAM.map.cdb b/cpld/db/GR8RAM.map.cdb index d53620a7f0134e01d5b7deb40bbc04f29dc68bee..dee997a83ca3ca2b64f21592ac05bbd031877c04 100755 GIT binary patch literal 22708 zcmeFYg;yKT_decIDDD(@N^y4y6oQo^r9g3aDZ$;{-CYV4hvM!o!J)W21P{(HeZ4>b z#P6JM_MDw0duHd(oh#3CXBYd;n>Q7xZ((N#*dY#Uf0)=iSb%IOIk;HaSve@hoh+=4 zDLJ_~DcO11I5^oj**Ulh*q_ubagO~t&Y z0@>a40ce+fk@Sv8F_QE$G=80 zaa2Y&zPK{g{01f9Fv*tF*BCx*=lA6wF1Piy;2g`AAIG%fL_F{^)a3Vt<1iJ8vdS{2 zcZ`26XR4{}Yk6t(N5x{!QgEatOk)%&2+UnhZlbCpC#oz3ARHM`%`GA=NtUs9*7 zlz+qBv|}4pDAscJv?Ot%C{gX&<_&ZosO4baCeGrt3$3)1bqE@nqgEeFI!f9ciFA^j zb(z^*s$X<$GK3x;yH_{8I33}yDQH7;1Q)DAk9m?<0c(Hq{Antu0$Bqk~WvO!+^6(lg0O%D8jxYf4;|FFtv8 z*`G){YqyoOCUEZke{ zcdaelwb$F>o-NhLUY2}FlvQ?v4_e&3UTdlB0UwDwrgQY-U#q*g8Z1+T{=U^M7&hB_9&1+#|v_pcehXLfJkS^0e=myzF&;6t|)znuOZ zSF#Rm8gHSg{7M!>0vDzb!#@~|gts7_=(mI0oq{RG0 z2~Oz z`@eDWY+@(I=bIqJAGJVIZoRm0{TxjQ_glm6FBrR8~)6W;~n=%6mG&=x;fH2rkeF1MBdm^M!c*XLD$PaONlInMSgu zYdrA$SEROnQc}~n^ZQ(% z|5L|Ld?cqxHy3p*5<}9#ObXL6LEfjD8nJ04hW?U(=)yCXGH7sMJs)v9rB6ebhbXz> z7i)ML86Cc$7RE}<;PR-3MO0>GUS2tEf~y)MFxGrt6qSv&Z4yiezq2C!m(sLSc?aA4 zoU?lFT`@{H`lyTKm74_GJ7bR;NG7->jum9Cw}(1mlj5dVYOQZ><GQ$J(Uj z_*BN#cCRSX%P_r&oP&C9CIg7pNnrRYcz%m;OHZ>k(ycdSPHXfK9okf<^bT}4J$DgQ z$LFhAeu=)DIZkjLW6f>~k3^EmPnkyG|M91;^}!aJ$4(q6Ped_L&lh=Giv8U;|3-*2 zX$t8e14yQg(?>>FWmdFC7D)YLC6T+pb-iMZt8XW^iT%hw?hP{cZLq}z9+LqQm&*3` zMSu!T@S7IR;LqkYc~UquFL7Z31K&~PDqtEDcJTDIaw zX=WP!T+p#HK}LMe&Q?8r7oGG^IAFe6#(%;M6DMG=z@ zaC!jKICnLg?Ya&95F{U2aZvrHmwrbi5Kt~x?jIE11Gl>UtL7OwVcI!iTzj_R2V*60 z^{+3gnwDF8MozCnjDo9gO<$H9o&|Z8-|)CBT^Ef7$njVRaGH3_h+T?h>?Aga>ih;6 z7tiqiWw@I31lHowF+q_gx!Dw$ zdJqU{my9&t*AU2hObyu`3`YF&XD%F_5CJo^mdLXFx-GAsU&kZxrYc$*Uoj_{ar>7>^kSA;zA?SU zYcfoa^5!^^#Fln}ECvVObrSNL@HH&VcU+0+h>+GfkKX)x{^)Q1zao99qDLw4*Ath| z8X`&9BGBXKdlUE2S2+i4PuzfIJknq=1wJO;6W7!Ls!OH5aTu-r|C}0bb`-KgXDYBB z9Gt{4o@ay>_dvV0Q_WvmKdK)4G{))CJ5U?%Y1hFgtoL3%Vml!=1UC4-rMj?f0$My6_8l{JT%>jQ{qVw`N3U7RUlQJI%KIKqkgNLOzYb#R zxI=+HGggQtSU5CW@9ulIw21LB7e5BVSu&($dAIHNOes<5Y z7@-ZW!Ii?a_3kRbnD(yd7#(DJ%IU0QHvkj!iN{!@(ETb%`X(to{kFFCUL2zJ-ehp^ z!)e;MQZ^rHECd}&u)0W6bkF3i68_;f(MBcbX{>eR2@12aOm{;8v+z-BP}7nxUwI(5 zrg9!EWY$o1hrKsj?aB`5P)lwHgs@@=>hJS^Gn5%)NPvyuU@cQObREa{QInf^m2*qj ze*XySKe&L0;~fx*g{A>&(-!sY#v-tCjMsddQE*5|UH;B885R_XcPc#`1kmhMVcs1` z^#bQpSXA`fW>09iFSWUZrPql=vgMVx&Cw^y>r8lqq)?OA8@(OB&F{w1)7E-%r6tk9 z&&ln(Gz{M&e=K{2A9p%{MrCe|)Zg&;;6%`-Z(U$OUsm;*NCYlQP~B#TmB%>Q=y`G^ zPy)g;=O}E5q&sXcIv#-aSqv?&mZ?Meec^pe?00_R5>Y1Mm!9fH+HD>SR0*d^9tmL; zLv3N^EtW@^ao@%=I{z3%>Qn+~5YO+Qa~at8Tu=;+W77b*{45ME5muA|Y0_heRST zpRB(nOz?`hmuN55D4nKl(=MhT-c3q9l1i8+6-~ZTikFZCC)3>a*bT4tgy?M*2O6zo z*F?^kQd5`vb=Pti#i zb47DX&HzdiX4@L7EuO%`>AU8NZ~O&Dp=Z=6^mGY_&%5dpfK6m-ylGW=!!jfjW@^>! zo~#-C4sl*yUmh47DX402J|xa>4HeXDuP?3(`6i5}q5~$k%i@SQMl<_aOj<@v+xB)> zX5MtyvCSamiT1`h{)EOVWr4&#WtIUmcOp_Ut>+s^Az1oXTmF=cvwH445k6K)6$}42 zxR%UbxO9jsVvamme7EaP^s|co8>i9133|(<_?_sppRPHaiNma5F+=0`|MEG; zy`Q~Rx1#Re=QN?8MZ)?C;h4?6h6#KU$cY9T*~i#@s8=XVC8J;5{4V`@jUy}Q|FXID zd?KQ69KlTFi#rrO=Od?|Sqq?H*V8C#%tyNg@SeSk1Y7{W}($TF&)RS7`7dcbE&+Je?D?L**N-LHg+r7MMO(G zvbD2)<2!4O^t%iZ_*w%PjVHcE`)TR??&4zG==e^7D4Exq+JzO zYjt^1T8oYBX4f}SzCk5dVpDwF>kpAeKx^MSLgH1(Y~0CGg~#8Y?%eO5_lRmG12UVV zLdh4>SR~5*iIDq$N&if3=_vuevE9hI`=<-aHzu$SzhM80KOCld#(S%UkPe`v9KH_lX0j%rJ!3pLV`Xy5Qcc z?Xc)>`KG_78%@7(iipt&B(pu~gAIarZ{2_-^2L606|gyeW^7q*-|bpGQ@0Z;Di0#M zAL5eOLDK>GzC45?e+w8qt89_%5B^*bO?$OqNm%_$7k3^Td-S2Y)j5}Q0ZG5zKujwk z_CT^IJz7W%XVP6n+`Tl=OO~4%$(zv6R2HP}Xt&W#KJ14h#jlb!J6o4y3U7&jjGUQZ z7C7|YNXqwjx4eO}?GREX!S>z6z4Mm} zCe}{WHNwh!0{~;GMJSN{7xyxWm=1~HGUc;$fbb!|0b8h1Wbwhi!3>JS(3L={Y4B$v zggU|T{{Vh;+#AJ|z0Bz88v7s8ME^0f{XKrm;QkeBqaav1JgNM+oZMC*Oe4Wd$OUJO z9*u+3N{;^t!)Z0K$1kr#TUkF$KxCkb!J3}?V2{g1eWyPtWrr>Q&TdRg%>p~<$P|r< z#39mW4?Qd;Eg@nwUv;I zG!v+0S`AcBA4Da&t(}WI*vFBCp?Z`cVyF)^>1S#HG>;hPblA!xvE^`@VhG=ccBjy= zZs>fB^!589FaP+L2aYBCvC*tv+AUjO({7DAO5e^;S#ltYs)hfY@S?B!CJ_79TkPk% z1*A;i(t&UucA1VCMqdyyBM-rkbp47H4!DuH$fBfRj@^p7+Zy|RfI-R3j%U-aJ|wI8 zS>C2gqHZZ_sp{EN4dHJ0POSm;r7ehaCZBwEqNsc}(@0fJGI$B3e)-Z9yi&+%l$vj3 zvKG6z{2;L-<-e`)=guM7EuXB3N2_x)ImacJ=Yr=9m87#v6_r@5YNib_H($D>}4Ud>P$O zU0bwUi*?NxXda5{3lfsK+LyHJ#s}tqqlz>OWu2&Ya3JMbW3O8^ean%JeA1-Fi?^%@ zCV>?dmIT&GvOl_>pxf`Fhog%5E|KV-`%CEu_cO)JhI}s*cSzLacj5r913>0PR8s;K zIsiRzNih7w4m$}!`bU&=4}5CLuX6-VD}Ho z)lnJnn4&$3{MaL+a+H_1{`lFS!?nEHxXMGQl52BIuy;zTok2$Xvp{^7S)l>U{wlo6 z$GF68FVfY6vC*P&zTN~j=f0I9bjQJ0FBcx%oSmWuBSpBjvXi1mV_T#A(k0&-2x7$$ z-eBPprFg1W@=a>BrG%cY)C(4U>2fp7_wY@pAJ7A;oZ^ZKmoI_87*;W9l zEKzUw2E73?ZAj!~|3%i75AJ*6UIWxU4%%ML=1UI0w>FyHPH|G|mU7j1{@C2cN5&&s z&6iSLZ_3OA`_EWX4ca(AFl}vOv88L)OGkDohV+0#@F!}Nw^DOkP`d4p(LA0mNO>%r z^i=#xn4N-w&|+X0T6E7>B8*AFZFP~1{^`{oa!=(M?}6UD3VDhTiZaXn&laCa{4RbT zYlh1G#eKngPvmDe4ypR(XICZ4vl@b}&@#}3O7_l&Tk3*w&_Y-d=f&Eg!`O`G%`)br(h5zJDjmhA2L5pC`Sc`b||vG{c%_P$ul$Q zK66GldLcR&obnDMF%`5vgx;psKM6Pxy8Ml;!}d=@lxe@~Kuwg4+EJ?Q)5ScSTW@z8 z>x{%xNUwKz=CT>Jq3b+8qC9^ywUwQ$M6x3ciscGZ#pbkk|zhA zgl3SsQbv)QK~EBODw72w3JhqMnxE@9Ma)c1lhh(3ba+AWeixBO86pf!0~;A-E^q5c zI1FkCz9kqnzn+3PKQZ&N+r)F^F(>bglMEVKBpLM#*qyTfQBXg$3h{Aw!d*$FE+eTY zP_`Rl;xjghT13h))_=N%YALF_%xocRw2o)|qFcG`na7=Ixox6jF2-JJ>OJ|~kl2){ z3;LPIefOPDmEWfsH0~l%(dn)hS0ruZd#eQ!^{c3_Zg242@{Pp#kFeMu`z}Z!`9w!S zZj1l&N6!r45<1lm!}tFAn5ceab0vtxkW@A_fT7{X@p;uhb?WDJFff8dgZV95ufZm1 z=#niKn07Tndki5FS-*R#BPOW^+tAvIrHprKD#4uq>#GNrW_6 zP{>NPX-%B;nsJt^INM#mYx6Wsgilz|9F%c+m{v?PttGqM$m8lzsRi|n= zgTkV!l?DQ%_P>0PGbyQ6AiN4JoWL+qmJI%P&y~&m0R#<>WG8=XyFxGR{mlg%fB}S$ zk4y5OZ!t;bckbeIc;bOEEPk=Pme=DFmi$|ax?R&|-*~Z+KLUgXCCWR7ths_1X-|R; z>fwroi>lOv!%|q;ScT!+3OcJRC1rNO?Ye~LV;G$#0>vshcwXfya^^&N zN)v_*I3pYMjd+T`qqr8Z29S(E>){f%m=SdRMHi+aQ3JSp1)_xwrW=YSd3wS;MeZv# zVy6ceLCO?n?^ZMgIsT__RQje`9ir)9?oh@*|H778P@@bxsWZ`CMIBLBVk2ad=qs#DWlE#}VRI?fMBf7N35b+-wx<7<9yc)3wyCd!TF>Co)M?GF9?5 zFZEv>O||#IfZ0-x5i>n3@$G*SB|Rf_>_;+N_|$|$ys4-LD)+^%BzhS#K7Kbo^$CJZ zH&!p@x?;VJq9#Z)ma|4|AkSk1&owEuh$PRVhbd1N0>Zr=+wAJc|I*Gon$`fSH8VG9VbY zgryy>*i8c)2rvEP_&t1=*j$4vf>*DTs+uOI#j zbRh4>Kh{>M1gDtlHjy-o>-?Z<73cusw+VdT-UMF6-D)4;MX}}HK%PQR4RY|kqJ{64 z4MAmSjK=L6P;49=M1^;XO()yBt=V2g;%FdKG>3Vsr7Z?wVEiD=p1Z8)TUgJWKp zra>{ z;$Mgi4tldv_scA#-?cB?LmZmkbrr~pfzbWJ*uv0ivL~;BR{e#jJDJ|qzi3%74N*N! zlg|3Pl==yI0RyOz$nv-L#io7)ZJ>Vl4mzxOB)q67?enxJ_6~F+d8iURq27b^%XN5V zc9D)Lr_rKs2^!ZwT^!`0rp}TVxYCqO#@+>6X^wwJ?!1GH?hxFU7jqLLF@m&*y*fQx zGtDIt3uKSBv4oZ1gWp)v86mYx+YAQ}MWNSF#V&R%+iBaA(!u!Q`wCaHvcmt$4hBA7 z<7ZTJ0L*Yv#G*cB!2;wpdrx*T=9A;aZvJylom%Z?p+RxqtZu$=G;;l`((}S^uPGE> zZv~_?F0u3n4?Qo>)F2X)DcV^zPIJNb30A+VsgH+J9qFQ~C(fs3gi;aawx)ehf$id$ zpJ0y~AT4Wk4bf%&#w?V{e~7*59r5T>qn`LjP%Mc3yKBE1zrw`QVF6MWx&O@CG%&$)ASf5aR1Sk#D?2H3-R~n> zMy$sZToU(@_qHcVFW@QP7t`$$-iU|0$L}NSWEzZm2QYK^aO|1ilFVCEDgQ@yEcxpy zwxyZQkexR_LVjZn!WQ*Epcy2e@^|U|7aDc;x_AfvBGRvfemjWLo{wSo1nO<*8>$Av zxwfQ)H$2Noei8^#s0D~3pv;RdI!{BRb1&vyw_e0cvrwNOn54wxSl6858|XV!pin!~ z002jeLpwC-X5waybk97vZ;{P-?45mxIIy|-bq5pi+nwnSk^om=_u=rFE5#-J75-v; z*TlFTH#tF9DmK5a+z1Hw2{&I;2L&lMI8whbO!!A-6ZX~yKOW3q;i~{t z=3S+B=2{|!{7y#ia{-`WSC1F83m~?a+c{}sEUA-zAW>M04T_VhHie8z-OmG&UPH75 zv(R3e+GsT7Twecg{<^#fA#GH=giVWBS5sUuR`0Xj#*|jBVugV+BM7g=|8YEC)pU-c zL+tZwJU%xVYVE5QFsaQU#nD9e zBSh+-@3Q-2mo={9fn?4@l`V4&?g8CBBAL?uG*|&_5!l|C{kBT=ZdQR5;mDqCPvS&! zx(7vy2ih-9n9f1(X@l4F?$aM8z;)%I+W8I)R-uWjE=Igm-uz9 z*Yx%&%g$crICXH$IU*a?yc;_}1>ea;AG^;_6h;%4k#pS!1=IkN= z<)&CJZjLcugZI*boX(P+CyN|YP!rq0{zBN^ zy;u6z_^XM0x2$n@FO{lYK zZyiuv%FRlD&A)f?q2Z=TPEMfZ-7{C7Bae`&z1nzFzE$$OAf* zyqLA~BpekWG!)$;Ky?r4p^5iwE3eFF;wriRHIg>oi1m`lJ5}`{CzTCyO$OFO2zi_O z%1v)4BXt**V*#W2fYnmyBfg*a=Kx{giccXa_J%Ev`tntcxM&&M{-&3JD6_z8URt~1 zAD75ZZm(+!wK1?rc?_Cz!e-pnwzx=JjNCybOL5{ZG*tyT-S~oX&;m#O_@zqx5Fz42 z^`zW|f5+MWS7^XvhojMiHEw1MzWt!c<>2$$Q%$U3EdhTKH>FE7lM`o4c z%u|ZU_}>8`%QG3Q>5tV7@yVa&UcAn#P8@s+n)K?c9)rAA*$6H*y^PKJ{nuI?l#XMbYh>SBX%Zg$_J#L#j>%h-kTjcX2^d=0O zU+s+9Q%8Ol7?EM9ALe#Q8k#ygC`56m z_NuS>-97Xa9M=`yro9Q-6>`^Dq8g4z8Gc-02|EGzDsBy1 z*h41y3?z5#Etm7C`Ng)NL+V!DViHB5Gh_ZB$t!(XX29y!V&b;Kv4q>*B3Y_R#>!DU zM~E0u3Vy!#I%89`a#YFL1AN@x^Gd&ck})n6l_{Vzvv3sGESPxaXO5AA9y$2vHb_;S zTKzJSFu77PFWd;W8ccZo808F}KDe%vqBwAnF8KLKOuL6s9J4lA95x4Ka@@d0!An4Ayn#em4yoAn0 zNCDu}qs+#UoL#X>_Px>eo=;+AF>KmlrcJk@kWNK7t24!DPx2Nj+SsAeANHA~JK9al zIOz;Fq|3F3(3Fv5Z>(7CDYk*3fy-s-7b2c0405eGv6fwBF+-bZHh)gza%XlK=U-4qS`fd#V4D zZ)=7)eO7A4BAb7(!lWkeq>;V{GrFagEWl^8gYtBBdYko>D;@FD`tQ+zdo7D}MaWFs z&z!vri}dx=nU#_}H3y1@r@#3n@`_eUkKvg)TZKU3rTjBATEA$jEl zo6Ow%QYlFIgMr>wKN;VN)$E~5T*+Gcp0`rYqbPC(L8+Oo8X|a&@d${eafC9;__A{5JPMbMSDQM2A-|Oe(DpF}C{5*FRi}9S^^2gYm58t^7`Ip@pxL*y4 zL5IsxRO0#?158ZB|Glk~ZGS4i`BP+p%mm%-u4fImA)B1z*ovu=m zG<79C=AD}dZXqTgb8`Gc@~J0FJp~rS*f`SSFZ!oW81_FsH7l%w;7e%-8ERZMDRDgr zFgU69v7=m6IIPI%Vx=wHDCT3rk#|)83=}n~Xi2ubT684)wNfK9kr9rQO@$ZMmP&v) z>#QtKrKJ_HKv9kqdlS#{6TU0#P>tdHTBWlg*W=JnjzHNVBGXiqzUs`S=~MvqSB32O zRl&&UuC)AKG6AU7H`PE{N>vjs=P1%-Tqe8V%TPevXG;4_LHp8@aDZFtqt%}#pmHZe zIeiirGe~9~_}O}GvdmFfoNEp9!oo1mlLztA6(Wj#&VdsB^@He|nkJqJA zsIS;xt*@PHP3#~Y3D2!B8v;Ip_WyNQw~i7?-dn4oOBZI(F!dC*S(H`f9rqj!nbQm2 z?M$9;pUH@*eOj&M5#);yO2+Iw9G;|Km%ZP9Zetc8Fe|h*;{noCqSyFc4u!TFHSD@E z?!PVIo;tWTZ=(^Du{`?4=*{ZIKXHs*gBFEv2KGE#ANfPKqQSJrrOq(jP#`o^{Z37i z9z#fGPUS>Hi7`e((pdrRa1g600lj4UyT8X>yAFbJQ_OW~9@-hT@?jO%=NMt}tJgo~ z`SOcFr556RzoMlmhb?IbO9E7soqCZ3%7wsOw(sFXSbS>D`}{B%x}SIB&U#mJN{(r! zN^*I|0D4dt39EjCGlQh`ZXFVCRC{VG8RXR~HMoKJ zF1Jf^rU!g_UK;faaPt8LifcmaF~GdkIti4tgS&sOJM(MH6C3C-zG1|bIlUiSqZty2 z6#go+dQ?S?7lNFg6Z!xUr;Bw_>RcCy*b}O?$bS`y5|tcNw(n5mPHQ$wjmEVXSB5!I zMN}tuyIYR6B1UG}7h;C99Ps>ep2Zom09lUW!ONlb8OAugi)x-r@R=KTUZMOjLVB;= z;n;~Amo8mINMT@7N7~Oqompw;#wDcE#4lTcgIW35Y9<0uUwvibTmP z_9;1Gs?6&6wYT-`R?c}HTYKe^cq+z#yF{~Fudh4J7f(QP(BfLdO9fU;E`?+O z8&(H*VdZyG^Mc49q1ROVfiACx;Hl`{+MSgg7n2iIIIYAF;sSiOfab3A((m zhT|2_-AZBRWoATHIgBNx(`6y_S{Nq(-o?tf;!#BnM=IiRDZ~vaAfRCkGYZAx1{u%EJ53Y4`N)|=k$@HIS;yI}lc6xmWan5$G7{^WyuTic;!`Fc4k zBt#@^fI7}}ty?Y(1GvLio#L1|Jq>o6LR{9Oy{z@3p;A&2(^n~2HKx`Wms@^EwFlS% zLwjlr?rjX)4&z7qd(nP2vK^HeH6j-r{0XlP-8tLNLhlC?$Zl7ex_8f`@7?Qu>&a`Y zhoOb3jiy+o%=I`uvlU`FPxNcNu32u0)7lk2={8Dwpq0uDK47gTn>9iHD!HSZn}y zvWFXTyM4r=dc9T2%jc>ct`_q4DujEHr4ypLmV5^~)hx&Z(D#PoUFL!C#qTpTy~A7r z`T2&6J8!@_PfK=I#j0!!gep%@lqMh9*}k^i0*p_71s$^*=Z??x?!|Cu zhj>rF29~@?-fpw}UcmHh#4!WV&daMdibJ3FhV1~HUb1yf+t}IM8QA$R1=}17gL7wo zIe+_W*O>x=B0}r6s0$kBKE~%vm?6(1>DZW&IY}o<&Vy|3`l6mpZA5YnTItWZH_B6W zjUF;pJR_?r$0MzP^6nWZO}BIBFe$}_2m<$Zpch3Y>4FYx>j9~k!mkH%5AE$?=oDqn zMbZn`4u3a6>xv9`a#f!8=US7eggGX(d6oaZ!Iw)U+jHKAmOm=VrZhHYgr&EGi2wd4 zm{osk=Uz2(Q+?Y)gohQH^xW|~)p?=I=X5NcC%!PF)TW<}mPDX(a3XR&hdu;=@A8z5 zd*>z6r_2@|(a#KR+*>S+(_#H-&cHFljw;TDmn7Zx!-+~n}%TGl4b9;s~t4vHKC59N}X6g zNSXW*Ezh!YJUH^eBw)XBQgkJk<=`W8$t$n}wCWs)Mimp;)2U7h>ouLP#E(KngPJR> z?Ug1MB3ieU`h|r%!#PNc=fg@Xy>SnotL_569{OP{s6H+vQ4pR>aQFXH_z`B_swLpw zzXCnEE`pe;eYP_hHRo++JSOQ+JJ~3`dbP)}iG@TbuHSHw3K|9U(>@|w&44(%YsrwZ zi1`%NHM*hwzmnd%Gk*BPwO?nJyxpIj;|{#kgh6Bnm2(U`{8a0rD7}m5E?`sj?O36b zc;OFDk1^%PO(ea_XSbgIuGa%`rT7Qv{dPM-8rQD6CvW{a_n`;jp0`#SVDCm0I|Sz8 z1jQ=Kbgzs*e`!rbvvkF16oB6SS6foxtFjoxbbL(V&LyUU$97(YPmfVA8vyM_6i0y9 zY0HA+h3z$RDjoXWTf&MdvmBZZxCK`>gn?n*5>?p9Sv+DA=fCz6+Iux_B z0v=BnVNd;fmLI!LTeWuanTXbuIr&x<=G{SoQ{3*^>$tBSRGEjz{i1s;u9t1-g$tl^ z)VrXU2C*NCddHaNal)BLOiLlRd|j}OnyZbaI7&dqm=kL#6^g zF$5{=I7J?K>E6Svgn;gX;J}&>hYqrMF@vWUSF#whk=5LYN@6o*j`I-9N7A$15M}5);YjszYu!r8bC%{7-|FGzJ;mg z%ko0~m9l3Z6l3bq{|`X&te@vGsBKEil2nlIeD%{o&5yw0EET5?wy&hrHmMyjy9g1< zwSIioB}M7nQ^%UA9fhMLb#?Cee5=nkb60dC-pS#USvls!^bv3$nsCCP>3O^35x@>o z6Y=?ofp9^9!ltmMu^rO++w`+y3}RR@c~Tinyo#^uxC165IsIiXQ5Rl3tPs4DtxX&J zCHW3K453cROE0B+jDNz;TTU*SepFa`;^Dh^P=ET`X4U$ooht?2Y8(*P&heZC6OYQ-tzkS)~{g%`FLrF zeEuMtku%y;`$elR;lW~Sb=yVqF=F|t4=bR2J-HY2CC7Y?^MHd}&t4RKee>k&;>zx` z=)MpETtI)SM*qKX;QW$yuv=c+e0CrH=cabQ80H~BZ`u<4C&*!bSR#YZ+YpKaOl#J@ zRXhw)gtDKLL0+t2#qR2fhG+Kvv7pZ0vR*;47%zw-{qnN}o9?qtXn}L(0)?1VK=Xs4 z(H|WG){va=;AdF_TRoyzE-!$nS0uZhYKMoBgNu&Yv4ArF9LQ4Z{N0(BFIlh7Pkr6~ z0qKYd0-lM%GhEz-4Kp95uH!}z=ZA#^$Y?%P=%W5PefNNGUJbu0cx$vVh|8P$&+Y_4=9pd@ENmJbh|&`OvqdKQ4F`4+3k|!lW3Xs1*3`R)bGgXB;YZ@)bD|( zaIg238b3uYpN{l`IIOD_K37X+#YmU4le8BZWu&fxo?)S0M?$RwkKwt43jqx zr)E8ruX^t$jvF6M2HxGmV}$Q$MSDNSnJ?WOd=u^}me;FV4@E>|%y@2dwGGh6vl|dn!BU!LF|?@Z1Y`{yEMXjJ!kTj+@91fIh_l+SMeVlY7J>T(L&??>|5% zHmxj5Zd;r0?4!8%5JfQ`mfWYV0<^;LJ&+nPUBMI1WJd14PVyVVy+98OTTLOgZr|A` zDs$6%4E9qZ=J$>;XBKL&$T&~YrrWMN4x3li|iD6pN<6!CoG zK6=b|tJ;<3xpr6ojFR9`@&W}855DBUG>$;RBY=5oOea_jzX#6 zI^rNCL;}1O%lEp}G7O7c19y@sIx7A*1CS`82X|)ige^Vdqb)Tg_O1CLZUc;DV~@T91kg=JSPD_`e2HM8f$Ka-Q)kSr>c=0a&VBVmE* zvW9KsrM&`ESafc5sw@?jU(TcK7&SF+pBpt{qA;PL*DhnHdlUQ+Mj;A7`EdRpyW(;!p&CuDIYoc zj&`X|EI=W?>d_3vT-SB2yZX;n`l#SBj`gMHaQ~X`=5<^C^D19ie)+z|o}3oQ^)^9x zj)K~qfz;DQIr#iWJk7o8y=V8Iwd#HS@7G;k-wF44m+7FkysXybUp6=H?WPQMA|pS7 z+ApvSOy>U*l)>AWG8*;iq`9*28u2=j4wg-R3aW!y zp$Si-YV+A*2nLEB&|v3Q$oA%^z?qc7TZmqOS5#&3peQnw#3Uji+j9^RaN=S`K5?hf ztO5vSfpO=V057e|)qw#BqCdHmi_uKL#Q=y36UE;BnCBjJ^L}$+o_0f*Vdbq~_JBTF zgki=}6CBieGh4*h=n2PVz0}(Ib^sFQ7hUmMWMXFMTAs1b7K0lN*yiXI6*%BHy|8+* zXmNEvp(V2)fP@5)lN{ffi27bwEu>|4X5w5|TVY|EIoH~2+6@grUc@HyE*{AbTR~mu zQKL}QMz!~6c$?%ru#wIf&^+#ht9*a9U5q{pFBJp4PaiPWK7t_>)b~W4uUPY0*%Y44 z2X?0FuWn7jT8{!OK5l`IcVU;Q>kd&Njx*$)cMet`Iz?}>qA#qxcmLkwieau^{l2-d z-60|FJi$ICvR%6~8M}}hf0ni#>x}&B@j@$!skuTD`E&bJYtaEQ zSbj=*x#wjb3!?)Qf4w4U9J77>H1iX4-E7s5c`Ku%e3c7kK`^5>scp9Bl)z2iU}HoA zz+(Y$(0#)Qy^j92N2-HaIi)SMF3|a49eWG`RyA6L|%U`MnefMty9)*j)n_cw3p zTE}2~r=?;k40sI3I!CbxdD|nbaUcD5f1Dx*^;8jKw{GCRIi6*HJVVqPUKf1d)QkrFG7=b>wjGz{(yWs%>S+@ zr(|-$0??w7WJo>DgY(4KwVb^5YSc%P;05;qFYx~8CSaOk@=0woQdbs}{lG5n&nchl z<7p#yvej;5Nnnwgne`>wW;9t>cS`C7_bwP7&~{J1pf`bA@ztbrT27p z58hDA*3tdw{#3#B=%po#IaP_F^2ziNvq$ax(l)-ZrC^7ohl&C!qJY27c0}l|+a}6? z{tkG#r3d4zMf2cufb1W;b#P_tybP}6;jf_earI}_8(nKxm+y1;+1i-hP`HcCo#n-9 z2k)CFlX>Wzm|kmDL?we4I5|?d^CGs(hd!DMG>l(=Mh=!kz;&ij@aCr9J9m5X8iX1{R!{S=k<8MU+?GV^L}5UMFzcK zRaTGHJB(qzv4@p-nxi=8{6JSGuErX%C`+VHZL!}NO1t3%$+1O7KF09cqX|W<8-y=u z;xZlKm8!ZZwMnNi%4#n%JQxAK;OHx*wqY5Tz&Ntg}ozG zZJ} zX2&`&n4%{_zp;*w`yC}HLO{skl5)_^q;3*(eKQ=00eQFr<*6(@LAI>6iI;v6TUB9wY1>%wDB17g=U1&&$r-N;PZx)y>dCvKmA40>w5&DAu`7*65dy?9Aoy`*z{WWn#I#T*lL`rkNlyw~v9=G^x#y{E5z`%XZW7h0=L zf@gRR@cxD>?uhM+MXtF*5Y-C7t%O)R)Irl$hx*@5cx|-G7~4rT7!aAIz5k=FqZ+wgXEW1wbadrng-gzIl&d9(3s@cZ?g_s0A7ayDd> zss56~o>^oy zco9&31!&0lW!1@Clb^vybg3C4E22cZ0zGjE(Z4}GOqjTo-{F`rg_)tnk&4)1_lp=y zkhEEX)E@;710x?~yabTl_LNBigT#$TfQ+b}^)GNiOy7S(p$5pHv=_YbW`8cM%Tc%4 zZ!-5^*oh&3S+UAgzc7XJjk*~v8jIE)#5X+?I%0lr4Bci;nWAtb=6E{pr@k*WolVOM z!$;8`nvX7RG~{m`Z~#oPa3rO+^<#D@Nmx!rVm^N})N0_ixp+!OItvgcjG%-;<&i{S z&mi=*N^82kZrDcO4-e&j7z6)|Uj1Q9YT+&WKV|)H8^kk74?#;{X$GCh;`e2i`=-qD zmZHQi*nDiL(dbBtgC-U8t!vvINb!S~o4#e_Ng1J#=n^ATFAEJ|p~ergMo(IC5du3% zCY}5efE19iIJ%w10-L1mKyL8{B+5rYh%GH^!<{zRA|9wU91q^qXf9T)!Eq~k-UeY zYnXEOG2t@|^|L8pbzTq&E5%AQ9V?xIwk69ucwKQ^eL*zl^2W=S;X&c@eW<&@4toZ& z?0Ieb5YnHUpR}wJ=enlDZ94lUdL@DnNm?Tgu>kZIE0qU3@^?boTa5*$m0U2VZEk$+=k2bdl z=#NWJ$m94!g3mSaiAC%QSR?2Bz!lFXT$kv6hcp=d*RI6;=s>KMeFBUOFsbURS#)uO zv=?+aER^~80u$FnGp5te%`5bGSQ_OPm!KZ6Bds6Dr4?wX_ApYIB#Y_w=XyvY*|@83 zgu0FtL2SYa63D>x&25=DtP_A!%#5Ka4E>=Rb0eNm7Q#hHf_a~&>|?a`J@9=3-OmC< zP$%K4e zi-qu8z=On6HVJ&Jd4ZoSzTaOtyU^Iks-&kA_g;7NUHQa;w3o@68RcFe%}Cr%g!Str z2eVMmPVgw#$78OiE9sphqM;gP(R3l)-a@$FPv`zUWM|U0UU3L!a)VK1D-Ex*CY~pX zZ@S(-pDvY5i0@tHBr~b286gF;%ZaBr%?CSA8q$8{?r47bM?;ZRZ*88hZDUimq zpj13+%OGP6_4IJ2UNup^;=tcZPJWz8nM+OS%2rZ zzPe66&0o^C&-)xiXuB67!-$~tnlP+-hUq+`?fqj9CJM<}(hL*>#WfhG9G3c?{QFQ> ze|2S$^fpb$re`M@L48biy!N%>>@J2U*ypd&4>im{`wf9wTChxcbN<4V>b^TZNOa^M zNrd{sk@Fi-GR!se<7kVyZh6-EoaDtc9fc^4f-2JAdKQ()^^oFN+}f<&Iewoz&=aSx zH%o~;M8yC!k1j>M&RFD4oMG2f$Vd9uW?@o@DE4VVpj$bm*#kT{Ua^f%Jub`7lUup) zBSdn&)l@-xJ~vFE(yd{(bKt96*AvK;jeZMCx?7dj>b(Y`=dY!WdEm2tO{T)XyAQC_9DR$Y3~ToB!zwW&5|K)xl=U>w&K|5bE` zGn$EO;s_P(A_+TumtH_RjYVC|dlih_r&a6I3*9kcv{(W9o)e_UbjYz4| zM?jO{M)cL?&LF-$d7pR;u&$ltBscOvw8LN&6-cx>X2Qss0OGJ%qI* zj@%hWfXI_?0hlbcZKC0L7G+dC2NtWbpTqpQeo#IQctwtu$yTV&HD7ul5AUzXAIp7C z`wrS@d440toNSktVc@g|?A6vrvc~c-~YtMqhMqD|3EkvYq4h`9FL{pEw&FwSaQUV}czU39O2FtrYXs znWo)%+&6LenZ;sC7LZ8RSLXg&lh*XU_d;H?vN(6?B0-NefwW+lOF}QHRcSNs4UWnIjZ1d)Wrh;QZX}J4 zxD2RgBb!w*FrIl`b26>#hY*0i!cWIVui1`{5`CpK5A#P@RLBPec=AC3F*(<&`yRWRGez9;<`z54>wNFeao4-#ra|{r@=2V?nv$NqxR|GVYMBm_`#skA<6oMJO1^^~$4t1K(FMqvA z6RKI^Fus*Pg(dJNiRYS*Sax-lzDU_0k{vi}YIhadIVpv%qbIn5sXTQlgFWr$J1;3Y zYRITfVHbT9B3k?CTNdI&Zfc<^LVEPCn{~KdLBpv)RXcsd@o-s&hU)gryQPR9mh`V1 z4+s@6Y8zGhGj-gJkK`JSkF5RnPC(%2hl~@%IYcy54pVc1KMT|3&J1N(}8A{D@W z?ij?OTr2u@&rwEVYEbYF*8Go6!X!*sd8a20aTq4VWA>D*h_$4OgRc^9_G(g&@ zWnWWRz-FmSnbjmpe?XLaLL7Sw3?`Ov#EgN6e>ybZ1>DWhz@o(5;$kWHtEHa=vvtaY zhkyU)QO>0B?+v9$Kgh@46zY6OB)KT5+B55O?pU!T5a0ZaG?h3D>pQ-&B#dclacQ!!F=fO=CW-OM=mpd4{}`zbAJtgZ{kxm^=k|uuo^ZQwC3y`^=P8b?c4Am zOpv<@?eY?T>GI=B!W|)i4v{&;DxuAciVx{ zTF|X4*0cWXNs&p{r2PijR2QWH*d;wXf=@GZ{;w(EUr#3U1`Ms0ZRr}Go@gPzoR4yO5)7)q2lOyPfyc!2^QO)4V#g9kH!gnEi z_P{4AtJo4ubBw-)oyv#wKVt2Ew}H?tyS~VE6SKSPiL36(LZhsvXoJylAEQGgh{8-b zXEqr|I{(GRvdjW;Fj(FQc7mnM5Squ0}li-hU(>SzE9wdkb(e z4e$mFHuU(Qj{w;ZcF%UJIN2O{dg~A2mUzx$_Ptr;PX`&`sM_0a6ZH0uh~M6ZN^W-@ zk9dlb;a?MNQZU${(~~IO)HAMg`|2uhZWzf^6W+*!x1K(_6#cA1KjT~A`xn)h8}j6* zbPkdk^m-k~mAj@5A&9X)gYo1`n?$D;1IxSN$IBvww{JaVZa(riID1c}=I@15+J5KqLc@jOi66)QRdoo^ zonuere!8}M&}_QyhMzJg@J55xm6#NhR1h3u=7Zl+8GCbo{9qmG^EHG-!#qUi;-4+1 zYO!IR2ak!$1v&qy+_Loexo&r4w7333i_Oljs}I2kZ)v0=lf3(LMi1rU$~dmT;16iU z@Y@c$X$IeyT_379o$TAtx^nF4771&D1zljioLzot@^A871xH!1!CEcuS zP3d`fdFZ(WIJtQ^dAPWF=~c~~-K|W_=#`C3Z0Nb^Rb}Zl&5Y?kn_9Udugn3UBX5I( z0B~LC#Hsvc zTvssv3Zm_{`3em8%Q}keV4S{Hv;$$79Rb+`i*Vg34!N#7&ez+WCkzpL^PqkwU^HO8 z4B!Cd*k3U1Ck2*MIQN`y825kvTYnRK0MQlPY_ea%Yb>&fW6;EHH0~$;8xz4mt^nHx zmIIuZV-K2~1UGZa6(G9Yo8b(a@)8^nT|RIcL8E=Y8?gMKcR4nqNr2M1C-xokjE4pa z=ZSMW@y#ZNS-i$_fXDxP=>IeC|8u1OABK!&i+w>;iTk6th{#<jaFqI-^{*H`_gHtYaW zYo0h-TftvHdpP%FXlY>N+no@0lB6u~^^R@cNUjx0&B|O%0&zLs^EeDvM|Jf-O5Z5U zllb`d5!rZAPVehg4``?dU6vJx$CAZb>x>m7=?V@OX2yR{@Q+Ow%?)I}vqPIrN%2$1 z@nc(4h*+!u|ETs{siDwi_g+7h-CRObh49b{TMTEel@fHn8j-W!QPFAHZj>At6w+L; z9Y}Qtty(8iur1NJ42uj)JvFVEQYrUsB+S^*3?JMwejd5D6b;w(7@V`WJ zb&lw|=*R&z4x#^DyXAM%BhQyi!Pk`9VV2jM)!k%MgszM?+)|`P3zogdc|yF{?D{*V za9)Bd>hYXk%pukH(tuyQC4~*w;@#grT$IMQx_f{3T+H&)#5L_ zN+Itanyj7wUX;8RR9HwmTu6wnZV?nGSuhA+3GK?h_BHzqN43D9m$3xE7JJH5%fv=& z6*^qDhI=-w&)Urtc5Yo~J^uc^P%jCxF=*k)6twdP1oMdDR8Z+@;?1^wyI#LI_&wpa zx)3xuUa^6Y9pakW+BjHMh&WP^TXMDYo`-Q~tmB$bJA#K;Q{-%qQSH4Syr)?wE>cQ9 zY0~ahBX7lNfR3|Bny9B4X`n~Mf0vT;TT^P*ZTZ2zynQWs(QrVWX0p5PE8js!N(!e< z(JJm>PgE4^<%1B&1PnWKwYq7cv*ktv=>6XP{7xV&Ox5R9^I$|C-%Ye(}jeNR6(Z zV8L~5-uEo6i?mPNSE!l4Y`&1XD02SwNC7v9BauN$US_?*F&(^*UxXvIOJ(ilrvhns zJD&8_La+y$HQKCIga&wBk`c-9U2%ahNjPS%Xq<6aSs+*jTz{!%Id{69Gr{@A4ENa- zpi_4Rvp^DfSpw{G^p~Qc3K0QP*T~}iL5ZQj%`U(AGVblIO}i#dxUsC66bvzt8xDG* zOh*+*!H@ssnTtAb_KW!dm|v!5Rb{(_o2zOb`aGz&w#Z`B_X}cv#urBYXX7~Pd^40+ zlWmxh*gctr7riA)vX}4!2C!etrj8-;ORHoI%6qGrxc~q)YfvwIz@1fLXtx?X(L?m> zXmjq-{^g)#7fc+H3-1wulh&j;9Fj?>FxdWvgol~9vGEBBd;=~m z%@vPQpcV-Rd3Y7&%`oOI>RNus;j`{48%{MFWK@B$AVQnzwck<#Q7$0K-JOv{-HiK2 z#qEq_eS`&+b^XXoJN6#FP^{;Wa@k8oDR?B2k{O0VRIaLr88uE*x4dJl(EAw6Z*A>D zY@@Xp#X2+L?SyPuDhuHKxO|D3QLH04gdGu@OS2lwxm|D?on<{ojS)3XMQY-US^EvpLOZ-VhQY$TZpFI>ezi?P z#ch&@%e`)-{Y`F&kZ%@(QfTaG&5M5I-SjJm!)^nFD0mW<_F+wjMt>P5*VLc`qGywJ z5fb=a%WUk3d6>q3Js8G?{2E{Mwb4do&CP}cWpED;T3&t>m$dTgma=a94ouxwK4Slv z`ODJ~=51i1SvN7m!JTeYelMLX#0Vi3F~2bO>XNa!yi{KijAmyX-U?xI`!o#t)DV{x zy@R2sH(%NQfjJ!|xZvC?(97Sbc(IWl^2}laBHi7RGZE?!;LA6|OqI+rO_JO5?r!&; z8LFu$We~bbHo3MP{LSCpFHr?Sv0lu>{c})m4te~9HR!#xKauj_V|L?z<)Urn^+`lR zRF(EmA3CB-I-2BT!k3Y^i`fC%#g!CRBboX+N!StYzSl*o%nCvhhmFiR0%jBffees) zF3;}a;eFm8?~USl7JwEaU&YnG99fab$7{Cyc6v^{HSDai{Z{WebFoF-AEKO$mnIaJ z@l1n-NzAgD7w?^9;&U6(9(jvzfL2=F-ags1{`Gv%qjc43x=;zb3P}T%CJFONiuqRv z^Jza?By*S6;SWxm@eY!XGV$=rYK&cKGm>oO4v}m*@!Xx-*yb#a3#$(Sgw_#g%0onP zvhlO}sxgTdhrU#*Hic!2{AHyqW}%gYCXV~@43Y8E+|)ZIY9K9NL;TYkF=?r_WK!s> z&x`AuA#s6Pj+T_h_OE)lNi#2f%7+NBf1A}rNcKP`k0f;f7?8`0`L7+AntTGu&Br#; zY%5ji6LdEXwKb6+5YOG&rp$Q`%y>U!5t-I|lP*@q{>H0oVMt9=2;d>8Y!c>uN}*zr zvdAGyOJD(XXqlkDdlNn_PCbk=HC5#zDAa+>RI9ABL-bk4u9bJao~6x3`*>UD1FJ>M^G8;U)IX9! z%Ik9H)C|0>%CD-F2445lVLHc~$GnVJCKwF{W)UsqqS>lj=Z;*h5h$iR1hDabQpSBD z3`D_`oq~M$sMv)GhO`4jM*+qH@)Vd6Ol$UJS_TV^{w8q*5guvQIhm)SKC*K=^=}j? zP&%9;CqgHlYN6rYldj5fbUW!JxX&3q2~O^)9sCMMlHvs;YYKeey0l~tWcRzPVsD); z&^wKL+@ktDyJ&6r6D_r+vdl5HTP6=NpVDjzXpFH?EI8qqjRU80&vc}pdbILrAnS`ggRb_)9c0moe z5Z^Ar0Rc(g%>7{3MKQ($Oy6#z-yr%hs|!pvPv$hi{Pm)+aF#8GYvcvc&|g`@B<6#p z^LLp1p{_!-%-CCDfWvjPOIRd>r|AG^%QX>DZT~AuRU4tC9d)0T;AkX;hR}8d9d`@S zLfD^&<$umSG_*sglrTl->Js`zRI^n-mJE2Zh#$^kSSuJ*`?a3b!B5aOh2a=3WYO}h z=wdZB=`EPt6!)3y2>&O?i-jm22ujm7RVQB;F*4`!U)KQ9PT4S&7sZbnRRoOhV~XE6 zQvzFLP_K2o1X75D!|^t1A_SAy+Q-G&rcJ`OKzufFU;^LoDHRxw`1!*m`ugIwl2fba zdDJG9t#Ts4b?#yR&V|txdM)HLd=)UIeWM=f{f0V|X{qj`s_Lj>K7I%&u2#M6D#x(G{wk*@`B0wIWu=y)Amn@L|hTTu9Se3`D^O|^H+0}lEt~X zD<0s|tkeH$N>D|Yq+Kgkl~_JLpLL99v(6viZ)4&7*r{h4eeuz?30olwOPdTPn7%CM zY*x>Cmzv59S@{-(M4nFCsT_o4=&}1iDba$uG&KP(y_h~YXKVCA*h-xMjTV&N5z9Y) zK9dj>6MaK|JEr_$z|6ZVhzZlq>(;f6k2o!BEKztlD=5S9^-bL%QLjTR zm2=0rw#r&76oY&E*JWzH-pHI1VeNIHZf~ExO2CZ}h=x0Jglp2wX85rsUb$`<22#|DrrEC@wUv_;a8MxMN9`!!h;Dc(v{>Q>7WU@9QyEgdF+ zZ^qSm7%s&gS!wD4F5+X)RdPT_1WXTN9?@o2Fvqvq5XU7yliFrk)HzT86%81=XJM>y z3MHs>?RefI*tsdzfrsDtg`|^$n#tDmm)>5!EM;~SngPI{hO{#qUQEtq9&|(T9YMBC zA}@@K(v?nxYcaPqG;b3*er1~{_#L*R%Nssja}z-H_JQQhZFPrP;n=;_F}QvwA&lguKNlCevd);4J+10U~+JyM^cCJ&X24?BYx`I83_2W^@&& zQQ1w{Epitx4yhSpv>k9&1 zxtYvNSbTHVZ3Y!>ru1KxuV+`;1^sB+YgLnpgfQOUWo>URj0JW3^`CLN0=FcAukwPu zi4Z)YvS)Qo*es*hT zTql9U!zB2Gn@2W>L3;&TnsL&6g z_pLo2%w8K-*K3ihF-pm}Mw~63kYU~Y#@dEVR<=`bKhqF=Gw6rkm2Vr5jfL2M^Sb=) z7~at5^O2Ibg5x{e&o%yPsHm)P(GmmVL%N-VyPy4=V}qJtxwuz{u;g(L_7(5->vAUF z9ba0<#e{n=lr?`{jcT~uH~oepQBLaD`{Jw4zxHd->p2__sUQji*ni;IZmet zBf(5LMZo8m9`3E**P+#P+e8TtbZNW|J_+Ebs$j$jg@#Wm_^udT_&r8gIrnr;7I!z! zR=|DcGt@ZD2zavvMIEOnqysm0-)qx4iHA`Luo;Ph7O2#TX(6OkwX{tSu`X%}Ut~eHB{Np>BYi*%9 zaknpDg1dHg`FP4KGYW|ot^i!}&Eqq@{@D+A9K!anIO>ixqSAu0JhmpHcv_8pCyAgw z%ma3d3a`AK-)3%npSn6;I?SfarB%#d<=X}|G=PGx3BLLPlYzP2d=@cp$#_wZ8h@Ta ze)M34c(K*AXe9a_p-YFBEb0Bez&=*-3Le2JEqhpAP+c+XOH1d{ImUxer&M^XRI(O~ zzX8cwi5wpw;R=^$mrAq7l<%95ZNIkgZkvWf!_+<()!^9KAww4EJ1qVT$9Iz9*+_vQ zy1+Y1MigElSvJ0psn1jR67IEo0iB;lr_C}AwLjVoN;Rw!L}61H4e9)2r7z>&RJ6b_ zhCB?Aub-7Oa>^Wjf7aqyxmw}kWi&#?RL3!f;zIhGaV8R|8hBd z&2nybY;2ty5W&J58|)8;mynBb7HzOWfABp8OJkDbF%3+t#!)b6P|u$;tX1}vU_ts&K;nLUw zJ}kgUf&@P&-{cfdWYNM3Lng!G#;`uMfa}5k*7(i-TCY*C<>OSVpgf|OtfGNsUNIcW`s65$`PhD( z+S?<2dcK6~0trB?ON zO@XbIlU^(zpw-XrVD^{)d?h|O=()&C-o|I49n4M&U%lO|!c`dq|8EMcA5)QTjnH!R z$}PIvJLi+ee#yI4b~$+Y&#ysw4PY;s7VTE2IV$0Z`!8MrqH1sa+$!f&Jrta-oE<#7 zf?ADaV$VvanLj=@iN3~Jm=Jr$ z*Y=eTxDxZrEz4!A+hxH4!4Qv!Dc}9y&Oyw=(HwQvneAaOvOYPtjEX%qT(W{6Cq4r? zsJQ|imrn_ZtN}1#yfN2-M3RRb=&jeHoyy=Nfj0HSq@L8tZG8|DaT;mwXo1 zT2d2{aq1HyaYfLqjN>8{86$7rpx=lVjI~r;WROrZjJkWRWr}(I3r@R@Zd_g`2O&va zjRe6OJVO|{ap;eUZDCnYA*{0(05S}DAvVRumOYX;iXsL@1PNa``F(8eYr6+*q9Q8+ zi82%&lE3uo@yPBn2k{u$#M^4^q1tlh*bjg;{dFnqfmRi0%J(wahj`sRhvH$Bk>U|% zyGI{u=rAE0R?-*z2mwrbVl0rDf_1ydoXgo}Frup4a;gWI%n+0s?TnMfEr{+G;Y!huH^9o&CquH^t&!->9wm5yf zHyd}(X2zldD+KVs=zz|P;^t!hSk1;fcfoVc6Gnve*&ih4%XwBv^f2lAGmYGtHMOF}Vy5DR0G{?Dp^%5Rx`FD9KRYc{>C z(ASSie!u&|I*6v8f^uybRwm0hcZlJIErk)NWE`qn@#o`%&p`gGhyPQZ69@zFCbE`!x2lDM8qpJJ*p)-s zX>xl>zD%+}F7*!=V&b{a=zU;kY52b0(!(9_T$p-0g=ArHWEQjIMJ==L*HtWY6a@CC z(S*-eLBZv03F3!gNH}j3PoyZGyC+S&VJ{+3K8}Q_V8s&%1;g!?hL4ia#-`M>NaeCg zD+H=@r)1aK`FI$GQDHBUy(c1Q*@62kD2}7aAJSDlid^P3jl(agx#Mq)jQ6_@EksnV zyef0P|}J}FooIbWy5^T&w>G_wD$cR zu9;j}7wFzgJHhD~bEluL&?!<%u7ZJpK95c#B(m?M+MsmfGwtfYok&l4(sgBIn<6Q9 z_Q;=o*YGZCuq1=1z>DPwuTmTD2c|%jW95p&?8z8ur@!+z(@m>rF3}Y=Yd%<21gjs3 zeG*sWs{;PEv1R}LA*`cH|1w#z#`pIdBjnrI7-GU1+Yiikp(#Fm!`$7&!tX3FNhH(@ zMU6R#t;+;l3oZT$x5mWTP7Aeoez<=S3s%7IRgV7&9^V8^^(}vg8TJyAzspF^{~p4> zG7$}i>l(i0POpWwO7S5eN&Es7ZYn}EnNpn5L}ZTYjmnHcKHL|zLA0+cl8>RHA+I*z1lX*1QxTt79d%ur;IkKG1w;FloG=tZeelwM+MoC(ec*26Iltq8fRxJ zzn5*FjW68xv0~xvMD6TQN+%q=YQp-W1NcWT058LwZg~F3W^gbZkPIYe7;~ofB%_Mi zw$(0|O-iW3A{o85K}zd8GaDauB)?Q_{Zu~p&@PD*7h0rtT3s959arhsxl%5aMV(4_ zhNO)p{h@q#X-P3(F*p!kow}Yly#f`=6Z162OuhPzjQGhOoWsk*aQfjQ>0xplmm!~3 zfT94Gd#?qhVp7FC==o-Fo%3IwPEd={L{#N?3s-f^p3+&T{2I0^oAkL?kF`S(DFZQg zCvDZ+{IXcOH;a7>FcaFJJe_Y*=Bjrrf6WbIB^8H_kgujg4&=7YsQ+GtzbD1FOEQ(t;|7U3oq9tb{D+G*sU|I1I2bn$d-8Jmop46 zV}(Jjb0dRnE^$o;b(VYc+ma|5Ton}ZNQrCol<6qI6x570KJ$Ry(a<#^DWAQE(B$|KE8WL337A<0l_r0hhA~H92s5^K zuj(5Oh;KfpG}q5X@9hpvOmrbvT^&LDAK+xJSzhu9!IIGdAQ>}zv0)J4@F^wrTeNU$ zx?^C5ctt4@)!zg#hqn-}X54c?|0)C}|LxF{%QW9|oexUiZd{m;a|A zd@<(Rk}g}`^6(pmo1n}3IOSVy#d#et4pzLr(s&P@W^MYZs|(~(6dQB2}`9d_gIoXi5=vhKhbisHRCAG=D(?&>*BEZBxVwdA%l2Ih+)K(oy!Y@^C*{5 z+_iN(QWuJeZV6UM`OuHfUU2tj7lY|Ykh!`^kr&#>!9ZmogVA*M3W+D6`4d{C7fpZke935LXSIr&(YxrgUl2 zRnUu!$4IYG!2oC?mlzd{7JNWqbgX#sv+-?_htPAT*Y0BAnJerG*+pC%kKZ?K_>)qy zwh1f+wwqH;1Aq<_DPG>1(_x)VE{{TQck^TFz}(6n;cjkpyCdQ*%I)xxR58)Dye>p0 z7u{A=h+t=@s8KwDNT#7aiYg*VH_hm3zVFlYom)Na(VZ9^t4*wqj#p(6GZ(>|iBjq7 zh^G6NaI1txzM4gEp;tJby|W~A&TqwKNc7oflR-&FjTWN&Q{mb2+Gl2FnQN7?UZ`wI zL6pR6g!-*KKiT_{dJQfY7Gv_DLQ<1{=H;$((_m0LQ+OZO3JF;v37M6v&|1(}zxbdo zOd42P43X5!lYmS|6s?`02}*vDim=hFo^+5Lq@U=0+ZCr(kj;e+FE(oe#WNN{Ne78}ftV6S$`U*Q0z6Pj)lF^EI@_F&S;I9!pi70cF~d6g zc%T!1-a}3i=n{5yE~!7VUo$sY@t%k?%4C^w5fU@C#)2j@wYT9$umG>GV;SH39g0PAc>Ig z;6N_r2A%-*c5|;KBtxlnA*74+n5phBW=QaxUg3?M&TKwz$#E%+OU>oTAAAOhB(c>T zaeSRMJ6Jdf^y=^XUy!buk+AkvqFIA-0MCmxB4 z$MxotEYYHfalYAZ-A~d*c8&oI&!jN*&~mL{!Bmg%QrkA_u9En2As+|J(P6*D;J^q7 zu@cwy>&~^4tteuOwO?kYL7s{ygLhzu0JTsCast!Xx$SJJ8pwZvZzGPz${#o z&0eTfQb{Y?oizvuGkG!`#ylJ=jNb}+PVuoy>7xGUTL}Xbq;%jJPtal77ao;e{ePVi zo+q9#7jAU7FDcArsxhLPJuA8)4SuNPYtQ}d^A1K99 z!`_F)KYkj=ohTPup7Kp$7MuXdY|GM zrFL-t>s}bBXZt5>EYOZW+BfhZ+ZaMNT%RhJ4MY8CfLi61?`8BgOW63{{MmKZ({iN$ zR{qfaUi0#eoT_^*PY_;~Ylw=1^R=n#TEm*8_U}`eM{e(>Sni7*q(YCBv}6+Z24235 z-Tk^%q9k41^``N*W@`p>Lf78lBn>GGac`CVqu&2jcdod%9Te%=)nZ>{M;I1_cE9Tl zMq@KD#1zXR3kF+;MC2$1>>WTrQ|6Nhr%8~-AgTkN#s{n~t;0y9MENm_%GGwJDGj}SN``xm(XxFG}I+}2@H zEI>AK7w|v6FbeRucM|B2BIe=~lJ#^TEN=4XxF+s$O26dtDvfdse2{Ld;( zZY_~Tzc)jW#N6LHwd|`f>4Pdc-uxdqZM)rio(HNEZoK5hIuqIonpNcC3`!$)(|_wJ z_QUIQF|*-)RSRJggv412#n|z1R?(Zq!E)ml+GQ2ppV`-aQO6806)R)|&E~$_iYwr~ z4_82>;{r?6VjPLPFNg-OOR|U{d+r#&uWRz!o(rjHwmwb1eL@|Pc1X3s&^DG5ZZ%y$ zsb@gPDf)nDebw+@O|2X*vM(Cd1&!#%Od>vEJwl9N9$ikt&7>*?zXEI9F<&ULERk_Q z&^8urlq|C-+R})&dF9UY1|uDdMDp7)ul{CGjAF{F9oyO<6lMeboU6t}S{85&X@(0byQ$2?qTIRrbe-RB(#z3pV3Tgq?XJ^sQhZxX zylv8NKZ5>o5q@eILx0kwhgCZzJe^iJeYB#TT8LcQ$xq|~CcA+LsEE{4ajHo2ztMfe}BeA~wsd zKU~Y~Wg{XSZ=XI)OXLL(B<1XQRb^ET^;GfR>^nSn|46=(Ru%EyRX!$OZ;9#mk$nHeyILI4B*k%#gO>|i&FeT0)h=0jkNV%cIG7sL&5|x~j?P95 zld_(Jvh?bHj20+ihv>PM4&EdnQ4y*1IpxO9a)89u7WtSUsWgCWp=Uepje)Gec2^`6 z@Zv_Lf!!^Au0`{O#eHe?{ByB-V)ku9;kLAw`*QMBO8fD&%wf#8_L&nVax_o5j#9jz z$D(zX3pshV@AuI6?2W~sTW@+%}S&9QYXZp`uUn+90m&QPCjtUgleyz#L z8_-mUzrHc>K02(bKo`5ce{Ac_B6ehf_Hi^@-Ngx9U(IjL#)vn^9>9sN3lE)#I$G)(YteUO$qHLbq z$eRdHHC-sXx-f zj6vPIsiZsW6Go{b)w4Z8BXbxPv*=EZ+_Zh@9)rBuM#yQ@M3=ine7UZlqXh0ksn%PI zJ(p6oMe_Y2Fqas*t{6kLVrUz)P1Fh5Gx9hkVl@+$UAe3_@(_OM(Xa_#umf|`_81G8 zPOGTmTJ1#SRW7_b09-QMw7V1RlUv7ZzK)jJ)_oYzmPJ-pXstuEDSrc#>pb1+loaGw z%%X8r8r?lGGm)rkgMgNFJVR`MrWv%VX^CBPBiDIT_tIR#uJM3fXUq#YE@+k%_bGxu z4v)8?uI#o8wjqdIZNsLAeRDDnSC7UTEN-9z^QhsD1jXZo>4a)in zcd0_uo+W8M*HbzB;c>dPbc;xSc}pBe;OA9nNhICa@H$Hpk@T@m4b+c5WY1hmt5|x< zBJn+u^AD(EBcZR7^KQf%@U9@l*G5DzCgCAbCQ;F^MRdFdlsRb<>5sI1;r8#Shye`z zX3x@HcB?xa?-o6w@~DOvFObhpSi4R_TYfo;F!j^+)@5$&$2fp^PV#pw-OZ)TlfHlY z+U9pPeE-?KjDy(z2sQn^@4Sys`NrA}nGyapShd-}DM2*&N?v4st_**wH+p2K(9Ghr z_@*=M=Nb7n;}zNE;_r_9O%b8-v^p59N;xJ;#`k{Z7$^VS)9sfu2mEi6Nt^s%_?k~M zm&7jOj*bPE^>uYjWC(u6-VT;&VDxsp7MsQ9Bp$tG+PbR1yR1DGou*sqJ>;Ak9iA2) ziH99X@XjJIXQ+_guR|NXc+V7!G(FCAE*}i4=pD=K;(aeYZnAKy^Sh5{@5B8<3$NLZ zAi7wjJ@(FBz=PEV#?8(vyBJ*Of_0DLXx__XYRB+0@6pAd&8Qv_M-kH1Hio4@Z^v$U zb|*+7ZC;oZ>VWMk{*H}DVQ6$1-ioJkdS|`n{v%QtD)DOfw3y|<=+UYv?_5a6S*-j+ zj39=XcwOqg)Avp9pQbejRq@ak{#_%l_rbi)-^50)#q^uzyloBcX&IJ2e#~mS2FE#u zyjik?V}FwhARlGCykVi$R0IB|I(YgP2BcH~SH7xuduGbHd4x6}4^ zd@y>iT)2L<)%hpW?tqWwW`*YbTK!jAr&p$bg{Mcwy9J>rl8ggwqwoqqqqwlv;>5>M zaVS(-DD5c>j;m9m7O5keWpZHi=ej-Xi4Aurpzv|W7F?D$_D5yjZRVTUtl4`Ld(D;t2Y5lEZlWX|L2dfnLh`L2YZ5N<9^{Q z^B>#h;F;q|_tj?Kk<{>8XDqW_TVfTj zi?O4`Kxs^xu14RC$B&4+uTN7BtP;84N|rV{+&&oDeter|1nt$81MOzS{5RX*SvUy4 zeb~sGyuRg6h?pFYk`GEZHjpxP)&bRJ-G*hJ2Y=C6A_(_^_yR`SSyCVA z?GVQ1(6|>^stp#h;XnY|6SN&`ELU@v7;$}8_{X@ht5T=7jTwGW=agopJ3YfeT9>4EU@<5qs*31HD}G+;>QG<-&BO+#vr$%R6b-Pk`R#i4lm#5 zJl@l8k&C7jFsQ`w3bc8qgQ0knpa-A3VQHRoDpB={a!PP}=HpwXB)(bGAU9B7%+(v` zoqZK8>^>#ootHt~(L-o=Is%n&dcJ%7zq-3e#1k)E9Zh;v9GSlP^}e(o-M17Fbs${gYS2FkqG94GXajC&A8O*uM6UD zm-adcR6tKU6o+Rm^vI3Sw;USWu-JakYoT=8YH zfmSEhN-l4|$(J|V=_5-|`k7vjOMp8~LTATNlA53HEA3T9%+r}!x@K00^Q_qAlK-jV zK;b1e_-@yqh(>`$ddwyNjL!WrrA~xz=k>$OyDE35J6wDb7n#{ zgyF-{bY}*gBa2_7iI8tVC9>$kQgSW9XQSoyr*DuQN1SfH8=@! zEwg6(aMX=EdeGxLI4T{si1(=?(YycWAkl?+K5fzppNz>JlM18JrcYleW zqJl8KF})C5L;vl>;w@UzdA}9*ENM{ln%SoQ?s7a%=;9&RB&b;^aKpDy z0*Y(~;R{Zud@eI27+`H-yH;r>#M57^UnrPDn~E5w*j%aj-5MA`Wg9sJokXF;LF?) z+U_j_GYK7ugshRu8&reaK>lGLn=BI+vGz>%^c$D(3Qskd)48#bWvc?k_5$*K4`Oh# z4g)y}+Kdrr6b8kbV7*1>Q~oEpwYIm^mmg-7XH6LH%GKeoL{#1 zk#xj?wc_ZtHgwW`hdyij@5BYyv5Rw5i#jp^*l`+hI5kWOSzb{pz^58c*2n3R%nz>= zkRD#SeFc8-he@yj$2O~)DkR$W&yNmbaHwHweoJx`yYu(-({#Eq+)5xvDT4JR-|Cx& zb!*57IVk9n$=5D3Iox1d@F)2AmeI_j{HyQ5ZocF0K!aPAwQ2ZTY)s2t{VL9?%9$PI zZi{GKUp7`oA9^Zx+k0Kg(dmrqEeaQ?g_VfliNb&d;s@Nk{3M!xf~wt0_p7YI%+!)>6=UA zycE}bKR%|JolU>UA(vOtWVz{ItC+ql{^c;}yL%(QCO&CG&Xq+DX{;NV-{BNhLfq1N z&!x0DSMJW=;a`A}X1})2joOK9D@a9pP;!#*M|bfWM_o_NU*y$Ub84k@ApKdole})n z{wtZaM)2}v1h)JzM!TMVRr8G_ z)q}NtSG(b-y5UudE2#D_PEqie8@i3QS-~woo%-v7dxu$i=&9ljtalw}JDT!oqn^bf zfq-H;{=xoLTVya;==Msrvqi(neykCh@@79TMmX4~H^$tr5+brEC6&)PR?9zjJzcjJm*I48#vPL0z?*ZfET?yWcK#C|o_;*FMS=YF2cP== zpspa*$G*8spfo3c`QxjTKndr9^1|7P(|Vhs5haPKeS)65f2getK5=1e^gAnj2d#GN zaI%28dARDv{eE8|r^g4gRL`j~XwEj_)|XcJ_D4#?X6E#cq&-AxkYIJh9E4OXt5*h^ z^zC&kZ??(ycf{MOI(TNDZm;*X%r1|*80bv)%hX}|fH`EOrY6$m-CM)xp`g41^6H4W zDY#EN`7u)G4|`znO;#`7tGEYRmhw!qqj8NgGp zX~KRehMz`Ne^OX)RV@@Y5y*LU6f=JL{;2Repi5vV*hhf?f0!|7?fv}BSJl((5V(!+ zNnYOihF8@x-Di03v#iODck3f?YWrEnthuR;nX6Cm1WH}@_&b>%jE!D`nt2!d;_G(W z;fN>)R&k1YMWSdgj6>Cp#QE7z^7BaHAj6v@bSIDEYI=fnF5k!mhW#*9%LzO3%2K-z zs6`a1KDa}6Gq%qa*0kWDM#shr#WO|(R3xZDz6Zl=<4ZWqg~!(nLI0PDiO%Kp!4zr| zxWb*j@VhmrqymV>K}uJFG!abgiI~oCm=1}28jM>H59XLo6xJvt#<#L5(+AAlkkgWA zDCb8Swr^&=@8S7IT6jznrio~=>f;r7?Q;6EBa-&=E>^kmT6P-#(HS2@LG=&40(lgR)+3_f480 zhHt4h`Vu?0X4Frbhj=!a&cwc^4M-uCF0=K!#MQf1Xxg5DP!;T+&?BM0<*VC@0@WwX4Sw>iKwnLwxL#SvZoJE7Jpb=(yPL z@z)>rHU6r;b`8aeIAo!o4cK({q2+`C4RmIIWo0M*Bw*_+>)zedVoK96)N;sIT&oUcLvr9uuO5LU(@hiFQ~I^zpB+C0S2&m6@ia*N zp0Hr0;~F#9|JjUhM!R`aaMqEX^L%zA0Ic#DSq5iaTw>1+8Lg1g&buS`Ue(pRlRQ|u zy`jEiNAFX4v_Rw&nD*u#VQ(>B{qZ$)PfY)M<4GG`n@WPV91oInyD#o6!)#C!Uw!0s z5os=$nGjJ;Zk?htuSf>H*Eq^MTCN%x^>@I=Fwn92ZjQ9yt-dJyT!>>_ZUCc|Q%2ku z8kbXeX)SFx7SCZk7jZ{tWM6#5fMk1Z89_#)<{Lm(I$O$JdH_=b!9UD8b$v=W@Wgvs z3o3rhG(tST1Iq{)ExYHoOStLTAaq3}m|!lSVy+s&Z%m+^p|GT$q`Qpq$a$p^QbbY( zriaW63_JssoBgbuo|b{@%Mk-nm|ENWPc_k#=CkjLL1tsiSFHp}XR~9ZC+U&~>49+% z2X2ply$|J|>|f7c(_FLH#vpCx;rR;<@^jz7_#XO+SJ2Nq-3#IZ4k?9 zE0sHakrksy*Z!&dFc8o2&bA)_bI5JX58@j!0TjRAN7;)V0yto~%D2ToWU^?(NJg$M zPd*d$2BQU!h$$(oe9vr+VJ^Tpm**(CJL?Us^>u7^R7BPjKxBy&=a!!XUh+lfh2(F) zl!`KDu#1<8I20i%aLYACZ_F zJ1eQj=>kXTu2i0`_N91k%m*r%rs=MHr}f(SMeDuWq?TMCu3rvY(Vue|4))wxEfEg9;Z^(V&mysv%vtnk9SLoQc}*!S3*e0IZHw%6pAoQa+CYoVU_zPvfOvBT)B;{h`HvzujRfs zY|J*Z-}?Rk?Af#D^?p8|_vih7zxJodhaDRq{iOwN3Ck>uZpv)ADcm=xmiN(uBf*I{Wp`*(1owiFOc=*q|{Sc{JUw=?h#Q}=S&FerL3EpX>d%1^uIO5(Gn{f!x8iyRJ0 zEP-b=fBs8TH=J%U%c#D0ubQeaA&nQEj?KrWo@BB5iWvWgS?n`cI00o>2`&Kgudv7Y z*h?z}w!Fg#DiG$6NbG&O%Luy1Wr$%^gvzMN@Wl8(UJyJS%(jDR zj)gi{J6CK(B*J{)b|C`|H7=W~3S{Z8&OKNcp?EVkWk(@*brA?066U>UH-Iu@qV}1} z@Eunf;d;fwXlfkTcX*OD)tBu7l)0Q1s>_s0Nw>|&)RJjHCZi8RwmaUezxD4b;#x201KAjGxef?0@sb-DJ?>Otfm*a41LsHqEm3K zT!~>xf-5xM*-}HsQ}Tyf!t&_1h5=a(gV#Qo1-Ml%Os%k4q7#KHVxE>Yc~^Kk3X;D0 zk5h|sDC<$_{)F|b^Dg_uD!HQy`E52uDOH(xA@fscxDUF2E&iw6gMrG1rlg2Eo|YzK z>QO?nKRYRKAqa4X%T}Y2{4;a}(mP3s7Fx z-|mSXnqqGSIBs`*>tag{Ju;K|Ye>Q{&tmoPi40C2gL^$zg+}#Us|RM2qrC?Jd005^ za^*~})`rY(N$ck{ar72GJ&(MnHW3?LvfZ3~AS8z7J&ji6PGUVd-p~c+W;_?fl^Z}f z4pjVWNDZd9_O#IsjqeXLQAz%lxJ)CTHxhR@lzkZdERH%hjTp40+%ycpWLH?E?nRq- z_(tE$l)A>YBSsQ;PW?hWHo$s+iLf5sfE##(;V}4cuuACLL-roM6^Un?GH%>>zoIs%E=$a{Fo)Q9`h6|8TcjLPkrx@SDB{r@z9j z8j&@a$m%z+yv38{%Q6NyNU=j#LrFQ~sOMtG@m~ z+W@4?={>}wr1gW!7C=d;5baB!Xk8Q8fLJply_(Q6;%`!) z1_NIB)~zY@tdk{|H%+yS5{+O$k!hyw!Ml}mHmc{Y74LF-_eph8Jcn1>p@-S8{Kdf8 z)|!cf)Ru|Ew*^8Fl{!nxr}CwtM3~MgmHlZ%1Tj9Rji^HCZ{?-$^bFpPAbCRP1*pGh z?m!-l!IhYe`$Hn4!anqLw;Xd;ybgVE4DIZm_%pJsw7B3bwCXRSOGM7O)DR@v6Ityd zriL|ym0t|;E{Z*45kUk8Pv}ln9!Ji1lO+DCr_Di=@2LsbIw{C!4Q)KnMa^R?nX(VYg;C1L}y(efI$PUt~h8`WX?_i1#xz{Bv1I?3~iRpTL?@ z`m8qWy9=9%h=Oq!-ZvX&P4Etj7h1o&9S9%N-2|}ALj1RQE~cvSce6*Fj$#StLX&TATOT)bgH&4bNE=Hq4jS2 zC{!ZIkCO!3!;W4DT*IqL*9h&f&&9R1k0vtq6JcC&um;NCL1CS)0JeQHKdpsmF%w#E z-Y=p6RFdmh8Pkfdd?j%(=u`SWFNOtVXc%#6o+IST&#D<;RNLf*nQMS_OD0~uESDf~ zP~awf4!Z?m5p(t3?%+xF%iH4A`jsBo;Iil-;-4zN{K`UXiZOBp4?IT#xny#}j2PM9 z$`Ii0rVEy=fhZ%@4)c>O6pep@NH5*0_^4azE5tj`mXSD26P9;k+2Ea6l?$G-tef2k zpGEPwDyIq7snpC;71n4H)I+^MRxwjcu&pjMq80aH-NYe|nRhsoVc}~f3nTbE8PZJ@ z`Z<8@Ey*px$X||z-kZ^i4OmcNKPqZ^eVWdY_yg$*kddhFp8Dh29LMoD^{3pW?0{oC?Vxouuj#l5 z-LLrr-I>>Q7yHjLz=K4mG#~wNe@^jQ$1PNYnLyF)(TtzMB)UM%!jCP&4_ewoxh0cv zs^ea0DLDV&r*y?v`;6uUg+!l`!{+^T{LA_ z7V_3-XouHH_10gbqo_XNEt;aXf%7`lD~iFAAl!hLnm1~;(mPRhE?Hy4kYd5pS)OjFjV$*{6mHa$~bGX=+WhXNv0Q%?l zbvy0)II<+QR-3E)bN^aSKP1^rOjG8v&bW=aRWh%JTpMy@OR)q2 z9=nWDX@&$n2vDrd!h*-O)qT6X0l%9oV)`5ST|o32!UnQ;B(VZmUWngY~33Oyt?CO zG@vTeuS;cws2q9hv02}S{9*k(YIkNZN|$w6XU0CcUd0E#Dq!Wf0uVF3>vgVVvWybV z*L6;PI#5%6nO`Om*8LeK4&NE#X-NiR$-%@=lg52tuW-(K-q>Ot;TzcB;+cA?Im7iI zC(+-#?~>tr4oiHjhQG$CVu6Bd`_%5-5zXAGUWv3O$GM(#QAYno-$fq+Ss4!+CALLC zaua@HX4voO>qD$x!7{>UdVSoRt5Mw;mb^hObq=^MOGlH2-wY)*z^4p2ibaJpq>#;ygf$`it}f>Y&qD478mu4a1qX_;6fzY* zfZjd<;vRV9_X@)JCLIa1&w}lzUuTt;2=96s%7O54u6wfcTfJfNO z8x{oLBDK(#LuJLj**DilHx|V@Qqo+1jV-*Rgd1M zLbH)AE@D0S>WL<`5KVMxHT+?H^NHp}7_fh*@9GcNL(^&@;pL0)U3ZWz<)V?&qUsB> zsmKYH!&s4-_R^Kwai#el`0i#UL5F*e&`f@ zFG*K9Y0%o&$~#~W;PUq!EZa9?bt~_}c~ON-d6f$iF38ldtDd(>7*--hY*tOun*45e z&9u4KJ2mU%_>~xcQ>72y*xprWz29Vt)IlQS*Uue+^YvHoQvb->l^DC}`jr`q+U-Mq zo@K@)pQ8hHld9U9f0$S!fTD0b7!ekBUW?DEi(Lf~7Ig>cFK#wN!;?za_Bumd#(%vq z^pS3JH*nz{s9I@!N6r#utotU&TMRKiVSxInbMMGrKLQZ7|Hyo%uKK9Ug@1(G6Eh?r zz0@b{_EUp3qaJkI#8?(1@ZcxJ(>XPjt==NBR91_a-0us0P? z%JTh~Af??$-rhj8V_unr^6mm2LpGD*7nzMbYkCL2@whJ*DT{hY+zTdq zRaR_DD1y!JG>=7CK1Cxmvtf$d%^Tk3cHRAAEUKi@gnVD}F>=Xh^QZAtd2n|#GuV5H z6fB39g&YV|7#~WvzE1MO8EBvI+8w&hc8&jk*Sb{Xs`hF;NG^n`lqn9?qP;I-Vao&a zP6xx@TLwX@y>ss%VcIp3_j-7uEzgBA`cYh1_cB#<&Q{zgo!O-g`wev zE4`uj_HKW?NR`;q&bV-11p*fP9d&CX($mL>%A~Cj`FSd+<-B-4pB- z^Djo7fyh$hqP;T{1e>qmb=V`LDE}%t{TOmhA~~=;Tbf61POVskCdg?U z{2XSkr0(EG-y4yf)4}d8m7Z}o^RbvUzs@~=@?TfTpH=t6jd}n0R+h`zvr;?g!~Dpi zdgT$Yt^-@AQgH##FXfICOyW)`{#Y@wPo6QGk$8qiCqMRHn$G! zVG6ZqLRl0q@;UttGT4_jug3-@)#B~k_APks=dHSL&aHDD{+d@@V)Nzn%F=pMD12z4 z=0A%)kdAL(ot7ARAc*ELSDex!Zvz)RMYbwxo-ybl`7yr5z>2DgYkcAY0XAc1;sRa) zuLbITpz4t+J5%Ayslia+eo?JhKUlaM@oasfP*;^g@4!}2(W7I*eesE3etujACIzxbtDszWiG%K7mtgn}EijF}x|aGxmOVn`=>vdaDXVY;b37#ceX&qUw6_il z%Tpz%h7j#>tw|OVr-p%3CnR}E9Afs^2I`Av*#HgVW_yMlp+goj-RmJanfqRsGF*!Ojp8Yz>h zfMSXVyr5iel~h`6(~G`eIWn)5wYFMGG`?#xK9aaKQQMok-{E8080>J0g%`)fgLqIxqLh?j03?g|{ zPI+qJ{nO^aLavd>CKN;HAqD;DbCbW`75&g? z?5vZScnU`ZB`B>#5a-tX+Pr%rp{W1=Uje;+=&8{tW`93=_w(t#itlUP$*YvpZ7)B6 zHwOF9T$T^y_{K0c`2hZ8iO~H6{k;5{22iVep*zR=lzi*t_G5<-@3ip2Cb-&|)Dwzc ziZaMtU)rW!cL}pkQ62mCXw0r&eSXlMb;C|TJGS-=Rujo8;luZG-mNi5`LA0f& zr)jKhJCy3yM?LCIjirL%F78B)GeeD+jpV!IEq3`dMgY?d_oOvzX@#M;c_+%qH@Y2? zM9dck5*v`+{+il9^X8u!2uGi!t2GF8-qOGBn*^~~i?7;y3+4J^BVq!HyDQx=82FyO z_kx zn3y1UF~@P>O7!|g5I^B+S%ZEf`ZnSV`Dj`o7s9qE{%G>@7s9G!dqd!&I#%lgTs2E% z2mPk%ovAWpQ+rp;%R2~%Jd2~=Gzr=h)sg=K%$!!c@LKr_iep)tk0;0?`Ia6X(aM;P zed#rhc($b@bTjYbSItV)+vrW^zH%e22wOO8z-Ek!L@{)V2V^np&n0Jf+-`0m*!Dyk zIP944KR1!y@S_jzGa^*Exy<;(2IHSJ$}u)}FS@s}tyA=YOo?NO_SW7k4vT4>01G$d zLh^p`#<44pY;xRLY|4bjYAXqa=MvkOqWTwwRzn-*V+m$Q_XpI6;R6p;6v9L9dTf9IoT z4YQ}^v#w5F-SuD9NAjP#9_8zjo-W9HTY8)8$lWte$pkd$lDH!3(*p^9o_7|0sUXy~ z<+;QkeIV5HYm8hIj^?0gCDYCc zlIp_aIsFXGo3RYYB?t3d3_EqaOlAgCLNBoKrxTs^C67a;Kwpn(@xAZ?91vFM+^k@$1~0 z=a5&7OG`>4o#bU8L!g3(DtcHYQR$5o~EmcB*QZe;2|Va4u!|3 zl_RxLS8sOe4%R))_5Ra*zM*JU5VWX^ilmE!m;xrv`+eBXjK+vNaYj!|Y4&5gNMHC` zvh;k~($^EP-@AP>1j>SF0b---PBu;fM39N_1lM&ld^y+ti!@!E9i)4MW)w8+p)-JRht4~`5NFgiU^>?bH2XkliktxV8_jUj z@CHirXYDga0R5l)jX2Q-jz$m5;jg4ZB}zx5;>O$g_T?>qTzwYJ7IUsVK1=gD+o;Dg zau)__{^SK>tBcAmR#0X4US4hVX0&&f>QT)(CZpB^x2e6jh*(;G(q?6H>xsgvM-^0V z4K#>hO*G&NEs`dz9|7EfYW-e~I%?FnNFG7GjB=mB)hEq-B6^Z3g1CHL!LkQhHMRDZ ze6UWz^NXdYQ_Oq1pZOAKD^!p&V#!`bxsK4)fYD4bE*9}XYwiSSyxdps!u@8!b-AK& zJm@V+w1qJgJn)DM*cVILhrLrmSD`j8*)ca1 z!}?s>lgAptM$bL12VhVW$`eE^*P3Hdh)oIf0P z@!h`mwAmNKmlmmQ@_pj9gYd{^LTH=3@Wq1b1FJvYrEoCRh_ys4<$;j0h6o?yu6kX#o6HzU%w)c6SvTnR*!?;#Nn1|7c+zYHCw-<~Q`>&s zeY|B=DSJGlFP!r7v3^;Wh{^X$%B={EA88LZwd2%wazuDSLE$&Rca&Xca1SmiQ-R?4 z8vI$kfJy{X8h7>!^QkZ8mNLTfImsl)0V75nWAL=So)>(^eD&XofqYj}%^DP|HmbuG zN&kKP`g2Vls`o7TG3h!ebBqfEAL!&^%D@ZCmU!gRAE)2QE3OY3v(ZoNay^=4=6Y4t za9r{+emH9iCr3$B!enM%%PaVoYp;w!7yjGWxzmJeK1M~iC%L>2qU%P`8N2UNQdLqk zE)=`^ta$$@nlx*yTEWC}L;(DqWLkyVp2{k zyWWmIOtthDfS7HkdcTP4F&#D@*z`hxO(>Wry{ZbMY|f&$R?356E)PRmjZr>!uknch z;i09YWcM7}>t@|21BFp8h1gFu2(+dglPEvNE+n9_+k00-f@>(?)Efe+LxRs4{n-9K zw|e1Oe+~yP{rDxs8f2(4)=dVPumh?dhlTf(F`DlEXLluc<6I(X_MSE}-MXJHj)*j( z9}7>IzZamac#oN?ixOV-cTf+7bY~oD_=jEVUgiF>wh=Ie&ghK*tw19JXO)37(e;>c zRE+Tpujx#AePc0`Cf&z39)NG{aGG6Y#mRp0y!g?+t*VW3E%!v6X zizQ$d@ZzcrQ&i;WPVdiOj;T-YtA?AZH?UWx0l7`Mmi9To+mKxMcJ-S<8@+X zzIOr=@25~Czf%itr99TDpDk8+RPy4$8|PQ#rCDNlIhC6`v#9A0Q*U(O+!M^#55~`j z%KHi&a$eToDsK?cxN|AGh3G1Ak-_J(Pe0gC8Iq-7aNuN z^D@qqlcRBc5;bm{98Vt9R8miXOdc}wO?^j8ocC4r|G-NW<`K7xN_V#=|DmKxpp)ku zMQnGP@%&e|h)2L{6WXI;dDff;c&MTp@Xm$mc7YhvleU179}{f4WK=qG5TCr&ICfu` z-`i&ubTN~Q`00m31Z;!%v?kYcJ1oOiOio?_lu!@&lB=c}+R?oOaNRLj`6@-s!8G(sWMJv~ge zW5<|GzvDs1IH$`mFJ|hcnmJ98yC+9)9Y39~UHDAH>5lUkDcs3V($Kznr%8&1g zz2ICK_Jz-q~F z%|)Zqx81;*w5P@Ur?wm~u%FK``R_IO#;fze_wBvR&jC;Q99uegOT`))o@RVQw#YS; zVajgep`4di+fYm&s#;NDWT>Oa$=J<#CX=0Y$)#cbMo@t&{a|qm6~38r*G#n z^ep7Kp&|8$K~IkW>?*+RGH8Rr;a&W;LZeknks=lmVl^Ae%OAYR{f?fRUG1kW_UC0? z16@|nSjr(ZS6*9rmQmz*TDJ7RnAA({#}LN(P@@rW2lw+xlKW28q^r$^G0(HTH-9J8 zbd?alS#Z1v`Q-$v*1vvgzqtsQ8+QC&0?z5s<;qqdHTJjsi416+(4`8lU`QyaKW##Z z_|nBI0V|wnV5{Hr?c-A~_uOzP57$~x_4cRN9y0ccUBW+yj<}ma#>zt&eF^o19*>v2EMQ&F}l}z2{ty9!24-RrH!E9HVir~wVkQnoW)?;k zCT3P*RWoOID-$zfB_k6XVrF7h8Db4HV`6brE7$Kc1&;r7IS>$8{{K=S&q)8RZ$$rF zdxD<(!3OAwr#!-K2Sqki{OK3PG(tb7$O(07lm92}EmdmbLG=gQgo8NVRYVE`9SzFO z-&Lv4%paP-;@!g4+!Q};Hp2;AO-b3w0PuiCkfP^ghBEr)r~b6=bJ?ZddfKfZ6;zw} zcB;1Ep^(FKq_)v6+tcdz_IQD`V@bk~JMvzyWfDxL2=YK|orRz5NFCed#0RpuMDkUb zlI`kZvQtCQe$$(=7!w@k7ebh=#W3uw6z^9vF)UKx?oUvX^&sV^7~*$_|LG^i^ldrU&GAC`YQP_VpA65 z(6t~w_JxPMszWNpl3gZ4YvUw&XXc`ByBl{g{+s>QkofT3j>z_7yyS^F#-eM zOtyz~K;!72=p9Vnd_B^lv_W@+ti4w1sHy%^iIc$Ul0j>?O$W z#kN;;eT}TzX>~?t*BYyx@=CPmeK*Yw%BE{+h6f%jZKlMLdAMJ<$Z<`q7NmLjDjWzE zb{Y#4>Eu}at}Dfr^H!bF%*h>|<8JMAP1B*N-Q#BEY1tRNWWRlL8i{CZGTjP-?XjZw zajBl|H=Bi)!1Ul;>90pydWEZbol?_pW*+VEsjiA{K932?I`ne*rNR*#tfP}@qM#>4 zJX~y&uz6?fyU_=FXqo4;K4dTFir1%ElCG`W=>|aNkPNcEO&d?Nc?tSG7TPnX`$Ws) z>&D>67I!U8aOa#;d7h{Fh7=Cz8hz_K)F9w6^e^W+A>3r1=lOr~ z_IiEL4%CdkulDl$Xk!X;J17XQjB(pV%V$^c)l5}pupzWEnN~|RvWfCHCNLXpS{QQ~ zqgi@+hNSYA`X-8sAW?NVif_!Vqvmc5BYK}V(qLa&p-q6GNWU&|=>|r^c~-|%I)htv z&U+kVCDG$2Rf$8P>1+PYfwvXf$Eove>e|GAQ;5lZ&@eAM{g{&=);+xvm&`uJGaJZe zS2BT1`>@ez6Ue^`_U3D^y~AJ5@6Dul(1CfZ{l_M-2D1JC-kcX=_^Ms5qIJgkuOyAMre6 z#ZwxK4@HnfS#W^4?Av0&ori@s*IB;)(z7O8te$yTMQ+9ky4LV<(w;02`kDXb<^Q{J zPKf!OwK(BO6ks3{#0!x`yI7m?>s0~EpK$~dk0YnDyHLEsy1P-S%`1JoT&bRvi2AY79tQTYreaptzEAHU5}-V0Q0}U zrDn-hUGzE_FBB_n-qNoYnTaP<_a|hFZc)t+iwV};Klr2ZS|^VLQY+fmx({~>^`5(= zIaamCRUF|w@pnwg5U0HQnD~9=h!mk&7e+f)ouN7Y~iZJ zlrM{y;OaDv3SWcT8Pzm;*1F4ZOWVi3O&0ssrvlVko{iA^LNpyk5f=|-2T^KbIZNwV z&-GTcdJX#!$9O94W;IZ@B>oupEek)W7=HhrsoKXd-)6+3on zLvs92>wc9~RF#K|IXT{=C9e#`%q@XAlc`oYH?8gii@C;}_x#U}i-*sQ3cso^f21wn zj$y!iPGQZLl&=5rD{+6)yHU|HHHA070T#GfC5i zXz|+d!U-nPy>6hJ&Wwfx!@Bh23uF@x=J9ys1)vpvEBX<>T22JW+)q&h77}p)t7Z9L zZ+x?_KrU7?65~<*2k(I|Pd|eQK4jlB%_VscT#mepC4168Ul>Lu=0JTWR74`*I|;oC zB?Y*jNk=L71wLLnWPUlTchBSN4FF3_z%GcGUVpQJD?E>7c5;*|^9)iH z-6_0 zeAwwaUU*@pC}$SL*G&>~Kc*b*$}ijdp&x67ci`NU3&>WykKO$Xj1$3 zOLERrrfQjjV>-tzfFN8#rbCJ^VLLThj%25Fv=knzrwI?S72)zRBQDMtPJ%{Pt9rMp57^m&@MAVzr3*TVB}96>;_ z!_U2=S2fO0@IPB>!+AbKJzv6DQubem@7$1%r1;z#3evpX&lLWu^+;P!<&8j;<3iOp zr5;~3Zi-hIv6nJunbonrj|H{ik>_%}EvI7>jo2%^nX4(Tref1~e9l@wj_qStzdgm? zg_s`bL|(ieJ%jEaFS6OMIz3MCz{1zqhYUMkGM}G&dk?Z3&#%NijW=3x*Q-s9&yDX} zH@9ma8zeIh>&w8$AW~J*qbD3{*OHzGU`)K;5z!~uY0r-1OR2xV_DiRKW#gBv)TJE2 zMo@okIVHc{f5y0r3&iR2%J}|>_tEW@)tz^4YxRgV-oWB(fTV}@P3LW<=kmGfX6VjA z&zC|Kf2%q0X6j))&-`-A_nQ+1Hrd~U06ca3H_gwyfUVcsNe42XJaul!gpBvRpf7i| zzq?tj8au1)W?_0j1Gct*DNuM=>q+;ZSL0W3a5Uq;2JcdqKcsg2L~;3<=F zOn>~25^3HGe+Z(p~^lD`N4c$E$j4YqdckmbES znZT-$iIdE-yB}(d0A29Vp0KO`D^jVrJssoJsJ^5jd|sg|UqqPhFsdyC*1j940oCmupjQ)dbS)Cx7@?FtEf=;Jhm~|DLniKEqneh zcQtS09H-{y4MR38A`HeMV8R=^~H{vulZAt-9N^Nhnkp-n%X zDk7u#&mkkjGs9 z*OE(VCUL;s;&k~#U5F1MAGr&JHSrI635<+z3yzGud?QpSHZCd%p9l+vTYv%6*;kiq z|CtN=$g>B4W1J`zH^pDLnNH!@MIpQG&6?W#z(E&yhM)m)ztPU zF||YA$XUyFvbwA<;4=a>u%(Vth#C(CSlz2kRIcfNO~b}M<$Ifisui9I z+dIhV@N(rjXZ+NOC-S=W3%cSb*T_v<;hjt54Ra)mc;63&7biMrxIBQgh?QbKyj}AT zM=d1uKQ1$w-1a|F_`9{)F1TuHJEI%gzENYNT4#U)z3gBIy(|ceZ}@fZ9Poj*1o{}B z1b!|^#?|}T81vmovSK;{;afg?YK0>>5=|gD5)&TrQl4?LM{nASdqfG2u`6)m{mD`V zdC>|>m7bE#U{PN%wfgM>2L#KlqHQjd(rqrCZ>W|U_7oHFi~!Sb`ZAk0NVxrng`D4` zLI3telkiN3Q>F$y@}eLSG_a!1Mq$A}+4l2_c%M@)qWqOXGLl zOvN}WV9($)bG^(^wuDNaC6}-RFFF3Vr;GbNH&Ec?tkLx7i;S8ck>$JWC=0Ox&lHxx ziT8*bK8i(%g|AtCzr|iCM;K`{g8S5^JSW!Qfmf{Az^B!}9!SdK6rUg>$iwEPV1Ow5 ztQ%UwL8DH3J~v+od!RK@W9HnIkR0Z$(PHP|4BNRuMx018^0mz8BWfnd0*cLs6}j0` z-;+{bkWq$8htv%APqz2{SY0)@bv3nAj(&yTtf6gT@YJzG;oL6@?&=9s4s+4;5Ztx)u|D~W5Y zixC6fnbPt!Hy_V)wEQc|O6b+>pGGu)rr#rtb7ILr`Fo?T7#%WGw;BX><&cV!vzerjtu8#)L)%baHS%KD~pAUHbv zQFy}^SfOk` zJ@w-ZgeFT#+e*(x+qN-T+m|dK2ZZWoZ}nr!9ZXvX3@!INbHC0l*66d2t4edpc-jY# zuM32k!EsstJ^(qoM~EJTp-fE+sn^sG6E|^kLJem30dv`m5}un(dMv_4XkEf#cD%f< z2%Xa*oir{(s)^KQG|q)PJK;hRXSuZC)vTbWMEkg=$@ zBG#Q*Dzd`JJ5w<)p5g{9rJG1MR8lv3j1jcGT(e8nc<4==;qn7yOCVW0N6KcaZKZ}A zH2$x1Mr$ovzt@K9IlNxzRJ#ZJWrFcZ&6b4@3y)q4hPBg;mLu7YuD?RPLOfyPIYJ~9 zbT=^;Uluk<9bz_B1|WBm6B8$BxU ziNPfpa7hAQJ0%W?7-8d>3|sDvCcST1;zROkNQlE;J53IeB5>S&%dj654Ek6!Jg?pt zy5$C76wdB4)sTpX1}r+$N-1#YQT=a$vhuJ*GDKHkee{2e)CAyHuVQ%K7L-#($DV4a zNwc`WG%0;T4)Y!}LlrnC#IB;l;6K2f;8%#fare4hwBm%uo~FT(1b!Y{=HKUA41i9O zZxV=WlU!olhC4XU0`@fu_|kcOQE);2&^%@{-J87M)6P}r@!mOP_#)>ewI7_ z@`tA=$fJw4f`h0Pqm#xmh=lJGpAcgetPH0_5_uySp1UHP&D+L3Mh1}a%Wu6}<6>@6X=Y_o39BDEnW@?uyV#bJ@~3iI z@(XK|Dn-GCL643=SlLGhNOnrSRk?yg)Px`${$|$k!P4H0WbM~a(zINh^0ZYod6}Y3 z6(-{7PbDIsY^c-VKERdUl}JOx^`#U3=~LT+gAKK%0#jq=Q$+_Tbed3Xywr>L6mmav z!a$dB{@dgZw)1ik_xc%2_`N`(Pm^nJPJ3&!FcQOcF(nvB{Qn+>R?|0FTwg^f$$N7 zQdSJO>>nPi>!BnlhU2Gpp{I zcU+%{n?mp}UE9MF6?Xh|@Tvy_B&8KwgFZUFE5bZFisULLDAye(6)&Mbf?b#%gAF@5+<*wY;@ zS$}nq3&c^nrP`y)H)cK~K-nF0x|Kvd>1-`ZSn%}bXs5_gV6A?1($&6BIcT}*ZJJVK zApkhjOB-|QkCtR|x_9Z6Y6v%F0rnJCx&=X>F^;JcN0oUO!*M z&ks6&yXJXWp{=UxW+*i%h;6s%?2`q+$EcI=O)bdxt~hyYsJt9LdTIKXAQV)9@|@~h zQLcHVsp-VK8WkzGj7hA*Ut|lK*|Dt1OYqEn8R|{|O-&-%>$o|FcFagq_$!sGCL=qx zGRHZbu&c+;xmJ7;%`R^r9>wUxe{2or9hU33$uDh^3oZ(JhFq(1hcW%sPG#Kl(#p#6MsS)%8Kf=3yf#i=zbBysDfYo-i zK4ruead^vzl z{SeSGB2YQo>YYGpwF_+Qt^BMZ+i59YcbL0XC*;>CVC=q4E(sA-oKt(ujRj!Y20#63 zO*wkQU6$Aome+rxvdrS&Xw~~5$~Zda->AJB%nX*D3lX$%6IjGBJr|tdU6Rgk|8g#6P7Q78d?G)xwlcTA zPPk_K^&nZ95W`%h%HH>-a+Z4L6>vmsr;w*cPllUZecVDXtQDYGa-E& zZpRtnehUP5Lrj9%8_uaWN>W-{xK$*o{c1_Z(~0ai?+G6&Tsv$?Ti|bH)ZCH=5_H25 zCLBT$7VO_u#l4Lta9fdC-`__vAa>|6eRf5h%5EZ>D~S%$acm>U)M}IQ$8SdH3|*vP zU*ti&ggFv6ei!dZ?gLh;Jo_}3tNV6GGXa`A>rBrC#sg=Di)4W7_?-g8*q6*hGH(YP z)WMAcl*=^5p$f3lY9sHM{&wQyHukl22KZ^XtB3dK!Zkkmg&z)EdA}Do1No)nbBD@A z%AdSDReg%ygZkmvt=k8?E!A4ghYxNi{J|v=o5tg$M>gfnAm++eDp_D!Ly`#h5Gsue{KP-y8Y~U&#v4fz+WMU>P@ElCaMPfsnCiv%g9t0& zOMKMIg|cfOW!(C4?SkM4$B$W#Oa|e> zZwm%sPijqfRdVm-r#OQ51B^;e)V=p}NeN%jx1pne-|ry%2Ev|dH*wLPt zcVIjK>7_CL3;9KP6cF7R^IkgoeT$<}BvF5?TkwRfn0M*XDd|9%vIkWs2x}cccK}pDSVL*YIbez;1~4 zP7pT0%(F567DyLFOhXY7IJ{qA$;H)x6WMVsX%9B`EB0<<_YsI}TMnT^(E46)939UF z=zCm%d7h%EKj|$%Y=>gzfPd5=`aLfpFZSIafl=I_{T6orN>U)~9eniUu9oBoPQqcJ zFX4oRz^NCESwjHP z`OQGzfWJn-Rjp7b;S23Qp2fSr_-;BvOo&@ZnJzDA$NR$b%;sFRNRaY$aj>JGwD|LT zUesi3{^-ubh@7eW2}E}XPXh_zTibO+`?311h~WU`J- z6o+&EF!bCup{eUyk~s{^btk$UEE~}RtE2ge(sZRo8CeXOXQ|`@Rv6k2M{SF;yQ}2V zfM7^Kgx=|c`4gf#Fuf=S;eg>>fbq6zREA3p-cH;TJCNJ-HKBK zLwVMex(N?nM!z@_BSoge+0k(c{F&cr}&Lg+l z$+Zx|{95|@WO!4`CksM)5qm%Ib)o;#=PT%XKMgqHSla7YLccyq;S+b1+XU(vgZjw^ z>s%%|T{nc?<&X8Tp9$jKGCeZ(-e_Ilw9vopt#`tVNK-o^JP_o?q*r#He}b9X_1<{R zG$sIGxxY3~Dfi!f+Gg>dh`+F%V|R4-mq>}kKCQkx`Xk2T2?4;ly{PljTv~~#;_!RG{Ah+B-S_dFbpNbE zxUjBD_dt)x_5yWR^`mchsCD5d{j9p$(1rPd9ktSD`bm#I__tTvo*bv;R1J$-Ts2@* zVsE0!<$?rkZ#(n|xod5;`91N?n7f8+amt^Vh`Dp!87(;ouUA5MED`PNd5eNjY)g`> zfoE(Dm_U@KS?N))X~PX|{4bD9Ma#cbGf$UasAl@7pM=ni2!L>WtxD*TC7~zMqiU?h z8`Nha;V;Y)G~qAGY70CdsIOE%yH2-d?9sVFerzl8L2dAx5CGEcW7I+BrS0n#YsO<| zd)41U8vt|6D|GjGaACFKI%5FEAMh?~wZSu^5!{V1qAI+Vxp=3NbJLf$<)5%f5B}jd zV=%~!b{}K);XU((n91nt1bAv}@<(MGxdS*kGE7QjxFBfRfFs_%BOwZaCd=Ai&Ux}C zw+1;#)vs^;DWd(@QJ8gD>+mMF=@MjqBWF>1&A+Sz&Mn$zk)QBDu;{+~ zPaOEv2RS2ecND(X*%4RBm(sjmD2s{IspX!ZvN;`tyqD1B%)Px-(#zz_wAb(wZ4J~E zcRlXNR&dGIaj+SeP>Vhs1t4xHu(d+-(*Yk&=nLH0I4^fG_`KwQ7bgfZ!+lr(pkJl0 zc!xjH_5EVYbdgnu&}q|gp=#NkR~w+^UHU2Lb*4k4Zn*orilWoj9qNZ%Tw0Bs;(8;` ze3sUHtOvUs3m@Ii(cZtGZ!qqXsp6vgqNV_bbjK$d!1WFqk+0L!vm?QZl5m83Q~!4* z5=^(9Hah|Zy<;~3^!fiONs3tQ9~~{26QEu;_&IKaVz{R107#*(g-)s4`~*uV+G(;(_GS87C|W_7gH8DoNFtf^o~~5?TW7jnSPvR0v&WrHkSdu|C?O=5 zLy$miaa<~6LQ$G6Jn(KLm<>M#wksrWc6MPI%z^C7Ge0#4cW=jIk_~sX$-hns3ABZ< zhppsBi{GDoQXNR7cRkPOxNs-AHvS;-7KBh2oe{t7Kx)M%VJa8U!@aanQ1qcio_uQx zHPqwIum>u=ANglUb^tJ*p*?n{WHcU)JJ{w$LR)FrTX);pj2CAwAN(qr9~4fT<2N=q zp{WRYtU-2RiR_a_#dIFmz&i;(W{N1TRMrADqPRvMZ{b?5aU+)}M5mZI;B3n??Iwl?eLWV{D3)}H^- zV=mem(?W1;ce>$p~=w(DBku0^YYC{ z(B)0^l@)w~Ox8gMr2GO09$L8i^CMWgT}zjBR(XqRfug(Kn%Y~;&QT7IkH;{-{p;va zDfWw-do>>Kny>eP@BE*XYchHB%eQ+9gHk)U&qij+6Lr1Q)&4z~i6)ch%tpbyTycXg z*V0MQ(}PCFw^|#dmy+q&oJVf;9N&+yVU>}iyDc@-*WD^?v;|GL=A?n6G~}yk`%-(i zmh+~m*Grb8^}VFxUuOm5>lJqd7eX1`=`hV#RZfd5Bc*3GGsOx(NoO6)Pq`ti#h&y0 z{}>}Jm*-Zmykzb-L|fD@P|33}Mc=a090$~ja=znGnOZHu)Oh25gPYiA_f=QidYw>S zXkK{0dQ4g~7s$BBUE{H{aB$;Uak{l`u_jtO+L&fv@z6H~xY>0($%O*DW42ptHkQv^ z%Wc+hSgMIGeUD|{rFnA_C>yC-?V>}>?cCv~UaG#O+m(~lSx~|{|tm3eo+3InY zo~^ov<*FuGa8mD(*bg!7KrudTnqu9@r8+$^tl8T@$LK|X5ME_F!)Nbma)6)2b! zdeE5rS-jqVHaBY7a+G zHuEuG^3xBjS3R$Mzi~r%8QDI|TaI4c%=6+u+k9KUJUG784#MxRS`;rnrA7sPyx*o{ z^*dMY1~9t0O2%U7ue^Uf@`wDy3<{I4W$oTW#%(V>IkimVe8I%?>-*;Wpxpua)&rzxFQYpFIn={?hGiDi-*i`d)-q_Q%dZatYA($lV_ z6uAYAze|9!446c8Hpx#6iz2!(`C0X?Fq9PiemY((Wv!qCTGgMK<`{?3;MEP0kwcvr zyvB40?HeeYPqD>;gdLh;Tc9FB;2aXFj( ziDXz=di)mAL^8?G>6*IhO4$q+On)G?>aRXVYP0d5rcGKy37GL@`)4^UV#RBSKL-gh z@+I2TAd}dILVzU%mfA+;=PmlWEt;W2ysP8e4UuHQBJzyyNleJFv$EIf;**V$k%TFc z!T&O&i&2SEV7y5>gvK>DttY&OSSK(R?1N$at$wO5%8E;vLO#-GL<5s0@n}pWkLx8z zVQQJ|qw+aeZ#SPC%7Tu}>qC-B)>+yk!dHY+2tIQ6(iHZZw4&7&Vt9+!bhtU=S+1^< zUsRmj%_^CU7DgYIUHXlX7F|n2<_T@%B2QC#tBHSNq#$T7k2#* z=-Z~k4X$o)u4cU9s}s&~8%`sJ^acHt_I|tQ>Tmk17XH$xY9`aap)+opFv_>!su=istk>N|B-?Kog@W8WzAzkhLZW#5wxNhydIb_}0ql=ll* z>F*2>-m<9iMx7hADCLZrsT72~xWw`ss;laWnWW{=lE)(IPxv`5tVzpXWz9P z1o5a3D{*9ik&+52#2vq*+p-?1m!xh^NG;v`aD6i^Kh+b1+|0G83Z^jct_&?7?Z6|9 z4esT^&Trp~1Taoxoz1+I@maQKZ& z;B(q<*ZNfcB;G?W7V-+LJt8?VfM)DG^eP;Xwpe_q#mdB&OS zhTdUTo-P)j@Ix;Wz{3wcJl2 zelZF}66F9_5&Q!;Ai{w7qm7Mj-%Xgr7l)l`S1^nO+OVHTB0GRp9=fEl+kBW13Fc^| zlL9VERsE%IiHtJAU%NVkFe33b?OQmPLnl7Xd9xG83Rn6Ipkq3lU^^#u5EY+mtiu$a zX>5FprNG{wONoN5lj(r?nvE@xZQ^+bTmn2C-Q`?Xo(50Po$WzKD*_po;l+(@n88H{ ze&ph1{s6AYY>&BiESL&?-R=}pTQ`~l?~KF1GW*;F5zN@!5^K2qoq&+kXR?5um8I4C z-kKj5bpnzl@tbH}RR1i(NF+gnzUXVCO;mB%Sv`JXv*5*hGv?xB-W~;9Bdw)HBQ-;h zteJ@3rP1Nz+aUQUmVW1NzSsoJH2FAq0Je^%rk=C!kGn=!ZSP*&yREs=itr4Xyj&bu zMXdaSs83+qx;v#Ih+zHDyiw32BjIU?%_HH%VbD>Pe?;=Y*bF9cvRP4}fL7$*_Gq)B zF=9ZQklZb?1jraMBuIZ0M6qEQV#R>ka4ZBxIwE~GW*z}aagjh>^i&Sq zu;@^dJ)=rtj(l#)H8uL5_CQ1P=vz*eLxUQXeYLxX3Y!zvr@8 zI?HGVqOw@BXOnj*47YvE>YlGjaea+#pCRas&_wl3dYZT=ZcD7Ys%Qox4`r%tS4Vy_ zu?aF}Bh>WT@>9WcW5vH->tTsiA$hRkpga3(jvg|Z%GTwjfupRV>%|)%!p93d3C04I5?rv2)(4g9Leqy88+6w=@o-wTo02$Dj|sI$fZMK#HX5}v8oe+YB`_Mz zF&fn~8odH3kDI$NB1uNBTi*lS!N0IlyoCy9E6aNy)!d`EZTG#K*KUE&Ypy9YPYo*B7~0}o|_Gxn-1O!msmHV z^QkQl5hQ$1aE=gk&`C(`$_jzOno*0b+tYITx^pML?c!$Vg&odP=*2z#cO4T2)7)r7fLb4P_vJ^^^2UXSo7HJW%fc6Kdr-_nFFb4eVPm0KE{k`IYMB>|M{4Z zmJ5oFUaM0Y2m9z|t2x@(A8!FJ5<{im;r+au+#m4k~w)}2CFzyX46Djp;WDH=t|Q1-iWRP zfbR2VwpvIxd4?0ddmo63Fv+zjXe!L;)*Hvx7|Ff?GFgdLw5X%iRj}5dwqPE_xrESf z7tokqw$Wa^`Q+%MDb(DPelm#KkpC9)8=kC@$j6Ga5fWu@GvPSxmz%s^tRJ(eC~WAh z^)?Q+7s)Nhd3+Xb5p*~l*87*TvrqWup-j6_kp-%DgI`|YBo+JMDE36r&^!gWF42FF zPdLqtl)}d%y)a#gf;+6nA;qS1LP(_24Q{k!P2JSyX@FlihMaD11w}kTqkIvH`GQ0Q zOx3`B@68hJhL-vW=pn*%{vJ0;O#2){WiquMd!9bXPLiwgd0%$_1dHM`k64~UNS;DT zp2A6xz*HRky4}xUA=+9F(=xq@E$d+?N;-&8SP)=-lzSm~FbdV3t21VZ4R4b43RqDg z&P7F!fb?7GdFjXhVUd6H9xQvX5kw+K!SN&jMb6F5?XVo!$8{4CNkMf*SJE9y+eOF@ zExb!3tLwZG(G=A+36g3oY|;%|5hFoD)~gCK`%BA?pns z1+DILqYDDLhQxEr@iLLy6V1ZXR*bt;hygCj#|pcF58g`)y8#SY|ECEr z$6w3Xude)*gum(ue-{$|vM2m)4ERogUyi;&JGmFtHTPyn2bA_e0?YfkQPN$=n4Wd; zmrP}DYdG3btlhX6#pTlSoJKQLtyLqBYdg>AXYPU{ruy_-iXt+GHuOmSnzR``$mTT} zK!SMV3kqZgL(3yJaoRMMWCq2{BTgU#es+>#D7Ptv4bL_^LO|N22B5%VnLp=dqm07~j8<7cBZy2dAxyzw~|!U=oV_)*>l_Do0oK=s7v`KoO4SpZ!Cbx(mLhHz~2 z&F*nlfMalvqvgp=|88PFgdY}l?8#t~nwinqv(ev5BGBDza%&|)1?Chx*F+EBMx-QB zZ9(aU@|!uJO2i(IEW`$wR2Qwo1B|k0I|A}iT`|_ahu0uE_hA}}u|H(As6p{$Z6H%C zUS+L(S+_sd?2_MQw{xh@S|ALadff2u@%%c}TTNnJ5p+{0?Av~(eNm&)aDHU7wl9c5 zX5xduuUi;p@YgG*eG{WmDv+JR(7CW91v*Xrb)~Xt0i4wD7l#OnuRO%zD$Jytk(&Sk z$subm*ZZI0j}_wGSK?hLVz9oKHm3bMqfxB>c)Wpl#xN2Cu%bpr3*(mmx{y3!sO-I-;Kn7AO(j6eg~t z6Fn5p8++p$lOt2=%pz*BJFMHnkut!NGJppm9ZQ7Wj3mClZ5|Xxy)(WTB#hq)R(1Vc z-s265Kq`j$Dhxs@h56E6 zW+nC&g?+Q`fT-^AF^{k7Y5#=(;&F6)IUDj~Gw`VC&HFXdw^w?X$j;{g4(0_Dzf;nd zsF+dE<)WQ^sHanUCcVUf_-I^x{kg(rgx+$$&cbUeeTT^E1{eX2r9hX#+2A{STtJfi zLppc#M$cYzRkI94NSMiDTwVR8#4^#vcg1BYnM_+p_%0{x)kOu)Yj4bs~u?+21CNOWVuw8CWWshs-F2??V$_Q$vNq^d~|JvdpchW|Khyco%5yDL_bmi`l@;F;u?|y0JRU` zrdM>BSsLkU1o0db-*WzhT}W%%8MO0_s@-3)67Y9eWmKpP6{sW6V(D(DsO&+}^nHq} z2frZkmihE*+OzRXyeeYJoBK%|$pWy^U2%4i zYnb%)y~FwI%M-LnL&p|F96R@b@<9J`NgJ6qloT>SL`UZ7e8r{x;5>ALUkbrV`3Jh( z4~XCKe?pMSvC)J{!aN5;WYK(8lu;?t{|J#ob@EwyZVLx{{Dws;5xW2S+*p~j3rwvW zo6OC5Im`llp5ZI<{d|QX;rMKMu<`yaV~ud47Qav})Ou?kKUTh?J>?1p)cEKe3C76M z;jGr1Yp-Z?wL5ch(;Nm?LCo>to{fhjGghLbJFq+yg4$kVCo7I{v?k6`j!a;uE7qJVv?-M~Yzd?mR+;3Q73tYp({loiB8F$QX2LHH!Qj0} zyP7t!He|ynfi0F$`*(bUQ%xB&0)5$@pfV9Q`JM*A5IX*WCw6-jom|;2A6SSBsi8#; zh4Q^f*-FqCNizF`-ohpde2M}42(&)!aPeyOn!C$*%2kRN8`H1+0gJW_aCe+-;4`O_ zOP(AEB{Cy=p5NMy+X+pkf`+Jtyj2jbos%y6(f>eZZ!ES-T21Bx;WcrcvSW-pCHMN2 zGq-d?PcUf{#3(@mfkrDjq?XyL!MRZNr}Z9d!hK4i*O=0kbxFaFe4EQ!DX%<>X5#{a zV0h+C@{KU4>k@U4u8&k%rfjqHvF{Vop`Lzf!4JYw(kZ;I6|rl^8Qu`b1omkd;wZ5^ z`s4QEH?LA((F@nV1PttO`+&02&%(-uDdg4=Tm{SwMuqD-&-jKpc{U;eGOe3E%xtbG zHx;sq0n+fk!xIK;oIR9BljXwh4RgExcyt;Zn>z0eiR=qei`l>7w23D1WRs>|dy&BuZrLGh_mdVo-=Ho7eRNS}LIvI%sfIzX1S#`tlBIu6+C< zWS7aeu!cb(f0*w_PY?W{5kn)Wg`!YU$_gA77H~}G9JEMzVK!K04EqKZtuU|Cs1Ts7 zh>^$|Qp0d$_M8x{Op8%%gpz!GNsADV#0XRo=~Yx}3@aP$smzEmkV<0Gc0NS~GU6$Z zG2oH)yTl?zN!b)Z5hBfqKTcM(6GI#bj_OiQ@^x3y`IxQk-{359qKp=g zWnXHuwnD>&{i$;MM#hM46A-I6@2#Bt@e=^09MRn+PT@dK5X-2q5AcMHA}_~Z$?k4dfwS*AX*N4ta(sqgTh5mss7 z^EMYhvjrGwf4(euy6S2T!D79TmIgATv9kOkEGUn{7r%501pEvV8|b~dq-`f|E7FFl z>#9wBNn{X;CcE zuPZJ5=g4u+E8nqYHP{i-%${nb`)i=7bcws)9n6IM2>dSccd$Nk(Y>F-N}R%ydvrd< zeeY8$N{M^H^u7JzIVB1{*qngR|9)U4*2~Ir zyFC<#Lw3u)$|-;*(y3-!zG_%etYdmf_jc%1lkVLPZqMv+-}_ABAb;(%U5Ag*$)F?C z%Lcx_%n#`Dsq&>itgAS=xJb=owO*KgFwPNJ(h03R$eCnx^WUsd1T#=ZGIJuy{SN>D F|Nru8yZ!(G literal 18889 zcmYhi19T=$6DXWbHXGa7I2+qGH@0otcCxW;^NDTSwx8JcpZB}x-t(WCsp`|GyQaFT zx@xAn8wmsiqzdN8H+1~I1i!J0v4f+ztqlPK6D>V01A(Bkxs?$CBNHP5Ju4jpBON0> z0~3Lwv4e}bp)rA+zM&-n1A(FhfvT|qfryd0(|6DKU!dP)KtS+>{)a%G5&jPz{ri8g z+mCZ^C_g-rw8xZ@@KP;@%G^G?Kxm_AoR)ANBT{`M(tk_|e)&Ux8U4i>p$L(##owKs z7azJ@7I~apeO;FwMYOeL2f(g1)Sp~=Fl6~Tgavv74}7!BecHD#0jK4kqzU8ICa)Fy z-dhD*oNaAw-JdR(8?BBI(dd1F_SAUV^lN;#c7=HS<_Uqw(Y-eWIKCiPRES?&5;B~% zH+I7aS)V;|OD7O;Z@pK3M>k}4Tee6k2&ftEWI4T;5aCR-w<5$+c=`!cWJ|WIZ7%&| zWl@R=R7X-a$VyY8t#+PcY%p+#yTm8COG26ua`xD{#&7=O>sX1w4XKbTJ=8zM)~r_H zyT}3fOPoPSeVz23 zo6oYnD~;v`55@_WZKSNW*)6a44`;=s?QNdGEP+})2i>Pk4F_Ew*KMzU?*`4M0A3+u zCfn?e13Wc`Gu7u@6gO&#&IifF%_uMtQu=A3TWN#c#Tz<;2r(3nfJKKfbAvJC_->e2 zH^lvLb@Y}+3nM*j?4e##EZG*_EtSCSU4#%bHa^qP(r_H7+gfA2{uhNimPlffpUm#aWgg8d(cfQ!n_7Wk_MF1w?xZ zNa?sv93Q1KMjlRv(%Gw{QJ7M*S~QkQab}r};Ks-ScyeerDW6mAdmYxk(`L#-)Xu}v zdQvDloi-#w#mr!y<=RWnClcS1B)edwCq`Il1I(#S$@i_ym1Blp4eE(_~A(9Ey3_w;FLm?PaY}@XEeHyv!s<(U;8)St$ zao3!2*XTxnXdh*N$S9O_KDCoxD3$K}M?-Mp9sj3*|5M<1*Sq$Iz%#?WmP@QucYbW6 zQqkpX)$ijb?xD*}BLnv-cHv81awj2AMb*KDNW%e{)RjTep}jlIFc?G~o9|H2p+)ID zbQqO9?@xL-=5{v>7;zaqs7|10z~l)q4;8yhzCcZnW(heo&Pt~};r#qI!oGGcgW1(@ zL;e4;@&EYwF7TZA+cvWr;QP^~_rmus41|oT7d<^*M=`6~Yt?U^_)V8s8--PVgI3O z{E$a)hC^1k{QQ`@@KQybM^-yiuhR6JBQjHer6u}NY){01`9KviGRb)@!l{zIkPh3L zl13FA^df{{M&jDrwdWZpOo^mop@EOyz+J7y*sv$oM;%1M7aYZ6tCG*#paG3hO`ZjX z1gQ-~!B2mT?>EICV*UCHoJ6(m9nxM$*tmhI?@oGv7D8Aj#iv$Ub%&r{|~~c3JGwmd{M<9 zaD~_Fm>i6&FAc>rr3QJss7A*~)k`x2@V5s`jZ$BRfs!nehet)MgUUhBQ7>q831~hK zmqKJb1Ko6U5AW4WjK0hd)6*3W$rBp2b9{{9(n`nO@b|XM&rSKa*#IY{11jtUTn}Ju z^cSP*!N1KpzWaBE`8!7)mW1%~9K7wU8`W#Q#4qY$0G6(AS882lQb`@3ob6Q%eNluYgaf@Ll_{~W~C0_+EIPzQaqK91gW`GK51LUK@?q z^U6E8r!*`pY7WK38Hcmq#pTP}zl_f#o0FE_UQyS_E>R??ISMH9z(*UcsEW%uy&wL} zJt`N6d$|K73abA8-Y=E`_7luU+ZMYS>1*sSNR&OoC98QWYn+W%Efpv{G^I#Pp^{dH zTKg7{@q^a|Uv@Ej)?F0H}R=Qu)H6VN<_^6RghM?ld50Q~QX6x(+z(qO)%@xCFq**MEC#_)L4W?RM3d~~yYy1s>ew$IO0yc50^9~HSv zJeS2S!@GQJWq)c}WFx=)C+ftR@48{F0$`OsBNU&|dtsn=$>tKG)sppOR!?b1m*MR9deY6Px$J+D~@h%ME&5X0=}UrUFI|P>s$xW?xW;_ z$d^bK`&X^6c35v&H=aoZimx?6rp(0)^=a{M%B!YK^JfRMtUt@_yHxu*F~oYPqZ#f8 z4~vtAH_{;2sq9OuvODx3RCJ|}l;t1Be~H&=I%~%TjyCDiHFH5yL?quLTnvh?);NDp z!T$I*Mv_}|3~T&ChO#8mv^CQUo5p006BlpEvQVs#0M?x#o}3TR!Yl}^#l_D-gDL51 zrgxjSmTo5wdfK-UffusnYm__hI<8YO?3e`Z_rh7eYSD8Gz9sf*=l@NaNV>6SN#bp7 zUk7WFm03RV@gg7vDj9pE*CStu7juHa$-zkLZQ_hMlH~TzF2)x~K#$h<^j7P;X^g{y z;1T_Dx;T2SOIH5)U66A`+wQA&7*ob~r1B_V8<5Q}{)|-M+x95C?CV~2r-br0%7Xjl z=*7_TO8172K$TPWt%1Skl;zLI_gS3}JUE`@`Z3vvmM`POX47rrIgc=OBP?W}frXfl z^N-d=_wv6VjsWgPu9sGqj>M>>s$wb9U9ykqYb3qfObUtTQ6D&k^<`C} z?Mr;g=y**iJ_8^fSJThQm7t&;hWB&NZpMdk5`UI`vdyN*3>l5h*J$SP6Ci}=#V5xJ z;%;V+NWQm%xWmE7#q_t$6|FxY80Y96q&tHVS z5}ZE46X$CAQsq3QY&>lXzS8jUeW5<+3Ol>b4@H!FLtqT3g89%{?XNkjoSi~M{(B^T zaU3B3rOSZI+%s$$Zj?ZrV?BzDy>I|gHiKWnfPwEO-8<&2sA{F}h_03B0xE&VZTkm> zuhwULsqwdpjCE$Z7Kf?B{Mh1eHY-67XhD-C8rhcq{9wDLReJlyHfJgd!^PLA3c52O z+v#xMk8td~8|Dy5XGb_YLCarVH>EFL`v}b*{ zuJyipdX9HiR*rWJVmbgyvFyQ;DW5Esto7r0jk6miO(v^P*xX1WFm|yGXEC1Pw)^6_ z13#@-+))%$4h?_Q7f)&lIL4z+lS{%diS^H@`7cISn)>O5GohmznNRX+866pvE$-1| zN!rN?zd^90K*q+33s&G?u$f>ZQ`$W30t8%u3%4F#4ZaU5^B9%VGgenU-GDe?DKtDP z!MZwQ?z34)>(%R(LC5S>i<{F*L}cK7QtHVx z7{)X>d$stOK~Ebq_%zsx3Ntw4t^bi{ZwE6*#%euBKX%1O9r%8RdJzxJa%g8)YHb;I;lnSRnR6Ss zB9iD%nUew0^%^ri?b^xDVkIyaIh0yvUmb^nZ)EZS!j%Hd2|1Y7bwMV(&SuFS57U&M zhb~(GGi`0qWzV*s09Pj{tt(Tkunq}&s_l{Nto@IzVnU)EO97n)QTPX|lj9V?o6@gp zTWRY^_0*+iQ*fQ_d^9)j43(XSDh>a)^~DpculNzDC%PHt%+4NmWJ&(*^ueeY%VjMe zt$yHhOY-E6y>!O@{u=A>FpEuejO8W{{&ELL*LTcjw%X1PxmcgC@%DVbu*9d{+c1=c z>`iD4HreqQ|7NvbQm=awhZxyyC~)!j}cpab*}^13e0z!i6goq@RiM)+5-s7r;?qP zuGoO@>;`o788`(y0H$!KaBLYdU;++z{oCM-VnLD z-CZcq>hUsq9^Zk8z~lP13gxU|R`G}iSM7HcYw|s6DDs1<>lTMeq}4Vql!Nlb(1+XR zVpv)D6eX51Bpp?WohrwzD9?1KAy~l&@kd4TjbbXiYy8#NRdj2j_E zP1fZ!urgA)_kmX`GC-Gs53UyXG)+@kEewQVjT2mL2!Tp%h!K#}`3D#<7Oq;ex<|1&ZWhJqho0`sW?;DbFI1uL+{5R zHijS(hreT8svyt&3AvXGD{KLl(8^h|ex6yFq28U?bgWAxJgoGf3#iOe?aJ(Du)HKk z(`nBRG&M@W4vruNw}rydo!bf8U*hgqvM~bAxMdxAz521D1M+pa^!$QZU_8U=#voo- zjVbLE%5Jm>HOa8SNwgVt2T~wQ7p8^83RtvQsz9(aElC3ZB#e9d`e$UXxHw*fBPu#o2#T7tJ@L|KG8RdcJSpjh1^G@-uCnGw?u zc(FdFOOkppcrg#vm0J-si@nhSozYqhGl9Z27J8c4SPjFo(s)VIn zfSnhc*FJi6&b|DSCl?6=vcij-M?KeA8U-Fgf{SNo*@da)nkW6boAEioFT%fI?2ORI zTVk2On(nHl4q-L-5ZC!p20l}nq_9df zu!HxIcm=ue*Re~d4*rQIpHeICR@{Ev z)rBjzY)M*TsZ~)o97&ZU-o4F0>Gi~2jDgW3_A%G6O>S+CA9GslJ5;~cfw^DB zxGii}X?D&>S{_mwxU0?BA|&2cZM*M|W?GH-E>Mh7BGR&eVgpSo3I=24Q_BcTo2kRn zc-iF+4cZrv5Rx z1!tL!xr>F@_K!QyX4l&Mr0-EQ?)7p#;k1DO`=|O0U)A`gOj=DJUVHnH1tc>a9!mB1 zk7=x8Zb#vqhh0r4My1;aAz3Z17e^;rHrnq#D%5C5pHyNT*Ukd)#4bEN?$%UYoVkfB zeKljS^`qA^D9aFT)IT7po_06DJI~|L&X6sxTY6S|+x%Qx^#n9&L1HRXiUW7j?X?8&z{SR|lU; zc^AjvTGlKMyIxg*AWKYUoFu!@l?W)$@`pevH9g&Xy}zq|x_Zfflh!bQ#eiN#R-+Yy z705b+cVaiIVA8Of^C<#at(}8gAGGthkL(=-T_rbAbW&Jh%xk5bfAHXII4Ky6wXB_j zI-a%r$*O9CdE0zH0EPnMdRs>k#a?(H7I#x*OwJd0{K zz{}X(4f<3yzG}7)yKbW@7|gmw^^0}m@_W;orH|$XOLteNmLtlQpo5Kv)N1eF)}9S8 z0?ya`O%*sc9v^fxkb5o?ZiKZQ9Sb2_*T^}40&T1LjbLdh@iHaLI0v`T)<72u*v zWR5p~q|6QdtutlrbyM5}y*07C;?E;+06x4Q_uEoMC8R zAkZTZH|=cqnyRMNJ=b1iqDf)p-L!Pcy3PZK=|TMDUNk1($;M==W9W#j#O`hNPliWO zLwipc8F~JXK7W1i5$GhM^2vL{0uLJ?Z&hScNYB2LHdO41t6p+_{Wlq(C5uzO2{v%? z97_U>9!OHiKv9=;qTmkXo+`>Dex9M7O^PV_IUqQ4uHFN!N{g2Oiix#2;J9Zp4Jx+q zQq_ax9Ie=R?XnW36F*qoPUIj4 zAZgt?vZ3N@+}PzV&N+abD?F$&-|Za~#X_ya#W}BONqD`cA4At;OI6)drpXt(nEexa9827IojskFXj2ZgGV7^Sy^V$R-eLY z1z0n#eG$Bqh|d0HSor|DQ9VF?4IQF&ym43u<2-i$nH~%CihIqrYosM`B7bY-2z$~n z=r*dC9ajY1)b(^u8^PQ~6du!AP*)ndo^vFst)J` zDzmk^yB0lu_^-z3)%?SVq3zL@P>e*l94&N+?~iNZ!(Nhjbb2Sge{1*Yc6=j-HzO&} zL+O<5;oN|SrOTO%rT@iS%LlNQJbCRkCg$;W9BMzBP!F4m4OP&$%FQly{$S=-SuH z2?=8InCG=?Fy(CluX_;^HWz1KPBMNwf5mb`K#`f~=2lK|U!UZgGrW?`RisHr?o!Ox z#+^UBdpT5D88H^eMh5&Xsi>IntsLg#3$S^}juO3iQM|?@QYqkRU86X&PWNpXp2Fu( zdCeE-+pe>q^wb@8?PXJow55xA!tZC(>MkU7|IXEWXmdSYi*n@-T$X=@c_fFLV2r(Wq5X99i)E5$DwxbxM;8&F|1{Hxu{!345;)4Ql2NPD z>0N0TzOI$E%xwOM8`-$mvLq625W4#o?M9&;l}f;>%f_JVXqm;c=y-saJ1Bk0kiBAzg~5mkVhDW(tf&UZwa)i; ziQea))u)RVAa<(j?Y-b2J~eqT?YsHCi1+phpE<-8d7F8)7|5`aQ}#>r9=KpZ%{MM* zYe$grfUPzpqGnXRIfd_;P}=WADcTBm3PUU{p}p4L+~=035B4DFLZoMEyu4u8*SVnSm=AnX9;9*yNwRqCcq^Mg6zVSorl9AQIFVnHH6v56$tvIeFCx(O(Rc^l_ z3pXS7jS}Gqc+nup;^_Q(UK2h^X0jtEFpzZpL2v7B-07t!9@w|QUo+zLvxikyo;3bs z$2=Q{Z3i>1Iic8j$3B4#G#9-8Ozgyfc$m$(KYI!L!o7hW;3N3O*cJMG*CUmC-kHmT zd(%n&Mn0w!aNkYTiFi`r*NJyBAM5jH4r-2#zz4_R_0H1#H6tuWY?UT#TI`)3hd5S< zi#HO%C_Mm}@PZ-3M6H?iw!vDfjioFBYfg6%(t*L&=su5HCS z&~LU0vW4H}2V@1_pTblLeIWLr1_C_EZl^^*@e!+q-($jZf}e8``A}}!5p^SQ6GIU4t0OQ%YSF952t?t zujE+&xzR*3{djg9U?cW{Jz%KG3XNOpke*Kwn8GgN3i-0^@rCgcV+{E;B}CU<9)tiJ z?{b9P^F+iCe^w2f4z8@;edd^hD7XyM$mGK!w!Dqxh^dW)c>5XiGp$)Ky2m$!>hA9+ z==FZLi0&siW`k94;=W^#9hl#p!X}R7!1atdkV4Z8&{51l9>z~&`QaJXdObHpeb{=^y7$};_KJ#QSr-H zKz|E^;7jGzAEQf<>*cU*f5BV3><ToUbxFjQH%pe6UA-RWtP2k$l&<40S`Z9~2ExJ`nrdaA|0}iFgst#qvrgZu;X2i_58BQ9ikRQ>q ziBnCpu#O#n?fHgj7O)XV83hy|lHbANkfqmSdtyZYjN>7u|8?Zj=Y~iR!x-g5k=Yo= z^hOJhDpNaSL)q(3CE!}B)~L0DA7h9Guft65%M5=xb>YXqwVXF~$nJ)`GXzD49TPOC z9Cq~sCO2OIule z?%e}p+s>f70fquC@HSu44#?cD;z1h@{lSTQGs?~zbPwqzA)+hx+DYz-6aBQ0DYhCM z*6r``_>V~erT*Jp)Mot88>Y*6Uk>~r&JO5>a4fEmM~@ntRiidR$$`3_xTwn zw31kU>cV8#aj@R674K)XB`s(us9Q>;tiZ4JXv6Fb>j5uZ2)a5zh*YfRSHLsrpB6PB zOgd&xK;V4}(U&z2^%u!4Vr_!qgRFfGAD+J(lEIjIP~eUIGdrxYS1InQ%(0tZ&JD;i z)Cm&{S_T4tH-L+61C4=q8=}k*69b?BU10(|K)B+-d6~0gJxc51=juMH|&6Awn3e- ztsWr6oFbEQq;W)wUM83{MGWqOH9ly^djB9#bQsDJUezl*HzfZ}nPiSv`~`<;Q+|_q zuW}&UN0|EUqp^7=thysvp zH37E8u_>>JA*R8gto1hpVG!9vr;bkVbH%!%&{KVL={GIs-b7rxao|ISOcmz~wns5w zEAWmpK+ydCt%ycn-E(7caFP%a2gKaru=_oSLsmlYp*;|$ac6G_cEQEIQr(r6j30b| zA%3T^dt{zw#p%%bN`uqk_nMO^`a)eYwLVujO!VdLf=lFHvn4A^7-yO^Qo8e=$?z<(uG}CmC zv>Y$~T+}n4BK8}?8*+8brR=yzEbtd+K6Q<4T~({-i?qayjj(TAbbjuJ z5b|d$7-wUrC~(Mwrf`!l&WCvdLM19(v@9S z4${j5z)2LLHgR4zw1hN1fwn~XjEgYz^6ebKv1?T+y&mBko(c4PW0 z5QEwN&>%p6Z*5wCE1(M$K^2)46A$>kZWE8b`cb5vh5^&6YuQ~Ez3A4rNKhT(p7G!| zprwCNMqI&(Y(Uc)a5Off+-#}Hl4$PlXbesWiKPbM3I3dpU`VRMc>D3OZzqazme zc*e+_@#50?!Z4rd7ne}uK8cXxfLRucNdfkr*u(jo-M3AtU*7DXkWZ1)4JG5q=0Y~! z0(}Nn^7XDj8U0PMz!-fWu?4YPP=mCVZij8uiGlV{b3LeGumXV<$}Zd;Hk^^hmM(co zS@GYSCA+BUv72mbRgP`HU5cZ9BTmeh_rFIDt+ibrI<<7;2&qYU!+1x^zVp#lApQDB6Vto~QNzLnHRv5fH?k{c9WEor@WO;s}RPU!0 z`_m>I4|RNLWJ0n-AAt~QlAiDozjP#A33WP)J-0YED_((*G^y;S7w@C)6+i$_Nww*v z(G`a{U^hA#D%9(M#}@(Jkz-)Ifu&-qiD^~6LRH&&N%{AZ(4Y`L`C4|JL?O+-as_v{ zhr=1+(-h+nRJ=V#w0ni8CmE@yzQ%U?i{o?}SNB`yqBprZm#abVK*uQ59%h5RSvmR< z-pbuIE|)CJ{VPK2ZMBd*rL|0kfB*_-`fnsF>bdh@<1uPbvbfRPyCoO6 z&PTu)T=YfGmDkNa;LXC7{P`MM`e8d-A?4)bKSL_7`X8i|a}`}_v{}gBb!TSti@*D) zquAPCfB?4jk!2Z%+p~8KRo6K$mqV|H+@xz_IFs|Ya}wS5hPKCg@94RTriIzQ2gmUS z=jS%Xoare8O&&|pA&`sPmz3ADgp8x-he& zyOt@4S5oulnpt$~MXOEwOKw!VV%QTM{TZlU10cr#5K{q`T(AC&-rhvQIb|M8FTH|Qy*zVKE1D#!noFN3PS(&iO0-x_AZDvIqN+u=gd;hI2}Sace&iR?#$oF98zLh zyZ4$}cUJb+PwQB#x_traE|o{Cl+bO+*yOwzO@+`DHcFN>ab{TYxWj2Z#4NfuJIXv} zVU$%z11pA|p3kGKn|04lbxb93tx1j6Tv`l=k=%A+eiOq1-%ZWWp(f3m;3r?K-dbNy zjh1FlPvwS}X(yZF+TooWTpog7x|m_=<>H$v%q1Vy#*Z%BaW#hNE0aklPLnQvZwVWv%hH4U`sMa|(F4p?67vTvG`Vwch~d=vblBMwc86xCBv z5@)=O&baQyZ`{}WkA9bW>!Fs6n48e| zjF@R(hsT)A&xxiX+bLZhh%2~#u7hqwo`da^Ima*OAp}mdKAH58KgD0~wQqDvU+~&X zWS2K+SNL7XrE7eSpMQ^Dm`Y?-eLdgjvvLIQ_VKyA+dem!UrN@ypB`R^*Qe{%oj=2l zTw}fvea*Z=o*iGEF1B$>zbv!vOaa{+roDM_J zuVzY``H(Ggyt8=cKZp-<(w6wNUp&`7V?R&kO3rc+eQk2QO)enZG_M0)SFSR3hM3Sn zZFxzgQYl*0{a0D4j2Yf)vE?b~O_Mc(R<9Pr!i!P@$6^2p$i?b*rz2`^Ij z=h*Klugu+Jui`} z3X6yyMoy>-Fd7LOE2RqxN$@cWiyX6!g-IBysy&NJhDed?CXB|SU4JCOs{1dnQnB}f zIs|n{Qwk|9G|3ZumO`=&6_KWv{vh&VweFvTmNagBxUU1GSO!XH=$Gn(dE!8x0C!soU|Zt1rD#G^7sk2x_MnT zyix@S56QD4i;$Y3`VbXi;@Wv$GkQt`K3{>-L9snf6v*2xi8~SO_64 zCfd?8cT!wntg1$Nw0^RJ1i0u5Y*BH343c5^@o389uJ6G~NXW48O(ST%NcN`H_?!!G z-IW@ydAv(8E*gVprtvVfU~jh}w?Sizr0#~b)3!Ioz4Dmxy0hWx0eCJLd? zDG-R)z~^oy9OdUCCbWVt3u6%np$KWU9DPlVwiOJse#2<09f?3s<3-#_RmV2akmu;+ zHFE-%#ruDOrzyT1Ot?(l|2=^4zn%Y&0tlImZwU1o5O&ol-BdjGgCvUe6Sk_REPt&| zl|Dympf=cdT=tUTG7d&%Bx`c$6tH|ULOpTzWwjfm(3*8JFF9(?#r4Pmo>Mq*vnK^0 zyFL| zY!PSYPf$>FYtW#n6J6derTWwRLwyEcc*svpA59%>bO$0UxKvLCOa}){2MJ6EN`eP8 z5BPEzxuVwTac$Q$2!|@~g~Xl*JVL-gK0zHs)Z4w9Sk<4w z*ZK?mQNH*gIMF}OO6@_Xn7N5?A6SSVVfop4(P{8$;guIdHt`t#9h)}-JqaYnzHayv z6EQpqTCW;gBspN9FmgKyE^mnChL4>=_2s7Le$(JXUr{cmvYLjXD14*7`gcD-fAEL@ zdi!>aoh3=0$pIsX@CZadGPVjFi`u$P`e;7A_d_o#s$t@wnX+PST}YK zBlU0XHn#>}BO|lXJ%F*$mg7r8&tP+QWYiNK)-gUV{~Xp-)Bq0_yXupo;MT?BiAeiyTPjuPwe$iR|@yPvm+$)-o!6Ha!V=(d(C5*r#$XA5) z8X$VCp9{ug_%k-Npei&OHmVv&gR#rWD(@63_#nQfp-6wk43iN(BoeIes!=bMR-3oW z>cwrj=XeGhzGJXJGKKCYy6jMYmI|aSM}qce{w%k>ykbZRi?N%BVn{>=BR3eV7XTIy zUqdi7CI&w1ABC0I%-Ojqi>dnRLZvC2ajKckLU}Q#N?_iL`>ui=xagTKY}i=qIbYEZBhlu*;J2X2h8nf&81)cP7$B7jAH3eac`gJUID+*u z6a9N`{?p&PFZ{yPu1Vf>;)_evi8?E4$4xae7y2U+AW+pDx;W_f68sZmLTkCcZfbm- z1sk&#AI2!x6(B>t=1A2Co6r_|_nYV;@6@m_?wAgqm=0o?4yKq6bOa9{PNr&*BtN;I z==s@2kDR3K1N*V?;H6qna5E*!*AF@WfI5=X|0qC!5rRh$iiQ-z1BW!tp6&hI&8tmM zJDSy3kAV`3h7pQJ5Nhj-Mftw_V-xyf6Z&Hn`(hRQW9R!~=lf%sKze;A(a-X0Vh8m{ zp!sEj@rL88&ie9h?%HW_&bxChu^#)Uv~*Tvm-iisgLb-yR%iqUmI(Hg`G1D&TLuW~ z{1)z@tpq;kkNob7WeX+r#R%zOf^}ELyq)&5Gr+~d!PEfYyDm;{ygIAR*nYoETD66a z`oM?3<;~x6vUir`Q~GN4uZESjdSyq||;Q`cN>G`A|HhfBlx2 z1qZlT|G1#p-3j|D?AD;en(U1@v#OaSP=#SLuk9>PG;e*X1A7+rs=r1WxZp0EW z`OW!L-ML1u(UrR1V4;YRUl46WA?V9encDh>vf|b^?T-yLu}poj!y$yzlG15s&Koca z!qpfzeFS@G`a--&AzqZQFHV@ZY4itc^au5R3o+4WeS*9gAzr+&FM^o2BK)USoxIcs zqWo@YiPqdi7qGg}VfCZt)@L8|U2!6HQOyqKy7KPzm-SL@1AUXO$J{#-EjeK*clOqUxw(aXeL+k?2 zoMzd9pX!_Kjq4@Z|LCV8w#nA* zE5AGwE6#e;>Q39M&Tdz6*Y=*5)mk<&SO8;p#F`SI6n}(=uzo!q+Np9-B<{_b;>2-} z7n7e?q&@yRJdJ0gZ>P&O67_W!006-lA;6)B^T#l6Sq`s~5LEgxS0=AxPK? z%@Nu@JuXlY=R~3Osk86@r!`SB?jVudeX%$yqq$N`sMB4pZYgh}h!&!`IJUS_Qnh-c z#d*BA%4=d2i&o5>f9dYW?j+?_sxEiOJvX-;BP%;^^jn~zOdPov8hq1@`-fp5??k)6 zPVtA@SD3q?p3w`v%UO2Q44-cogqfHSIrtSE(H4eq2VdAT2;z?%?ce{?Ec$oE`gBnn zLFkLc4-?wbD82V&1OPAGqp|fcY(W=EQ7za0X~; z-W3(&L6WoTtvQ_`5!U%M2lB)U8|~QtZYmJ@^$$o^(!^|)qd!!`X~GZt28(#fT`o|ZFr1lFgbEG>L=7(2XkR%R zslof_Y8Z%sCfGKlG5d%ONadVC{va)`gXH)FgX}%g1HUD3{+4txEUt6({DnxjAaWi_ zZp0$fGKl;_Y8atx?2t%MPvO~kumy%RsE5l1RY944wb&6f?tk86-4$G#P*g% z_6cz#zkxzN=j37+$`inqo2LS=<4~U67!4gW#2lGxw@*AtTN8@h6xaP%k`F<+s3g zXpKi$F2M&jpl&@I@GKLP`e%3pjSz^@!+x$j^o|T!zp3VJC3w=tCb(?7+2#k3z zrHnB2Z%n@i`4*8tOAysa9rJd9{vc3)gat3`cB30?uQb-eKgJ`d6x#bc{>9KAp!i)c z^#u!o?H^TB(~>9F$%c@4L&wS?d^-IEG9jf}_5;0G1t*+)*w+LW-y8)7jsnBzK#-0z zbzy}72tqcHLN>5MU8o^mSg{`6Jje$g0}KKKwE_cZ{6D$h_HtuWf`U7^VciWeZxQrI z-au}w3d>|(KFJp#^5wq1f1>qJPt(a4Li6SD9}D6)(C}{x7K}VNU&V7XtiW*VEV5fx z@q|0b!`wI!I|SGmgXko0-#V#MXm9uqzS@+szf3OH1F*O8guUoRo^8>z5{TYq^WGs4 zQ;{Vw65N>@d1Fw(n)#Ap_aut~Kd(wZAX{Edp6wBD5(xH6_|GMj(7oOkMJ@@flJ#%`Be85TIm$tp~1%!Aj4(<`#Tj?v;u3JA@{N_yp zVj6Bi%$cjW@KxuITR~*C7kHnT;g_`dHRAr^@#Tv~RxVk(WDvPLB(0X*k>j)6@U-zz z4zMY~td*;(noZHKc&?e0%xy^XnT)=^ISb~_o!>pLw|CzBxo36H!(Z>bbNc4a>Fw<) z>|-9MYOMr$legjR6?}j^HV_|+C2oJi$JFP5^fwQi)ZbjFo9BY2lj1cXy6;(+uiK=O z=x43_cJADM6k?_^RFY#=nzsU^oC_OCbNDfhBjMO!8Z*Fle!)@Fv{urGsWhK5k#c_3 zT+$Ci`V~0(AK1AaWy!8QST8w5uH;>@V4B1sIYJM~)Hq2??Bfm5eSE%h%G0z^USng@ z)3x^t=qF!QZ7T9+I>tFP>qj%KYj2bPbBs%|>B!l;-VZk@`ST$ED`~#3fv%5(=X~HL z7JJL_CzLLs;lyp)7O&))u{M5Jsu!o#f(}f{CXZLQWaT#ki+BGRKhPgyr^MHTj@SAm z-%Mp5J*$;qShNnu@w*+U_H|_~ics00960cmbqW zTWl0n7(OExTgt86ueBgn3PlPcEp55X&d%;m*|{(?i_{QDr9i=?g&+zEN(e!MF%cAE zh&N0Sn&6X)k|<(=0+AT;@*v@X2MyjOCO((~(f|8r&TO}ZwuFZE%R&8$Gv~F$d>gMuwtxqk& zGH$aAnC8=1cP5M^_2q`9*VTc#C%)E4K<7*6cU=bV? zc#du*bxSjJ50l(#nEQ;mFo)0K)PXlVEu))i0ds$`l4f7M^yd&Dr4*`{whEZA)mwO37*a{m&@@I{NtGZ2rqZ;{8Stte*>d{BGy@M4)qZL}# z)|LjEop;XNVlU0L?b`4ey<*FBDmxfvm5Rh;^y{@FbARbaZ>dQr0}TiOe37Vrm4P--ZEY++cmoYM=+tAx_a_y0*7TeNX-A4j<7I!E|>#*}JM*)^ORuJBp;94?`v0uC~X$Pz--N%`Z zs=zor28ku~{NPGmGsG>U4$UI>#RVQ74-$5=iRQyLiVZ)0!SOR#CoQ5nEOMA^p6=o- zV?5G+EJxJ0+pJ9(k|Gu68oa(ljH2he2d;DgC)F%8h05v`FM5&brRNGTd^&@H+ZaxO zYaeg_+@S9X)S+kEwR54!Tvx-ori>Ofv(=xHa#A-KBkzz1n&1c$dpEKikQ=nI z91*IRr7f%Y;mOX%x|xxQAZ!E0>-3}aNa+i>fykqy>k?&_D5vS--5ogo*lyTAe~OYQ zk+V;e3p7zgsLPwz4+L5op$j_5II5okfZG7xVeRD)--qn7_~zFz2;}$i{pj(M_Zl%Y zl6oi#C8a!p!-EAJGq?sVR-T;$R++=TL5EgY*J)G;&{oArR1Ili1TsfXh*qY@sCGh0 zKE9+yi2Gv%P7xVZbkrDDI@(j28Dk)o#3b!pf)2=tr!vNXN7}=n>qP{phyiaAixeec zQwBwdBqM%5QPn{VaUwXXOEt;YT}5X#TRXmiE^(oZ7LVgtYPYt+!ukE_hY+oU7S zPUR~)yFzH_%?0?}ZwFRky*ycNwujzH2FyC1roNw@C@w{L#9<9#M`lE3`PuEQ5eGuX)V(t)q9@B_Mhs(k4W&sAtH qYROw3oAvyhgK>?(k}hcF9{iWh z95ocrLRHkE;?UAcMI7FRkVu#;}vNEt5hfAL>& zLoK8~k7suWNI7zWk-UDk-{Ul(}iSM(%}Jx<3|XDI*UWGVTMc_4g|jpoPbO3{;}jU9j%2B%TT3uik@l|ijxr&+ zrOfC8C)mvh1}M{p=Q?ytvLRF|{XEcfehDO~G&(n|D1XA1hA*P`i0pVooXErsW49qmj4d=mlcY*sDsYPly9X9Z;BwNhzGUCn3Qi_z#Bqz+Z1-8<; zM5s&2Yk%P`04>-G5o7Hl2Ii<*Bp=r$0iyoNI^Xh)!}@qr19PA9TPG-hY_LRSLB_>*9i4yR$sI6vlaI`V-GD zfhWj6I~>zY>hx8V4{BTA``;r#?;Dfr5SaQjMQvqX(iR;WL1SjntJK?j{BZbqcb5je zK|d<~4AVCr?c*&SAJcHKH4~1Wb7N6Sk)?;JKiuwZ_vvdd0RCV&fU2GTV5e^V>4f?; zeSb7*!dX0kN89GA~1yyv)isn}N2-`{d&U z95oQ00Th%5MF>a^5r?`EcBR?f?wKrkoHAKuqePi#*x3*`Ay1FH*THSK{Me+qgnz~V z$_+uXd~WwVAde%Lq$SICy-oXCO(gMv?Dsoy&J0Ph` zkyGJS9<(hbOf5*G;|y6{xTnl;3ZzavEQPZ)o=m4yGvgElqm5)`WYB6b)sXhC_Kpf6 zxuwGB3LH=i!U0rh!%H2yCD{@xmwpk}3w{bDs5ZJZtbeKkNy8UWdD#XSjcu>bNSL)c z7tHYmEp+ZHu3VIao=`ZYirWwhe^wiAt!&8p`4gTyN}O)J0g#n#9nZB|h=@k#X$SK( z`a}=lpaR0l%+GCZL>+`_TpG^9;e#da6QmZY;dI!+yVl$ghL;g)Dv8zHVjYaK1T zX=`r59TU>-CjH*m=!RqM&>Yc8E?achm+Ip_B!9vi6QydQ*1Q*Zih5tJc&EZR4@>`& z`2&}5tN-Y5LUXC}U!#678%FoN{XGKozB}>?gl9g_QCo$Vv_pqR(1aQEDy74l`};Td zQyQkjEE>Ix=?9PY@s{r0qtS3@E*$;HjYTCzkrY!l+D&&ey6pwPAC88Ue!rIu_uAH< zO@Aq)*?oG<=X595Yg7!+&=Hy_n4*WQ>TZ=!LB4x*{b)RiVwW%->v~7>Q!eR_a>6z5 z5D)5ytP-+&f5Uz<{t4bka~E54Z_Cyv;Et}2qUdKU(RDgL2JKqM|7yj5J#FECAs~Y< hnE6lUdS8H+(H@Z5qAX46TiX5y00960c(cj_4FPAxuzUaj diff --git a/cpld/db/GR8RAM.pre_map.hdb b/cpld/db/GR8RAM.pre_map.hdb index 4f5fd3b4d96fb059d001faa61f9691fd89132cfa..2483a37edadcc7f7b1396afc8fa8ae94ea8b0f3f 100755 GIT binary patch literal 12709 zcmZ8|b8xRQ({}ClSKGF2+jdXw_S87FZQJgtZQHhOpZe|dynlS}d~?rrZ<3j8lHDY; zyGf9MfPkuDfq(u^KcDaqRX25Xvb47&WMZLbq-P=&cCoZEA!KG@CS+u1U}9!qW@KU^ zR55jQwKO&*R5UcUCS)R1ktWnIH6j!f`W{(SgM^dca~mNVK1)yx424=H29W(6+f1w%_76>;M@(a>Ac^X{mWf9hiC zXpmX4+o|~bmaS4c&84QNr?=H$ztipg=M0LnGbkpx$+YQycY>-Z)bRm#_ctN}3sqT(gI#&C6>;(U+5FSd-7=v1Q^BT7FRJXILJG&GMp96D zV0W}3=XFZR2sUg>Fl;xRe{^};N`(Xhd2G2mg2ZqjuWEzd&#yj%@Rl0f2ON9GoF63qE) zmO5-t=6j$zRLuxu1k>wR@%uTHKm|jT-6NZ@vsXgOz^$;kf^92Vq`ErFrUK+%aW1(8T&2|o@jr8=yh_Lbzf^yDBw zN_-?nWKm)narF@0dZgYCYt3{+m5*+yX zY+Upo$!n<8V$w0eaRI6Po@_8`7d_>8F$4nFXIddk(`}sfj@P3>!#BrczliYn)!e`7 zScQi<{(M>Z6lfLz^gHTRAcWaS_nsQ(BIT#&Yv|hdo#XmkRvxTE?x$GgC` z81uR09mnyM(r1ZJ{jmc1x7j1XILdi!Nv8ZO+#1QN?3?&&FcipZ45I&Zyr4I^LK*8b zZ$-wr{Hnuts<8#&BzJGJt?tvodLMPYN_hOMy_Yuj1}~u3T>uu5;Vn+%;R)k$w%31w zV42wYvwB_N(l~+02j)9m)nWItgEoVJ+{B_*uA3QYbp;~pOzM3>KCVr0r zA*aA2TkFVX*)7vHFmZY=*3N6X8{IwH7=BJGmv(Z8BX|ITzN6#xI2jMuGhR&Y-*vv= zNxd!06)ZhMKzSTvT2dWt=v@nc$tfyVupqpZJ*Y-0eGw zkD*v2elTX^g}wk2>p5_vVJXj4tRJ?+m~@gq1_c)HIPiZO#_r~4Tj(Lr=fDol?$2Yg#;(F-KfY2GzF}lv zd}EAuF6*sw$@B4e-v}Ulw4*~G0oWpAQ) zl7)cJS+&IeM=)$crQnJ-f+RXXgaf@}hyexqhr}~n?Ss}?f!^7T-dTg**__^4f}Zs< zx7uEXqE_JSj|cv3J?}385o)H7%d%b{Gu2-LG}N`ZNNB4wAuu)^M9VmhIwW)qn5k~7 z(6ThxU_NFed@7oOxktvGxpzPK`~mEsy8uJjolgwn!KW9|@Ozs`)C3`V!E2 zR^^W5H6T~(df-cSW6;&=0f<^1fB0W5&)B`LOM4>a?GHjr_W?_1=seMGGC9K?!3qag z_nF2*q`slPD=Al3w>RrV+dbBy?kyZ8>l=HnqpaIkiI!gS@2-c9H7Bch8xu3#b3x-X zok*KA?LTP!LGuq9e^CE}+8_Ky+T6Bf+P2^T#dW(#-Pkj$iQaXku*hm&VM1}6x+6pY zp@#r-&6FfIuH6v)>6-_3uiXKw-&Tnw(7Pq}IwrJzY<=m-ZbdcJ?_|(GAfdB0TcIhe z<)RMW;h<=X4*0tE(z%LoyCXKgt8tM9S;n&g1a@!jE&67smPp3Hzk+kltU?QGU~(EL ztXp!kkE}usL4{0m^b!=>hMk);r$0SRK-#?CxJAa>^=+y@h}pSxhq1vR1+}p^fmr3= zHSxO=(fUb9n)zMnae&$otg`ez)BO1N;mcxvDf{iZ^4*7^lLVkY4Fw%PHzI;wRLuu1BAX11!srN;p&-; zzSR#FCex!7@JA99e%=X>fb&|VaskK8Yt7or#nUX4<9qMT!d6WF z{V1nw~S?#BZQ#qtmh)wsMLT!ypv$LZ@VT>CFp@xb$o~`1($$Ckl*$z>o21 z+z%ku>Y63u75GbTN=>9}{1*Iq_KK&|^H))>SC>h-47zRAEWm0Y5Y4lEypvhdFc@8H zfN6Y@n&z`N?vJNjF#h9PZH#ssErw?s0J|D4l&rMh#d=OH*+1(bZ8-v4{^3_w2;Ew3DLTLB?(u&dbE4NN*L&MoLio=aFw;guQOb7RahlV+Smz!ss?51idMp0(QOhxu< z)q46&g`U3pkOe)(mcDqh226(W+m!R6DuzX_el$2MTV;p;7f^2ME#~(-b){whE(PMH zbYeO0@1gBda7FpiEy!qV@#@WsVw_PvPTd;MY4<+t-vms=8aMZ@K(+XP|1pMoTb!C+ zNikwYU3hf+tRL|WM=f5kplq0V6=`U=)Br=QdgkV;8zrCMoVRz9hPUykm9f$b%omj? zZWk)qT6x=AT@=M47+c;)7wNr<&(qtl9UV2&>`1O(v0;kIT|J*mF>Ow`?fvpjMey9h zqPncmg*cx+iQ3YihLS3=?$Tf24!@%NV{FY@`e2#gc|}6Y15-?YvNergw6`0}?)d;n z-d~xG^H|IExK!82lfS1ZK0)d39@cqS2zoNZhrL<)%pdK;TXBDq4}Ln&fVtRWkby+=?t_``@fl?1*zY}czfb+ zg18cAx5Wd3xTpV+Jl!AE-hSC)c(<_ZX6R^3z(&h~fKqxilaX-^GC)#1LC zy;Y92<`sjw;-2byoobZc(aV^c)HZZ@Hx_nvrHT)5mZ?J>?q;^RV}Rc1ur?!LyOSMR z!{RBNbyo8z{Uiu5e*!N1+sUp4eu1^z^&bGvC=mfb0pIt_HzxrTTz$dPfkZY*DqG`YVz@dHEnSI{h zUkkIZDt&n5U3)N5g!3^LF#P31aYpWip|Ad`Sr>w9I0lM-KuBY%cdG&&=td=08}` z{lV7o-%E*#$#s-yVL^)&r`S~T(zd9RHwnNCFwjrr8Gb2NGGQ8X(D73Cvg|r10qj~v zn@ZsOQD5g0S z3Sk92XkdPP2Th*| z6;$28q7H1$cHJdwMjRSw28!%RT5?jo0lgvM9}3!CwZ7?|Se^`WSv>kbbV8;u^zKv* z9PW%NqzbXiLB51+reX5QT7GQx?-a4Fzo~H}+7}r|-TM_%EGSP~jt?A30`i>Ky$JbR zP}rRP5$?IwLQ{51NVf2kZiw3>sk#&QFHZlpj|WaVx8I<1Uza`xa^?eNyi+Z^u%h^; zonlaZ?Vh3Jkbb;Cg}uq=yE6@)%-_*T53KY^F?mj`P<8tmjmiLe0&A2u#qI=DHbt21 zntMS9Rvt`>1oAQ6jJr^CC7AHJj%*j+!|k-^yrbp~idjEEy8#`m{ne^|?asb!!Sg*5 z9-7VK1fe}d;yvQIRC9Nws@>`PJNcNMKaz-e&X!_gOk4E71|JWszCDs!^tX>9B4C*U zC}+5;fw?Kc;cF;iW6pjNWvh^$3L@Tfe9T$mk!X~Z2y z?XnS`FJ&q$(QG|E=z=nIin;k`Fr*UAgju=8M8f_}0dh|^GL}J|j3k5y7c3Jo&O(l4 zRrKyPzK#=Z;Z0ys91!s4>Dq1>5{h(Fs8#h|Q~D9^(UD$J*>sfLq`2YZCGg?KC@_*s31$+MKU! znc3|SkE={yuu80qS4fs5?#gE?l(g5ELpS_Yo;<}{pSP(+*-|qMP8z1Gk*uSJQ=Fjt zHaW(*2s~0|*iZpoDho_Q#?MM;uj&@C{ju1D8zg)*hXYTJB3$v|NSuoq^!kYGA0B&e z`R%LDzinD~STjC8vVkA{s{9s&U=f!{@6Xptp0O!Z;ioUo($EyQ$F9pA8EAvL?QA`W zBHAej-~_1be~*azw@RhvEhx9dT?iuqAp}lV<1}ZX;fn9)N?~!<_a}FV@A!K`0d+{* znJeuxw#E3vAmSHb%b?a|UTW5N8r!-lywryuU8Ks>X>Bz4c(|F*sIE9l%kQ(Rga~ZD zmVe8T-s_{z2$8SoBO=g8u2grK-LYk|=b&BkdBz?IBiA#M4OIWt2jQXN+)rnx(hY<4 zl|ikxH(2LtD=KxeeNXsXe1*q1(O$An(UD58*J1Z6y0T7oAz>E-*09z@w2u+P+b1I(7q6Im>OW`PvJ zuGf&-^xAN-L}u5VnMSrQ7e!+Aw)&~fvvqLk)Jy`uKQ@Rz0h-BoC@+1wjjLs{Tjirg zw9K{HgmC5#6dLqL8DR~dI|MS=O37QwgsX*lCI7mOPDZiQt9Ju0Y`gQf(<|eOfNrC% zmW9D$+@nJ-w_f)iHSAR^52;4BW%?{S#?J4{0hMu5gW0uVvACVk} z7`DHY+s>WN7R1bH4n9I?PHb?SRj$ub6cb>Ey>}PVjqi0=cZL>92pVs84x2#B8*`_d z`8d7X51o^PUEQr1^r6H>R2i=)ew}%l8hXE;3GxM3`=~Mw`}=N5 z%tPx5)+wcIy$E}wnFdc6LBRQH)wR?S^vVePa>4xikr`b3NB1H2H$Zlc&mu+9Hqo+? zI_aoi^*d^!e#7V2I-`JzLo@?@SL^9UpoLv12$k535{@BVxj-Sy*;&WYDgyOuY^!wp=9wHwjyqD)ZhvV!b^HUy?|To!!6A zBJ5-@J>OaLy?XaI#jMz9m8Gh%Y~ZJu&QSC-w~-AOTwJ~)+lL;o+$?2W(d_)LGHiEm zKkcu-DWoY{$A2zVHpBVH|Ex$pqiF7p(9^vplzL@O&x1>An*T{ZwkT6}!C-byR-|*2 zH}UMT?V~2)eRd*QD?5sUGcwqfZIsqz0MJFzx)K+5#0Q4 zHvV_kUN@)OH|l85-ACUF7uZ{Odd@txXZ32Q;)~N$zQ^G^3C9IIOB5XVefY@Uy5f8I z@yzvSjUtJP7yr#fZijphx|R;bt=F8M}Lx`Wa2)%uKaJ)${Qb$nm)Fg|(ny zsxNSGuYO@4lXD@U%f-Rpy*k15iFbZf{q~aoH@DS04Ze6Jww1TTw?%vUNx}E4dR)QR zu7B+dU>=iO=XG`>6&Lm(@b~klwR^Tw0{O2r-)7%QHt)(0uGh%>@s}IHA0Sf;h+<*tu)0dip2>27PNtP^ z5|JA`ifxiVfQ(oatG&r7Gk*hl$x(_jBotR!J2*vYP$ZJy0FkQiU(_06cFj=CYz{8b z?G-Rp(z9^&AyoW$t8Tm`Gf8$cU999%f8rz^F&o&0LU$2qH1i=^Xi)^SGIoR6q_~cw zDi6+bOEXz0E@WD$-o=~9*mE;22vaZ=QEqCG$K(?kv6No?otj<{RQ{jh$t1CJ3gIHv zvTuH|AW1A9u>imdu_$Two<~;xce`@XY;`oVyglh$ZfOK!xmD)q?3*N0@;(icNfKzE zfm~)Bt5`yYZCA09F%`14wMuj(v%Gowxc03y4jI_2@nbpJppv+BjOws(GD>{W2g#98 zY5@!k(ilbm1Lr~1aFlZs?Y@GRXoRIJ#F2PH_j~Kix81GFrX*$bm^3;Lb(MhQu(91> zqHojP%w`ziGw&XsV?S)7+oc1?VM63(D_7hZGl9SMe8&gsu5j3t<9jG_S7O2@Ivv3Y z)4P=>|In1PP|<=9*Rfu$^&2gzohO|k;LeftqVzQ>t9^1tswuY6UNNkFB-*eklm|A%*m)wX>*vjJ(F=qZklWhH~FDi4kOj3yl))laN3= zp2j9MrR^hb5H4puDf+>6H7rY#CA~}_79)D1f%dQ1pe@Z1=DF^}>1)v$teUgWXGkDn{NE$0cL~r^I?Y-_PF(Bk z$CY-9W&@@z@^4~y)ix-VlU)G+gkLZyEyc9W`n_bD@#j#cl;#0uSEKJBSo%dt4KduR zOJhqWB8xY#kl?(rS;m=|Dh&R>=cie7y1q0b?TH)RHMg&e<*%pHQ$H=65)MREn#mKl zv^KN1NEjwwljDptBBNf(#$ZB4c@G=sRZ_ME9S`;!3aC%OWxbc5xg*5bc-S9u09Rya z)|ALJQCNqNhLRI>5;KRESZF0xJowxZs{J8Rru(QXKC=j-Tj1ZlblnkV4~CgT@)T(H zLT1l*Gyqo&cU8wwjcQkS-3W})-~Ki*q3=@y97)%utvfl1(BSA}p*v;#`wia1F5W|L zFb9se2HwPXk5P?=3nYiko|Nb?;JzLc61b@^8lu_U$I3#aI}y*WG$2?iH;YzwO?=8H z1VD@`U{z+p91MsVF+MQ(XumWJPq`QZh>#(Jo9N znz8jQ#M9;%Vs?tVhrC8y%+NCI85ZxMY{2Yy@Av`kP+{GPcmYHoGk$#*p&d zqx^2i>KO}5Vvl%=YomUqYYlQJ{L84Mi>LE*>2m#YwTUsM*)mV?{5rbQO`h?wG(IUUqI~cJ1>@HI2f9>MHnHc&+zE%o$HMp-qu7Y~e#}itVh_ z-TF>2`!Si{9{K?-$iUCZ@xzrOivKLCJ^A4c3(+d;cXDz~cxyCoMr9b|4a%B4E;J>; zUTa`AkkJ-&YW9gVPzJBMakK`>yE80P#$0p8-~elV%MbFxzY`1GsATQi)TN>g~Q~YWZjg21d0$QVQ@r4gFuk}kSTx65}&P+W*E}MdO1+izBVZCVwI>C-tkX}6mH`P?&2ssMpbwZM|cmh z0$M+v31+}>F{iqs2u};IiY;k>n}npIgt!$HqEW0+uQ1`KX&{Cmy=me*;sUfrMdXQ~ z#B;QZc@?X9#B)||JM||-PjM)3Q*t@u$6Qb|X&<_Fpdko$~2Iv5Pm)dy^JeHP@3^RnUQ1eHb zfLY&A8r}&Oj~GJD&%8fV(zc`BPIuRUz7?enXO7-HsWFi$s#gKVH4$Xd*tuY26=1&_&NGZ({TS4^VEwgfk{B% zSxjM+&zXPPU^ zAWhM*#|(9kGFEm~g7Qc8_sZmM<*xR5rr#;t7`AuIb&_Sha4WG9m$k@>O*>^ zhdd;ouH3gJi zVnMKkw0Q`myZ(2-NVgoK*Q3?@@Yo%VTb0}*>3+ivA{@!pU`+ZHRn{HQqh0ZNMwEcL3FMS933qY=wK&&zkyQa=sBViGZ}>;B z(iU~BD!Awr$YI;qS#W=~f20h31UiHv*1l^XNbMPft;vLTp`CO%hN@1$e4(i?Oh+84 z6-#Lx#q<#~V0yvn64`FUIQ*WdGe7r99uL`%g{hEovnA^1d~Ke!@lKS_o6{E5D_&T~ zD-cq}#|taZ$vj(i3MBfL`s(`TPbCe~GV|Ewn~~TK6eQxmV#tv{SJU3E%KC`nb!E)4 z&h?Q3mz9_+g++D}(Zj;pbfu)=##B~p);ev?ypW%U`*xfB9UCel`}$am`!2>^4l>;) zZPxs4<%_Q1e;f3{`J6X!mQh21~UD&7t~EZ8-j;Sg%aNYY5*^^Ls{s zM^GrQ5MtQ8VK(Pim>6$)#aZJG`DbweXU+uggh2vlItG^ERBQ5;9qPkvpdytr(2+TMKIAx4*#w08h?ja5d-;oMXjuf#(QU0TVa!1VY7(BZXCr8eD8OzJdNYCxbE4Q4d|W;MP6wS z^aK`#hR3hJ&%Lu9oLbpZgKD;8;+P`G>cOYWzQaW1>gBdDbII!$)%hD*gK#<%2*H|G z*;`$SVx5tQY5tnvUE+18ngwF^Q8j9`?KrTHuN`Qx@7foCGzVR1_up)SW|hJE;Mc-1 z5jQ7^ePYGDC~*w`&4fbt!M_pQ^?I>(kQWXSP>3#*Eg>GGtjWR^e{=_j1HXdeJHqPB zl)97W)(J+De@BBGNxr+Ghvg^tvrkK?9CK|+BTfg}KlE;1`>#z!I)y@J@<1On59rbE+o3xEh%iq&Q$xLiLpMi6 zu1|;nw{gD2VLJ8{%5^vn$dR1sVm1_Dg=;VMvfCppZSkRAS)m_TfLH4PP4xMwyW0i* zvKjaMuVL6HEr!LDPDS5~;5Qx~>A{MBe|W}QKj?`G3^xxUs44Vg@Hlw12I}sVMWcEL|@Ain^$-iSMeV#*`a!ss1jM4A3f@=k+|78iUy5)QMBeIgN+LPauz=Ea- zgit>!TMm82tiM2?un+h)gb~z+5g-SUy7I00CWZ12FF$Zbx(A4T{4C=!;v6F+0W%~4 zLnHxHB!z~s+`6#bhA;!ffVyiI+Qe@|q#hHbnfn0VAG6Y5>?1<#BSY+x2&}Ln(qe69 zi;W?lK3INxuBo+4l|YJrgXt>_8{Os(#9CtIqJu|&XG@C4%Oi3*8F(@v=K`jSC%t52rN`XYKP5O}WX~qfZY>NKXIkrZ zMU~cL^EpF!GN%R#KOx4L=NQuo^Xs1W2<2`ePBMU=i&l^*+cM};n4#04g{jQwvA;K6gcl|K{S zXa>k_ihQ1Hg5aC^FJF#)PbNYW23XWJn1suqsZk3p9MmFR^;;?Oz{L9}X!sPg?Cb9k zyWVxZcvkMY&$xfT*iBfjTF#cMURK!S;_dgNZLA%;4-V+JrD3e0S>fon+YKY*2Ma%{ zdB~fmE?2t^MJ6Z~GXu?Et~NY)ha?vWN#xn*PhYqRem1CKFuwjCtv-U<5kx2Q% zD{k+q_+iA-hdW@fq8G%g3Ciy9m>j-$SLK|vDbTC=(BsOP2BYXP6elldu91bov&I

#NSuRcIQud9jEvm*U-j!t;SET!mk1}*DSuOqz61Cm`;SLOdwV8?W<(3 zUek?E{O9^wH&Gk`AIg!x#5;5}gx){=Go-cLuS7BEG(5kq<&3)R)e1-WqVdl3<*II-uiCWsF6BDL2zNBJwe1WxIBHw6Uy&5OLO2{pm;Kscyka&9#7eX43YK4xzThoVlE}tMgZzP(}PObEW zo^3w{ndaVs(2(Q)SKNrFq;_zI^?^6KP zHk3wr@R7v7r9^5!7h{tdRDzW~?KzoVmVb;>kt9EqS?_8FCapN-TYl5nDW49C0cus* z`RUO%^7ZVAqP2bSz2)&&_}2D!Decwu)HP{H*zxE_{;Vp4YXIojqJh~BO<24VDo2&k zZwQzHka3J~Pq)TFnAqsyEiyb7E84WZ~8NHlb{mg94oT3A+SgZ+uo97&#{W} zTXL^|0DQ7T_gsrYXRQKBjQbw|ezxeI*(kK0%72GAz)x{DU_IMP8Pe@3J?_`UpvDY; zVVxrTCD|kF#(A2BQ4AAAI-@b*JV?G6uEo63UMIN^oyg&S5sMaJnY7=RrjBh0ofrL+ zI~7)Ud+6{1B==ZN4%Z`f!VRXY_1$ZcOX&7H{kHr%D~}0jr7yLVe=?yC8iwLEEvhzt zD??9fRlLQcENRKV4hU~|6xfijFvp)ud8V?pa{D%ixbNN+LxUwmo7lOjqZYBdn)q)N z@njT~@v>O!+4^~e1{hEdXKs_jqSn=>@&N+)VuFH zBy&xPG%H~AetWRFXa7rI>wy9I^4;Mp8FR`a5$)ZfJnw6K;cs{xKKy}GC3Pa84_U`L z3k9>8i?Yhbr_w%zZbtF><(CDRqnVt`dq&?+y4hb!WTQRqp0I*=1{?!1uq$KlB0t=z zEnVDtKm$juB!F&>S-q=wwQHhs)d0jkj;r+$Q3(iR>v1m~V@w$UWCVpf>kDB-I@#Xa z!L^-R$YcksMYa^CaOi7=#}WwlK4EBUXoYJ4%eCBV1AOk`<(1Fi`V{U3Fxk@p2p;@= z=GVd(>kUmc!cv=s{H@6-?;aoXw>T0C%78vWFJdnmt8-IqfDl{sd%IDQ`Gh_hc^aJ% zJ1jjKflaT;J|mEdsQ*OvA678jCv9G!&!)xmN&-kn%ahbXQGxdoOLkj@GLE%QKBkc! z-V?~o)^`mhwNNVbd*ej7$qCWk#H{uKWo9@i>}UcmZ&TJJF6(`+cimiNzGm^$7YXK}AcCLK5DGwJhA+krfAIh)O~Sz;}CUjt13fc0E7>w$7OT_{Ms(j<*kbUX;88q!&7F$n73Z_mkTr<6>9brvGSv<}w6&^} z_vsF#@6o~+Vs^{a;Ok4Zv;F4XvxVU{p)(Vs*}C^jkg6*!n;iHQ$t3apmAHgqsp@u`S3ttB6|_p^q{O`hCTkf2!ZYaOiNocPsuHt^ z8bO%8WYZb+%JwR@#pq_nm0?r~x@#=RL7{)&*Z>#o&?}o2XJ!y5NHrCK6z!@E-Kwfz z4|jq@pRf5;+&+W$Qb<0bL<)Q&O_H@Hd0`EK23xePEqdGzouJSQo}eadxDr+_OZhd-Kra9;k#!CN1L!-O5BI4p#HJV3=x)Ahl5BH~y0~J}=7juB&98a1Uk9kcy1-IgAs*Ty!RnJE zPeLEb*3C(P4~SWbug#u)RDq<}Eh`cYTSt;rCt0aP5R|$_#2t9*{sjoM3hb}I7p@&C z?f37Nc}(o)`K2JI>il{Yp_6~~$(ovD=7teDbfMcBy#%dO3*_f}+b6+fb)yk9WXm(| z=xGQ%WFvcmd(U~gX5Ld(>TwtZvET7B_KsGe29Ep&bKElcGk=O~KqK)da$ddmVfl`FPaa(lJZj z0@D3X;#|g8`JVe0(>3)ksBS=yWen&x5Il0{Z6<=&-v9A(Ox`Bd>2D(6ba4CSfQ+8< z-N$M_^u9!0riNtD({KF;2k6^Mzs1|_$h=z?JIPd3QBT3;vy#%?x8fK|4A?^(!XTyGZS1qe zQ6&c&v~eL^ePNPG*dlpEZqH%dGo7DP1U(`rsVA1?RSeM?#|?HlF46V`232o(o-f+N z$@Wsf7_#BgWc$PVlIx77zu~>0g;z>E{zR)VbUJ+KlSo_r9Jj~z&#JbZo(sMwq0hp$ ziXIO(Xy043(s&U1?(eHuO-^EOLv!TSUPx^X@(si&bgYE{VtyAK$RxZnAjZo#EQ{J! zTh4{NhpUTMR+r(;PoOF`cDnLT-EX!L!-HhanSdT@o3rfSEZCG56T5%i=oWmULE0p% zW!Pf%jbAg%C+{?{B8o_+lEt!HWOdxe`?Jgk8gZnrKRZDPPU}!JY*=O7(lOqdDdjMZDOy! zGwU8ZY9^98IhMBhEov@B*;BG#~O?6fzzH1^C(JsVDY=&;j<|TaSUR2&GnfSn87cax<7#HMC%n^sNdD3Jl!CI*#y(U1Ij6KbOCsk~+fVqUf=~ zG+MhWAAwJ1t@m3=M+fYlTd>~WMl`CoOjKGHSt38FEE89+5LK2N9?Ji$UMZ`TpLj?- zx{TBs<_b&YkYVV$m8WZ@^fmN9G=IwH%651xl}9y{x?2!#R%p1A9s$(zjK3rQ0rAl(q{>cfDb5%4M2I`^b6- zpLO$)>b*>TydWvAB^tL6pZr#b1 zCF_s!y#B}7Lx}niB(JKpjqp8kg;3BvwPxb+kG-7GIl^zhJ)>#e&Qo&Z)m}rr(v*R6nr? zwMJ3fuc+PDIaLa0PT|27%VFna0|eb2opE&5Z-o>MMZxHgq5$cPW8IuaRe)kA1h`!0 z;|DIe#POVkTyv4fmVKeL&cGgdD9y3UZzXufo8rLsb^8Gc{+gF%7N&=-%!e`mdFp0F zsN;bzJqpmUTc~oRaK1^}1&hMk?_TXEbC)PJ+u8*mT;{{gnfcsM{I9k)Q@hVvEgva@ zU#z=bp%}bBVk+py+wx`INJZPciT3)PM-hmxo~9Zp+9u90bYAC|%)=}F^x{qbSyaWM zP%p?TJYUg+2d{V~D&AgP_Oi5GPtkrI4GhUqHy9!J>nlN=OuNYEFC=%62d%G{vC`c+9u(**fvj{dCq*&)8u+kV%(gzSR`s!%-gx;#yw}~E?s|c?-`gr*@B{b zU_bnbH5Js6FnDIX)1I~7#OFBI#Bcb}?DQ3Qc>$90(2IF8m*DBr#Co*Z{oe|}WQvP> z(F;}-a=a{;oCm%LUShnjDEd=p{n(2^<_(z!axTGDR4T#P5UHmn`t(1)+X;<4zqpz2 zUQ*i&h^cpU!yJLwpQ0#4<_JcLvEq{QW!X{^bU_Cp%h#eCL4U=unr9Tv5JLS9U2q4< z^3zxJ(z@eV;=lh@mMP?PyC9}~=yD&w7mDirQ~x#DHAQun!++xG|4Dr>cBQUQ2HFF- zi-lg2GmGMXDN{uOr>Qy;516FlNBx5bDP2>>?Sd}8?50PFi=u1V_TPCm^Hli3BBMAN z{xYPsnK=k`8R9JVU9XVWViuGB#F_q6vdMW;9KsH`I+<#cQU8aP!RFIaT!(CO3l?O>1Q}?nOn)(RuW0CMtQX>xHqEm_9=mXy?kC50SjvWeUr0(} zecr)kJFRTaoca~V^T>WX{h!5capE}qVM7i#PyAf3(586tDLc3Cb9DQHE>rltrMmWO zz?*4FDntB7O|frky{lMIECc*s2~~S$bXHq1zeY{uhrH$Os1$)Fx>xeXhKz|91fRV4 z4bvUQc<<%I6)(9Pzx!tfmTb73r>kWkDlwVJ?GZfKD7=KfC^9+BSwfGX@Y88l$hB#+ zurBzg8%8ueV%*><*16mGO`Rh0(OpLUW#X`0LYVF+SliUPmUUrwT27trunIlMvI>ep z5{dq##tTH2e9HfHD**1U<;Y2!cs-Wz)3)osHy_ZP4-c4m*j%IxC3J`7`> z@IdAapx_rYC`NE%-hKMe*Q{@FvEW`%PlCX)oq3){?pmBwtXe{P9D{c#>E2kpNdJEZ zP3z-A&AA6s+}|7aiM=-*Cw0>7ia7ys-#NT9mbBx|>@CkRh#wq>Pq^}du-EGJV(Iqr z`7Ld&hZCX@bRCvr`tGUp9=SLXg1b%^Kh}#)fx!6MQv8Mq3 z(phpZ6r-FWyh~=6i(``zzgM2r)AQ|Q7f}PMP31l)8XbDdGHP6HyThr) z?ZkM-gHw?HfOGtQi+iJ(llJAyJ4dgysvJIcuXfoOe%?3?U*ee@UkF}pA(BTPp>HhX zkz`)Hn+pwp4Mna{0oHpvOeBmib%vVDk+qLX;jxBgignDs$RVq*#@CCU)XY)me(IkO zIo&rObEiRMBCMY)AwXbXbXgh;Bm(aRuTNkpdlBf0ir3Ndrc8Efo0)9r=v7zmeKcdq zYRl5AEHOBNveLI+D(h*(RIg-&5nYv&oMZ^Qg}-O$9ZoZvU7yN=6}aiWnveGa=cy106W|&!cOE%{BlecVk7YmAV}oXh@0R|D%K&JPkRjQQ{R6aC7%RC5lbW zO!TpoEqb#rMM^Zk-_-jFcBlBI2W-q3hLggi(?~|x@_q52)E?RLx$uRC@uxO?H_B@H z?}wQ-_v_^mSz#W^t~T!1_JEq+*=Yr`BhBlwHepv@=-w39FJnK4y``p-1aVW(2~Pr< zQ!kUt@{k^qe(HTOVoXRuenDuualdT14{GyHLYzib7!DFa)vWYU;FD9?SHjjM2SLgU zx}c95`V?Ad^O1I#JljZZu;azYC+_(t{JX~AA=+LpK^Lm~*rKp4@ZI&hBlVfromlOQ z$dfDS^IpNTY9@N1M{tAJuu0;&#Br3BVkh(k|4^PgES!{rezl*VvubWgdzHfCS9TH9 z&v09Qe*GI)P5H~s6YOnwZAsF^e0$O9G?Ve_%`@G!66&3F)^vAVyG<|5IsVXj;MFIL zB6U~U==%n(B)}o%0`<Z_;1 zwqkzR;V!LWoZOE67dNy%{BP~0bmm1Pqsh|pWyo`Uvb(jU7Cn9%-Q#exR}zYu(c_Q4 zAM50}8mrG}SN9%{sKFV-$BYgQCQ*S+DD(?{I1*di*Ha*vICDRdRHKaig zfi?7M%M62Hxd-|lHWv8KT5aq&>vn45OZ?!rZ?wm(p+}+3teMOyLXOMm4dQtD%X{Lc z!7pniVce1avwUY_QGphte?L>`#Y}(W)fjfeGTp~?WzPEd)0YYGt6I~Qp={1?fkBa1 z?Q)qja>rC1u;|VAaJ1|38h8R)ndcfbc+*2)kV+F_u^m)sBcK8f>%@M zHBG{#jH4=sc|~_YD?H_qUqIT)HTd1}epyw(*_N8{82+WmE@OEU_CG8iV^_Q~K5}Ad z%_#0^uGY+)LkDuIbJE4*!t?V;zw8P3-#OpJOR?fmerNTz85iumSDeLT)|P0iIo9#% z;r^#nYd2bkv_NkLN3*q7Dp@fcwI?Ry25zoO7hD3E^?*J48oYPWc;coCPc|M;SXLkQzq61q={!7&8sGIk zAPo2|wEdlq*KcRVTjB0$b1QIlA=Bw4?Z29cM+d#!+R4SmH(o^8E1{#f>*yJ?FRXmNeVpUC3G{N7g3Y0;}1_&eqVM_#cUewFyxcD zz`8nBbIf?!u{Gz}dk!~w_|+Qsa2@>AfVdLSlxS^MXC>xjkx-Uxs9m+4^C^Dsfe>DQ zN?-?rKzyM%EDsN>pKe__;b>CwR(ovkj~DpT76;2N>UIRa;xy~BrOvmtvZsp9?;$vb zjFBq7Ro{MBxHD6%r)}>PONltW(tg4S9=0U7iN`6Gv3Rw)Kd!YQObu*QKw`~6&RIA*3p_pWYFR_jM z@ce+C%2VN}TSJCTmDvUh++{#BmEh4 zLu3!#T5>`@pnP+q0-UwY`(6-lol1r}e9&rG=RBDY`yPqpO$6kJqz!rKO$;~jzW>!w zyoYZ6eo^Y^`IQSh!|q5M12+}%z8m#}=|ji(l*_WM+S7+`7n2YChj#QTaI$x_LW3pk z)#439)(ia1m?Y^4A}@H3V=9ZqG%fM5V^$TVK9oZ=FV=$Xb65#Hn%jdb_)^o`Bl^60 zs0(8|q}8J&3?e=W&XL*PMC~ZfDB1*JE^~DID4h4qFzI(bW$pcX;(`QtmTWz~n8<7ny`XW#=!WD#R_xe|+_`0A-I80-y)F9dkBDFuTdKXyQu7G4DvyRG4olo4QAa=w62k^N%h}M3h}*e4}(^E(B}QE z8eawK?QIyh#Urk#+0;h=$mO02rfnRZx=?Y7qa{YnaN1eFSdF}X5@$AP@bnjtz_4ej zlWl@^)CSf7V?_P1T)oKwZzDS=#x=qhHJ7@=CC>%_nxJyLaQ`~6#_#_P3y*wy@#O~u zd0nr~Qnv1?`IFNrzhLk1FPZ5tybhPuEqgC^&p!%%+?>7wiB%^f)kGydDE35uIjsY_ zXob3`+ktcNeC6~Du51}KV$%wb*U4T!k$+isvs|sWExpbYdRC158jwF7b>&d|;PqKJ;r|nYaEmVx zwaa%a{way05Vx$nz((4O9Bec5&!c44yDQtd!$#{S_QUWWeeRq}ob(f%s@@3_SR02^ z6+v$+vAnA>J8=b-KQFN-FuR!#F9v(>uK>w+cGv)?IF1~TzjMVk9_95Jj@3=Oo8js! z{P~0TLCb@UaHnVEdiw=f!{ORmG6dEG9=lcm`m6#qqvj>hZ%%_ z;;G;)&u4ap*Bji9F$hljx=eCcKeNdwcP1!9vG zz>%k}Nus^sSTVJuq;_|#{)UQuv{V4Ce9V$VEjz^FRA_}6m>UNsuhqb;7)48Ev;jj6Ot#U$6eJEq+^U-lFJD`t=$Ev@wW@GP0|rXrI8nT{R+V{ql;Mch zU9EkkULHDC8PB7!mz22C8uoscNZqOoax~UiiR5QdyWe?;RBvN0naK$xg(bzLes89pO7?_-+fia02Rs@7&^J+u}-HM$AA}6Y=wA@4)R00wXwZPy1 zcLd+sIn{HT9A^NSYGO>{hbM%+*w0rf=|4I!oCsCM^fRlAK0jJ@+fH)A6}R*-_|MUx zzH21hAi^{^D#g9A>(d<=BW}3$QmyEL63WYfQ3585c9YAWTUBfjn=7u}GeXv_GN(m# z^)XOq(Dr9*%}pb)Hw_rtigT&x4PoObpi?m74Tdnbo_o1}hWY=@{#gfp^Tr_8W36(} zpaHYA2!66EL(!;tAg+1qfr+J?C)eBU(rFx0CzSje6BwDSO*g7&jqC%n*f4ZvL&ct)eE1V@#9zVZXs@!96heZ|LjE6N&0waN ziVcr&klL;=0pUC11XD!I#Tuly)lcPx2h}5;;R8qb2zh$qE`@XPa!f)07%~s@VKxAw zY`%PO&O(~zWi)oCBB7GE2sTRpW*6GTJ6(CcH?RlVP+at};FIqU5DB}19MG|VtH!KeopU zug&~y-dRe!BzY~~*6!QMVYCa&hXzMbdZ@KWj9 zU76tt=2F09!6WQpbb={Rvip2+H~)e<015oJ;*Qg=pJUyQM}Hk-yqi1lOUfNKz4~%L zKQ?`p(b0QjVV$+yYwNfpstGF<Yr#>`<6 zro~Y8ep2$5yU_c4 zB9=sF|C`G8u{~Vx7{DWO%SR+ip_1@dRk^JSJs?3>Tx%pU_OrkLNX(Dp%eWlHMY&qP zrpgtgQD+l7{LOzi@@Uxptz9t(yO)xKN@#eKbG2*>c9Pe(f)_g!z7sn;w8?=c#btJ9 z(0d{X`^N!z0>SB??mt9l_Ecyy?reeY?F5Si&w}Mo6UYACSXL+)0}wq&;~PIU25>R} zTvE|){TWzweO!UU#ilDe+Q%3Cq|Qjsc<}KKEC%zr0tvJPHlg20K9MXoDJqOcAPS4? z)Sulvj~!DXqt0kh?C@pA-QjlPg4DGoZ;TB@2QNw3$mm9_VRIbMd0vsU z&PI9}Cv#YD#5|}#FYTMv2aa^xWi5{hQcsiDSFRUj7ciawP7=UmoePTamO`J|JD@(e z^oPgUtXBpAG9uI0;h*Qz;kpHKwNj)PGHzI!c!1hwMQ*o%Z1~9OX;U=OSdrx|{U=B- z*7@3A<4FT4@Zr(_l(~x~WQTpaWM(%m@NInfx5=NShPQSN!i4fmwKy~M zDZz*&2H+_)3Ua;O(S0|M@9Sgm;)kU>Bd}`It%1E7!eb!GTB+W9qytf*x|&m&?$+c3 z3at`59KI)l@2h~UK_&8^3f(=>aKh;3@)*IzfH4uBNp!8gVSl@2pcC>vQ_;1A)aXKI zC6w|+eM~A74<>Ik7zJN_b1^=O82CRA zHDf=K&AiPCt1SG;PH5xh9Vq8H0?1-{iCylhic+}SQ&mCSwo`ydG2|J_hg1_*s`zwN za0lmPfyxk=E<$V0P7!$U1R`0u(qi$^<7h%-bxu7_V_{BLxCwU$ckOATW({r)kiFpc zIVvTWv0zG`SO~R>C=6BNUT-~2euDB-f#0nEu;vwhOK{Jv)cVk`whE2_h+Cn@n5@Lv ztU|S^GCD!1HzfYyVgGHFt_LDa2ienHHhDGKY#q8gVfbPYAb9|w)}Z26F+LdrP7sEd z9>cW~=eNp^h`7LXDsb?|&9K>IHS*^*fLP+XgEXZPQ&>jAg3z`q=r~-B)o63s;VMA?8d5^u6jQ;Q8L3oYqN)IsXX8S%;|JNJ+nS?@O0!d92JWHO zSIIm&M-@aLs|nb49rxtH@a=BnoyAXrQMcAN%;-}t>+dprSLhZV@BhDUy~7^)w^k5OgJ|Bu$8V8D?#S0&T*?|z;M zw^!oUDku|WQO$Amt{wZS>I$Yt%&X-GFF9~zGeD?$ti}w1qGB* z40??!ZEzJk45e?WZi`M!7$$Ebqa5i&oIlicAkvSHnYtrj$XD&e(k$AXvd_S1G zZa8X$9~c9)+o97OYH=nJg{KS{g<$elO-xqI@P9VS6=EX;0z0ei;tR`)9Y#y93^(?k zs1L=ImF3D%AnBpCX)G_a)$Y6?hn5yU8}h6}Gwbyq1@ z>|2;HxXT81BX+MM9Ee4PR^hPcvOM0z|NJ(&Aof&3#hoUzY|%qks=@0=me|a$D zweM#w%7rKB9~W!c*k7ALl=j%;&dpOUUfit*dqG5cjI z5SC~m9>)Hj#usp=XWXYBBf4Y$>_cX!@kaoW7Z%w_6bcpy{tygs16s z`n8`XyBh#usp!Cc-=wXLDM%kP1-(ZxrQDQI6jerXCG3hqujonjEqSk^`5sCr`e_L5 z(~f7TQwH|amLD`VF*jK!2N7UyUbGkQQZ1WLO~QlD*2Y-NEe?T=mZW|{4SPoiv&wMZ zk!Y8)2N*$M2klWNFMSGtzJh8Z#~mf6TxWQk;u~sK?Y{vsDfy^#-$@2PYPuDdy#>Os ze2l(Di@RPDwB`7u8`Z}UfaNJb007f^#vN01s^$|kAh+!;@x?6odq4OcoU99~pij5D64oiu!dG&ad zEh$--Kvui;=@Dg0C5!mciooUNU8**7loF~t!DMF$D7gsFSj{+jmcwa{9?l;{6G)|> z6%HhZ-R6zq5SjG>Pp<>3xX8H_L9#t(PL`t;DF3=|4$)#9k@9YUw~=$y(zdAt$Ik9u zsDwU}wA^44w$~_jn1vAZsj;m!IE9}1sKH1&H}t_J;bq14D%ay+)ZU1~=QX{;$?TI> z*w!)gGrewfo+1&+O!vU))OJe6)qk!<7Y)iB5E@~B{B_hN(onGNh%$)>! z>OEhr6%{Mr)qdN1=jku&-?~y0_3k^@LuXIETio#Jy}S4C;Oo1Bzs#)p#^^}{RRxeX zx8&~~C;r&Pi}3Tc6FNR$H{kYU!%gCjbI;||f3YmZ))NF;EhQdr zxi}`U9zp6R6HOPhvrf~zFG9X3lEU4ZW33bKwSi6JUXa?t8{0j(lZ?WrkJ|Z%3ud_H zI9TAqK{WRLTkn>o_~HKk1*q!(LXU#a!WL5nt4v$O8Nn1>pmk2OWp2ReJiR$pOC;dE zwtn-=MY~vU!+_@(Vqnn%Fd;rows{ENp)!~hjYTVQ`7wZsIfQX+Vt!Cd!CQm1Eu3(n z9m_m8w~%|JGYh*RCl7u(*D3oqgTC97h`3hY{dQ`8@Z<*OBFuEBzJlF0&-5_6VuL(%R?98l>qj`j*#NxPC1($JFHUGPf!#q~@Nx z^0f9FK5n3>$7tPXxkrCJJ$z^n#WrE$Q~` zOg|j7dzx-*KFdvo$^O>E-xumRuB=~OL0fIXb;bIPki~>`l3LJYeuzG#yKJ*{8f;2e z#PS}E5uGb|)V;3u1-))r@>tH2sLQ|RTN}!^w9p)1s$ADzIYCf37je(* z*oe0QSHUQ?;Q{qc?>m9MhEI)#92n8F;mCQ?eh%Q84(MQW+_HQ$F}L8OfmTz0fH9oT zzjyy(fgSVeHG zjE}l}n>MI0YG8Qs!Vr^6ud6{2)IA`ha_3jySMvJ(+n5tOT|Q>)od+L#KSW~jaHz9k*Y;7w;W3|?p8JpA;bJLaTnp(if&68oy9P1P z_NPoG>G&kd>tyMjR4ms1+=j(;{s??MWAL9^e!iQA`JftucG_M&vT)7HuHBy88s44B zP=n#57Xcal+%k@zkv82s8=yYLEoiRUi zjMd;dUYa!j@;6Bk@$Ki}bH3xp@2~ucMVMG&-%9=1A%9{XhURmR!n;*E5pWDYM43;& zve603N@$q0xH5VvRl2}|9k!#{TxECIiBWsZp_2}KNe&}!)51ILq&b~Ei)TJYF7^>< z$ILdj?BOTpdt8>Jv^=QT)0{YhCAy~a2?g%{)v#^~A!{Z4>~=d_gj{tL(vqKxFmT}% zjha|LQ2JNa>Bv@?d}M)bCvwj+aE)Xvv;|D+Ibrgn6}m-I%>WWc>zx1AKwEC=?~{-7 zFlu*sE&cc{eN2kb9PM9R+JWjH=xJ=)o@1l!_C8uk4i0Jvq(rRYrLmaIZGp*m0}$ zhYEyJ?dG;Gd8~uF_G$kmxnA4c5&DB!vnKPZv*{GO=CF_GpZ3=ZO__hh4?dxP-gDtJ z66*GoIcgxLZteu%HnEw zQe@y6`y%nC7W=xQJ&PeSu*`M{Yg(?pc}BfuVv#O zo>~;I31lGC0%=(6?6=*pag40^uS=V{sxJEaT%P>i1Wxg-8%)JEnpuHb9a-G;LS=~H zVq8AjD4cMtPHg1XpDREFul?oW6aJS!XZlLLI#{ov z(jm_=*Whnez92v_W7;w7e`$2nA)sHw+(?_8t6?rhY;+@7XEsiv&{^k37moq-OQYmF z=qxp$XrW7oO`>1>o%81CDDzvwUiU7?CC-ABTxG5T8R~tAIoHINOxphb`l4`fI37(7 z-heU%inG01LdBNoZTg@khh_q&O0}hg>0M*-?AS1w-EbyQTZ!n4y`!r#jFaV@WG1@Rp@XlZo( zwjOFMi>7Rt2?wkbq|_{TtW2r|4{E(a+*l>=%>o%2fj< z115bR3zuIe?%TDFWU;bwY4n9p@mc5(E#kG&@5GIxQpBO%iiEyQf)t+IVvN5cD6ZBz z>?Cg+D=h3#UP$hcE3*5aWpGku0fF$X4c=#$xAr|&9|`|xcbW7uY1}(dlK$g_+noHs z+>~~c4%(j8XZfsH#XDr5LtBGe`IsZ&HFi`Umdy5%OIMG!$V{L|jABVB|iS*h}qgtoUc&xN-f z2<*-YXE*^i5EOyYIhC1jryhyTt!9VX{O#I4X{!3|q$(7Fa$`ZY$AFCo{ub^KA9P%F zodV39N6G6LM;`Nka$4hl)qUfcxxyGqsFG?|9)L9jac?i#ESnv^rf<%SG~MW}g{kNn z1(>$vW5b)S0@zLVg&Yq}%(X`~(pUjI7<{bt;251^G&Z3{}Bjc30wo#~x?NE%{KgHNBjPS^sW6;s~ zIAEG1f2qikKHSlsVtRaJ)4E)BVDQb-tYgCs*Yuh9{$1y(s|%=_11$@!5B9}zeIfd@ z$urAmC#r(NkqtqU#A{X1z*$cZ*aLfb@qTgnx+lj?v9b1Ju1|^!NK|IR%u^mwCun6ez3x1 zpoOqtRyi4aZ13S*O+e=|a)abIw;W`z^|(g9_UFDw{2f5%R(llsr3Ro{e9-k>EekgGmeT969Kz>#AzN!yt6jE@xv^Qt z0MuoRti<*83;X=5s0x3avk;n5>9mrq)97Qdq9d8)5Z>|6ZA#-jV3UYlAz zzg5*9J3>Dw5C8fzH&%WF`s023Ze?!n-pC8j1A87Gd7w|g&=S>wi)Uy)8!NX5zrK#z z)g{nBv~90oLmhp&I+eZI=!8{V&&Q^n9SufFVHqZH?w#PQ zq(;|a;0zvi)5hXR!K)cXey9bRGWvl~r{@Cwoq-|r4AI0kfSnir}u;!iUY)fla(83!BK7MDarwV2V)xw@wlsQsT0_Ou?#K(5H` zvBrL_uGwh9`g>WEVAP&P+>ZF41WdRGC;u>Dx(U=Ub&L_Ij4G`v(dOCh;0BcoeJ5Yu zMqkq*YpF4=Ms}&{_=(FSgPpoiu~lsBx*DuCsa0sBjQUnJ-@a#E?yl6*L!ilq`UvWn zClJzj9ci0V*qCpW2-XvlQMTxWX+11F};2}S#uM^N11yhvTJbo z;!&V%XRb9zEO@=w<`>oe5D>PBu_KaiqgXv2Cko~R|Lzx*{Ko7}C#>8C2c(8#V!9|Y z+h>J`hM&Lnx2i@&LHqYjn)S$Y1b%}z(c7s++UIIfNmWS?W`pE=V1qFf_N4o6AJu)W zJ@^H?#Sn7#{{+bcHvBvP0DSGaWs$h2w2qLGCpq6YIE%$SRXE=>I7<>aV-3#IfKzIe zF+F~h`E`-Oxh;`1#^BtZ$hpwqEDJb3C3e1#HaK@Aa=vSDei3l$+xY^6b7vxl;~H9d zUY^MLw!yh8;MBMCw+zmTfK%T-=Np{66FJ{BI4cu5-!M4$By!F(IQJ%U&UGB-i1hRK z1)N%baoozENk7K^dCKGV;;}@I^}W2u6FKa!(aQ4^i5$+Q(l}2ha;)#=txMz_H1t`Y z$a&S^YzjE_>(^j|vpJD7$lx>u9AEOqJG(Op+DZ2X(aNZaooEa=6XumsbWKZUlR3wbiOn_HwgWr87k?9eu*ixLxa8A*A`!} zCIS50%XYIKGf*Z`6D6^~zG=-gLG}TWlVwXk?VDC51+$y!8}|8^+~ed8vGvgO~IJX6e;VdB}@P3oCme>yw1Ojen?cr&@?Q z=`lFT_mhkKRf9m3WVRI8v!$FWx@R3RNY`OpTb))A4MG1BMH^j)t-t*C9g}*2D418k zTZEo3dT1O51Swu zF#nrV0RR6tR+MT0 diff --git a/cpld/db/GR8RAM.rtlv_sg_swap.cdb b/cpld/db/GR8RAM.rtlv_sg_swap.cdb index e3dd2695820831976c7115105b8f0a6be15fdd59..e6e4232c97dfdfe1870d9f766bf413d74198a966 100755 GIT binary patch delta 74 zcmdnUc9?NOu(=2W1hheEYbbpfN>?!c`v3obJ)1%TlVAWy?En7?#-x`70kH;buu>%NVM|M&m3O{h~OvYMtW? zI_Uw1k?ZC^344{V2#4FEd|rMh435?O2Vu{0xG{s8mi$&2GpKj_-wS(`!@=^P*7ik@ z)#TRMxKeYYH*RZfKjvc9d^EYb&@@gLn(!s%p$knodYN18k0yLsIdI|LTw@Pb+qjc5 z%hjIr*_3m)=zl%f+uxD5Poi|-O~C||oBpY*tYo|nUYiU426H%W%VF)G4TjxbJBp*Q zy%qLzB^9jQiFip)`rd6sH|-(o`Wr5c8 ze~EoP*ij(cuo<=IZY|mjPBg!`$L9If$VB;Q*x3H9bwj6a?ja1q!n>fE4y{Yuf`U1`!}e zCbf>i(;xAQ34bMwks! z4RJP5M^Ge40fQP4b1?V-$&J@Mz&=KGxSzj^De=rP6Pk|0RR7_5Jg1* diff --git a/cpld/db/GR8RAM.sgdiff.cdb b/cpld/db/GR8RAM.sgdiff.cdb index a13cae430e0074cec811ca8d735701570d2beffd..4aafbe293ae8e998cb88c64cee00ac9ca785b49b 100755 GIT binary patch literal 23178 zcmXVW2Uru&^S6S4ihziK)KCOeI!KWgK@gNCpddveA_CHzbb`{mfP&P}dsTW1y-VmF zLJvg>>F_DF17|m1o;kcldDkn^AeVEwezr?Q|axy5?;^5)?jKkydYuBr5p>5l>*g z`kz+4A!+UCbQyV7F_hB!$-* z7@j~lY`B#WwNk^Z$(CC3SC@;f@P`#&O`l@0`gMmsV@|uj|9P(B6q2{CI;7ihGiOR4 zzqw6>*U&ww`o^8zeUU65R6J~wr*qHabWrM~AbXnEg5HuTQz#(K*G#TmHrr3l9I+vq zkn1#=;;?mi;>N9(@c5k9DUc4wM-Myq>W)XX{7^xhTcRS9Ctt$66gM9xvj$$TOz=tj z#neyQR0TYZ-vTX4QJJt z++&;)5aVt3L4_jTx6z5%91H7K2Iy^~C7!=JigZL3Uu6_ApztkqO z$Fk=ECyPsu>~1?>u@&<)UG1FX2P?6*3IIWUT25kwv zonFkUJ=CyQPa1v-qboBNjVe17b{kub8?}yK&v{qd4PaW_j|O(R0x{O58)QK)bA)l~ zZk5kfA>CH~zCR4E>2Ke~1dLDlpr7bd?mtQqvAyw*cDp6|OwcFGpNVDv(dpIlR~VbH zKR6cey~YoVPa`mFD|TGv4=sfXUz1IOT=!@e@MQh_sRri~3qO|aZEmwcwe{Krg z;0kP^&%U{Hs2*#w_JQe1Wd5F3+LvcsMKqn^gb11)Jk0YAOMSVCb)FvjYS}>t1kPI~ z_N)9X8ZWPZX7T__+oALLGS zL{fNADdlfM5OO`l!vDy%}4XCAbrhCIWs;#7wD=(52V14+4+ zITfbyDt9;(7^YYkyZjdpNwuFBoc-U-dTBq0m;LtDD)4^H_EsY!sd)8wbV>M|hR&O} zb#)D)pBgJ}@BTmv>u<8z|B?h;?JP#Na7qHg8n`bgKCJO5ow}I8yVGErYoaheyg-Qw zd4l7J(NDeOjN8~~&n&W~^R|n8MoEKHE%dmN#d%P_?66MK(+ z@zh}omqV`SZ9$rF-;p5gT(3-2ahLBAAh!8itkfW%%U<0J=mcM3zIj?PL+Y-m1=Nl=5z)NX3F8x&?t)&w?$Y*RDcfQ?HE~ zqd=;B0Vq}8^{IE%US49fZB&?qg3lv#-pWf628GdyC@a0VZN>VM1go+SzxZ40LwGvI zL9F7}Xg;4g`qiggn2dKz*sR(~NV!n_apphUHv1&a^NjG~dm(4AafD?(?-&2JV?|c3 zdU5FICN8OWq4QRByVpxg8CfV5vtATOWjAd`^{WDe~Y-EofmB!9>zj^JKsGWK11QWUO-b$wcI@f zw(HC1EwHrR_jYUw+THx{i|-dkn=74_S|@=K_fVQJWX3fT*3rVZaLo{LTG4bR{`+Lk zV&#Wi!;EMuxQG(6_#N8J+h7+Wn3V|nCJ z*XUV*QDgQGx$e^#^q8e|`@cms%<4A-CB?Uf(5&|G+a-hKB#EJ}hz9h@kNV;oi}}^s zcip4vvaw%Fw6`{Xx^J9CIW~=F(Flpk)kcKWP2?@lFTy69V(M<$D@I4Nv<6D>I*IbW ztu<~HW^t&`I4)ZFyKh*&$T$9cT|4r=(>FQFa9xJ?;tlcdnCzLsvw_n3G4m!8#oaeP z?y}(9zKeBOTn9Sl=fCo$eOobWkk4Z3ZzOU-^XP$2fqS#-J;Mz*rbX9WpnDI~!hq>a zSGVb4+0hs1u2)?D{Y~E##H~#~-Y6bjYTEUv^83`i`?AsEk++uf=v`OQ**fg9iKkM} zVoCEucaV>xZbl%4yg%3$m<0cAS$QByJa8>7vqiZA5KMauc5+h9pMSrp;26-3w%fuo zD&-G8Ig8r*r4v@i>RC}ggX~Gn&cD$cxPE>k2QJ&h)s^@Nwtly0BY4_{BkV{AyDD9W z6hkfbtK4`Oh_ikh!rhOFmJ6%Tfb`Hlu65pNtbMM;oMgcMg7|XQX>2=cPh+S>(mT#B zy`w9{&D^m1-dOHI_P5ahvi3sxOPPWaBc^C}s0TJYY)UVpyv(myr`p;4)jY2-ek4k0 zQCB+b3&XwfmYF(=nT;V~x7s+>Z-sUtw;XybbZ#*W+Ged6yytX+CVMc>gERVi-&Z-t z0*cv-MoS+q`fK{9$%RlgCSKfJf#LU=c5_mnF>{W$bOwvCwJLp{DmOPfeP>n@5$-tT zKrQJ^!=XhqJuNpgJFT~SSQoJVUDP*Z{8YzeUw=pR@?mxT=$?F@2@iwFRJ4(t9p@lW zndc0w`@Y!1M_2od+-f{Rd?rhlp&)Nckp;}s$zI71JuLD#Q!g5SUdWm4xTTR*((fqL z)Lwu;Kiz@q)TFyxebPP~7&eX##n3MhOc)3WBZO2(d(xbK(ddbh;U${}DYEg1lGqts z+vu}3i6Av6p&46F*WW_lr#R~LJQg~KTWE*RBnupKEl|yzt*Il0{f%DDHjTQA-ioUK z-O|?&jLefSTFW(RjAn-!Z|!OrHYVy=QTCItotc)9&oaMk9X>tvc)9+jzB$?-HUGSf zMZKkJBugsrub-5z?wivR?Y;UaJL`Myjv?ltM$AKvpna|3Q4L%6ekq~1B|WGs^BvCs zmiGdaS{uJF<9~?1w;0gVnpKT_a384TKO$wW7`Cp>FlCe%nAPD(z;@;=12ne+vZ(KvA3o%hYVduT-EzcXZb z3-Cy$Op8DvkSSL-_|oYSwFQexxKI$TsUV1`{LivH(p<5VD^%qyXsJ`Plq-uuMl%g5 zO`jLHm#$^F6A=tCuADxn_lHW9EmEWh<*I6kCH#BzjmPB8zSGyA6wVKPW)I$u{>=PB z$IK{e;9*f{BzNe<8|n0#yCP4wFqq?J$DZ>)s^wQ$hB6dre3R$f?DNS8W^n@n|8g9? zSws${h4l8-l`-iScvP73s%OEdg|ixazk9W!+x(~x-rJsqNNKGaAIloS@kO(YwWRG&n!)eeTS*mHZSzGJW`97 zKT{`qsA&kAnX1ftIQ;o}m96&~t>#^?sJQ)Uq>lD@qu|a6xWCERCBDr6rhxd{#>o5( z(c~NY=yjetJ$6SOhmM>`-6U9-_vWuTHM3TTuafLLJ8r~E5vS4enC&Z7xl#8rA>%u~ z_P6&GIG;XZ6H(gB@>{ukTdp9u6aN?>k2IF7Gbs#y&irEiydrE$a`-!n`EXQi3(B{k;8hw`5@Y1&8%=&KV9gnmZ=?@yTpKnrpplpyy%>t`F z=DOYLWn`D6RlG6YFwy%QUg(8lBIPAhx7|cdxkx3=MNO`m-mfwOvj<#fcf{-VW9*u> zAuMJrI&?;i>y%<={4XWWizA_zRi_l&)wq-jTy;F}6B|vPA(LRwk;`yT5``|Fr-Sao!?GJdnJzyWvesu(8^gJTQ-W>{H>c&1?pgU#jG&1EBzLqA*eMN-80K>mE|kP z6q=@J@f#=ku3WP(csN=*$(y&O{@#{#pE$i8>o^zR^Y_9mDzrPOHiJfb9(`;>jJDDR zb++zSrmx&kw@IF9VuaqxBkDFc6Gv;iFk`$_oq6uLtZ2BLvC+2Y!5y6XJkvzOhwqYa zvm#KRC0_?<{#I=?*KkJ&4OkFklIAY_--zkF?og0yxBS_I{FWGpN4ZiX+8;#(W_%2Y zp^148FLz&ODq|ItN@nyeNU?Z0T*E1QQhVOLEIO8P`@M38)}iU~vlIa@Ysf5f5c%g% zul+X$f=dc#n-@?0Uo}QM7L31Izs7oLx^l8STz{D_@$4pz5aSPl>u- zd07ku(E{cv(+YP^WvV;F#kFsJ(qprci4eSp)@!d1lg!E1F8Bh7w^Hc~75|uh&Nvt{ z4L2H1Dk+`g_haifZy%i9p6z?VA*#q?5XC{6!5;qD?(Esgx+5Kr#!B_(Lx+s_7vLQB zB^G^d$`L1Zx~3_sPiE6mTTN^ALw3Dmobj58!dgZ`&Nd(oJWZ9MqPjD<0_`m8QMl|GDWrc_vN1N%QHQk@T4sse_lDAFK^x zPY<4Zchdh)sXhq!{gg@F_a0nUEWpy1(>=hHvw0(==)~kAGnlv^UB2q|u>K%uNo#Kx zO^Q`~n_)}*>$#0&*nRV|4SGaZ?f4^LZ1R@-SkBmdYgavg4d>DF-N;I#)+F2viX-%? zMh8XYXVvsr-J76*CdS2C&!wR1gd@NmNG~<7n`+$G7Gc-%a)F{s6EA~BnQ0xZy~C1+ ziBE&WL{r2vzqCJd6zbP%Pzh%cl}PQC(ij&xGn;R`TU2*_TTa>&;uV92+fWH6=>hLf zo7vrovefu3>Ks$9X71!1qiWr9$J{XhDEjMDMszvGpP#fFtg`RMwO#R|IxN=?k1V49 zGVk=kx4?U|j&H`f;Y>wUz;Jzz-)*%rZ~D&@&95yqt+gBxj3q599k&yYXiHDe9=-ia z308AJ{1Q;UA{%RJ1?%#XwusYEWXK<1liBXB&T)zGDFaxgU)!o~rCxzA-Sh}v`I|)? zu`PNOHHKl?y zOJu&!oc->~zfbio*>Qiu>|eij{y?#&fZky8E@8U8+mH74?4uA?e#GJmVly^>MO&KM zcG4sBboD-)+T-@>yYli}p^t;N%ltPI`CQhso9K?5XiM8Er36H}uJ(Rra+{24|JDb7 z5%v@7MOmPs7_;UQLQD;F%1=FS{p>G(PbY-mH2r}uO`W;>P|7Lw=IYJg=gbNXBDe4@ ztx2fT`e#ltZR3Awo@uZ&449QwS=bIv6&iPahh>R8V{yi1G%0d$l_ULD>b&l7_BA~J z>!^$(EmT>N+sqyt?m`a3mx1OtS(7kxK-NajHi>(FcAu%!Y5V%y76oxZJnc-Uq!xP) zB_Kh&z081B@tY6TQULP1lSd3sr8r6m1;f|>9VjYtQi(#wJ>J_o>kFsN3J`lf;ny}P zI+-nacOIxMnB40mTf;@)Y!LlvB&jtvEc5UOfigXK- za)8)z;NhGkBs)Fc_hvROo&G~ZYJ5>yYOwY#uX-;DVyoM;{0^;;qW8|7pULhwGCbdv z#>wp0mfBBSm8{LkX*^dmLS;ow;p(e=CF~zl0d|W^yedN?XCF_3jq(6ok=B5$mNtBu68%(r_asC}N>ooY&RkiVc3Aic8_~_5wBqqrC(7d5|Y+Ux~^85omy6W15 z0ps_jzaq7-S8?2nQBBlz@~d6nG%fiVw3YU!RAoCRM)w5dh;tD}3aq-9!U`c=9DT^b zd*56w)2`_eynAf*PO(1+uMBt7o=9e>;Mk^1dju>nNZy=4ABF(a4BkL);kLR~;P8&k zh6({$JFx=VI0jnuOp6U?gXxJ^{@y-UXRtLDGOgebbbKk%)SoX)G@k&!qYTgm*id#W zeNHY)NTa4y=dQmIu%u3^y*jlPs_8U|y`D-PdHJ#*_@RJ{bYMOS@})SgjpW)`x=ObT z*^p5UJQ{y$c@e0x0YBEMYg7pP9Qd35*k`&Zs-K+glGc{F{vo8)hMKibrS@HL)1@tM z@RH1aipM$#a5I!8;H=2TdkCY%k|+&1XUHug=f*{G+kB6Dwg|`z$Cw?(utbZcs2+)e ziuDUYPGPKGia&tJ8@EAIr<*JjUQKneljIYh2A`qjDsE!lOEM-jT>}?TX)T3HXE@=(0b-uZm`luogtC|?- z5E=S=H?5dg^gz3S+;X9?a~(h?20~vW48j1BIXoCHI&0sH`Km7OThANMVMwnN!mL(j z@5WZ&S+g>E8uoP!Tv@zH)H)N|_P?u=0)IfskS6rdYYCwgii#4t@v#J-L;@ER!n_*L zIL@o?KcQ^`4R)cj9%D;7;ZV-~3n3&z(fm(kVJXo>8Pm+I`;)A-{j+B~vu*tZ3?b5t5G0Zj0(pZq zBYU0r+PeTd>rZ_5xY0nxJ2JKMz9*(f;?pqTFm6s{@wCsKzN9XZjWv?g)c0nI|i zST^|Q{F$Nh#+hJk9|dvfH-hqqGTeODcFS0p(q(P8+}u8@x#>6T zTp}BMQ!?g!zn_6YJSPe@n=SdD7FLm*NETr@*#@6T;YuDzn>uHS6bHd{PPHQOhr zMkxai&-F>={TvzpA$%ERHboQc3(2WZRyd@XXKue0xoZbWm8(JQb+DYkX+hv#Om#7c z9lBDAJGfGBxpoLQiK!4l@)iJcySQJXE{tOaq~Sq9j;Ic9(y7*cvmBr)$^FlMbq|M< zKG%#P5FzZZLWOH?k5G1D_AkhRT>e?$&melI9KeNzz#&3p5U=l|i2~iPW%dq-&NJ9p z^#Y9-gtq&(OXxtGk04BNR;QiAW_7T?4a6@nIkbFZDDA(MVoOdwA@JSQ+Ma3KbN3Nu z$5~~CEOY;$KL-g^FOohy&KmmNNc*EbuD3l*>0S8az%dhW7hZ8+>S8qO7)jBg zwdfP_ckPGfp-;lxuSjs?sV0pmd4{0l-S$h$m`x4GAD`NfCEIl-1dRMZc|Sw~9_*bt zWy@?>Yv1?X8-|(lNR;0O!9mxbQ8fK>J}&MZh|$fLm(hsbNVA0dHkz9sM2iE=%GZmv zIBnsm+ZzYxj0|FXn%DSL5a8f}XGs<*XJhOg>IAwGtN>0*Ts^0fiJFd~#Q1DAo6v%XpsX&)BnAxSE;6`Hl&&)7=f!vzD{mU7{d zmQ_hXRR#o3^M(jRX@8vY5R8%T-&DT2|F4BBVAVd@icL_c#X}+Bf$Y6%JtKlk!bKyD zWnf8wxW@dFmOrrVw*9NDh}}O0ke^DS*xuyV#o$C%pPk3c)#9h;`{)QI-fK*_dH$ znlju$n$~o0UWQ3#>_rQ(gw#@?3JxqU3>tflZWAX2nzL?xo-1xhP&OQAKsX6L1NuK8 zt_@^7pRi4-E}>qDsnx-M)xDFd2~#J49}wr>taXSBZh&v0!3GR?M2C2n%Lj6~M%S%_ z7iE9QjQRkdosaXtfu|Kci1S=y5I z%du!?R3*fyyq18sdESGMcaAf%cI$@arK(PYOP>yDeZBa&fDm{|2H2N^+f}M; zCbo6W_s^~t!a{Ok9)9y@8#1^|5&w=?duNoQ=L@shz?IJILh&3yei|zSr*G@0{)EzQ znQJqBw#SY}(a5es$GnqslE;et1%xbK|AA>n;)~esPSar{j5itEAShrH;vIAAfU(nU zE!o-zSFWjHuCyVQ2nZnOssr;BTj*O!NYUZdquxIgFaptRr+zXWkE8z17Fg*_+D{$^ zm?Bh>3H&7%G9-fE-JGX@kAgOYX353E%&owv6jG%3?OR)Q?&`H#m6=))49G&VZTQH> zGBr|v(P`I1nF@8wes+RYZW>=OihvcFU4F9;2*dYX=r>=CMjD7*RUQYi;nQ3`e}!Jd z02@0&l-Rk(PWW}KNnIzL8*AIp31`L*U^<~jdx(Hoa^oq!J;p57)=xQ+7$&Ur`B3^0 z)McAhR)E)@s_qu}*B1^=G`Z&G!4kwl z@8^sx(-^J-o}Z~H0(!BkFrXby1e1g@81%18fN4`gO~|-<#b=8F)x~9Co1iNXSQ46a zLXt3zpWC(`3_#nrRwz${JUUOyKz!1P$z4PKeezo?%%|Ln?|tRIC1O7obOyX4DmrkU z9$oWIz?_OvWiQU30YCrq-Mo=v0)#pQ{$3u*_6}_;zV+79cUAK&TuF=lHF+4%^QxS2 zF$t68tvHC!zdB-$=@`n{n!!Uf^oIP0T1B^%9Xd}*bJv#6$gw?OGRJB5WrvN~?|orh z5p6CJZ9qUx+mc>Qn{C3g)i_?H24xCng-N8k0+z?dA0+H6PF}fkI>uhp=5+uKi8d)t z$Oq4TZG*Dav>`LrNW?Drt!)wlbb$~*@}Mk#2T4F-FILk}`e_8;S_YkEqn8qtp;VVX zSy%Qh(d^uiXRfq=@8i|0CITLP7#8B(Qqk!4$;!0;32*9S+!V(FVxuk zXc4F~E5dm^{iD1SK1**ju7KKKgkZSPhNmiPA3`P$i?->Mf(e9~zi+(zQgOWz|9YKb zp*KC7Ap;mR&z2g=4a7%ukzZ;=Jz)sP!bMG$RIlLXlZ6LOZJduxPV?HwEJXKuKrI4O zhk2Lj0Xjc0ZUW-#At@$c@)y1DsU&(yy7)D3kpq;N4Gb&zt4oHv{>AD*fr{^y#0cGx zSzBCt(@+fr^MEJH&fvwYEt<)^@&Isli8 z&*AM-FF*Nx40=fV2Rs!K~B;=P|OCKu{E zxe$Wb-`*g6uYOE7wYpR1Q*TTOo<7-6W#X)MeuF|>!!N+&oamgw39k#S785|N5?j{4 zQT%>SosG5s*^Zy6gIzvf{tf)pVtyc5@PrL+Nq?fb;rjWR$0_zD5TKhvI>&x4?_D)~ zcWQB&((x$!croWN`LWk$>5dQWs!GsEu1Nr~5khDG2U?cY&?t!X-^apapC(7>lB00b zn-S9_jia*6mI>?|dG_Z7ryt4(4lRT>Ag>BIX6IESH9RqBuBk~3x-#V zz-A&9M*AuxT=MPrrA|NyJC5#yjf~Nr+W`OjYD&{}Wy8HRE}wwn;$yq80_6cW62KMheBoSO?ilZ|(a1%)J_s@3IB9~@WG}*@o?kVp6xe=(uKR?X?)ZLBY(;~;w5olqrzLJ4G&~bS9<5y5A zE4?Mb$+?q1RZtXC9P6DLCuESeo@JAv1%g3L_$W)D!0_f-gJ2ylv2{Q4{hgqwk$hV| zAV1ylIZ{|=ui6BgViY(lc}*!J68e-fD3t!v1k2QfP2UCKvarAS4@<#o3+e$*!1jB5 zq!IS{KmTF`Nwh=QVsnn(2odEAR`y<(4-D+kDCN4oInqpMM}^UMvLH4cFx&McVewkl zrQ}50X;MoIHHzpN)R^*N-}OdBtZX6xuoO%4=F=khBp%jzs2XJQjZjxl248M_q@cTK zbpvB=SvtQqoM3$irLUk3aih{wcK?i>88E2-#vPcv-w#|y-sCh2OYPQzz5o*wS|FCu zBwP(%@bw|_^%A1Y&YA`@_H;2oehv*zH$x`NbKVYAMLi|4!9zPbTh}2A!5z~=f!K~q zA+w{fPMfepx__YRM()`hu%wVZ)5Q}Sw1)tCoN4V``jdDW@GV25#D+^nhxfZ;a^poy zFM`-Ihut5ECK1+b^jSj`etDPb_z`jU#xWjW>JOlnV#BsYs;f&Y%DM&tV-+HyYAfOQ(e+NyJM&GoFDtleUDGF^wjsfW_;CI<3cInp$W zWn90|SQL;g81@U|tXBLJ9!&3Ix;XCDcwshHxsoQQz z@|nA!Er4?U^974nBZ>?7M|t1gJDCfG26VweN-CXwC>0H|;4!!v0~aK497~UE%I%4d zG2}n#5j;2s4#j9~!C44^1W*zN`F=Y87sKYexIGoi^;U|XNa+sQ&z)F5*?g!@KjyK@ z*Dpg?wgX@94g6tiJ|7h;JvOCz&t1PqNxJD)9U=0{%&$@l+(O#p!XyZo-F3AV6_ z86rlb-T5UB1OxGqY*on*$wcyXbm6t91Y|yxv~h8=`SlKwpP38~sUAg>XqSZ7e@Bs{ z5ATu9#lm^|X_UkxeYndnO&)4gHa_ckjPYRJ@BZ?q_FxoEtz{0Pk--RUBvfLlZw0tc z9;E5Wc7O0sbyq(nsE%k2+b`Ln*dp#L=iBs>% za5U+y9BCR2Qz#kh>6lZp!;`U`<4~clxCa26ZK7Rxf{+&+z$3TMfm?CG0UlM7d=q0&=WQcu8j!rWCI4><27O?<-sw~f%XGO z5x(793LSrM;9F5d;$$!P1Ml>3Uobp?z6NsklQ`NPum}nyV9xFo`uL}JgR|20d;Tc7 z?V-aw8-V%1s@yG6p3>xGW@7XE5wNRuyp$CPL5%K*hw(@s6E7TuNkYOMM8iEAm|cE3 z>KOC)XOMH|d+>l6RMkUCP?Y;K80toUhLQVw75%6EHv}p(4rBYLa~~%@TSOPFiIr_Q%%IBg4fP?aTr=bZA&LIO)0WD ziu*yUUQZoayc{cSiep@`XTag}wrt1IPmx&$zQma{fM=yI2Fsl<1uQa+JKX;!| z3`=3HqUDp-*1othsls|icpaf845~}E^z7KhkgjJb|5)Yr{^&DQL7QR5iQy+S?Cs9~ za!7Tx{Ba*2>*jo|p8y%5R-;QM`k_zE*L|;_P*tGLU1m!47tc7cOzG3eRp1zwpO0+P#!Ad>`+^Iar$b z?Qg0_W>Q3XfD*1Wa5V}W0E}y5{Qwx87i~z{zA#$@GfL?WcvV8Y+~NcE^LwZL-ZJ7& z{OfPJ?nfLJW4{Y@4wM6qPSU!Es(9*G#PY2}2|_fGR0XX!7gkrZh@ z+>bwgx=OyMonewidJ%zcsL2S4nz^zm!wq7K4hnuP0}JaCs2L(&RcpWNu6RcR>G_b%*q-$5t0Vn10e=^`Gi5oxxnid=~W=KqlW( z!TBpE^JgX#LT#YY;w?4*=Zl`cKgzE&*b4rL4x_MGI_s#35D&pHVCz-vjcr|2qKs=ab>3B%1YdbHSBL4Y{UyEEO^`jU`9n}NxY@Y(P-~Y8WS+i4oa>LIukFUdE zPL0)OZ~YSZP7TH#_Hj5z9er0jxb2ISIM$aN9o~`pKaqxlhV>LiGy=141aezSlGZyy z<8mTqeg^Q^A3I0!9^Pj=et+Hk%^sv>J*X&zSNMGBK!xoe<74-{bMT{MSa&T`l>-s7 zd11?CAQ&C)bh|&)U7rY|SPX#L2u*WTla_D~ZLC`t^}Q=kj8?rnc1~#4i-kg1yta}P zzm0@EXY%R%d~FVss6RItrre75e>T^(L(MzK?i^@*PZ!G>Yw^Lju0DpB6;W^;XS9-H zN{xV2ALqC7AZ6Fwmac)>$1qiqKsY5S<16%15ImXvAGm;zBvQRATT2E7lS(7m3V=Fz zTLL3~?gYW-A_QahvTf4Of@#_ld@GY0nUdK~j>D3%A>QTB^{KgrIVO6%Ek&-MHOkh4 z6DT{@_V9uOp0=CwH{?TVmaMSW3ZyP?DiQKx)hD_KRZ^c|FWkH|X5cM=xv=(?aLEx4 z96LGE#&Z;zBS&^-3U*(5IDc;~BmtPeM)urQhyzw*PCH&N0Poen>0551A^ngyKY~z1 z%!8SPMZKU~zJOC}G!L`g=qt}FtQz;~xbCbO_VovYMpaJ`-s6bT5C3@K#xBLLW5KH> zTXYl@f+q-j4O+E~gIpNsbuXlznX3{!2AxX%(&bf22GR}cCtN)=QX-!8gZm{;Z!8F~ zJ(QT+)K@9a+&Z~D{qY8nqpFE_k$JH}R0DcBz&9I}++>0rwn#_EtcsaOd@fYp*iJk( zKLRRCI{x=ck;K>-+(qL($2`Y=b25SJ<(hnW2(as~04b%W(D~Fj0dsbr+DX%TI)}Hh zmSI&DGW-JWjBf(=ArgX4jhWtl3_jZ)Z~a?;I`7>}Bl{MoF4b@OU~E24zI&+j{@1HV zEO(k5QvL2d^FMgFg8fYGAExONn!Vz~+n^n|8se~Qo596#~2uIYw zC4FdyZXdujve?^}BwDVcUIZM@O>@lEjcKF4D33Lk-80#B3u0=wl5+60tkcGA&Tu#- zd#3Nk1+`ZW%mw}RLbSVbMjlKj>lfH}T*o5rV2y*B5KQA?XiZb{hRk6?`P0=yNgA}+ zXl23x;6)=}8lTSSAD)>1uQ`Y(&onLy)v#Y^poyi2G*C&UmdiZp>gNClXzR#9+%<~S z;J#X4M$(nt+ZgUOJ3=3G*2z3WitAtcY<*Py{mV$BU%Mj}jKOsxfu1%XP2egb2s(07 zk+-c(H+M|r5DwV^8+E>O60R=3Yt=>_Q2GIV;vf}3_EtJQx@sBU<3m!JcQW!#MR_#R z`h0m%eLNO$KXfSGw>tGFz8`dafL%E@SgE4(SW(y(*zCpLf74q3Saa#(-oIprM|zeKsupXnH<(P&Vr@BucLTy)2oM#)pf}ie zU3xR>Y*`N728Bg)4pJu2Q;)rqGSoUXcHLj6;EV+A9P~BZIzPMrfk4rR5AL(cFoVm> zfWL8JXBe#=yst_J>K&{Lg*b9UkjT^2Zibw1z7+Mg-C%JV;-_Q&zpS?Yiqu+ zo;(@2kjL3PSrTU?L)%G|LJz+82Sn0(T*dG=(ey6G{2^~r1ZrM7{$w(%Pa@p)3^nQz zsi}q+Xl%K2f}kR%`f<2fEIRC%h9%Ut-uK|%CvXs$Tw5JxPcP^az!KO1i$j`xE)t9N zd@64$Uc8KbHuW*$(BCuD0B9k!vA`iAHt7~}vgNZIkN;T|ZIS0PTu+N5sKr0zc^2T< zjTBv9T-@zxjj6pQ^`SbmkNMGwIyNN7h{#Ww9QX)JntJEiN3Z=toy zs}iJ0B6cqKFO{o^v(p#{=NiMeF|rlspvxc8V8B-^J{72!ezs2)J_eJ}KE9(~N=roE zXgWBtW2gv08u|J33Msvffavr5gUiQLlLlz#B>S)ZlUT0Slx!H^)F)c7l;n$n<&Hep z{?I9tmT$SOyJQ2DNfxU0#Yhk>W$M`|?GoO^u4_`jspyFlVXw)3lWi4>R)uD+d97Eo z;aDLz4CN1-Z|afDzD+@|!>hZ+JHCBs?E>YkGAK}aigl}!zNNU4tN{bwJxw|oepeB( z6PLHMGd%x3avpq5Z4VK>)63$97AQG^5_vB#r ze*@!1P*kpg9;uU6Ht=uH+tR37iB>-0kyr@z(P~ZO(*@?6n}*HLaTdj!(uY6;7J2ux zosDJ-DF(_Q`bA<~i=M*3#{3n}YZHhakFVZpncR`&Ku(`u+}jGlW!AgiavQF$LabHh ziczkb5w43?p_&1gMFh*|z42APpaP@Nxrww#$0d!Q+@D-ZA@95;|MAI>LI7{Q)g_b$ zE{xnuHkXy&|9~}sFmmsGCtpwSj6POSFru;)a`7gO5Sh=7{#7d|)UGUpj5TGQ)%_1D z8$W&7#T71t5`&JaWpN394n<@;#NT5s;t9qCHIN6^AbBWN>|+{;ZxEnFJ!$eVar+2A zp^|Z-J?$Y)P#{O+#L*^P#7GFSd^lkrSE~o{!g`UIPdvc&6X0ocX|VkgC|>2Wz~;rg zb1gIvFmsYjEY~GB99Cbp^>qL5tGY7Js`-1m~Y%7u!*`KBJ**1GcR35Sy;ex>~gG+><(s#P3+IsCoV`Ob?yTh@87Rk;a7BW)#|@AcpYDf@zCLC*4*lm+v>mwZ&0*FBZm>U6< zfft`D3ir-YapTA(HL_wJa^Me0;qBAf_tC|PWIm-#0ql&c=p3GRDmTc^E zaouNYL!oeWYVFBOEN7d=NUpxRpD1gP5gXpPR_M+Fa`R^l%H-TXEYQ3kp~BH-k#lcx z++CC+ts^XGtC4+x-z1Uj%ChMBy=zclQ)jqN?%(%1{qtsrV(Zn-?RPvCSxdrJ0p;gi zQgE-ex96BI;EG}jtp3%_h3FawV#kubJgZ2%KAv|C8HV^)eqtfvg&t_Z>ML7BSetP2 zWx)QTq$-{fU{8?&Cgd@7@}Dbs!-@VE!;Z0=VaM$w3Sm?q7?VIgYpF zghpXki`$TOEw1UEpg&Jsfs}zQ`0!u)1DOXWrkaJr^1%mGO=$0!tq`q18UiK;g-rv-{2W$`~c9UKbvxr*Cc3`Ms zpVO|mrB4y2x!L2Uf;Vl^p>4pk05z5u&u@;_Ljj13;^jp+0|nP` z1`co2*?QNDzBp^(qb&8&o(yR$a0Qc}J-a0Iiu@if&L=XM#`RVB<5kwExXxdcVsA!= zN<5Sn1&Aj&ML4rimY`og6uP)sOzIF^n|d12hEq@9K?WDQ1>;%0zj8N(bx^T&v#r{0 zTWnL252=K*>Yv&gjIUm`i33+E%(<<>qZznpvafNeEV)GZg+x-?vTRSaebwDtrGP~f zi(GA0rh8a<=k%D}-qRgR(zb;wp-M8)>B@6DC?PYSPG zt^@Qr*W{+b$cs>sq}aQ^E=3`Yk(}<(kgg~ltw7=wqKizq5CqY0g|6?w-rxN2H1r+b zCFfsE3|!QPYhYUiF)A8`JJ;9FGC-L~fD7mQHa^HDw#atQ{iPYVV7Py7)_9OQ{nm~k zIOtnahU*yUEQ^K zx82qT^Yp1LEtV$R6E)X|5I2IF(mS&h4Iu+ht7KxFekYl-NGZQ!qLJ4tXJYt%Ql6`g5ZOuQ)_Cp*^ zlrnr^A!ur!@XbK+@T(Q?-nQu>VqQQGyuMVDg}Y$!^0MlqlQFT@??J3XlgqjR7&wW6FTB(XBop~jzghMjW381i@J`kLl)6FH z|5xhmVw>Q`8NScWRlydqOVcZ_e>iwBGt^tKs#O(Em>9jOs&v@Gv2C^7ZczFeS)lq|t*h{}iOANN`1wStd9xIEU<;&*2SZ{j0 zIF9a-X=fUzq5Yuep%lb>b_jUwMs>($KeZil;$4Xdv=S2b<(Tv%iN3GnYTz)V0&4oL z_&3>&Z7}v62xsyuR2$Gk+BuNv8H~faMN>;dt3gCJ4)jlf2lWdvR?! z;lagqa6blrZU>3fxjnX)V0znW^wpQI+Mj|fg@w-U9h0ss`dQfSU4dZA00M`G#zDXf zZZ9C8${d?7EHmnvj5W)n#kh9$fXSVqqR$=d#h-fTFiO_~CK2<46dQmT@g`i5TU+-` zQ0?tCXs??XmOTNa&T>=0NS=1bcs%NVt{{cQ$hj(#sdw5v>!zZQC~nK)9+Qqnb3BHa zJ*?4+FzePHc;fQ3g?Z?%Rn7g>*tj24)=sFz~%4fj_SMMTGd%Ha`L1Q#Xe9F|Sj ztDDs)5`}x=k2j6DOo6YuU)R$R32JQfxjG6RPFEFyG_{AFZv9_rl>?h?TT?z{9C2DS z{kQ$Zt(jHPjvr~(f_K#aF?Y`E45qnkkVe|6Xg3yLM=e=5%98>?X_#DnF%9^x+oF&w zI4j)RWRR;aN(#B@K{<9p+ux(gVEA6e5XjBa$M*l)IrDcY+xL%K5=BGyeTkBYN49BV zCJB}B$d>()LXo9mkTJH3gds)NNk~1)*muT0M23>F3^QYC$~MD{eU^_tzkL6M?=PR@ z{_%Yr_kA4qbzaAHp0D$LooPted6iAG7=$E0HZf0WB*!Fa;ZA3~^L0Pt1t=o%YUE4U zAP(Oth&Pa?@BxgWT#->yk0x>j5Wx4U56|4sVY+j9+F%VvAOiAdkKWL|aI`=Gv3v+r zuRKE9eZi4QmK~vxn+O0mgyKk}-=onRjvXeUEZTvdlmo4&-B-?<2ZA~ZVHDS4I`|NT zqV?z16c7t8%5-@I$e$xicz_{geYOzxgQ6eFG)su%A8doq`gyW^U9BT1FtI;lMeS=u}P9 zz2ChFRV7t8k~rM7dQB3ZYb2O{?Brth2!|&wA&su zHz7l#CIv82CXPjyt^ybd&BF&XFMkaI3y>>p;hB|6Ofs%rU4gNoFQ@vh5J{T$_~pir zWLaU7L+($tC*I~OKP)Eyn?Bm%Ugfyn_4;dPa9?9ccH{!y2;CT?`N7brt=}hf32jW2 zqXcNYLEr0GTf1tn!j`E@B9f=!XJ;l95~9>c7H;cpdesM~gf+#6TMv8d+zOlt@%nO! z3nHuQT<_6JO{hDiIFcbSBg1GkU*8tAJX~4~%wKOF>Txiw4DBU8+eWEI*i#iB-0t4pid$=4V3dV%I1db%0ec3hHnjHz-?}7rS=1ra8HEgh zX4#W;%&9QbH?}ZjZNUcu8}L=GlN0zlVqH@$Jg>-ma?y4Ial)w%&gaF#j@;=mc_+e&D^`O zmVz-88&SFCINh|mL_(nO{<3?@PrW8XLBjzeT-!6=3dFg1So043 z`l9tOW;fjyMg91OpYS!;M;urs~^J(eEX&)+YwHl z=^H)!6Geq>rK-_>4*A(5Ljk`6d3T*n7qY<u*vPP4lrz{k=fz-8#@|Q}|c0;eZC? zPGdcs9YC(C6F@RjgU|!(`0Q5U%>%u=Z&)9HM;C!=*TOAP#zD_~&ZPT^gG29MB0hC@ zbB*l9h||=zzuSB*0>0N!$lzD&iT<5*8Jl)5{`pn7B0;sO?gxgCjwH)Nf%G2Ge1`-! z9+-a49ZS!FKr(b0PR?1o5WBD~`WdXc=DXQTBC794=emdwg?J;PLgW=V9O@yR94UofpF#vBrte$_RIVayocs33_}Z+tOtLY7!PIufDg^ zX2Ene#p;Euz9eO_p98@!0_LT9A@)D;j410ut1+e#4V^f=-XW}|Hos}q0hA;YDo3$p z)8SE3{qt{9_2I{Hi98~^x}}01>9;X2)(|3FM%XSBla|?*rVU?Ghg8@|)j@i{R@7$f z#bxZSylW%IN^0cnM=M{2@hGFPz1WF4)j}&Rr3A@G2bV&~H^*G$!gfr z*ZQtqap@g`ozToHMGmwoa&XRj^E7Ed*T*hbAuJdH;Y4&?y(_Ev=yi^-Z_pUWL z_Gr-CWx?K<4xaR(Y@9eot{_Hq!&K%ugSbw{STS(a$j#Z=C<#ulr2(NFYk{tUUj#h~ zHM!#x-go5?VFBHw3*32<6(hd}U+;(3qtyLpFD6}O8;1Z0=5M_c(kI?e2F z>Nj>TiX&$+cpEhZ&gX-cl4bj)P%?iVq)FQDbR~9X)&fn=0s&88p z8c)(xB#yU{njLR(r&CNdrT2(Z*n`UyI?P?|*Lv%9%^t%YD-!l`)Fdv!8Wik6;js*g zEeSSTT#-P#nGlXi$8>IMWn51Rcj^r-U&AWLc^kX%glwCH1RmP-8!zH(U)I|;&#&9n zvBXCVYsrkeCU>++Sl{2emmvSBrYh&03iOha?xBP)n>Jt?mfu98%6cb{Y-Dyu-2h zKU6%D6%yE#)J`;qZ{w?zbu|iDj)$n&v1`i9@sK3y03yc#`O8VHa8U*vmdvUqo-92P zO*MHyxWY7C0KGs0J0o~dXAy2+IqQ*C!WwTpx^U-#0Q+sTL-eUEIy4;fZ-K4yNSZ&? zvA5{q8vWy2AJ1tJiBVX0eK2w-v42(Xwi!XPvDH>X%x~(2T0B-EUMZ*C6@RB2JWs);jM;K2m;34iP z{Cla#x>6H22qd}^V7=;XGRisQA9Jj(-$&RT>mm`>aD7+&X_NfK%FE0vFB=oSO9gFj z;*x6K-J=9YvH1qd$oQzXapYaE7m3KJb6Obw!`4NnAv%{D&6$reEkYk7icy?ZIB%c2 zU64evqFv)I?f3d|4tc*H$EnMU7Xj-vxUit5T>hr&vPuw&;($~oXxLHCq49&u61hWPgd z>4sJ@|I-I&0$Vx%#?{UWAXy_YRYH9yth{8_ezZUJDz2^Jn18!c^ZJf@yTTk@ynkrO z0wkC)(zp98W5zOZT02+Fn7uVf?@Nlq$OS&ZJxoX?d>Lw(h5Iz!S@O{6RE;@|UGpea z!kCK3$m`A2713#AIt|`|?e{+H6u~jb-RsA9u;Og3U6oa#fiw7PenIlllZJc`pn}MZ zvr?3c7vFo$(#_?+$!LrwZ_aVW7WnSmxccC1t^ph~SNN&%0@(fN6s(4Es*q1SW|m5r zIsK|0gBAqEcGmZeVHP$biVGLt|F>6@RC@#iSYIpy??|-@1|DG>%>%5~@X5ygfzi{@mEQsvsc-&es>yaii$ zjqT8?o)QNRR~m6zc2<%Zf7E0**0=7ms3j-1r+H}v!8KK3o;n}>`4{(y#QM*jfDTTq^EWB?w)l*o>eoH9mwM8L6D4079TU^rD zS<#_17DP?_`pCwGu6H5?Ea(1UgV($Q^)8nZ?7#O~=1>~+T=WgbprKagyi$Pkk&PI^b}&$mxiQn)Ht|FWk@dRi=DrEm z_U&wzDaBz3nJf`IG%y?CZo;gOHfpdeS{GYjtsEw4u0^K`jU*O%%CEiJf{`{3T2PJ~ zS&S9ty!1dcf8?u;ywgXRCXU8qtjK0ObxS&D836~-W{hpE8QTt~!Ih4ixEL&DeXs;IirOo1TkH z?aG-E+M^`tyYjGh(P4;XgdgwW5AHUnDb%*Iry%!z^H zz{;w9-rm!#R9ECvKDM}jRa^F!pfI08mo?@Mo`CvV}}QBTwrr9=pY=6PllS4vftJG(z! zc^AdGabhhcbnjN(V7rv7=e`~)cPdB>Vfc}JXc&;g-i7S2Za%)|8%#pS7c5B5uD5s2 zj38a|>JAKlR8G)CC)gl`pVP6<=Fv%4a61O$bT^5Z&wjan7Y$^*#u`37>4W^#^p6Ng zHORJMv}x^TMQG&>9KiaJ>Cy1U`}c^Hh2oy*ac+N~VA}MP5#Bk>*~$srSVn}|GAI|# zhNmHbUd>0ROAGy@N%ZAQ?Zei)9TdujnU2>-b_Ciy zb(h!Vm~^24@Hy4k6vQ|@NC>=SB$G$m)9F+HX@C`R>!%It!XN;6BR}q!Hkx!o#Z@%p z$^$`FFyi%FH4cbt7MLh?PP81nZ*ncD_xqES$7gOhUCA4IFMiX(b>~6A0?{?tH9F0p zH2ypn>nNZ|%!+g|=}Jb8;^CtpgcDYiyjLh}OS#y;B%QZdQ4U|*v-3j!#WOVhk1|wUxf=1m5B~3${x4|$AGU>{U$_FD zb2v(u!-?d6;$VHv!A`uxwtRfki(al}ZEl)0T6006#7J+ay?G1Kzq1asIbvk0QDt2} zYCZbS@EA+v)jvZI>?&NJWQfmSc=u@RlxyAbL;)efRVNA|*9bTGT)QBGYC^fhq0Bnf zWYvjWdB2-)GkHyJv?@qQ$iKnNL-8f$$P2|uB?i*+q=vJ?u}<(eus7L2K>YbLbG80? z9@v9W_0zx3mZz7=Ua`0KE$tO3x!yJ06o0Oi>w~B37|tvIvJFCWcpvpzcGF%(EzIQa zG^gcwL+s0ihD-+U;Xun3^jrS$Ynr`T>7?Pe-DL%OR#L;?0yE0+0GZ`)r|nWBw5EL3 z_e8U&-voTt{|7QlS8&-ou{dN9a5TFh!yW&D%sjK$1aWIH3J zOVXFG-qEl<{o3)Ya#;w>D=k7*u%b!BNPHmMAmb?TGsLw6SxUgI| z!XmZjPxfX4-g*Zmhjgd(`a9f)y?QqKqM}0n6?s}>b?x_c+uO(9Mhu1t?>I^=eYbSx zOjxGH6_odBR;viTl^lr3`OL?2&(&=}9hlaBdpA`ceI%D;c=uUh;HlTN7+BSP?&`0X zJC>3k_B)Pr|78LAp>l1xR4#G!Q9?6HZt2?iX*=GO;JW1zoa8&@^Avr%}~(0JkQG;@~m9nq_K$ zPw`*2iqB1E8m6eYT5Duy4Cc>wtB6n@CqKxmvHmingAT(b67sXdT#6mM%MuEBy2f)N zybGq>l}MLM(res&_ZDMTwUya5@z4^7Olg6il)1_}3DGGzh>e`=KkpQO$MIL(8W~UX zvGkndiI_a1G*~l`^%o*~vG<5#I?G~qPUu#7wt$|(T;mfBeX}1+0>0DSqU$&8sv6P6 z*mL>U#m9#vohYNPoD)AtCLIeEd&a@m4J3mrtvQ#K*)OFP^uIXFiVeIB&>2>`${=rC zY@6UxId^I7)Tb7QkJ)5ufd5+O?~g-qZI`V-1L(7q;rw(4#}mL&IOju zKi}W~y>sTCciz02J9lR8{oK!-_lSUipn{C>wsgL|WpAa9nUk}ny&Vg`AnzMqeim6* zOPlX30)hf8Z$$X`1^5Ks@C&kNnmM^yeluhFWb)0Lg`Y)JiAC4Ultu2lrOWM?ic>_l z%@7c<+Wt=vnBMz;Y2ony(u45Om&E_2T>aHboPt*Fo!m~|kMe`hdf38V3=Wdye)z@m zc1m;VA@SQlmS=ICEYyiFzQ_o0zIBoh^!MK&O0Mq2z;v~XP+BX;-mTt0jt|?Q){RAF zYFQGl8d;^4mB*5AZm+^wE8qQb$l5afyMg|J%k|fmx&5c}fJZg60%Yq{RLwZ@bD+oQ zrm>jLyo(+SWvWW2b2yDOu|*wYm*`6-uIwtn)7?;Bm6dnjKhvjyz4gc_1C0!&KigOT z@gaaU_Ya>+pLcsI6JW3JdAe+z7)~UwI`f>jJ@Fa5z{K#Ojlt4%=Z|^ko!FHryHrV- z$MZeY=bzcKh#|;=18~9)>|@}cYY!KJWX7QP3~ahZwKFd%F9Nwe&x`i{7;Ggp3G#`Q(roHDPCWUJu48s=Wm?Q`k-n$Q5NpX``z?+? zWba_+Dxdq!99;OuG~0nIp)FGw6mOn3Gu`qP9eh!~l;Aeyl*7?f{&AT`&ExT+Q?eJ3 zOnIzD8UAI=Iv_lA>SyBMmo*Kw$_4wcPu?xvw`;7Op#>Thz2TZRpEO^oEu7`4I!Zei z#ZABNh#wO(po^Y-4dE-wL=;fO8IF~|lM;-%uPW+yF(f|si*HeWm_=m9_5ABpL#8mr zXjx&mk7%3Sc6xT*b9iEh!GQ#V>g-X#M{J$)T42#$`0@+|)tK5n=MN0|A6s7ozmPe* zZTXl|UdScai?#L-Ixx5S{tV>S3j2Y55^v1kYdzY7dPQ3=)@Z$A60bB8&^q=_xEBrm zd?s7LSjtJ;@}bOjr`XWZ%{=Y2vA9?6&d>2gTmD{do^P6u(s>0GGMutM8k7`oytnOF zbBt@zQ17Lwx>O!~@~q4yuYxPL%=DS)2kt^yx|;NjX#ZgqZH+z}d*aV3_1b!623nJ* zPqdZKD^*(&Ebz) zrkJ)iZa!xR;!U=9s{w35BsvugladZY8ZCYf4enAry4d}?8V)g6m%jIi`yWqA_lG7$0P0a{%JQi#wsoV)+6))>o-tM1F=TCh zDC+EYHvb^JJBQol!lY4QpDItA(oTZG4t5kG z#jMIc?s~+Z*E7d|b(W!{vSg*PBJ%tE1H2`!&PHtUpL1B>UmT^A!S4{+j!H&b(ZGn~ zI7PJUl0~bzmMvosN!6=a+iwy%ao=vXA1UXj!dq;8j2t-#myYEZ;FVd78%bt#?xX@PVYlpII z5{{R%xSx$Uo7btdHC@-_?D1QBr$2Q{B&S4@@BGbqn%1(o#Oo1IxO1-d)n<0_8`XY3 z@%h84Mw!n<`vM-azyD?5cm$;WRo(H`N)EQniC#<16#HOm^f5U-)ejRaKgLeT>_u>u z;8*^7Dw?f1)!1b`65kg>sh*W66tHA#Ah zeottHTMP7GlIhQBPvKmXgjU|w#7kI;dQ}Usr#-)@k=r+QQkirS^1MIKT2Ok@^H$4} zuT56F_r32T6Vc1>5^0=Hz5Nf7oJIL9zJ57cKUl*q6eCkkE_AKJHPW*X9$A%0-!^$6 znm8K{)r=D7puPE?x(LwCp^1|6^6D){N-YmsQf9+ZUR`CYublLXZC{v zrIT0`w^_y$UO*+~c{E}zRk%WMz-1c}hOr?t@fX(yRm4k=@}BoKI80VkEERM}YIQJW z=1+g2VTX0{8&ES>?20hS8*@ZP>FPNv*Jn5vu*y zwAEKQD#}sc4coP4$LWqky1jcJTu-VsB`T$0r5#UuZFjxhBD8dM-Cv+4QYLfHSQg*> z-AQj5E@~*{R!~xCOiYfR6H(1%H)48KOWxY1({tnDZfLCgrD3}4<=j#o$=qzQk=k?= z|F|!KS3rlRL)6u%0fjf!U1t*FMqA^l7QwTU7SaiyLehU0lmC{pXilq7mGVKLH$pWi zYWVX^kABl%R9($Ic(FL5(F4E{9B zg}#BJ`tK24S;OjxgotCI`av9lH9+)z^2n2uB|}fkrUivpuU+&X;xj&PNWUqPbXbwD z4x81)L3rqj`xu!m#MJFP%)ZK$wJVona*t;sJA+(L%DJ;3t~(L#^vtG-6pnz1qJ;P# ziSt>@soNqo8Wj=cQrmfF6O$#qmie^V;GZ#hnne*O;ZfxS_ca=F`>ZLxZtbpYrIzzb zzE9Y!dhgUjr++QBCW}_Zf>%<$c+#s2uU&OhqY5h%Zq`jJ755Qene-f1N=zqcxZ~#u zIQ8RbaX0hlv_X-z8|AbRxqG6Zmk%_uWclPWlE5ztYA@`Pp0_J!9Bv)vuk6*yo@~if zXcR?|R?JE;L3oR2x$8&r|0#~`2JnDlazgzz$|;9}kXb!@62RT>AGjsh%x)s>5^_(6 z2B<$a)7!nwvjVITe$!u&M#a1RoIYJCFdB+HrgpW(Z|9euU;PbP)h1I}0lq>c@k?D7 zpS**4Z5J&G{bat#pPO2^=7>phD-xi2Og*@5{-$?S=SV_f|Hfq{F-et4hHt;mTskr+@t}E?8C~O*CfFxFb-1FRFWNGPzRHg~!?@g`o$?MRt`gGF{^2KAB{TtEDwH)@q(-wOJ{4 zHJGU#eD-hsvs>wD?!Q20zrN|SiRiex&2FZ2B~Y~|`8;b@grZiHOra)SgiQy@k9~=x z%BSjkQ>GUFNe#u$4DU!&r0ItLC4_2hd2Bk`NPo<7K{PB^KgRAbI{|}4!ko9GHnWQ( zMtS0kOZ9=Q)6R-jECE!%mtW;k9sEQ1a^h+Sv+j{?9e0^*<6o-kO&zIjJ}r5(t}!E@ zULs6{``ODQ@!<1@V!W{*lfyxsj2%T?p@%;#XLN^7>;A&}7ca>Jn5s+R_yQC+zVMlA zrriB}q&4`?fgJ&A&zE$)yP%?7F>|lpa(Thi;(@KW3*LP(evx!s>$z=3U`V5-_bwYZ z>B-sEY^f~F2`o<6tVz!Jpq*7$F45iHDeh&kNp?N_yk*RZuU$+jibTS7Lw>V~80TXjvenYI2RXZ0eFdOt&azUYbujWMw6m zm--&T92&AqC{(YnwhLRO12t{dDIF$e?+r^IKHbT)^AItT-z}(;k1hPGta4MuX^Cik zN&Q|PR@X0YoE*5XHNH!?qHp*5v#9RwUNz_9FyW~Z&zp+NI){f6)A zCJns~IxacY*WYM1d0PKz;)r#bzw3(U9YPfs5Rh?|RjJ1F$(D=_!l~zEy+#=3Co7gY zJY^v$xK-6h;%HNtz}h_%l{$I1Qc}Avv0&;DxhPLd^mB+WPt$@a$ttcM;4A+i>R?^V zjt08y|Cspc+|TFULKIX*(a*K*AGu+~B&p21{bG*ky^gn6JzY}?|Li?-u9W2GbNtf^ z&AKD=w8Emi-+xffOVRbo;le*Z2`5s1@TwKg1AIm9KWt$A#{9gBjx#pJ?aMFRKb4}t zZ_f3o#iPb&wM}>T`z(i4ipK&!dOtM}@*Vse=DDR~pK?7g5n5czWF{4O_?*Ig<~~Jj z&Lhp)lbbWOBneleE2fO%QXZpdS72soM4|k_=6v~2HY=M2R;$;fn;u_|O6RkA(E6t%Ejmw@RTpOLa;-!F95KN*XjDk|hYA(@E*{2$N}wdsLX2NGt8} zX}MTQX}w7LaL+(DoqgnNe1B;OK0y!J-|UPU&JT3eC%T_bBVj8UR|MeE=hmfrRJb~^ z{lf~&kVb9)@;xNuqwv7O`)tYWzrjSlg+CXLris3GzhTI>tLxh!9aMR)RDCpog+*H( zPPHqxYP^r8D`9)ps=?4ZKJQv!1YP@M^c8!z_Gj_4k!(2k>#{1U4&lsx z$+kZeKFcX`&UR<5MYF6WZVou99TMTAAN^WszlV2)GP2e#Z25fiYUSE<`VTNwsBnCx z(2Bw0`_94T_z~;ZBwRR8it1|n&t3kd^b*E>)W5qM^>eCyzsn4sk5CM`kGZjWRdM zFm;-ail7nF3aw+7D7U>g!|TuOi&-mQWB38od{!%_zq%F!qJ~|_W(cz?vi(c$a(~#@ z5>MZ|41gg*8i8pSU#C)~-5H!jNe8|eR%Xuw&$c={6^4eI4hDp^hZ;nfMzcDEjy;^f zbp0f4rIkh5h2C3X?vwR_VnKRW#gEHy#k7-ia>m7yKMi%=$y-~GpSn54o^*>+iZC2F zT}pgl$}r5e718KeyX?YSKF((uoG|^^R8)F2$MXK zc>74oeYLEPZ87tDyJgH<<+g5ntnX}qDeK%LMl-D7i`GjFM5 z^Nd`Tl)e_t_P3^V${9nvb2!!3SvB7Sr&qT0KtP448aCFBc{DkDjW_*uOH!pr&3*WI z0ry;sVIiF_%HZ&H<%!B?a|v8r&tRjf+5KHqgtRldmtSaVR zub!`K3-k5tXrPiK=&x2)mFfqS6^DDiFmF6%H<{mBRdTXt)#s1HnqL#7_kRXoc6Koc zITfE2LGIW8GLg$_8Dw%$Oj;6hE!Db#PewbLLyTk^&!LK-*R#()_`g1wi8lN^%aulp zPbF?q%7PG=HZY5gmKo^njJGjMDm`nsNgQk#O9u2PInu(i^^r!5Jl3$v8G&#hbI0TT z4{q_|dQTR4(iU85YaMJ z^l$=6L1^)9Ldl1W>UoK$;!1hvDV=S8hdb$0?WH79xug_}1&o1M>7Ony)`D(D>#fg! zDYP@?W0FpKqw3^8ag!97SZ&o!iCjN@tD|B5?7yO9REa*-gNN)2aYu?Him|RlOpyy- zHR$rwzAB6<^db{Au)L`FMAb`~3(nRngi96FB{>_hYyz}8UeZD0ZVQ%HG;giGWG2mZXJFIy zcZNT|v(C)S=i??eWD!(Vb9XRoj5fB+RrDi(txp=w9{GJ2J<4fy;D}M$64efTlInT% zwBlR!wLNFu{m_e#lt(A7zCXh1p00A*)nvL7B&GVSYDCvdRGv(SZ?f?oU&vd8m(Gezb(D^0Jm@LIn|iVfRouc;WN!?GumbK8hm^3oRWAuMn~}7Egqz#t$+2WfXL;d>3GHzQM~(= z>3yq~!6$mw%Xbqu4x}ZTk+FAQf5&M$meTe`eiWkJk`(_)b8dZ9n8OuwaMqnND%W)g z=fP8a3Qsx9b-zC!`eR6%v)#yMsL;9@z&%?!@C04MmuRk-WiuqdYsShGahgC`Zbl@- zk}E)tW#pJ5`iidH5~Zmeo%0sCx)+!&q9&SFHO-C^V2-gAk#KFbL50$jD3l&BvbrTc zDL&`ZE#|yD^rRY@4mBCHUgy&c397WCv2lb?~( zP4-y}ok?3XYf>V16q%(L-L<8C3YG$#dPJc)Bu^?NqS5!VV;eZWR-V#lIjm8H~eM}`G2Jd zDDA(nr^#sI&b5h@U6$yk8FUUFa`ezEznM1`zl@*y<5v+_KH19tQ43LciWUFk<F3gJsG*20|Oua%2UJ~%N< zeeoG6&@62q`suT)>{LuH?wS=__$zukTU*OPDig5Gz%B8$yU2g@RYMQcpNl1|2_;ij zXu>z%#l`nA#$D|h(7K{^n}1a*tvgqYRT)Q&t!(oVyfS&3d=x6xQ(dm0f(wT%26+*w zlc0CC0>4%!8>`5D(t(V-`7u$Hspzpg?S|S;ksmBPzQNuNRle#aqb#{;76N5Pnvj1J zx#Tg;)7*>I>McY~ClC>x6tB3-{V;V7Rn+?0TGE#FO1^3G?tLtv#KXjJ`xEY0DJMs1 zSo>Y|w!{2cIa%icEld8cXkM9O&B#Y~E|XeOJa3qZR*Ht=<@5@u)w)d{^GzxIUio3z zQR?j9fA;h%r_MLw=n0wl1?FV|Q>KeS8L-9-eX3}P7?h1>R@vK9^1B`EaTv6lc4KkL)Bq^;q z>oY&zURjKe>$^jWLmGQDBFU0F`x~#1Fo6jBXS>H#z8!`e;09tm3t)GX*7N+fo53me zJ?j@ac_t%7V@l&F1fu#B>1%QZ;=WFd`z)e!gAEZa!lZ}jowhLH=kK-2PNWT0Ov35&UrtHh0&!AD@)y_nxXNG}9Gh&ftH+ zsqFKx^S!}t&ug*zZUt%4!65Jk2xl}0jzoTeX|hVk8Y9t;TWOd29z}}OC&C|+B3lXYJ-kRSUmN!|0PL>up{(aMAx@q4v?#dB zch`qgC%0wl;F}GR91tPaJN&B-C+sKlL4cxT+B`Se!83APJ!O~+>s>uUd&f`PSIOX| zFEZY9uHQN5@qKfje~f2vc_6+80?PMr@-5wmw&soz9WzUNb?`L-hcm+vcybiCmtWVW zTXYoAqahsWP5^!xi!ZdFrwdIO(GSDFIED0y)9my>gnd}gz`y4JG94<{?+x|WVXGau zIURG!0>DTCMd__cynOAwgkh(&oj)>F2S`V>R7K@Y>dr1W?}!e|K?dlJ&@=M*@bb>e z#z1n1y127U6k9{PEs?!Z#1M}R7K27^;h zW#1vdP&xDg>l9z@YU{&?X<>M#UT9Fm;`b(vvkO{LIzi?X4mF-2gQ8QqS7#Vgju5cK zj{ybe*RnVGT{ir$rvjFvcU9c=B0zaGDPUYhcV z!t)m~A|U6N?rJ=7mAu7pb4Hu4?CK~M%SkhRH; zX-iQz0(HDzx2U_(?~_K{s6QD$;rpfA$2TKbTA2l-4Dr46DB2T*jdswzU5#FT3fu5C z7DaC?L=e))&Vfj`eB(oSpADV@wXU-4A6{W8whTv?QlO7!RaV6leQ#(d+B^!9G348c zLR#lzlJ`BwHO`Z3PCQ=P$NBO@lD@y_zW6jKInJ^r)t=jSk@D+0P5DEDS*WT}J4j*L zyh9%^_28U&XNR}|b&~ON%U9%E*Y|~-1a}O}p|^n?n%78n6)wOUD!1BvkM%_T*R{es zMhFD(!?T}4cD0-JMAXM8o5;s4a-5qJZEEgk=i_IRy3KT}1=Y_s2JK(EXy>>#@NIQC zV{jY#-D)hx$7ziEN=kK<<4kzj0No)raz4g?-}8*ERD9$bCUCxgqaD}AM^W2WOC@X6 zHfZriZJ#3&6JyedE8F(1=*!KUa&p--m>0^=ht--`QZ02DGU+l zkb9hNvHAZ z;HTi#mhO~KtIuk14b8C}f5tL(gp`bc83)kCins!cb-fEH>+!akVbdW&mT&hWd^KuTMy$ziX3q1jX~1Sx#*-_#(EU+L?g zveXrhi3#1=Ov7PT+vqpWpS5hZGClvP)r(JpLr^z-!VrWDO>=|W3%B&97x)OorAJC^ z$UMN8@a#-^XygEULq@s!qYBCe_E7F!5@l?Q24mZjYVcl+FQOaqX^Xp?f(cLL{Z4Ir z{nnncIkokbMC0hBf{{-(M*^5ZzO11_sav+18vt@tsFx4yWD$AuX~EjKH})DX;%C5p z*_X1Q6va2q>3JPe(Qt;LyS%=p!B01THXV4r>Sddt?Ez0itp~i0tqgC{h5$@dM*QEz zb|GkuWl^Fst6wl4@N3t)!D`^cG~mhc9dNhgEa8TLd$EVp)5F%RYp^tAG?Ihc3&klC z6Nny8QBh#a&dfbTyCV8Wfq37TiYWcj*XJ|iy`}g-tccaSYnq0TOL@$(g+?s*~|O4c0c^g^95?&wG?bixGLWFtAvZu z{8{61OTB!6AI4k8R{Gky0B~p~>;WC#4?P3&;cPPMEqoHLdOW15QO$aL<|c>c`km-l zDBp0?>z&YnOB-S6tW{7z_9##>f2!mPcp}y9tT-)eUpS9aYcb<=3c(R;% z0@tpo-X$;R-+WPzK9R#5KFC$hw-v-u{o}yf3IGc*aN?1WewKSRN^$rR_#RR3L$#?7 zH{;_;_^)_zshMX8J%4!1*M$N%^_35o0AL?V9du?=OflMVpmc9UWET>27-1Ft%G} zOFi{!m|ldwar_h9sUA%G3OHewv*psEI zi##oNlyMCn!i$h}Gdd?f8}`@Nfh=cnEK=G&nukVRT>=w`1lgtjhEGFqGe_{=_T z(PqTg6q`d!fvCjo%&(FkOn!R4Ztotb2I~-~YKglxi$HYyItJq5??L{JHyPb- zI7LXe@PE6}>{Lw?bFH0kOba=H08qH?6Vauuf1~dP&_E?n_aszR`#iV((HQ_GE?3xw zF7r_J`zewA_4ON;;cq>t$e2d+94IE|BXDxO_Ov|57zv2+5D2}sJdWjB1rZ9CTp{+J zw6i=vz|4V>ZA9BZ8+t4J&F7Vq*hIf$9qhK~#Q1YVjQN);vi%FHp^ol#(74(i)FiBI zec4EsNv*ax6aZ?Yl|v{ z8=N{W6)8eY5ei}yf3T~7?pEwRq%x{s*D~uEQhMhIeh)G?1!n3*({-=omz3{Z6~H3e z=Ba9Z=QD}7l3c$oUgJ!X=OyA%g4DS3qev;{dxxjh7fU%Y@J$Xv^p(2Ui^c0`lZKP> z1pl@_yb7M!M%$F3=bE8SZ^&MC6DAKq9@3hPqarR-!9b%GveWNI2 zgyMaS^<24q*jH3uU0PXvytxfH$Dv)XZ8#s%5^8tyI-Gh4SG#}7>GPk48EC7 z3&&-#pW`(^z)wSYZ;tjp4s8JPke+&b&|<>Fs=9DQktMu;sPI4*{?;gOYjnWk@YLWR zifGOXrEX;!g(UU)r=??5qT&<9bZ;Km!oG0;H(q)55D#<(eGcYBYlY*R-LQ4qMA<4m z@BlLA5KE2j>+z&=U$oL`Rex(y=wE;fcnjzGUt+bWc5@;6#%OtWKC&%)34`}g?O(S!f}9zT2T=lT?*vUIRcjj`|HeR{SEv(CKcr6) z#WRA5`fvn#@#d^xVGl2gxoF#+8J|4D@$KIy4WzVvRW@FFrb5r=i{hyCbW!Q)PtPZ0 zyItK#WBZiGidDuT*fG~6ID}yDqVs=?-npe-d-_2$AWS*Cp|#VvS`lx5K;+K)+vCLr zjcKj%Cg(DU{e!6_iSe0 zx;u=p$N0&Cy7LV@W7UX1zJ*PCRb`iC;Gw}ih^zllo;4eo_BqG$t(I3=raQqZtbr?GV-I( zd}XaPQTF#r?Xz_nBpkR3h(I(K7R93EElznvWuVZ@4F>%ygjgrya!UQQM6-$2Y!L-fh`sSL4@@pLbg=_TJ0b(! z#UW^5YrF@p7$5{EviGzlPFcKoOIuk@E3(;aiMbDBZ65 zag*xWryKmS@Hyxok$nPu#c<7D9oUnteD=s0fZ7y85_4a!4`e{>*Zv129(5bOog?t8hv5&5_2;YaV4REK zga%B#ih#p-s_6!z!a!98hnEH4!IU-$jt3O3DstG&d_?!P@!a9YIA}yqXoCS5n+U3^ zL|klBqaJ1d*PL@%Fv!}Ey$p$duH7G*2``%R5rci21aEm;vwVeCoc}fk5!yFzqOb1a zx_xV(pZR>J-3CSKA|UJ8FrE4nhfVxDEKbfL^@U*8ImB~qJfWGtQ0mi-*9N6nMtG|A zeLqEJ8bUW$|iA_78a3OP^QP z;vfZ|nju37uGxR1h{|=Rk5xz_OA!J?bzMKH0MV=~L0LM~H{&{| zy*g`ese@YzsbF_K9jSd(Pl{X@O;IHN11~i9fwE(pAF9P%oIg3E!Y;hWn0*{5+XETM z8e#qVJ^o#B!JR|SRD#(+pEZ;0f7eB9&0;ni{Vep~(NFPSc!ne1PXGSj5ap8mB3=Qj z5KxQWn+Hn-hPOo+a z>*k?dxcbDk1do|9Mx>IE2&l9N=bE^7Rhop9uwmhEVJFXC$-(;1r!bmD4`(+vm-!zx z{^iqA|AO5;D=3vN8w<7Pb%Z*^M}&$)$1T1UBaL z{jK-M7~JN^(rV#IZLmFJpBhmE;*a9fe=Eu;_%GkSQ3V3k!1x4Wb2VGsU%iKP2uU6l z?p~mSuUX`)XTX3a6?~hTNp9OeA{m2j>%)4x%@7CAN)?RC8-REB;A>3X>?9g*9?%B6 zJka%+XAzakLE@tq!3U7q#xs!m3bakfv;)y#pM)9zVk*t0ObrkbXxh2;%lIs6%zf64 zX$W}tq<>P!ZVDzSZn$FXyecqr!dFDDj>EzHz_-VMbrdULF#On+-0{U&KGMq%4PEo4tO9saare@JUVu)%9i1Ah{(MNH z;nU3Cv1(6#xwQ{(9O|$b{w;lLPej>-CKd z_hiUO4ySYJxy;wzX3+&C_HLi(HEQ*gdk9eY@Z79QII&$s7j-tL{Kw>#{hjP84IH^8RYAtnWLLGa`@#KyDSl2hQKU>q7)j_spM=yt$Zp`9pY$7o#6je zMM7o};yJ;7)kfBjx3eCg)&*Jbd~IERas8-g9{003mq~P5$qO5NVEB3dH&s1Kqh~7} z;Yl!(cZxoJ{f&*+g8ut|NDHnf=GQ-V9(1srzkS)l|2|PHLH~iw)I5r-YZ_(31iDx2 zXE%ib<{#?!#1?`obMepzsB=HZN1!QQPe9c!8Bq5=K{#j`-44+~acz7n=VJkd`s1xz zk=;uVqqM{D+Kjj9ErO)SCnF5RKnYT?`H$nAg6f79q8iUH*4camD{lwK;0iSe<~w=> z06sOixV5HnA0*`A**I4YIIZz9m}3`wM0$abURmYs7Lj856+Ue)6^w8VpI6f#NxyTK zd3^U096z{Zk5`wU`Thk?_1qE9OZs7Zwr#I1ImNZX(YNU?toQ}rC5ZZ*Is7LVl_%)q zO~!l>$c&oIANgzYcd&v<`phXG0{0~uyclQMbV}#A^>O+?^Df?qtL%ZvH$ZdT6mL!4 zjhEeWK_da1#TW>5u z0@HqW{Du04Ci}8EK+;yotGJM4rK%pJ*pVO&PC~fOvHBS?b?G2Fykj#+7TJYxeXpKa zA`ge)!Ui6PbG!!@%QSd>+_N8~^R7ShR@hMI(V2;myNQfJ>u@{JPCf$u`Zu4qjD`;s z&=;W|3ee}r5Z-;EVh$RP%~-YeD2`vV54!B5==<(s3REC@vpIihQ`6nBFks*R>v760 zqF_#tnxaB7tf%>O-t*nE&9b$TPW=4T^3C1ie%FcAj!ut0y`=kJg)rr6OkB6*UG8P& zS0WK+EW$XI

M|m8FhxGS2noM9dnV9&lgIVNFpW-VyGDBIWo?_41KVy17AXB3bjN z!fkq@W|3}3nwUvWx>eV~qyx~ui%wTY-~k}%O0PkN*C(<9GPl~E-2Qo*{el(IRUciY z4|VVhq~46!KAAwT3*B`(bI-5*sqEA6WrZpPrt@pKJz-L^x$nuq<*TiYbl9hXdXZfN z0wyyP8{|>FY=8myS)zfQ+u-3-ClNt}UsFuW_0-wvtAXAoewr&&yhK+e5AC2N!fD;m z{dvo@Qx;jXUs!!^PJG!sb{INuE;sFjb^7GTnNHu_bT)m*n@M75yGwM;3F}bBns`8p zn6Ao;y%b>1)@PY_3yLq}l>Ux;_FqSi-$-5Yh3NUvrtxwBm4Z>_9@&j*1r>FNxj6utqMa%}vCK35iaeOg)2>V++iH2{WU>Hj6Hq#;cMCAU7;>&^ z&b1m-w)Z+7b zi^N{p6#OkM267nl^PiccAHA3Qctwi%fL8Zw z$H4V<)A8gm`aQz84jvz~gps-=t1kK~2mDyf+!$gy{E647xkhAKzq9&Tk@f-9)V>?VkQ(rq3l~WKX$i=c2aBFcY+PLe`B-G7@glZ^86f|%@Xi^-yx?(@-SLsykv%vkm< zJ;u%9#~$`l465G@RjtNL>LG^&8Ra3^AE9U|uT{78Y-)YuASv}9ZryJgyXseTKY1)3 zBcOb1YqfQgrtTFGV}JX$ss-?;HjZ%=KBxMogY+1koJQu`1$CFv=3fw>d_SSMKJ$$^ z^E!ts69FeVTKWVRvgVU95SVZEcscJRQl4;R?}B9txoW3LW=cB+^IO28MC{&zXxVz8 zwAERQCvhW$>a8(=sVwp)wY8?%sli3;3%Fkda;BR^Y_&UQX`3+=W&wAn70NsrJayO5 z{e<`cpM9!UI^ey-GeylZ_Jfykerw_xmFGfV%kGjDJhJ&^yy&MtCo{_ai^Bx*u@g~;`84U9m3_0I@-%6*6EcVSlu{AY5oD*@;J7qNsB&S( znD4971#j>N1-?6pWy6_TTnn`E)ihV6OnTlwvLjfft9JU1sXj7i=>{4}H-vl#&wENw zc)nUMqB6t$c+oNVaPR2TFr=&;m)=MKd*J1#H))=+^-Uh1^(Z$}x=n>8`Cqm1HS>^@YCXxYk@iC7mP(CDmca~(v8XWr<$b6Pg}l&V?v zoabf6ycLpy3v8=aH;kKrUx-e)G=T6}b?)D9fpB>e>QI#ys!u|HyhyY0rYhg?yp|7X0#UwMN48QS zJqd7AAN{WGfpqgAg_z9yer|*ys_RsMGh(Z0F)sWY3Yc_~Ej@A%vr z+yQ|$IJ+lB*AFTVBcWIfdM8oYgJKF8?iN9HHOK!~+@;P+#E9jXITm*{{gEArcIA;pv7b46Xqsu9p7dSQP-cJZG;7&9 zW)hUBG#t{8i7`t6Q)Adra>xXF;2cpiQ4cY1dmC4#Sju0c@r{2^M5d8-^HuzGAJ=D` z-95_3$w51UL7~YNhPadNNQ7oSNs;JcB>J7SY-SGApQZcP*^>!Pu^H2qWnvONaGyQ0 z>dj;6C&(uwxttfUEwX5~Z>Q1fd4wYgWBk7b{lC4H1IYd03#mGph7(p!OkVHh^FQC< zz}mgJ-oyJtonZI(K1gmUY{$8JS%;Fme(dDP9(ZuM&-X4RuylJvg5erIa-aDgx9(M@ zt{%N#^AEmXk+5j`QK!Ajm$rO-}nJd zbl;A?ZtL+fcP|)NOxH^xu#w}=Oh-sh&g*t2a;6hvLn@uhX&{p&iFw}n0A;&@502N? z!dvC(Hr6`(PBXI2M5sU7lWZ$j8F;VNK6#T4y8c#TgHDVgNx{tm^T|TshtI~g7jL-k z>*uQ@{8aL5kX+aUrc5Fqxk%90$gp8^m9n)Cn;+$51xWj^M>lVxuzI|63jk!}XOCi& zy(;o1G^KxDtOglm5@vqarc)e1ZFC9zZd72ra)R`gmlni4bT0hc_xL>L+VPiK*B|0B z1A%zOv6t&<+34RNr=DhvEXk4BcXjAd`I4#~1UPp)KZ4_leaYpi!huefFE+>C<&j7M zyoV1^R#EPHm1(=7zpNi6#y)BOF0IDU5wNL^#9NAEO}YNL-JWO(TxC7F!ZPg~rueJ6 z-bS$7Ut96b|E}80LHEcrp3$TC;gXoqT|t(EyQl65N5vi6?eQG#-6+R3x!t3eGt)5J z->P43?8V@|jV5HsVKh-deD6!?=^hrl<*Kt0-S{~2ao>dy-wr=v)AhIs{6PdI1p3&P zuFs?`?C*PK*d5r&xW%S<@mHDYhdA+Vs-F21vg&0HG%)P?1q%Iyv-Y%z0BYF#X^Qoj zx`TpM)~Bz&EayOQv-$TVnxh_|T6UO=etyki5FvtmUD^Wp;q|F)uY8iu%O`w&{ncN- zz*e^s$OExEI>|NOGEF})k9>HET2IUX_w{`#yyj3})FvlU&CIxVLKnnqged)Gw`b@1 zkZ3zE`TVS4yG#P_LX8&~iTmQm_&n7s8Oy1^Jpv%^kE;eB;X;8P5c?FNOOKkI4TS@| z)u|(MjPc*(Jas(aUFBX*Ez}=;gl}C-Z%H+}{J6iBB8Ch4T$_2hR1OYbO^m59Y@+;a zA`M{xe}J3?ivd1E2zp*38-h+scOOBZAe0m`2+wQXX^wdoiahCKB4L+?vNkeq`F8ac zMBx!jPUUZXm@C~7^X2|-&@!Ki6g?=GMnbZ?PjN<`jlxg=SZ8MaLh4zSI?dJPBeiSy zj&7x=30IAA(6f%!;f*zA=ihb?L4A*}LWq=%PladADYmpTp3|}Tj*%%kpm+k#-5<~H zvDFgR>DYip^;iG>Vg+QAhF<5NzRh-7x%xAB4euhVD8IEnY|Z%(L#Pq&yrQ)XcHuj5-l^AG5CY^ zeYZR18w#6bmEN4fbb`cdHZh#vW*r-#l59Qjzh#ych5{(Hz%f<}=LZZh0qG(_oY*cn z{5>Ay=kkXn;d@?F&ZP`kj27iHb)ImhhBb(Eqw7l}_W9!_t+x>2aIPu^mTaJn%)MFa zoF*2>fOEl>gc2VK6|N5`e4$q!PXJ(>R&Rg6u{-7BTU?4o%6KH~9uEcNL6fem=z*+# zP?|mTE{bGBjNJAu$A3KN9M(&8K`*Veg(n9gJ#FIf4@VSUA}`fTxb?R zY6)5aS8P1{;~eNEwPj6Y!V9B8DPjoS1A#5U>rIsTFLzUFK(gGz$wLyx%4y=WJ-j3d z)b;9)stIr_)dw)=l&=C78O|F+{SLAZXVCs}9cP?~pi5jrc3$BVPr=VCp_O0LrR6zl zDfTT;ZG4h+NcUb>r%j%vRw56ue>ON}?ZR&lh)7}zA>o%@_~KkvtVy;`u)$rqLjwFc25+bJgnKX?eQ zcQ*}w?ZqZskY=UQwT|`gDn75w+YDH)1C|4bJ!NWh`&PF_Lzs@2P=d{{co(k@tY+Rs z<%1!@(XC`Y&PKk^n6f!%_xxx}w6K?aKd}^-B8AK9t_V{wvUX=cmE^;GpTpQIT4l8r z&l**7sDk};f%Leg>v*v+(lZT`g@jEq19R2PdflomahRT*>Dk(^k4O=-#NPqNF zvOMf!vNB@q+J8KC$*?C_XRK5amMrX5CU4~5cwd$i8nKNx{kuk2PP+09=;1r@D!@dt zm~#>1q-fC`C`TilHWCGe8|AkJCu36v%#P?tSzKykN>6qX&4w3W3`DYf1@rXQt7zwD zwP(E1`pE*0lfiU>y|*1eGf&=8_+p+e;03g?Xp{3!E*l++035+KQwBpnd#bZ>1^1*7fba0w_Y{>i8r)8k^ZjyQA@qrUL@#|m8u5668_*k5u(E}g_hyhTZcFv!}jYNu+U*v&0#D0@2e)tHomt);2k?@roxQTkJGB~ z^X(I9CoA=Thd{44&!KM`X-o-@ojt809|*rbFZi7MZH)DX zkqSRjajRN!rZVenmsi5Sy;0z$&X+bjRxvnT%G4}Xv+!2hhxmcM14s!WNIg6X`0y3$ zymVH|aKE2TkDlm_Aftxe2;UmZ`hU)MtIb+gUf+k6=tdpe@vk!H!KMS(?{5qJt| zO`FJ?AvjZ zkJNvcSkaM~;h2lpNS@sJ$^@NnRtwA?uP5g3nq*(&B<}DC)+C1J8RUT^ce*O4y85(0_K4v-KDte`t`Ali|EP{F`D zi-?~Z=CFPN5aBW|uV1RYULpsw%lQSGz>Mw$f&sa|2S{Mxkn;ye6X;?9&BtY}yf&#b zZ~pDB(c^i{{;X-v7{Bk|iG3>_)O-KqFElsu!^;?)=W174I1pzX8(#?8MomUa{BF!j>f?CE743f>p0fl;a_><`*eR_HgkzB=B}4SyT7 zE6-AUMFV?MNOIgZbI5R8p&4Nb=s3PdSY!4wf4NYSCtqH)zY0_Sm$N!(%lOTWmKo4^ zURL@%{eqC$-c5_$Rrh39^6D9IX`faeUXOhyHMGGg`K$6ywUKOm)`oyKhD=U0P3#$zf^Hoq^gR zUS$$aFQG!oyLgJk5%$L*3o)mYJ}{*1xo?Mxf?T-EIWw;rKK~Z}-L6JS*3KLY|HOVZ zcWDIbh#O}2Oec8oy8M*huwA=_u&JKw;cZvp?pr#@E|f^}Tn8muw)^2p`w?7+i=v+9 z;`xx4a%eIX*X+oUtqXp@NA}w7>jUJPDgcrv`-pxGC6BFXYQ4n*hkq7xFr8YmaOF>U z_6`ftf0%o=8T-yW7N=U{Da_(=zD#)*#;g?ay)oathy41!4^E|5?|J4cAU`V*qqyLp zSVjF!qG_e6yDcPb>kIoX>_+&E57{hSQ8z-eL0bjyDj|HRQ2oM|bdF1iwSY4pV-Fd&h7)vt=$wC!`k^%$un-hTW3QGlt!E`glTx8V_gv zxObVY_&feAn)2dZn+px1T!d8As$z!Qr|>i%zq>?zf+O0Fd`3J zuaj=#i&d1RU|7#{uY8-TfU+fjS|ZCjIx3nXF<62bC|rQ^M2cO;$4Pqy@KDd$RNfo zteDXNu-X_;#ynj>mek3DG0d+N$8icpcn4k_z85x11;5#TLxys{u^{{ z#?7A%N|Vm>2Pe8ORxJ_DHAg*=PRN691OAVM!dE3S>j=IV{0E)N%q$8E+=fFVVx3Ay z5#ca3{~Z2${TRooPQ&~49Km`wXko_lu?1!qPkN0U+yROCCjo!?ckiXcgB^PQ^5n6N zFvX;uxAfPj?5^!YUB65+%ok#3xbwRIke}zuYDSe(;=?ll=SI45Bj!wN$t2B|X7 z{`yO{{Gom5L58{!^hMy920fE^8FjtP@e{?==1C1&zqH}Wnh0R14n=8qCwBbuUs<;r zaq^wb8}V7vbK63dj{bz-RFl3}`m&bH!NYmm&H`#|i~!0edzd~f3rM8?)yNtk?S1yl zn@$7MT}0O4F9TqT;=}gP@DAdqV!Gpj;KNWx25ob21Zaz<#)4bmJBjn|4CmYFS|zV z{_Rk_h*BUT6$3eQ^G7TUYt*oT)S4JfgBJjjXH2hd=YS~6X)*gQBYxiV9jk=$&9 zjc<(M`oNzjT*FxKHkypyZ+R-N^UcH7((Mc3gjmy3_cxDnwO|< zyvA9Cn2Zo)%JH(7JV{2P4uoEys3Op!A#~#`)#&)+QO(ncf+K=3-F!Wa5@I^{tU(^`fS0EujLpe}e%7FSuJK#X36!orvcGsz0?t&kSZ6~f~N z-b+G9G1tAbjWeJ!o8Ad?hbiU|@?S#oFzq4Io+dYj$T92p;qtQ`=a$?a|MFi7{m?r2 zpg{93I7<3+-EY`?M{y@P=3o%nz`qb%qk;?Xs6R>0I@VtoCLDMZbgVzLHS)Aka7qx_ zm}D$pNKi{u!nn`X{ieR%-V3Up23}icFbkieN*G9r`JirXloYQf?DC=#=E1F{*bA6T zjYlGyeMEA^_oJNbI9EZ&BQeu=SnmQThcf85Sxv3DlW?p=i1<&WS|rT`TYsy@VQB5^ z#jTd;1vS#Ej75!saUr-<`oIJ6D(V*K_IB^&0fg_`+xp{m_W6W*f?tqdynml8N&II6 zP|E&Kqvei4_-=plp45}879)~ik(*{6<0#nW6KJW)CK+AE!%a*DP1Pcnao$r&1o(C9 z`cVRVLORTvj`%KgO#Ev_SFKyFAp7~OR?_V;dSYCq=RtDDP8hK%sn}%$$d@xZ=nVo7 z`Rw-ZpR4GA{u#cG6Lek>_?Q@uMU%qOol#P8m+1$%;)Z+E6A>#6-^5}(Gw)0{TD*}M zd{_^zGr*`XE+EOv_Vcq$iPAxihSS5b)+c#IE!k*sGBfA}oLJXPJ;QFFQ1yNG88)%u zZY15!ddVq=cpwfDkTilZ>cO)c;!L)jU{M!4j56(+#vOIg;_LxzcMjbyUJaYm{e zj@)5VSjhVx!1x-M4}26tHVjdh8j4N)uZV-}_gmK`lsW>3rFA1v$BIoum&)tl2ENib_gxi59|mu4fc@`E-4s6P)`c z*9_ga;DEtt45*E&%F(SY6uujvqjCJ-?M!(p0Pr)J$`3w@&<0Pvj)tcXs?D{%z&`qp zr`tl8ZvEJ4cw-<4K_m+jth#;yq!;}tPt2-RkW%%133)BM^(y~ZGNC&5mph-vF>sd= zYg{Wj%zrf?z^EqW_EqxD0ZxHJ+aqyK8Hq8wlYQ{ud1qWgh|QD9L6?R~k^5G$?sDye zN{P7Ob@MUpbCnl$AQK2axP~`5P7V_;2+6EWJ=aD}J*gXV9n<{^Dh1kW>BNaUPECjIpyS zT{YgOM*#3a|zLZhl4ujH$w;3%A(YeX{0~$t7*Wi^PTY^^ZP*3%j zO5=l14zV5E{|q_|Wv$7O(K9?< zHT#QsL8+=|ohHTQ&)`;k&#v_7L*4Aav9iJ+HAc8A!J6xx1&*DumWLq|=&Ie4Ct37{ z2qS=9)wl@p_OEQoM%pb{IpGluPW%blec7+dbMQtbYFMzVs9`c zmC+{Hj1c3LTSX=NbdJ*jo&3-hnyw=)C*m$9LzY6jJwRrk*p>aH>JxnW0TdC?%{9idDiae6$N;Z^W$dMR3(AG7+bp-ku~ znfnwn+h9l|GurMExz8AFM?S0EXddrS<#4*(u0xm?OVy$q#XnkgemX9;6)Hk;T7Bwi z!aZ2N)MfNGrV>b+XayA5@Jz(PcPbA2hh-V@i)T~x@x>#>#`akv{v|&bWr)@V@TrW< zzs6Ltj0c;}GA(||bajCCA357jUMfDOau8EiJL8ZiNJ!xoBv$U&Fn{z8SD~;{p~V6UZ1^F&q+V%M3|9T$ z9n4k$GWD?GHD!&(bN>}q8IEmh<~e|OgpXaNm29ypdct#8WW-A5EtuRZ8xXE1-v)HP z?c&YF@I0FAmL}5RmRNiP_z4Yc@67Sik7J3w*U0>C2IJ-HoO^N_3j=<9JlAD7NqEpx zssm~SP95(2^i^?by}qNgJhI3J1R!-$>f|?`mO)&-kqCJsE8uUeXwJou5e@kL7Qrjb znkbmRADd<_k4koJ`UH{L@n3(B6v4A1w?v_$u&3`>P{738I_Esf)s7{$;Blyos}-LM zh`=+y^;Fu#Z2o?g9Zxf&#M04IKsDag+~W3I{K*O{ieLVOyeBhlMwKKHrY6NHcZ&M`h%)hSSvqOYO)2+imtEv4WAu;f` z|ESlHfUR-ra9vCAjjm)4!horSvRwbsF8##_`>;{s$r8g?ox)MA;P*=y!N?gH2V8yM zKJF#)(=xvgaWLHP(VAKWK9AUryR`(RluwHi3B>akP&Mqwo5$8K{-YI`_6>!q&y@VS zBu%IS+4idQ5HGH7 ziNU;aj%6erMl^aPao>toKwMIB0` z=rK*9T(8M{7>g*b=Ql-d@E82|!PuG_-T(`;%=CSe2%)es8MxEaPN?`I&=NH*U`S3o zHyPy0>K+8DeMR12YDNRswBRC*B3{k*eE*bpwhc4mb8XK5;D@9p>@d$S`yuo9jOMs2 z%`etXdpDsn*5FV`KO&H|nrbS1VF-Fid%BR=j*{N_pfn;^GEQy%Cf1~&*yFL(%YV$` ztl?5Q@XO9gPYr-(r>oOhK9m$KR>7;u3NjV^Lw?7$m;kshz_fX?J~WjWovC1VareQm z6^#4Y@z6g(`R6_9qE&mLf960xJBnv!gs{=l*WUa!7YPouec!AA+9lDv`wtaWZMlNv zjEhoRK0UC2yvitsUM~Lps1s(yR{jqeu>N(cWchm>@&Lqc;aM>^eHr@X7qg8#NCmac z1;yizGjrPk%a|&u*yIL6cpsw}+Qd(|Ny<)MXpsxQZMbB~{eZ7|w-I3Q1Q%rpY3Ycw zn)wphc~NLd*gHiH66(8|3V^W>TO-pq*_kUk2O)##`a>*Z`H58pNKXiI(TY*8z%rhR z#Pp?ZL@`MrZ(6@#j19L_d5;BSi1e6Y_V@~(NL~^^Vnv-w?ftTtC;#7|YLGM8tZ&5K zOySVyWe<56hN>ZCDW?g$xJwF9?89T4@s=vh_)!yxx1>hpXcCe9SBjPWeh$*m90O4E zomk0}H{7;n+!Dj=IE>Q*s@bQl zcZTz{9#1~Usk)SE9@)bsv@Y3w*4b(HVb#vsL z)uP&IgUQpzO=bTw)?r`D=t|jqEOp`>pv4DJDNVY_;O6gSWwQ#NT^in6B|Rs}cVMkT)s&`|N#^Ef4UBgcTLc|^V%cTAIlZW&M z%}7lA6T0hwoE2`E^`((_PtvJ>98#yPBnwln;4JASQsB1Nz~zH%Ok^&^K|nxPmmzEC=5LBR>!e&LOS>8fZ*0z z7DSWO1iBHbQtS4$u}Tu%yR`LrYYMGUOl@`O}hv zYYRa$xf_+q?{q%)Im9qt{-opN6&xqX!Y@;8*haY}X3~{mP7&&oM`v#g;RG4MHW>G@ zRIfvm?2DB3Svz;l*4+k(j3_D)2Nq-bNE%^Z)s)aZ^W(x5C`tIj>0Ds50XQh6!$ty6nQsxTTyY)Pe%wtry}xtf zv6~p?R1}Q070U1$q1sNW>L`vH zBP)SynIM8*ep~pkj&HBrc62(4eF_&2c|l37c@bblRHwL<3Sp=!#$sb)qTnThDC3?e zT&!7B#H;wF6ajWo=E4ljGxQ7BhkvJ22;*D&Vl(tx2RXn9d528p^|uipYs3;5pcpt- z2ol+<0(wahW0;9qH=!n>RJ3;d@w_7)4`xFqjP0T-*T^j@_~0)u1cylA`6CB16f1WW zQcnG&_G+NPtGQ*ONB6`cf=_k&B}l)%R=6j=j^BlCK6js7M69>8G`~XCTyc01(ndX3 zaI;uM)*RM3HCKgK)|`rKGz7u#D0vGSf}1vN(E2DVr`X)5h^b zw$^|JfZVGR*G4v@9{m8JYf%CyRfcqDh@H&V7w3C2-~=LLD1%tJYImR(^(OI7VybuF z?K`dEY30<#ivII#x+zL{U{OcJu5FgaL{_Q$)`Yqws$Gd8$S?S0i8f>U7CzH7|BxC? zZeIoA>_!DT$Gs9%8bpdLJ<}W{qfMa>%!Xe&kAPx0CN%yvEtA*8_af z*^D+nvO6%mN`WhgU69@HnTwj1u_S3;8&!zs)VL zUD-*u9!Nn*S;9S4AP5iSU>CZ*yn9Bo9N&l;faS0UckJqbhuyOKlwYH<&_%k2kPW^> zmM_~?o$C4(Ujlep_{PfLnwY70(74`eT{wH!A>xF&LL=JstUT*cpox>_;R#r0+ zdweR^o9BP~VtU;Nvi78+3v58*;cV*T756~HV3nMcnQCS*QF)k}-_S3kmVO4T;bGKh z{SidXxjjH(3?%DS1db2gRQ!6vAR}LjZqK#dxB|+iZoo@s0ad+*e{qG9vrQ5caM+&a zh%~=nH&(Xu-@j(R6MLim(KvylIE53wLoOI65pt8)RLVgE=uh4Di2E4JFWA0g={^Iy z{EO=7OC9*_JQF#o8PpTVQrkA*^Bl2MhBFZi+OEC);1V-2D?3Xk#$lg$O0iWTH+=yz zmrCQ%Nj_2pr9+rHHQ@&em_i(%^g1r)ol*hKrv%z*o+seAS;h-TpP!cXKZPh2@2&>L zGQK(Yey~@fmKs`D?BE&m@MNmFy5}UGQRo<4Q|po|kaXl$$BV~Lqgm$_ci$D@gF;aZ z=uu09ikjf9c!=jq&9rr_{yN- zGIKeMiqW1&Sl**LAKS7OTMg{F-e7CA{pwpV`!(Gd+VO_@SE2bPnKsNXaYgZr{3xk*AywO`Ed0dN zj6jP0JlV+4D28c#bRDR=4$Cv>*TV{DUhyexV@qGpYSc{)1y6^i4GV5S;+JmNwUPD2 zZH`hPkc|?{2(C7d#}>}yjR6myZ>nQYF|1hQjnfK}JU)7*fb)Jo4{*9@U6Ff2jPvwB z@1W95bRJj6tGC|y82xBxHb&^#pz)2BRL_fh=6FJRSpWK-Dc!AW?{$)m90tG>3moQW zC=rrJH*jLddgi{7w0-=_6S>KQYrw`nT^^ohY|@2j`q=mFxk2Q32v};Kic`M^lnm=mxyoX960(xaY?T=KR zjm|o-mQ1sSG&tCK`JX-&5 zW~j(k@Jof{iXaJ9j{r?--&fR|{?ul&vAXlm#7xNxrE*Orl>I2s*nC#d+Wx=Sm|_>+ z#Nqc0>HR`VnU3y&1u z9i5jx$6cFIMrt?EvRb5FBshFQyd2rE)1CbDukK(Zr+&pUd1Q@Uy42p8(NH9i>9~kz zb%?CizB)#T$M5AB_!>@ntPWwN(%Gt<%ZZOH3aA=}FYbDuqHpPVW$EZBOBqWt8`M@g zmClX@+=l&|ST95pIG?A99aEW8MQy>;m4$rG>c z9=QtdU3-TJ8GJ?(y}EMiv$26z;i$-7uG)-a46x!(LY;B zEbJiVQ*GGO$G3P5;L%C&D8&d$xOx8B<6FZ9n|oIaGG>-P#b4nyFdcpN91Ey$gh9n; zUIU?vdOXP~tR&-4v!T%P>P=1$|?lzyHW05{rP5f+=(-npQzlbe{w+G;gy+? zh_`Y{z*=usasOr6{;6>MZa+6Pc*0q>imy41R&&VUiTSaSEe@}ELTgekjeJyEVT?*c zTkJbyuRc!#4}o2V{>C1b5Wak&w?H7+19b0F`K{OoMNrwV1Y+2wL|+-%skr4rfs5B( z480E8Sr+p9@sPC0{dTuaQ`mVu%t`gZmn**$-BTw;DCV|nR0D#o^s(DwgLSI%r8+J; zour~ubziR9raS6s|M?~UF=FlR4=c6tgXt4#E7ng>Y*sA+Dt;XAO81y8{VHPTD!aotmw8r?nr%v|F#ces4JCL<$KZiGXGtb(6yoJ6M0si$Kf5rV?Dc? z?S7UiV&3K+f4Zk_(Kx)6e*d8wx$a8&#G|)xZGwhZWriP)fO_WPpDy7Q zG<#n@A+=rOV}?LT;!(nF!WA*X^T*nGA+3uWFHb#QjLT1J(G^IiN{gkt=nCJxF^rCm zJ9A}IS^sgt4bkkYGZY7M!j43}Vn7<)FrGEJK@*gxA3SM;u}D*nQ!c^55uXKuKPEl4 zva}OZb=xd5w2yQ?@OSuyo!G$el&+!`52O~2JBMTEV zE0Kzsv%8gv8Ihuqi474mk%}~thM6&un5mWP&za+`|4|17gvRvW0>~Bde`F-b|42{Z zOF!srJ+aIiDMK`+1Tj^NJrK|^P9$$Bi`#f(o<;y{rhiBmn;Rq+5RliBAzR<4Gy;T1x0ZB}>;ep!#s}wYu9! zo#xygBU|T#!$HlFL5fi6Q%k%}inHT{WH{GyZ>7HhKw7GW>djpV@`ZD#CDPhSz-2(4 zF>$WHQ$d$tVgV?z9oYUZ6>5#A!-$qcCx{7=$`Q_^h;n`K5;U;t?!s?#eXJ1S>hK`% z`@4d8RDAM%Hjjk}K5p^l z{$5TS(InfB_ltwzJ%P?WrHoj>c^6|ml0Aj>Bd5Vd7ZP*Sojd_4p1b!lUaBWY%=NGq zkgHPot zsF+h{h$?F$4}D5UPWJD=^lLRvq9nXzFSXVS8^y3e&EQg)XT9MJ{i#)~0_QNtPJegk>X6BKU&&yx^dhlmCxcgw@>1h8V*D{NpP&xr>Tqw{>a-wniL4eCOoM^TuXY1x$;7e*vJy{m z%+Ne9^Aiq0bOmVsRQ4|akc9f!iMU(-Wcayc$Qx;PLRf}va1M$8Zh^8fpIRZl$YJ;s zbiYEP@7akw`bsbqvQz5YFES>;Jk9yM0kM3fmM7zwrI$1MRU)S_fG$&0%!U;#Xx?i- ztNWqd0}tQ<>FcFnD;F8pOMG7Qa@24b|7T>#`sTLPyU_3b_8Z(&Lu$YV-Wr^R6N-D}bd*=rG0Zw>I)YN9F7V7rZZZ#8 zU-bU5sCZ-MD2+Rjn`o<*7}Bnh9*WnAQ6a@!8WA!muf6&k)s`^^cFx^LfmKRUHcf#^ zoPL!^^PhP5dXH5FLNl4~>)e;+_W+8hr>L)`IzosM$p*{ISl%hNvu9I4_i%R6B-<@y zLyU#=*Iz-a9V&!V-l+J+%Nt9=uJ428;Eo)wf9vd_@GLK8;^!u!f&+ z;4rc>9~3JuYJxN4tYw|oeQ8HU4G$02)iImxS&Oo(hil}f z(=O;fPb(?wB=k1p8P;l#G+EY%j(cYJ!`08sQwc*rqwTip9iBmQId++VYuX&0XB`S7#kOrOj5KH%s3bH9z(o3F$=L={|^0*tyy}K z1zc zf^HLQn$EP)BTn=37~}Y=8!l#2!QJLOWNxlCF00%ti#L)gN8D^JeKoOeegO*~r8IY_ zeP=cYtbI)m2UvY)HU%`MWww2NcVH8I=ia={xPA{TWM{4|+k8c;?^TaDbi7HdFtV=r zcig2vTn!mm^<*{~*oCdsrcXM)&ov}e#|sF5JS@eny!ha|{c<0^ao-qG`Cwi1@A&M| z`Q^R!)fSsXk}i_rjrr+q1Xa9KmjMXRjQ;{_ih#@-GVI7thOZt)tCW@g3$Mc{G@L3vOau)cZLq1I1JE5|8iK3b6JJF3oG9d}m3o<$fps(#QKKfzc?3ffbS7xgJ z-eqv(ow`W5oTVKi)_NT1MN1ROHecZ)DH)5n^~Z9IHAbnO>WVG86Si7X!#_&1AVUJb zO&#|-r6R@>!-p6O|G(E0(OqA=VqO(Qp&xk8%`{iw_HqmtGLoeus`0aD1 zS5{Dw=853qbjH`g|KOqXe=LrNBH5(cYq1ECEoYTOoR^*56wX1GL=h0r?f_a2Vug^z z@Tg2-oCf1D)KG5cJ+4VWV5ckbMig_XrX58=cWGB@vVLo-aLETjC>YV=41x_y5i2;M zLZXWH5#vJdSYbc~+hKIgQu!mdkHB{I!fsuKUf&6Oco*z_8~a>vB=*%0LUyh~ULH$Q zhh-6xuTI6HTpo)-ySo&Eb-XGDO)(6zlF|H0#0-9E%P|MpipB}d+NkruY1%!01-m)^ z_`x^W4F(AGHa!eVrw$RBXN#ufKl{Ce-7UQA-Kj6Jh>8$E?4rAgd9S<74_JSI`vcG) zT$uHmFYLt=S4=~yL_=3iFt`&qqyyqxVDm<@j#fs3G{5AZYv>=YTyNHqx4Ud4Jbl=X zAFdi9O$i+0#{k<4Jvq5wZg?H20d23G`igCBoM9baKj8ksD@RyI+Yh{c!2N?)_OPrD z`e9sj^Ir!rZ@R3yH%-i&cwlR0J`2sZkPZ>SA!EOK-7%p}L7I7~K$|-#L7RDh&_My} z-oW;U8BdP@oiV;o%?o*#2ND7}sj*=zIEuAXO2aFSmXQyDwtguBs4MZ5LBATF_%$^g zY|WE#X#{a0JTWnZaP5q2uLv*sdm6*CT|58GKG0kUmW_{omhRBfH9dMR(*1MV&BYte zh7aFu;*<4s4yEEnE6N`_eSSh7wp)_Z#M^N;3lb-OFv0hz6f{;=dB{z&~0^ z(xB|zNxsr*ehUW@=quf1oHN-0k(@i9GH5)Q7A?D;=xubm`F1ssCCh}rO?wEF=7*3m z7*AxmUB?iI!J4dUTdrD(3~Wngh>xuFxP8n=W3KPE+km-Ht{5WzU0W$f_=zpAXjmUf zP+0p->&;P0Q9PQr$u1mx5zSlL`w*w+_ef`p1Y4c!bbIBqkdU zdri9m8qUb6m4d+I(n$7Le#{^)OAOBfAKh6-iau%xBH4!J8)4)te4mI~qjY~oz>AGV+#Utx~L@butSOqUP>9kN2nIc={-P2uE*5a+h5Q7 zd6u2)FufyTakRw;l9qNuP^4TE-0)P?69*~~m#v_ZeRFtSiwo6aK+tnU`{Y*5x-4F> zHzHm3aTWM-#G@szOY=76NnzVTv<9nb$)vU49RoeG8)a8{J>IC)v){D)w`v>Qw0N`5 z0nE<~HoM1r{&P!5n0k8t?l;abnWAboXoqT#_txoo8XX^<>sN{M1La-k}PJt>+!bxM|wF~v~hCWr( zs}R*^x@>6aej#QO1)Hwjz3ahfcpn8ttly(l_EF-KRo9crf1S@Ag9Na*6kd*LEG86C zmNUIbI#r&-i6NWy_ZNA|mn&?f|G4y!VQ2HiYu3pAS!;Fgw`(Ig`uoJj5-7R8c*fQ$ zwJnd#P$v&eUdR9Cg4026K)J=n%lnFJ)MCB09!bpEVyGp<;IVp{gz-Cw<&7SYNRWXU-VTctxq^a8bWMbD^euA+9fbbA}+YUeZg;R{JJo8G7Y3+ zsXt0Jk_d&eev-CkZ{@+TgCUc0#H(^;PRi6WrmE+u(xf~DvV@NhCGqN(vPCW`7bRLG zlwHoM;zN!aaletM)nl%anu|3>PbxA91jRAUGNtfiQRPO37l8U?L zMp2Vch7Uzi(-axUTSpt{Zq3$u`>6w2p_tIV5sD^}@zV~lMb@EjNhJ+hWTsItH=}%x z^5P_uMb6BV$Qj#~2x8`W={N7Qj>(|pNpZ^U7e&v&QR(cJ_JBmcPD<9JOZ|t5Ak|4E zldm>R8F9E8GCbgdH?!3I%BhOlqq2Lu3-7VXlu5;i7h&^k=k>Si z^W*LnAzY>=z|$> zr|Qs5=V0q;XJ~9G3JYiIpf$JsxRduN3U(?<;67POKdrI0A`Qj8qI8jybBdxgDGN;r zBbsr^pzYxabt5??b2{v}CVyTeqs(DhO2+UO5*bb!n7WFKOA?AI59zhj|qFIS7R0DMeH0g_azXKwtWmPTjbKEHSTaaDKpmy3P1j zdECMbjdqAW_MxW>&u83$RAf>G>+_I2^2bIxbDeS$)lQTExvR%68bA}enT`xCVAW~YXfRpGP)5gbMyOX%#ZhWkF@b`?ENopL2S zqAUF=*4gFhX-S!364?f#5(v&)(;G)8Gb8N^rBsbbx$fE%)A;*1yUGi4J-6$``2%7x z>O%tcrfg5vKi)Z`QO?ZM&8N0;D_uzSC zMZov;9i8h-2W%HkinZvnDAsKwKtJQ>OSiacq;RY!Mu-+~E=#N)EaKcePRCU%yHZ+3h$ly^RRu7e_ePwI8mC+ZNn@-Tq zXL5~}QXOUB*(*>#Zv*NYN?XhHoLgzci>M*A#fI&vHP68`lxRY!839%)ZUTozhZ~?#lII0SEMz@>K~* zJZ3bSY#d9R)Mdp;pwha*LkhYLs%33bWxxc`EKsT zCsX`l#{Csol$<`+!Vhz^`uN0JdD-Cpji6lD$gU}=x;vC!qN_eBmR=&!_^_$C1Euoi zQg6hMM;-bw1pCAt`WT5H?!6B1LS+DRTc1(FcKyx;)RY}i8R?4=;d>AUo_g#S+9ok+ z5*S*aCbixu`^8W0$a{79M$+}9jw>X;)~bBDX0E(XYLs_UO!P>;qO*L1kLMBJe~Z2f z{fDQl@D)unP%8b}dPIQ|ZEZO`QYxAv&4P>J$}pz=z|VwSFy zSvfLyXmf%YWa`RwapQK%K;+?`Ea0E3 z6e8+Z4^%{RT=9V~Pp)Vlp$dV?Vf<-?(i5aBAT=%_{aO$5TYAKv$3H!e&vj6Y$J22A zo#=@2tbF2|f##y5KKU#nE7?0o<|_wh22t_LXAqP*{wro|CdIxGX6bwHLZHZHbF&vO z@GF?~7(50T{q2K&$)WflZx-3tK*o#b5|A6$yITn4s-T4J8ERO!qTj&@8nz^lcryNzbSGQD{thFYzUW+Cw=MFhFPt< z)qQ@E=uxYKG-5T)p1ZjH#$ws9sl$*&d8o3*F>sk1Tpxc~Tzo0HU#`u{E_ZdOi@X9( zyZywu{Xzm&((v$zqOu>7ZY(Di3o%P3YD9f3j&S@;MC!_}2j`)emI-fal`JIAp6ie@ zQ*w1<&pDA6{&Rg0&L#2(erX-X@KGDs zl%%SV21$1HSLRE1)zIjL29_u-O^gQbXDZ+JR~83>A^TkIAE|0pfTi>M&B416G2wXf zr6QE|Bk4NETLy_7!LV>;k%z29pAk191NoDP>>YpwI}V%Rfs0<}k?`=D1a@QNSZ zzRYlWroC17*GEahVrKt3GOh_0gI>GKe9ZItJk-V&aEF-iYpwp0yPZor9b&0cB;yjH zPZ*1nt_C%C%jDuKZ}!HX%4l{E%QhY7+&uhR0G$VI0_Dtuu)=VDPclSOz9-_^A9f3w zyS*($yi=4pIlXMl+mY?Id8uim2KG}y(!sYdkV0||_2FOQm;%FxoqN++98axo(2((N1ieayqVzmX3G_d>V#0>c@o?w{3j?w!?3%R5fj=BBYF z7naO*yYT759(T{*`*irImc&rE{^+u3`!W=D$1e)Z zar(b6bU1eU5Uz$TtJ)i-Eu!Dmz0zD?zbvASYQ9%3+uBEaEQ#?C80^-K2h=fN2{j~} zIqq46FmZP*F9+~P*$ieghsA1Yx-|Ln6)|#8_(NSwZ!vjR&uy>PF0G1Kmz!{`JI`jq%SSJ`YDP?`B_fJ|%q;w#pAhegKtwrO32(LULq-HP+ zkFQQNdf%cojHMSt3Me8&*>Tuk@vIMwAXX8KnT<}nJGZ`Kb3 z_;6?H?Vm^-lbU6`vWVw{gxg#KCjaL~pnU+&x$fYauA%dTw)JB7I?;yQI^pklYU-jtAD<95qx{rT^%%OW3C;Y3U3v)?>~yFQW8Wm zueN)34ZiRF?YC)Cf2Z(YFwKQz}t}?2g|{kM+t;oO+{k`XqqW zbIM-(_*=Fp_QhK?%aB;zqiUHRaqauVPu_o3>8wxeNCa7sbw2k^S?#?P9?Z|`n#q4>OPs%Bo1E3+MK{1r1&HZbiaL{13I1# zPPyMHK2-qaxFnLMFnc@2U$OL$J0bi6kTYRlQ)}^6Czl)ce#SlZ--=veEF4Zqw_|J1 zul54+-}U;{3Q_Or9e!WZgQgt(sBe+|udEdgEuYJ$ZC^iq?BL+OE4N{1TYtyi_vY$J z>S4foG{9fxH2PgYW9CsIj=#b|Awd4CzPsxad0N27`?DqvahkuQ{nPz*rtw4f{c5?zhA@slkD%Yj%3ikHf~fZ>N%Kr$ayyvQPN2$PPA*3 zRQ%9&4}5}HpRfssz53|hIiJNAnb`jZ$oq)<(R;sD5E~ml1b4X`{pwd zgN_LzlC*RCNcW0K(-@-iOx=b&q9;1cq^e{iP@O)4P-4UIiAv&e$ZbI`z{%9A*my2v zg|ktXfgu*_2qc_fRT|xgWU;V=F(P)u;M~#Ju*zU@ z;QJDEJi=DE$#_}hO0{KC$Zh62REkfd%wiV>XhL`Zqz~KmpknT%P3sFhYJ2(l*4}cv zn9(L@x(U^UT}rzY=s*HLZ9r5q+F`~q3_>b7bG)waA<60FAHx+h*tiLd!z?r8fGHAZ z*=X2uHuY;e$bdbCU|c8Sf|1pH^Zw(RxQ?AT&lkd#fWbJ=8@{HA%ZShEuiGj2!AFlx zcOL@gKT>a3=-*C^wrI2(f4=T9`{L7vFcv_~3B8>(#z&)_#48%T*$&aN9G`KiJlt4x zzz&Wq+2ucDva^moq#6+O9TcNFMi|Z*xh+o8_(&76BJnzLrA60W=vPhBLsVg%C$*Dw zckhypF|s-nYqwm24X7l611bc~G}U1@ptZ_cbH0VEQInC0mt zBeJi0;QkjHw&tfne!T%xdARg07&d#(p*;`dQ-W==nYw?XIm=Etx{zgEA8$Nq4$?17 zzay%1RqQ!2PLuq}J5qeXWlKr7Q^gMZiC3O?3A#?-r)d`y=p#=QEimnHyJy`ZX@B z!v(>5#@*x*xJ#X8!c)`uLUnl6Co|qs?_(@$*O6g2E;CN*8GQ@z1an~akK6YFbztU) z@siT$C1wew2`(DZJ_p1yEZ*@0+~ZG52U-B}D8xa%q(M&<(R&W0RcMuPaSPV*4eZke zX$sm(h->-vSbaJ5bWbB79K=<{=b|A}~Mm~!9|i@Z|XDGm$%Pw8B7xc4#! zxGDcvKq+ahXUfR`uAmz}Ywl+J%x!>pH?B?o(tds!!jzpx4nPLj17e&h_YwJ{Lt;T~ zDec$(O7X2>tBLMxG@*X5K>Og4S}@=)M+LXoT!f_~LtOIk^0-Fi3d9htT3^kOg8EP*_fUqtOxojNrakI-OHbm|Wo0SBf-bI1cF2m-NkSuVYsakMc~6h>-4@tsBVlK5rW zpkBd28@qlt_hntA%qd@1h79a~%m^KZD>8w*6d3rndpGX5#g*QZR{jqn{aDiNZ|(Z& z0-Kzf!AS&fj-%|08gvjY@4%9ILwCKp)iTbbwKv-0Z+&S?K$^-G{$5{~{-1YW&6Q3~ z#(QDLXJpe*%!_u+w@3X`*3Q|_5OWffUqp<=1}l&kq$ZDji3R4h7|<1$L^E~~;cT9g z$>A|bU_CYk_B?DnEC3$mGn++?!UK8-xL5?7_eH81Z*rkakue%!&sbE8SCs548OJ!r zzP4^K2O>D*(NbF+Fuz#|^SVpbp$tz0CJ8aW>ODwzSt^lL1q^hig zA1h*81kuw!y%`dYe^avcvJA(WwtK`nYwp{^Q1(g>>XB#F9M%=ga=dLJOfTFNHF=Ph zdscXmjO0Wgrdn8Cu|)2ay5dmbM>P~XNA+40!e=lJr5bm#Ew>3*V0$as4ky@MSwzN1 z1-^<`H!FlWbCbwm0q3Cph=FH4UdRCgODtVCzyxs5S;&{|Sf-+t& zirrq#>me{9!mDywxf8=Xe}_*V5K|(DeCaf6rr*k@ z-6y1iaVM@*Yu2l&FG;AYsxMVqsD@byT&Bz|`nPWjaH62TIUu{kMz4;OY>PmZ@^+`2 zIm1VL67EdCdyPVRjzW5k?)*zYyibU~O^8PY1HBNeuH~;3xUTHrexaW^_4w(CX^Ngf z7I$Pqf8T{xem4#0d!g<>hXgO?;HL(npWAs;M>^f16Z30b4exJEW}3yrDi%h9k;1{;#FN<6?N?gpBQc47=WHpzO$9Fids z@sX?U_Lqfc6|d%TIep2-FV)z@ZIT-E&;+y=-@%i2WXM%Uyy4${@p4izh`3Dp_Ur|{`atmzc5ng!}|#;eiey+q@AI zscO3Bm5-8)zSu>g^;aBO!q&C~GRQhSf?C?ryUiI#QiBF^3|LF-0_9)3oKaRUcEnfG zAi@2_hVYB7W48n9KV0|Zg%d9yGZl1&!ALQB81=H-BdlIMA+8w?{a=JZyRpZfHG$W= z4;h-x&k+4~VxYA0@zrp-&oc2?@nXXH{TzkcVx>T4H7L>`h4}^$5)w0sm9xDA-tW7>}=u6== z151hMQ!rbb)w9*RZ@s62W~Qx-G;&Hdlq#ZAg9~4#J)jYyTO6hSyoFAZW*Q4xfoA!-d#)DTvZH77Qx9Y8E z30!Dovno>d+^~fl8(=t()1MLPOCY6xHzgvs3#`(aN`>AOAo_MTuK&qoT2TS#xXM}r zz76T^wG_Bo&e^gd5O5%J4J2)Z_(~M>O%n5s67&6y6f@=iFG0t1RN-fAcqs!UcX*D@ zc#Z~x1ybb`H}34XyY?rU=BngLhchxRGaxr$gr8uO@JpCS_@RDb7ZQbSd#7obCiB3hlr z48Ao_lE}9zh4I)+Ysn1@l5qMipawi2U4zg(*=1XIId8!uz>rj5&C^i8=AT?Mc-3l6 z7tFdDE=|lT&`H?_=zj*gH#$l%i*;}2bS9(wH)Bx{sA(e6L?1Sz_vlQ^DLAYQ%PTk> zB5hs~h=^gcjPUF+5gf2R+YPc9zJ2kRF!j3xHCtYbx`+m?LGDhX6KTosawoTEh#*6_ zf)n_6ai24(Y;6-k7kQd@@!YK}&rvKy?)dPSpI8}h#H&Jh`kjZXeHEc|5TSDsk>xn3 zC&;B+wh))C6$y)ZMBW_tVU>>QN{}m%5 zOy{D4GMD4CtRuu|j;yn)-Yh*xa&Uf4Pq$wk(hD<4bxNKjb|Osf{p49;T1TW)Fug;e zmGP|2Xo8&H5J4M-T#!TpRYNCE_G_1qZhuB|&_`>KJ!BW+^Es1A8?U>km0a$?2D(qS zVx>-&-_o#f?P>-dNpAIG&E0-C({~+cPW3AWxKQey8&ov;>Hfz{N3ejyJn}(j@PF_@ ztCY_ha95T*0UJPbXm4@Ah13szprSUX;b46rJw46!%MN%fU&)HIR$mo3&x_=}Ile02 zVhR27h18D%D9JkFHY7V{{g(BgvQf#8$;*p;C`nz@^V;osMD7~=hK7Yr_e4T4OUr|w zp@Fd!6O8z)0O<@6FLon;F{rw&i~w6%+9b(%Y&>Y<}cn}&@vDa=MyewPjS7^9zVz_T6QESuR%5BbiPtp_+Y#ERKkAZs9laGpY(KbS~;bbHuZ z&VD3(zmo~vPpu=?(SMOgzx)x+a6sRrLOw22?3RSWL7Bu%ZkZkYbrs)-^I6_#{uR5v z71GeLcS5&MfZ@bQENQ%v^ZD*M`f1CH_%{MlYw$l2k8ukX{Q@5SLLTjzA_f>H@gE}b zpCa)Wfg0|JZ;$1re(u2wAZ1C}y zDB;fTZYlaiX1874hy0VXRjW1o`WqZSbzHD%uA^{%&BeK-s)$xjf-Ci3ksWCOc;SKi zn_)axdn&*CSNkLCnZb6*PvHBx4vwbkzgWABe5yh>VdCax^u;&+W8Js@9zCrOtm`Z2 z!^tU0Y9|f1Xd9dF7p4Zvp_+U>ucYaq#-TvlVb^6PkJyzSKgf&$hvmU|exN(oUES(( zRGRsi4t=OCGcoe8kT}^NqOOil(>{=}^lM6e++JU;KNsx!zEmk^cVf>7cnQf*z(s_B zgO6W?JU>C2Q#6saMGQu0p3*`f`-}BSR_FAjc@t&r$7E&V$oBmU6^cmRp=nL$s;Vt1 zBKAD7;oW8zp@XrC!^Hz5<)f+ZeBR%9D_|I_%cqEAmG*(d6$S}epzHz2=r+I024ou@ zc5^$-W6om70tL5iSMRdo-fK8eaD=zlqAM?nVOt)-2bv9TdZ*JqIc=!=V@hYFtl759 zbE~~sp_~&^;c~O>iiw9rAz0QkBu%16<4DuK3P1sVc!p~HA(VSuVuz)zO3+^QA*4+p zl1(!Li=_HBl+yE?pKs=RQ`~IN)jvP|jjH>+^R%3aUm`BP^2GC;>!(zJO0VHi$eJ3Q zr$3T&p_%U6aknk)Bpm;1h+pl){V|cEZfpCvW_c|2J3ysJb#;96tYtuh?Mo)|8^KE+ z!lU`MEFx_C9Hp`-iPPt!aXGEB$Sl?}e4F8LGq=!<&tI}xCOShEm#sJ2#oJ0w_e-sR zej+*qKh656vYJtauj?1pNAscAW;W5FC;lv#>JjTV8`a)*j6o&8aaPK1uXn0zWhKzrQ_!i`A`c(bdqp2PjHx%?xaW z{-8yniE6JaXK*@fcTziGSR50x4=PnCGEpOu2?wDFCm70tg<|bPK!ZSkDJ!9nXXFc# zLFoEhGVO&!ctl1D$R?h?PEY2ntbouPCHYt!=icP{Jh|vO^_)_MXns!|GoF%LTbeFZ z%+6jZw%n^{j8%vinYR@XmJ4m444ubb;i}hK2h=wiyS*>Stq#vzlE~j%Rr6B*DSj*| zEnQg&eyo03Lc6tTr54y3^Z89+KB>!?F->jU-bz{e+oUb7dP8Vtf0}+@H$Y{&@+K?H z?lI~FR19F_Fsib|QgDv#

vyq0y5nck&oS?0a~jZOG$3qpg4pDOt&m*;W03QeJ}g9wPCfjEV~7nn5TX0 zH>{m8a^r~8o7J7RMBfzQB2brIUaS9R0+pZ)v&4C6Or39$Q=b3&ER@bwRg4DyhtSg~ zfyQz*T!EjX3fPG>Z0;9Y94uvsj2nEFF&?}a9?3+G9;;F~Fy%ZD67>33geY2J(!5Wn zWl?P~Z`F*63N-w73cFrOcIxl1g_RRQnpi2NC>mH%_-cZzHT$`CEL_wM!s5jSWY+H^ z0}v$VeIOV~R!e9X^Kq4~v{|*Ope&q0Lt(zR(X^I26U$DK3GVeIMHiT`HknI`^>zFU z{sN8tTzTqvv$?!s!CS1UTKwF17Xxn@ITCD3Vi38b)7G!o1U=2fG)H{K-k$Q}IjZBi zPRFa!fI1RTl4??$flfP!aVX{-s^o94`$ZvdnUgpAh+r;XMitqTzR>DeB{X91vqEs{XZpwPk~ML&h>z;D>O{ng)P zkdnF6`v^ztOqaeJ$iK{d-?doeQ*6%Apt47vnVuRrDeOd^6Rr>FM8sJT7KrLHj-P86 zTZA{Aac1e<4U~2FZkdjXbs`A(idO#}VB!e``^}S7PLN2g50y|)s-6RvA`g^8uF{x)AZbX?rR{gL0E6@&b_CIVx4bCh@&tiD zwepnbN6EC&R#i!vEBt-hkjJajke8C&-iHMqJ~%ssCuIEWod)V0Y)2vyLt|t$LEGb3 z*-&S1YHEzc2ReB1pyb|q3I3vxc2%R3qE#3)O3uqW>%D5v)ic{CnX^}l#i6o zS%VGK$R>>Gn&GxY1Pdha`5K~@F5rn+KhdwTIzYsfH|&u-BC}&RJTHlpNR^UO|1Ktm zzf=}wGfQ%`#=T49!o^f!B+8zuUNoObk7qKO9 zPsPgq^qN+#pb5jexZ~6#iI#&>BENF)-1UA;Hp5j3k=9p^>x>pWY|=pP5hivB8f=cO zisq)3I@qChkJ))QOKepO(kkytJ5h4vDy`wmKb6%32ET_zfI}lO-nc8XV;x15 z39IznqmcDT-P-*t0=FZEMs>t9l>NFY3LSSi+m6-jHX2bj6Xz%SmSjCf6jjbZ+{!4~ zFvsEtZzuvNZ;CLsx-n#;8vh<)>ov6=xX_4#-|91&JPU@z*=}Mqu~Dj&pI#zNk%^-9 zCws2cSgcEXZy(WeGxVPLZ?%BDn_0BYVMh&7cUUP*sR~AqR~)YfTCY3R1lvAehBNS; zJ$>#zOe1>uPMgZ#r3-93o%+nfan$>g!abM?Nv12LGHxmvgdP{hU0&B~&CIOjEkfCz e1*u;jOS6I{rz7LKS1&T literal 15018 zcmZ|0b965~)IWM^yQj8o+qP|+r*2PeyFKlU|^$XWTIzc zU}PpxHg)=AX>3ZMU}$Vjz(}AhMWAkKL?CKn>GJcX`_g~xe*I!(`5*Gj1>t{b8Q1?( zcc2R&m^56`EPL`AXg-Aj^bzAFF(WOQRDcoJ_F}W@Jc;p^|36@g@l}$a++U zJ{RQW>bJG$#aEAd&+X4wpDxLZ?PphS5ic4po4VV1bpen80TB@acO#$QW#w(<_^RJ! z&SnWvr&3d=XJy;ppVenqXMDWx&)3`E6h1^$vH8;(V*2@PA0%bGLY0L04jkEh;xosF zd7Uv$2!sDb8J`@f?#I%wj{5@{O`}Xh24?6f~*uHbkIIjzsR|13jWLRoFF&6jt z&JGrqHoe&FGyiS!aWk*-)eGk_fQ?-$zQo!K0#l&PI;zIS7#U*vd7JKpp<{@E$ z2yz*q|1BPF_u!qWoGrq%--KZ&Svhaau0AO(XnYi>r z_Q*%Y^oERm7I@aLnHUpYfHPiiMQ9cugh+3 zQkMcW>DsHcpNJ-$nNvT|hCV~Oc0j#j2GEoBmDhb9dY3*1It{nUI;*}TUiMIiD8;Pt zOtlHd)@GliAN_R}?$_&@c#0N%Qei9g8)c1u@4*a^eM9Ba_pdpP7UQY@!Y&TTb^XPoAxRBdue@%Sa*(Y& zh?1sm18ARczfxh}C6pb%FD3(xWh|PmKFdCOEiJ~+Z?_Bw>Zpx9gk4ZPm=n`U-X;r? zIGC^R5dg9Itta8|Wew$dO~e$gG{Ylt*XI-}Fnt&Ld_7oSTXGIuo+`q>=&i!cqCCB2 zm9qxjNh)+7uSM|-`)0>tLS7p#U}1b^{I~z7Jq}VhdYtd-@n2ST0;8&fOq16kWTU^% zq6UDpaPIJpTN1_t#RXIyufaIVzd25|A4T-_*N?+=o1tmj@$SmG#x(-l)_$*$r?Fa1 z^qa!8oB6nu&Xm3cMC*}0CzR*?!XwLh(tI%$_>w7b3FM1awE5DcN#{hIpl5d{9nJRXM@;u2Ib{$A>d1_WLjnjaxorgh)IO{_meHT<98Xx`U8N##6 z1b9~O1R{b%G8o*qJ*BEh6U$lB6m_}dJxt!Wk~-dq?CQZ`?NVziW3Fz>w3S-62st(G z;_=F@L~bV>oo~q(wtWy$BuURr6#K`mkJ;;Y9V+~8{Fy$>%t%p@ZnY8A*=Djv&g{d9 zR=R|z%?EkPZ&=n_h|Z=FGk|0)qwx)75xa$A&N(%>Z=F$6g@8y z{J@I^8DEjli)>P_aLl(mf0l>tCr3M@6ho(Aq?P~H3!IWAzqlX8Q|2)GKF)bfEkCav z`mlONvgKr$BcY$~@PXUvOU&!*ExQRm+imFw2m;O42g7k}a5i9HN1_X6pZ+wQx^5e1 zd+}x5ePrB^aq#0{d)M7NUH;oNPu=OuspJs`NffToC3NGAw^g|FbOPPnfD8e>1OI*% z`{2=;r{1)HAN&KbmbkRztP20~kpo6g_FDBwzN@jkPQL87yBuZj%t!mgIzBHdE~P-Z zd?<@xkk!^vJze{Bw1+85Ky!>KiZI7&-~n)WxsXLjIS*&eZqi6EA#3)Ozk;lI51S4| zV;zx=i1WJphufIYMLOlWZf*N#0GWmdm$QF{5?A^mDQNc&jYu4Q09-su$HV^}jpA1A zdX$Who@C-o`Ha`Wr-?CQBX+sqQSI7eZ6!$G@G)6@bvT&1IEeT;voJAb&1koV9`6c* zE<}#k^muRCRM6<4W|NHG(-D=I{Evl8!GEgPzYIMQjR|MU$NTg#@)erf?#uk4`}*LW zVN>bS`{3M-nDw7R-^KESVGQMPzj8j=TTtez3~%9f;hY(^T7N~Wh>%8klI(NhT7F#+ z8sXOQxXL#-o{Ei*B|G>L7T4b%*H}v2HH+%~hVZ+_LT6{4-ME=5>5 zvxRgRRXS(htI3v_e3&KSrxY<=HGs@EGEb|qm7UoiH@hWZv4O3KJOG6thgb3TyPRG6 zCh6_lGWRcKq`S;67QF6b5WZ(|e=>I4?WVvl0R?WR}4@ue)ur7s$o5T{|!IakYQJ&j_hmD6P-a>F`aBzf;H2ULO$7wnz zXF>|1>0MCj(93qVSBAd`v{X8Ef%8Jvf#X*l*j1R?tPEU?S95}i09`S@HY=N&+O8%m ziyAhD84Mh3YuKvV8*Ak#7Ym2)95!BFi08pweKgweRB$~JS)T{NTob9a=Nxn+S+y0on8d8NOn#*dQbpb0f|(T2L;qg2<*uT^m19zgq06p z-~CWxiKT-=9)9{YtW11(E{dxMZFm$myF3HPwr&;$634BKMf>|JwH&0AMGMBlFIf4P zL52u40SMP8m_&oJKrb*6lta7-1Pr7D5|n|Ci57vl-(_C19-b$W5o}HKSA>r~9*(=Qg7HMLLpP*C0ho-8j=oVmYG^P2Zh^IG|ro|$Y`4L&X@xtGeKm}`9Y$+?$_C9hv_ zoC_Ro#GeYNE0>oT8GkpYru;X%eo*y;>>tGZz;{U*tIFU&v(?F zAuoEhh?Hxz?{QWt|G?WUV;YN~7uNF<*4kB>gMg}3m4V5uGj7?M#dojI5pCJpAlWyw z^ZO6Hy(9EsT=V}j?)d%{b^8UvF!T;5upt(6>k@CP-N=Azg1AEb;YA!6XV-9I3X!?- zdxahyb%h@32QWVX`@t9V1-dubQ)CD5doZr~Ib?+X1nU}o2-YRKNc2-=p4fY^V*ck| z$*iycf`u2!t$6z*OTm))DOIXIU~+aQ*o^_M5)5>HMoH`^Qq^MlK&v6u{Gy?097Fso zk02Af;qLffA_)^%I|?1dpJQ$K3%O>Tl`LD%8tzpm1Fx#zG1rZe8}(u_^1$`v*}AM; zHLKXPtfvjQ!?Ln9zM|@CdjA+P3i0@&P*6@+p_yq3c{&}{9l}!QT3`W%L#g=iq{ByQi+hrF4+X zADjLh1hgukrPddCQkHv?3SGLV907l-2b(D|bT#J`^Q8QKV{S7xR&Z}fWYxC7nTH1u zK&(bgQIJ2AaiB0C>MfMx-#75ykdWA*7Y&XJ2)Td42*fu>z;Mo=GGLy6`U!ZAcgMA- zT=hmXPh_VrUQTH}sviW6aU@+ro!f|$XfTRyYn=C8fr(ck=rHuo^PvL}DxO=UU7s`L zm0OmBpchXm5J%QH!P4{ymk6!g?@19nV`U98ZOaH&1>n9I0aLrVu#)sURrNde=a z=DwFKbK;s2XMl8&bK^vYnEkoL>UObO8rMkd8Q1y2&ceH)=Jw&lTf!?b&1F0Au3>%w zwM~+XM>KLv_Ej^yEg&O19X_M2y(2W4r}*qiDvP9MQ!o6dT94q{SbgU8kyoHOr({*hRSm;Xq1wD@*c>RfX|X! z#opQy`g+OZ^s52VqJQsWL%}83@T^>KCliL--6CxXLHFMwX+jPp_Vtb)CsDkAoi3>s zHBVyW@8NpFzvo^2%wv~Lf7R?+=U>hz2)(pc^rdCvSJs9BRE5mAMHo0 z@;T7d%=!Grr>M(U%nzmO=mi1V@!wrTtL}xlMp|)}g_BwqANtfpSxIb^iCz3MhvticA!Y2L+v+ zCm~cYQ$6&5znO1Vpo}09f&TQRSBW5kiWlM+g8knt^pwJd6v*_H94|w$v~Q84v!J87 z=GPsQvree8asT3=1jeD#fmmcmP~-BU>c~xyXPku0fMija;0`PTE}+sO8~(+m3(N<} zfN^4#^K!hLInk&dOk18D!zHcum(x^h&boK3ilNLxV`^D8 zpe8TY%vl6ao{g?36@v-RLUSfd;FN?_h^6~sU{aR&&R}yH7MS=F!@Q-l=z&oG$0ih0 zcMcWyhYiH(BE4*Z!N`o1vVx)}9CNH@si7ff8WvhyP-z6ArbbR37Fzc@dyFO%%Gmw< zO}awX5_oiF-2Cx?|HiBHt0VP9nTUq?U(M2O;R`B-U{Ps3%ph@TT?J54>H{JY#~h+M zELFV9|FpyfGAr~&sQpjB3KyGE>%tq0Qt65ui(s8GQuu?a!?FC|W~xLL#61-Y*Mxt5 zm-OY4Rx9=A7t+}U=KXdO{Kuhyq!~>WtCpr3UK8`HUa32DMfFEIHLj)uDn0jxg*|+R-eR#TltwN)iA6%C4EO z>RBgkPYcz`CJ7sP`avg${F^m?c~R1zYNm#)I_|WQW;c~QgJOo^3c8iYNLJ5n_T4yx zp`!Ghj&=--(RmjomHccOjxaq;`a5ZY9x1+)ELy$gPDCw#ue|aFdlW`8Kah~ku#h$P zj#;u@5c;lTGBaNicFr1I!(M;>Bwt^3Xh>wXzBKQOHqyBCOQC~?3jXQo&)hy3!u9t1 zCGi~kgRr?R)ER|2%8gMr1RuNEW7qm;4!lO$033$=uw+D-^v45qJ^VxPDD><9FJ}NJAciI z7uG1t6$cE?SZ4ApRn{cEd0dS9U)kUO)+uVHho|K zc=>qY6Y!s#xhm)PGOoYo-xI{jN!-ft`ng}$MZ?|JM(o=lRkWOb8qQJ%ZPOn6LOym- zl|kla@42a~eUo^z(Yog}cBK;&ROD_cSTmi9bKeu=@a1{rit2Y)n5#7>rJkw7%_P;G zc*b%yt6LOxnnaqPtZ-UvA=JmLwC(`C40!RG*_v4_=|kvm<=hv;B)U_eD6Ijd%_v+V zNz1LuW3q=GhQjB;b_b&B9AI?$wwhuChk!~^o^T6g-Jdyo=J!C ziyd^iwlKbYX@Qt6bbZF?af=*610tpkCWieoUgU9DqEp;XdOPMr40XZyjsYi{|SE-oQIE-^-I%#IZAO_vK4W=4xSy#Dv(1zb)ys3og*)ky}o=i?myxA<6;O{b` z*5KTR3%U_zEx4!|%V}gLb4tg*7Le1kpD{DWSVcMkS>;T4g9b7N8r$=O@hL+I1|(6e z**ab6Zg+!~MgO9po^ackQ&9cA4BAXw$1UPkIkiz{*vc))yizp{(@#$|$!MH)?|km^ z*n}}kHhM-LDo8?eaumIFR1c;gCbA|3>HB~2hAVW)0*NxsR62<_qj@ojuffs~lQZX< zc%FRODv2oNOZ^$vSe?Qx1DGR*S5rRDrocIfHOmair^6zP#t)@VJWk5mYWs2~WPM#d z-;MV^qTNljKKKW2$?C`#(!Ll1wzg3|L-xYF%rjBJFJHV9*QO^LePY+RoNe{8y*F!1>9fevt#Z^PAL<*1pIaClA)P zgl$uQ;u&jW7g>|LRpcA9txawU*haKYm-uWH4BvFYbf-#pMpMo^>R_zi6ms(6@gFOQ$#JOIV>r6pX}1%QwqxaW zH`PxMmGA5_fb3(Y>L*Q^pZ?->!5e~#59Xp@$(gyrCz`4s-Qu_OqI~gNtjZ@#*>>4k zvC=1-s(t=jtm-Ff*>=?#x$-A@nJ@Ch?FIYu{b%m`OFnJOu-RP)U~T3GZ>>vz zRM>CzSnh0CC-1#W^!>Q>6JZ`LwV6Y1cgHKnf8O0W@?&@wy_m5@XApBD{h?Q5Fl~VG z#&eB`-{9D*B!=fNd=1d;Upfss;dk1G!BKph0cYkC;?ylU?GZ4um?uR)cAqDOEVckX z3L)QN{kE!Ri$&K?THC;_|CZokvnZMenGQa%nnhmjFYaU@-)BzY8xh)Fak<#EWxgiw zN>2WB5B$H@^f?hAefUH#>tfDn794RS?wKy;Eu(2%xF}$zT;+1jBkc-#%b?#(pxv{x zEPLU#CL1ehesvy2c-5kt`yYZe%uH@s^Ctq^Qg?FL%feh(uQN8D)}@~|mA1B)mQSs< znf@uN%y$;uLx5C4m>w`+ouecb*~GoX%oB;|xHpMk*vv{!;xXDGJDZf~W38k~fK(qM zJ$rODw{V!Ejx6Zi+JLQs*<;#%*Ag}{|G)+xlk{Jd^x5)-e*6e~LRb>Vq+{38cK1hv zqEvm(D9cb-V8uyQ0R{Y9Yx9~uvQ4ais5=>bO2=Kus6{0)>xEYM2pDfjMz*70+fUUj zx>P~281~{}u58ooDqxx?R{teXUJr+GQ$p*{fZIlvSPZ- zi6_3s8eNt$!oU=jpaXeX0%Ie_#&WMMfGQRn74H;L+CNm&LhojnBgy5H%+rI{Bnj6C zO(&0sCq$p)Cc4)h39eOTtxuP0&buWBms(;t)ZSr+pb zsV~Lw^P5@@x7BHq_;on2Z__nSgZdY#rMuTZoJpg|VnMh3{eq2Jm7z`W_;`|5F0v}d z*FL<>DLr2Wa1DMloea3PiL(=ty%;q59;e_Ii%UzK&zAxL>h3aAqx7TxjEM4$n)(9c zdj#=tlz|lKG+5+c$US&cI=}U z0H%IY(PuyaiW6;W{~K9x3qr+Zpnzg_8B!x~_W?(WZHBh_*!-uUXvz`Qca4vi}4 z2@(r;{>`QRp|xu{UT-5OAVhLg7=iOWGhCQROILYk zmyEa6@?h6QAM={IL*!4ddQf}p<%HK+#?Yiw8<4=|Rwc+qMcsuNxEN!MpzOuK6J?%3N<1J{OiQd|^-SK$G83g!=(-w2T$eKb!p?Of6M80qu{^I)rOa*kOl)TjTm`CKQ6WT1_`cByG-S8Matl`b^f?EKZyk| z?MbB?`gnum!6R{ju#N7zt3`h=KP&ep<25)KFB8At|D}n19Qh&XpGFU8Jvs}Ve+ ztD#>y6b-(G>c+w09Us0lnj2*8Zh3H-r4`v0I@#OPd<;Im5*qL!bRVisX0L;GmY_js z9*wvk7dn~4lIO~-In(4pkcve(taUxz!$PP!=TQep>(%?vc|?|0Yrba`YRfe7qq$hJ z!9!$B9a=A^{dvT-z;^ez!vyyqRrtNn!|7eMe~7!r^SZKy5+5wYo!AsP1#r~E4=t95 z_H1+Fi@Bt|x5DpULdmADa_umCH|qYUW97A>?#qYtJUXmQ+eOE(zqfxAHD}#uHoV=8 zYn?`yP1n|P{RcKU;PRHkin`Gs-}oihB;Nd@9!OkoKeU;x?T*KJa980pa^KCjRNqMd zTlyz#n}lyGEOhbyI4g9i?b!1n5g|JYhZyeLL%*lN+t1;2AM)PTs6qP7i9U^NReWr| z!jA&D!}_!%s=u&b(m(Sb-MRDm2^!)7`K(RPrat3e-P$ku;qV@ByLgWkdx9m1{Puq- z`mDCF`Y3uBG`=yy*#7t3;ycw{`BeN>K7>%1uh1GEJt05U9oqiQ@m}uh%ncISt}a(o?L-L~>8(|7*beUN`m9rnvT)jpg))qiYm;_Dkc zR=Czb`PliWpSjaM^s9M1K4@OsF8gs_s&v1kvwm=rc%l#K;Z)G|qyOIUMlKnl@@U>PBfL?K-Ek`)o0&svB~SP5uW${~RRL;kFa2UV;IRuzni}VJ~JM5y7U?`3YUfRcU{p%0=mrYq9l2^2dJK^u7_1dE~;8GNE;1QbaI z^6bT_Nd`_ScNy~8>=u%Qy@qvlJBF_eiWxn>NuJ~xsee{r#1S2QB5#&7IT;@-auDqw zR#8BO<0aQA{wb{nRlGTEz6%qofgyQweTSN#;z9UyGe!<-VzcC6mCxG_NhW|c%CwmI zqF@Mi$yJHV`~~XAVk01p*w8XC zaKoi3LE?n`(3mcQdbMtc*mC3SsDpK3-%~`;GUM1Ktc+J@#{9QOCAjFwW-&gS4!bL{ z^6lf%Eyvf*UzOOmOWff4mtr`syKel)V%|5Q>^_BIFGabh>dU^zaxWB&RU04u=)Kb^ zhj`2=N06T5>O-SGEBQ(uyxC4;y6!u;DlJ@^G!eI+ESbfIa&$OH=pq-J7}zQayR`)S zg@_k@mecMPVr3BcA<*&BIw)TnaYf0qQ&7sjs{ZmQrUS-h2C(nL zgt$b&(OHGe<8+lT5x9Zr zBbP-_pqqfcW?Jb+GY)bg6sUPzz#IDlW4q+q)Cwj-i{9MVBk(#JL*b18@fW~y@xc%B zOs)F3ax;V`)o)UW-a2h?ZBBThF~jh(O~l6tCD-6hS?ur(2X? zw}5sEl7ZG$cojhtLhDk(myA$`Q{t2}=1&DthNJP1Ge@2R5%5}KjknnJf3~sw&lVX5 zJPy;-xx(3ajq`%;Ti(X=gjLeJ>oD>@Q623N{ude;H29i-Ene&JpC;xTNPha(7dxqv z8v7XC29I3%oSs>ZTQ@F!e|5#Z+MyWFrwJg@;Jqfq>V4531BOq|7R~B4uSp?O?%Pw` zvg*5REk0jf;#O^!nh{Z{CyrgxD$PFiQH@*%Y*^2U2ma1lKnU*qTN`*Ok+fQJyR+F6 zKzzerb@d>gK46(kR(y8ByVJpQ0!PpkLu?kTCUS;Sfn*mIO&u>$0-ybC*c=mXx{cH2 zF<}?n0M{L5_JA8daOFun*C2DpNWNVc<~h*7sF*ML>(Pl9tbK`d5`l?)j}mnWLPn8) z&d;W${reM{(cUfjcfZ8dq=8Wf+v*%2S5F~hE|Y-aYxV}q<>Hf-VlF=*Y-B8X=oceOb#ei&Z;%z z$?1!LzNB{(X)I&t&X>R;EQ>ESRF-SU9{P!?xCf4}xZ9fKEMYLvOsY)Z4|JwE$h<8= z24HiaTNxWaJ_gzv2S$6+a>HQdsUu;<9xl8MdpP(Zp8F#%1qxQEL*y<8QPi=8x$;7O zCJ;Ql3Z4)OF{d-i#E~mjoD)CZj=qh&oM?2%L#3ZVPTMAhvbc|6AYhV1`YsFgySNy6 zZw|Px3*>j{YKK=Bn(WL;*piq>jat;bgfTH;IW(0V)H}`S_{k$fp1tI8Lj_DE7lV>t z4z&m0R}Qu7*k*n5j77TSnD?+|P_%p_^L!U)4b=y5?us#gNCk~I$KwKT%q6^^#q7sb zd^ke9(T0@yz9heOQ>15pyE-D|-zVfhCVak$^YR#>cOUr#bv!=x&4%J&$o3)>SY)XEmY;0w>L;AmBAjk)dN31*0zlRqRJzKh#;A902ZNH1p8z%GmBG|NWu&?CQ% zOY|6_#sK%*8K5Z=h8ypHYcjjwu_m*90>a2PTHI#da4O)Mhw7=u{TZch!)kEj`ZM0 z@PI&grN+DS#k&K-yYq7&*+v3IIltKv@agm3ScG+c;Le`Ch7$V5Exq|QeIOWM@EBOd z3V}`hBpSw#2(RVV&|q0GY||JZ{gjRC;%%pkwwkl&c+b(|)^ z`E)a#V=l$Fw4NsEpba*>v%z!XLE!Wcanv=ZA;jJQW5gu2{dMQn>g zYeqMea2x4pe$Qlz~AFckRQW&|}XnrR%bwoJ5PpD3<>RA!v6)ohViBxThw0s-k z9TDms66&22>Kzk$u}=sf5A$-_7-4<|MtCJcctu8dWfI(2`=Xvcpa$(VRjRv@c$~Xa z&0hAqi_3%xNY%Sst&diyIk$;{L5@7x-~4#lO1CbORR>QHSRSf zL26=6{_;0ln4q~^o&>Z48`D->7awb4OyXIN2!rw}qj=iDly*P;->7i5Jfa1U?{1rC z_h-i~j#}0s^sJ@N*Rc-TtQ_PJJ+%he^1aMf6~X0GX3aGrQ(>vdD@!v`kCvbrroskGbnCw zx^@C?!4U$IIO?4`M0nN+g?l{u_nsP6MXZgOFY;bV>R!ChswATUnaMBsY0)bEHe3r zbQjYi#yhnpm8B(^S8de#*gYSx925=iGzM#p4;1cLq@3-zo)(Q>di}Xx2^{_>H7;jm z>fV__(4rZPeQzBiralWoY8<$~@8aiBkwnVc70efQTeh~619vZY)|2sbSWd5h4>;-{{WK&9ygPBcJ4nHetzqNl6p@+br5C6eFd<&YT+4c$ z7_+({M1wtdST{;Ri#2LJCQCeVhrh(hamdToc(LS=A+Ec={yAc*F-Pzhn70QV6d#WN&Me-jry8jh<4B1kmhj5Cu$Z?u7y;itt2Da5$m)05Ic0lokPa zsw>X{Fy0&i47Py8(yjpWXDK|UQDM3xLS@k2LZ+;kMX|TbX*k=50o%I)S+6}a9>-Kf_~p|E zB6IdVC3!P#NOB0E&Cf}ZsTGf8h$AKh*iW=#8-{R|g&3zGM(zuhFuPB493>;wOy#hu zi~22aJM_qvNvYL*#LlJq_HoUD-$6Z?VXCv#zawLQhdia9sYZyp6)mo`YSq?MH+E%+ zc7%v_G~o~`iB?gH7yLb;rP=GQ4g0Y%%}4>657o_vQ9RyWtt9<>ZpZG&h8my|juYr=}s5teSGK=xJc>#KETj!MbU0ag&0O(P4Vukc@D_%?tbIlsp8$)gF3!nt}gsc zd!~ux43OF(d)=R0X%heIqXvYxMuoqAy4Ey;lwcNOO8&jzwqBS=1ig}2&s@Hv;5R?L z62FFgk+X={b;ThQPqahGM6zWC{#z#=$NE%JopGWq5y*`k?5g=#(%bi~(OIN-;jd+C z->1yRYg5v$SW#~k!i#2Tz9Ph@Vui1CL>AH&da`Z_2yhy|DA>7JDTvE%#r7SH^!TV}LdXEaBI$d**mj{wn+1ksNO(T!mu-$^3hej;CK zNV2x)dKurJglQtYo!=gDrg!3Kw}_GMX`(zrkVEFjvvmM3i)?ve=)jJAYY(sWm9~pc z-2K=R!(KFggUb_7L$_g=_Vx9(5bNl#Mq6B7dW&xnreIrI;U^)dZGi}p}H4dNL4 zLV3HbI#;syacse?vX7*uwAMQEuA$m}y0f5FpCxj$8)Djzs1`OBzFJRrCleQwxTiUy zJc;f2{`!pr%hOy`#AOz<`mQo3nh4)Xr%98Wj*v5Vvi$b;&g$agW@AzH<%q59LtN?; z{pE<{P2Njg-K=!iiNxf^7o@eGoj1?#orAMA2cMHZXNimsEE+yO`ZDr1wpm%k{GIck2k72RwMC(=adWyAD1 zSfFk%KCZSE4(5XA{2WT!tRfYmQ-A_Dd)Sx<>2}&cV3C38@(o!H9*b-3zt4lf&l>&j zE6xY~rLo>Od|xcDJQsond%Qc5d~&CV_Jtvhpc&w)*Bf>HC3|XLQ@qN~?avo$b%lqx zSd+6LKSw@%z-<+aCB^<3EHGZVa?3Tl;zEEeoDws9=g18gcBW~$;5MDVDMxb^acst* z6P&#L_*ig#Jn>e$Q`ZK(eGO8-EV?|qZOR!5wPRwhj@>gjbeFZUX_xKu>l*_BtHYO> z8UWAoH{bBPAUq&xqq0}phZJ}t2%RIL^@H(maTYq(Cfn1G_uYB$Z`A=mz#4g|*ZT*t zh_JmYl4tqjq`f_Z$tfRKWxUhLrO?(LOXc-brxab*fQiWrDE;$kA*dBl@3?D zF0Y2ctA}uacB}}Yq(@4f)r>%@|Kay@eCPYy9jHLf+6Gk>wX1(T<&NswoHi_3C_X?! zS{u6l=TiQIH#I@TI-pppz(9#8LMRADD8WD$AQW{Q3+LqPW zry|Ch!7>i_DF9c;N55oqw$fteI=pY2Y|WKzGsNb5gzBIrV7ges1ZR#>DJnz}5WsG+`^vD&~-@$qu43lDqn^bH6NSd6zv_(;|8H^Y5FA zc0zeo>EJSRlU_zxE!|G?%&BV-;g^x+#vYf8t;TFB_&617de8L}(xI82Cx}TICFM>N zi_#{m6FiGN{AfiZ0MS6B{n4icJ!3lf4i!FH+s_aH)tt>^zF`AaG5^?CQ67JHsPuz7 z!R(?=HPYeF7!)lr7tjG%U!jNo`1tz`dkT0BBs?^adUIl1WqKO|5x?uidsHJup*%(HA%`l4gQ-xg81bce1Nyh zAL2bR*kT|`9CSIz)Ke_AL3gYO4v7@*_CE>mAaZ#?1jr5TNZ}MBzYCv1XXW(mugf@N zWeZu{mDgO7q1CL0rvLK4f1w-leHuErtSNKBH^*d3a7+V_BxS*GpPK=9q_z;K zU-4<(gN_Y_B43qyIKi9@0o2^QU|uAmDe9teCJZg}J|GPd9}YQ+_%tqVg^^M!RY@>h z0Z&dEu}ofHb0<2zohZ`@9FQewv-zK+9a3nG@dSnY8Vu&bFIMaq}bT#G2P2b@^_Oe)eb zM5YODxqOoFsr>LZ^;0hQXvb_6B@gCwvn+UR*#7~p4M?OeF6Iw_Rg)0U?ur@%|E7ph z2!&dz!_ED=VUCm6@OceVhROG#1d0cwq&J>X5}O)3u#~gPA4W8qJBP55Qijvy#=EbQ#nK|*CB?8rvDeBkjncs zB9gcT7U|qlPu0-`!VY>awCuRF(pwND#D^s*(|&&Hl{$_QIn5DP**GV`Xgri@(b|p`Ym;lnsq2*>jxYcK diff --git a/cpld/db/GR8RAM.sta.qmsg b/cpld/db/GR8RAM.sta.qmsg index ff7e2a5..0fc335c 100755 --- a/cpld/db/GR8RAM.sta.qmsg +++ b/cpld/db/GR8RAM.sta.qmsg @@ -1,23 +1,23 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1616056855068 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus II 32-bit " "Running Quartus II 32-bit TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1616056855083 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Mar 18 04:40:54 2021 " "Processing started: Thu Mar 18 04:40:54 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1616056855083 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1616056855083 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta GR8RAM -c GR8RAM " "Command: quartus_sta GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1616056855099 ""} -{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Quartus II" 0 0 1616056855286 ""} -{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "2 2 " "Parallel compilation is enabled and will use 2 of the 2 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Quartus II" 0 -1 1616056856161 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1616056856365 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1616056856365 ""} -{ "Info" "IDAT_DAT_STARTED" "" "Started post-fitting delay annotation" { } { } 0 306004 "Started post-fitting delay annotation" 0 0 "Quartus II" 0 -1 1616056856536 ""} -{ "Info" "IDAT_DAT_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 306005 "Delay annotation completed successfully" 0 0 "Quartus II" 0 -1 1616056856896 ""} -{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "GR8RAM.sdc " "Synopsys Design Constraints File file not found: 'GR8RAM.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Quartus II" 0 -1 1616056857052 ""} -{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Quartus II" 0 -1 1616056857052 ""} -{ "Info" "ISTA_DERIVE_CLOCKS_INFO" "Deriving Clocks " "Deriving Clocks" { { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name DRCLK DRCLK " "create_clock -period 1.000 -name DRCLK DRCLK" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1616056857068 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name ARCLK ARCLK " "create_clock -period 1.000 -name ARCLK ARCLK" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1616056857068 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name C25M C25M " "create_clock -period 1.000 -name C25M C25M" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1616056857068 ""} } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1616056857068 ""} -{ "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Quartus II" 0 0 1616056857115 ""} -{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { } { } 1 332148 "Timing requirements not met" 0 0 "Quartus II" 0 -1 1616056857240 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "setup -99.000 " "Worst-case setup slack is -99.000" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1616056857271 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1616056857271 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -99.000 -99.000 ARCLK " " -99.000 -99.000 ARCLK " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1616056857271 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -99.000 -99.000 DRCLK " " -99.000 -99.000 DRCLK " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1616056857271 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -8.447 -415.877 C25M " " -8.447 -415.877 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1616056857271 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1616056857271 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "hold -16.286 " "Worst-case hold slack is -16.286" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1616056857318 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1616056857318 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -16.286 -16.286 DRCLK " " -16.286 -16.286 DRCLK " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1616056857318 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -16.276 -16.276 ARCLK " " -16.276 -16.276 ARCLK " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1616056857318 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -1.579 -1.579 C25M " " -1.579 -1.579 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1616056857318 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1616056857318 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1616056857333 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1616056857365 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -29.500 " "Worst-case minimum pulse width slack is -29.500" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1616056857380 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1616056857380 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -29.500 -59.000 ARCLK " " -29.500 -59.000 ARCLK " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1616056857380 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -29.500 -59.000 DRCLK " " -29.500 -59.000 DRCLK " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1616056857380 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 C25M " " -2.289 -2.289 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1616056857380 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1616056857380 ""} -{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Quartus II" 0 -1 1616056857677 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1616056857833 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1616056857833 ""} -{ "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 2 s Quartus II 32-bit " "Quartus II 32-bit TimeQuest Timing Analyzer was successful. 0 errors, 2 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "286 " "Peak virtual memory: 286 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1616056858099 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Mar 18 04:40:58 2021 " "Processing ended: Thu Mar 18 04:40:58 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1616056858099 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:04 " "Elapsed time: 00:00:04" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1616056858099 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:04 " "Total CPU time (on all processors): 00:00:04" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1616056858099 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1616056858099 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1616146137780 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus II 32-bit " "Running Quartus II 32-bit TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1616146137811 ""} { "Info" "IQEXE_START_BANNER_TIME" "Fri Mar 19 05:28:56 2021 " "Processing started: Fri Mar 19 05:28:56 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1616146137811 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1616146137811 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta GR8RAM -c GR8RAM " "Command: quartus_sta GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1616146137811 ""} +{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Quartus II" 0 0 1616146138030 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "2 2 " "Parallel compilation is enabled and will use 2 of the 2 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Quartus II" 0 -1 1616146138936 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1616146139139 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1616146139139 ""} +{ "Info" "IDAT_DAT_STARTED" "" "Started post-fitting delay annotation" { } { } 0 306004 "Started post-fitting delay annotation" 0 0 "Quartus II" 0 -1 1616146139342 ""} +{ "Info" "IDAT_DAT_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 306005 "Delay annotation completed successfully" 0 0 "Quartus II" 0 -1 1616146139686 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "GR8RAM.sdc " "Synopsys Design Constraints File file not found: 'GR8RAM.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Quartus II" 0 -1 1616146139874 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Quartus II" 0 -1 1616146139874 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_INFO" "Deriving Clocks " "Deriving Clocks" { { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name DRCLK DRCLK " "create_clock -period 1.000 -name DRCLK DRCLK" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1616146139889 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name ARCLK ARCLK " "create_clock -period 1.000 -name ARCLK ARCLK" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1616146139889 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name C25M C25M " "create_clock -period 1.000 -name C25M C25M" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1616146139889 ""} } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1616146139889 ""} +{ "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Quartus II" 0 0 1616146139921 ""} +{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { } { } 1 332148 "Timing requirements not met" 0 0 "Quartus II" 0 -1 1616146140030 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "setup -99.000 " "Worst-case setup slack is -99.000" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1616146140061 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1616146140061 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -99.000 -99.000 ARCLK " " -99.000 -99.000 ARCLK " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1616146140061 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -99.000 -99.000 DRCLK " " -99.000 -99.000 DRCLK " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1616146140061 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -9.598 -485.527 C25M " " -9.598 -485.527 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1616146140061 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1616146140061 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "hold -16.296 " "Worst-case hold slack is -16.296" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1616146140077 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1616146140077 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -16.296 -16.296 ARCLK " " -16.296 -16.296 ARCLK " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1616146140077 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -16.276 -16.276 DRCLK " " -16.276 -16.276 DRCLK " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1616146140077 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -1.031 -1.031 C25M " " -1.031 -1.031 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1616146140077 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1616146140077 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1616146140108 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1616146140124 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -29.500 " "Worst-case minimum pulse width slack is -29.500" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1616146140139 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1616146140139 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -29.500 -59.000 ARCLK " " -29.500 -59.000 ARCLK " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1616146140139 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -29.500 -59.000 DRCLK " " -29.500 -59.000 DRCLK " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1616146140139 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 C25M " " -2.289 -2.289 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1616146140139 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1616146140139 ""} +{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Quartus II" 0 -1 1616146140483 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1616146140592 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1616146140592 ""} +{ "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 2 s Quartus II 32-bit " "Quartus II 32-bit TimeQuest Timing Analyzer was successful. 0 errors, 2 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "275 " "Peak virtual memory: 275 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1616146140842 ""} { "Info" "IQEXE_END_BANNER_TIME" "Fri Mar 19 05:29:00 2021 " "Processing ended: Fri Mar 19 05:29:00 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1616146140842 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:04 " "Elapsed time: 00:00:04" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1616146140842 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:04 " "Total CPU time (on all processors): 00:00:04" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1616146140842 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1616146140842 ""} diff --git a/cpld/db/GR8RAM.sta.rdb b/cpld/db/GR8RAM.sta.rdb index 8c48ab08ecadf51f499c9db38c95db23362ae86b..de372fa54d07e2a894b14babef26299847c9c64a 100755 GIT binary patch delta 11982 zcmXYXWmr|s_qNi~jdUNnyGyzok&^C)L%K=n=FlPC-Cc(+=>|zDX#}KRp5Oo7U*=jf z_v~45uZg|qStr*xUK8lgeE;shhoAL-#XEJF|0{FZ{;%|Uf9mfWPrBm0G_oSjEJ?~-<0<+7!|{tER)XDaLS1MGd9=(6gjm}+qY5;%Cq$lV1OjNUK(5$JurnIW!qn@#IGheCCWOXu8}p5st75zC1i_ ziT<5rEvlSR!tiX}Npp>_bTudx!9Rc;Gi*Qd2Us;?+nTW7U!<&rQ0O zwGlxl|0zz(wViaLcxG_YZYe+5ixVKG%!9h>6MxRbKgVh24t)j<`Jzg3tQl%l^Brjv!(U0DQr_*6U5)r4Jc`m#; zD#Onb!it^+8!kY1ZnPyQZ8veWxP@^LRN-oZ<>#BvLsj%ds%&Z6nudsyUkN!nWTtfLpnIjEuyFkR`!%Rvm zF{2Pplaeab$ZC>iic%T#1Bm_%7d%Y1ie;)&j1z;?F&N!Vu;Qi|KgZkWAzqRQq@#*T z){`q)CH2KT*bArYnL^g|Lg2lM zX&vXDwf61qAy++%oIl<=A9ex~#mPM_+0T|nTfxPkjdSQDS8W*t*b1PWHP(AKA}vFI zZXDcUcS%Erovb zMWYX9T;lc={iZ5a(#wZJoXx~gzpO3IXe3iA^=Gltyyq}kEd*S0-oLwiemq+N|BQOz zi3#e%V$=hb3SuUs0CL>L^ys`$|y zjIkX(qWpM?IU62kCJd@!W;YafKH7pYz8Hb7pZOuoZ2TF(p#CY%IUCT$AC$IzXmx4i zDjF1hxBET-=nMa-_RTYqLN%PRLo)({jBM`=3NE1<8K0Ge2AvnhS-@#t`hL7Ko*R>( zl?enq{BV-X61hl~n3S#49Hxc%@*GcDNY}cpn0EaAK)83PHZ@Lb!+xbC^q2z(Q%{ah zj&uzVflhEAX5MCxL^w)PE>FaA5G|?f1 zVzQT9wEA6$HYv`|QKB9nYZJ2lUda9Mu3F(maE)j~!(#S@4}Nmw7L>6+lDN7>(>SPEV~FbP>b`sVH+`YH5x zQ6K9%Ag<6|%{;yI(ns3z!%Z!W6^zYx#PUsU#5igzRV^vAs#={#g(o(|YA7@GWa7)^ zh!a{-->YJyUx-50aD$f*lf30j3X%!$Y{`52p2mtKfx&%%WjxAO-Nt+_=UI0JiP}TI zpk2w`A3LrXiC-ngs!ytaW=zXb-J9OrbA*r`IC`ZTeP$I-e3DsOT5NuQG)~2Ha#wO; zI2P6=N-nN|{)mq(Z;NAX!qYLzx{8UL-@@ilWxSfAl$Rb*igSyY^y0@ZwGp5%fvbxZ zs}+p0{hsH2#IVeU?R_y zeiKpE*mo*M{q|>BY1f1Ci|N>Hn>)dont_d(tpuFBV#!Gw^t%@}qXed={k9kOJ%$ML zG0o0z-1}`BpK{t|ke&@HT6jrspD2+;YJHH2(q{`>#zW-|ef7_0Z(?$fJ`L+k0oZfF z8gNBOKYNBH^0*nW&SLSd6uzeX)5^eQj47f_rlN29!3|g5n%eyXx6iB+-Z~TiaOj66 zADVFCU*sb04)5ii_-sk^hzXrL>UBDIy|1jEAK(cN7|t>Czq%IjqC8881%Qo!pO?4| zr)Z3sa~7R!yU%7M=Zd#13oTk(^}vP>ui^gFR=uRAOb91cGFDzR5-wKcEyA+|jbeIs z+N!|KhdG4dki2Zz47xTq*@+}(UUm97%=Ym0Ht{q%_b?Ev47V!10~MBQ0~N?+Ml1)M z@Yff__C6+`jIHn0@H?dv40}2!oj}JE{$qhk>63en*31 zWmV{)|C8T{@&)nqaaJt(W&7v9fadrO?%_xw{Uzy>KYQ2~`WySqzkG;lv^3Ay$EjY~ zMTMj^{Z2_%@AegsqZnwlkO7HUq--+ffSHP@6sp-P>kJ0zlQzZadc8~rL-8ZTBAw)n zS|yz2ni1)#9)ch1FzIQzmbUGn`X(wkd$N=>LChZ2TNtkl^ zSR7zc#iwzcU$;)dSXsMrHd%oZm%T_sS%F7Do#*q-g3|!?g13hajpq)=LYQ>0Pmv_K zVjiW0$Bf!{k@wBa^*BvQ1t%;7X{XdLL;>Zci->cxon%#)UM-cUx9=^|`0MwnfU@)Bu2lFI9#=7aoxSb(i=lXQR9TRsp z-p>-2x;pCf^47ct3@v?cXs@*}j&b#7@84fL)^Oiq3Q*!{`Vr=?DX04LcFk+mh1B~l zv3ga8N!DW=f5m5BlGL5s*hiPDE$%pt@sGP zA?C_fhr?YJcL648{@Qu45w;%>l;Mm;w`Br-h2#&W(+g6#_ng^38WLEyoteBfFk)$T z+@u&G$PSt!QRk;7u-;8~f6sU4XW{K@YG&TE^V8UZNy&zlqqM>9A>&=XzZa{GR?2cZ zfY*)X>Bln(Y#;)$$?g0-vnnJ(^>}AA``#1Aseyd-JmdG?_+r~*@;9)vZ*Au3ujr3~ zh18;b5+)6OeHYc=*74$w)Kao3RWj5|ZFwT3gmX8E*5}!duLi#BE(C2Qr1WEpcfcLx9b*gc-1Yo#ww>`IyDxt*9=N-{eSo;XXZXe3NWa=B4Nf&QScFPoyo@ z`;9zYdc;-6amAy5B)m9S2!9Y$qKF%XH&A_gFHkfPo=Tl5sjdWKn0XInOZ#`~J}9AaES_^(p&N#@T)t-Sr)Hf; zTmb8nMOqwf0oZqknLBIn{kc3{%yuUm2}I_%_h-8rt0@=anR0$r%^_u|prD9Ux)4m3 zUqw)+(piu z^ZGu>_;D$5*zqBhl&YWfWvEC|4vB4jD-5 z7BV88eHhT!^4z3mK#7P82@||~U(3?Ugks@dQ0_lg{t5rS9Q*T!0ouKk03rTu+tCAz z{2@DwsSwk+BqBr4z(=dB{7^b{4at=;E3R*i36Mu=uJ1YBRLS0#c*r{tCoO^1LR;X6 zpjI3_9STfriCR9!`?&_3LxI>?AxuJ$3QMPgrc?A*?`8Qe{pO%NkWl(fppjcxD5N21J@yiNZT&vS z9vJ5GRtAOx8nherWM%5KYN*8ee88Lr-16KFal_jWS_=h=82-f6DK=fVML<|FLNl*3 zSSeo|>=sC45qD$c-bi+2h3v~@7*H;x(?9={>A*Lt$OrF%L4+HmbXuAq!qW!oE&Y0w zr-%{5G3q*TF*dc!ZK>yDO*W^UJu_S_l`9pk?nYGEhRUERflT+LV{&8Vf1jkuEX%rf zZ0f#}@h%7h;qm%ejwDq41<3gx&+%x+mhO*vuMN8;JB~=Yo`n&nAZ~gl*c{1F7v5_YlT8H+IK*nR#uH)5kby|c8Q z$3`ooSz-YMhHwXsmiW%E|y|L8I)=lS(b94Qx#GA9f5~X94W0`Ha7;bjRJRNfQot-rS^Nop7oVwYs*e0E^IC8N`Tsa1K!Sj=Ag588MRL_9T}mxy;Wr zT(coz8}E&Z`n&G+O2R~bFn4&he+WBjF8Fco3S=<7qbd?^8>7&q5tWmTQ44r}$Er@yzU^KhEeEr7f?!%%znNLilJdFCAt&nvx%&SBAN^P1a*TFsC zmi8|Me6&iViwUSBygSct3z44^3KJjOH%!80_9|S>QB>AQ^3-aF#mt41iyb?b^knw( zTindFAVDqSe{=Y|#KG!HijmIpA*D2*s1p3-AiWL%b5e6|Lcs=+Qc?*P(Ra?DOwl1b zgX(@lWG10^YL~L~wI^C)=_)StRPPL+6DZfu#G{`AOqtL=6o|4XjjvM_KDPpP>>6I1 zL4_XaS(3jkpS~aVij&nw`s#Mq&SoX3UTm!=c(HD(fG&-&C+n;YKo1&E^Nb7SA@pdw zyf!HS1qjW@MZvmGLyL^;WjIT0EdxKtsgA= zQx*~rWwsNRAq{Uh(Rde)Ar$>M4MN(d+|Opa(N& z%;MSKHv12Q?Rr!wLl&H-U`>|z1q}Ix>KP3{EB(8xt2Xl|2zy>z$U?&m9y2Q>E)GzGK21wpysa0#<4^sMp@@#2qa~Gp8LNy^ zOKU3Q-E}fIbc(dkh3`p3J`BlJ8J69xhPYZt?~Q$nA42FlzbC&4#^wDrNu*=)8PC}S zbR3WODAo6AoH2_Vz*>m`e_9Bn5Fp{B zqSSW=y!n;>){;j5l+q^6JFL#?_E5AtpYiP#(z+OXTzBer+|C>?2!22n6iF|`7`5Wl z!fZLWIfzi4@{6>k)jyC6i0VFahgP}0-g@nQtMOF6vR;C`V3KvmU3E7_hy{i;mFJ(m zle}njCueX@(8XkuGZv506viR~zWI}+BWf+QV;AJ^r!b?XM>AsTLL)`Twbf=>ZcNl2 zh`!jA&hq5AJ~r*eK4s;+9lG^&l!{P3*LpF6Ua1h6#gbt3Jz#z87GUMrI`Em17Ed55 z5J55*e}$J{0P&YzxnAvCKOcolJ5ueUl6fAl!W<6E@g&jJ{@1LO0Q^Y6xr|C@Oct7h zNG5UbLHnd?C#Y&S8`VEuJluaS@~xlXb4yTqqz&fC){LZ!^tPnNbmzZngbzZ;l()^; zsG1cF_s{z400&8v{*vu`N;SS^!l8`SEi~DxRV{@=FFWpJBs3>6f_VJ}h^lLSHo4P5;DJ#cU|lH2nB?jw)NZjeP=ex@h9v*O@@|mA~9} zKpYjzUOq)#TJ>Y?A~Bt!LW#!wMJghmcb%c-&AdS$b*1bwmW@$lDp%@a+P@slYd!wL zQ$DRhpf09P!#2wtKebRkrR&GK9;;kXfA3Hp5sG8hWi#C1ShS#H+Ep0w3^B=C$S!tl znLTA#c-2up9S#PtDmduMCo)dMV?MqriJMj*^L31k^eDUio$kl74rv9i9*a!~4d;h7R_%>Y0{(cOle63mUXC8&223`q4cfWA)}(8hpOARfrWu z7-Gm)R-Z-+>~7{NE1%{fP%k=kKyB@oOY18g@)z3+O%R`-rx3KHIUg$`8=PjK$zmvKmf5kxgt`GQ5Kyr_HC$cuNqB|fet1mKlQlm_vw^IE#d72H zx3?{3yB#pUkW#y=ljJqU9s3a5c?SCx)dG?XWf_URe?J+fez`cL2+7^%51jJaTSh z3J6nh3`Wp%VcIc(Z~tWI@ng(Z`&F)HDzN$N1R^t zI^Dgz@~Lb;3*VW5@@WUG>%qSvR*cve8|71{x2TaxMbrV~ zJUe2=5&ps?93?XAW$r5+Knn<;71~Ht?R46lEc>vOk;VzdMDB;JVwT}OMq?4yE~O0j zmf5hlvuID6w=aGN%}_b#8>=jiJS=Jacy2>xIR54-&&9ow3DZ4M(>coLg6(h@J{Fvc za-zB;m?u4PQ_q->rhDH*IgZ~F6G$U2>o`qQ2G4F`$WGYwf*DDx$I?Z2oMT%@$)q5k z!+cR*LKlaeyX!_t%|too7RbtV(X-Q0pvePX3U2t-cKM^-@dCRqGFLVyAl^3z5aOO|t&(iL-)~@f%G9HXe0-Uy9_KrySMkkT?jK)ffN!>?q5oP7;9gQCW5DCW_smYXAz^$}0vw?7h5Nu^Fz~z{m&M{4nKAgTJItQI- z%;@oOg|>27gS^pTvE7J+Sz_14^2bkw2C&SAD{u=JG*|DlGxSQgUmS}zeGEX%YfK!Q z<)IE*c6HbNnL>_}2n2~$W)s?Y6{p8PlUj~E))cDCKJ(o~$o9grz$GukeCQDo$Tkw2 zO&a{8&Ds+UOpJ{y&FUq0@qyROzTwqd;RxoRiolRr&-g}Lu+7iwbKEd7d!M8(H{d$e z(Fm(^Gom@V%$3|K)D-JS2I)7=?jT1=f7&|GFoRq7mX4SkNyo!(QpmKD{bnT8@>+Us zU}+E@?_23@g0`_>%N~OrjIUb5dsdJy;8xe6MyW3hd@!0hF3myE%v&f-iFNdf_M*7o z!H>;f(6%opmqG%w(y?lV)Q}Bp%U29EI@&N=!YvP;Nsikr)CH;WRMS+kwp4Y0p5u%H z8J@Bx7l)%r&X0e<<2X|<(AK;1LD||vuEVV3RgHl_ZvvW+lolIZBk&TaJjLQi=s7-U zqZLd5+!1VW_3V|8yBx&QQFOW=DTB0*j~QE_$d~*7SVW}vTe#u5xQ5=w47I*oM;;^q zb38dIk2@clg7YLB^{#PQ&K&$DQgN*Y$N7UKh!ee!(DKH`i=D z9;5!236fyj+jrfO486A%6f~}=h}^))g);_7>eJX3G@dyvhyHm8xa67oea1qCfXJG- z-QJ;c5OkoBhT`8PKCR1-#6S8bqY_6>;xMqC)n8jbA4FVONV6vsOv8X?U-nbtQScbq zaxSXxcj|m4i*v0pc-1X%KF zRC-MZdDsJobLC8_w<2_EM#?!;KkiNSX((S^iw-11%@1dG7z2;+xpCi|tQD>^etF&g z?{bZfasXA|3Qg$W)IKycb|95d@n>OdO&FnH`gU$oiN(+t)%X+*$g3w+d!BG^J)0v} zCP+%Id)6lVlYhZz?DOO=#X13AAb$>AE#0QZ@u(ps9?4eC`f@6Nh;X;Xs4tAQ7~Nyn z9P2bL{FBj!$ICRG@Rl!U29kk-m+&=;Ac05QZ*V|9Sc5G!tF&7 z46w5-81XjS|0_07F(}0}US}z^zHFb(UGkCJ_;BU=Mm-LF6~o3pTN_|?yg2mef5B{z z_2==@|7FEv{A#q-wrp66RMouJGqWc@-t&W@7A|h>QM;zmTR(=m2A?38P+wyVCh>pl zWa~{`xyKV`f8kG=3c?$OA9K<+Go1a6 zMRLMvY&^XG#scZLRtNm;tG^^~+LnZl0+;ubg}7;|$SU@G<~W}|7@Dwp5->_u49&gb zRuyC0NYC{v$BTB!6b%_(MdCk7?QX!%?xbHSDYnUS5n(2#T%knbpFCML?!nBudKlFh z|8uRv>M1`raB!v^D=+aP3%}ZdcZ_5s6Mt^U*&aV~I|MGR11v3R3sJN6%52g_5epKk z=M75JP+9cZ9nQAyJy@i;Hn-p00|Se(d_HmZ>m7&VWBNke_Q#6r8}3Ay8I5coOOBE< zjkd~GHI5;BJA}GsY+GYR4hl123t5h#MSC9@nA!R&LO+)a;hKd_D5tJHtJWtRVo&8r zYldJh6u`0na-mkXH#~)rjVqrZF=gL>yV1+W)Oh@2%bLaIfAkyKRzLoJmZzJI@#EeJ zcD7yywwFLvMdv+HqbO;73X_y$V3{c_%iP_G!el7&=ugK$^&)W&bKCAbea5ud-UVB2 zBZK!GMQ~UMu?w*c7pup#q;f7q z2Q+$RRL?&Mc3{o#$*67FlPb8ndzh)jEWa z$O{!qrH!p93db_Rd>v2x9rPzlx6Q0t(7R@@QiGYDG*ez``tHtNyG`%6*%8K6U!hRu zcNE)~zwy2lGaApJNc>>Sm-V$qT*I<9H7vqrZ@zbLbD18o)7P{ApBz1Zt<%$7B^xV0 z3^wZC4ZhgNDlZO>CTqk4jd%a>(TNF_Y7^RTv%I*ilGU249C}7skTB_vPHEpcC)lN0 z`RHZ(uKA8B3LJ?XG58N?1k@lrt(%T|tDk;X z{^wxNF|8T*vRuv~rM2f|4od_=Rd_Q{bJi-qB?9L-b^$-&8{VEj6B|bKL(D^ecX@Pe zIa8}hqk-xNZAt%7G_I$)DVZ+GzL#BgciYNPCb)R zm?Zx|Jtd7Vx**i6aVkp#kDO1N#wnlj5INn#P; ziLSxBs=f-ORge~4J7*1V&Hr=4fCVEi;*LH+?CZoLDZwizZi|wlRzhG#ndx#k3*bQt#C6^%)vf#m>9@IP$ zp0GyQcssT7=L-~Q*i9%PT~B0lE5w&^rQcZY!?gi~Z5gIaZRtvh>S75aM{|?oYr1HS z#cM9+=Xz`d!;3z@O!3#*T3EZErgZCPJiSvXB6Nbit!)k*;5rwSIvd@}n%q}lqJCBF z|N7&d31QcRa}vR*>F{=l>!b1_7VyeEJE$XK4r)n{Xwf;koT}Aw#D9Y@Av%>RZeBz=>mNJ_hFW zfTA7m$fm6~!f$#w&j+h#`Vjut6>2( zdMy+7^IPT0)P@FgV(^IzZSl1m9OCLMzx? z?r5XwH>>UkE5SuxRTL9#wFbCDMNK;QYpI`yD-|apT(A|HOT59}dJOu}i){WTkF$7z zeA-AnzVJfjR;UM*plSAYKOXX$4yWwRR56U25%vqCEgxQ?0krCHxd&Tz42~{h2gB8= zq18SAdRiT{gRlO{832MUnn7IX?f^8*rUh$HU&?YfI4+HlA z-|64kfHZr_FlJzxkyeIMkN>?54PgjM9Q)u* zc0j9)5IUxfo`%e%NI5T{6OO>(WJ4|Y*_m>_&2oA7S_GGXh&4oT7`^WE$J{t-wJ-lQ zd|!6YlC8?$mMnE+#(ETTS&AQP`hKmZ2_3f=EtRZj(LUJPv8(F0d3n*i)$*qfHCr{Cetc9c*3_%W^%i$f(BZf)C$ zrlge5>xl@WQ{xJ5wuL(}I=m&0yXyW5zwG8mx3Prq3aVDdv@^%gS~js9ZX7K98Ncsq z3U3OV3}UIoZ$&*>T)j@Muk}`tmDT{e?ZRP&D4F?KAV)2PNj1$Px}zj7H6UG>K#CNX`TJDd%V1(9@S=5g1U)3# zsw325z}q$Dd%aLv;YzNtiD)P4rKgc9Z!AlT&6Bo${Zljh50gpV z8Sdz3U55A{!i9_qV`4z@T*i)otAnlMBd^;EnDSs<^~ekbpOYH_RtYGA8X`50TKR(^*3tU6o%1Fxs4wO=3|@lV{mz2Ro!+X z=UIFV8|9<~rm8R#PZ}8|@zYX`$0R>fCTFH3lFYKi?Ka?*jUne5r2EK;D7Nq?)`X70 zUf-l{Lh$-$774sV<3aewWTX>Ti+kpRkAIH+4nzxs3!0lRFj8B$*zbrJuhDL^ZpPi^ zlT7s?c-uX7d5+p1d6``y6WO^SJjx~YCn!Qp+w~k!NVQk~f$l)w>3?D&m3a?6_O2X{ zXzaib4)C`Otq=pO%Q9l_gDKUd$oLcTZ>GUR>kl>>SguV{H0XVtkD|p!x>Beh$v{nx9r`kgM&+i9SyXT#KDv>BkkDq9oCTsztvsg*Gb)-J z{a|L4h#C@GA2wQ0aPn2HzT8)s@DfNDVv|Q$i_M{q6K-1l#Q`?p&H|AZ>A11MBE+>_ zCn62hmBh~a_RMNjG-j5g%u#THsgiX5(=+4C5gN!%7q_I~ckgHzK%{gK>*AVG=~tg$ zapNJ1I%mT9=Ds&v^?&x920GU_Kl%~IPQJN6>dmYKRnf}XHwb`SqLLJ-Y1f&H_~xJo zo2&97gom8>Qo zPOJ`+FA_j-hy4^)vG1{U1Z`E_eZ3i*g&RIhaw?rng*PJ=q{~)L8lMhZ?>|%NghT%q z%k97nca=~4=9&ylxhh&n$*OdmaQ#Qtz7(6;Nb0;+Uqxl6C$oQgaR;^HDLX3^tf}9# z{}EgS*GQPxTb^~&5PG{Oiztzi8e#+|YHt9$qwIG7)!GaB#0tA=F8mjQ)&D|Jjp|Lv z?lqB}N>kAG!>Tp{^~VPdUMsf=EJdu=(M(!Z*(F#W5mF0`kfbIZd8q$pWA4_(~^I zmAa2Ej=M}hj`HkJcl5!Hw`Yv`@F%YeQ+{7($Z}w=;>T8~u7v+I3Tcu~mbJ{1TBaJK z=9qKY+sua;7bT;Z~>mtlLbX;@UY`ZaDI#1vGEE#jw18&xgS;BvEW zax2w3EFEWiRxofrk=Br07Y)?tSd@nABwykY5tdfFGVa*fH13tG^D99vs1dX3HAtrV zyAK%$aY^_YJ(E-45IlG5Fs(%sT2T_Z2uB1kvVA>AE;`2Y6%ZSS6U zXJ^k_XWQ9wO`fz9H2@?FSeSncA=7^XMjh_|GJyI2(i`?Tz#!I2-c2pF6KRD+=;54o zNGN{T$#cnlwe>85w5p`E+`iPS-PgCm*Ympf`}Jj&#+=vwd?)t}M7Xh&<#uD3 z96HTKJ?0P+^5sBRF4Dk`i*(*U*{Kpkx6Q`MP3|K`J+5430erS(qP8K>34vWL~6 z{hg?h$k^D}@z~glTj=)idKkdW%*@u`ojNy{dKNC~g%mN$us7;X)LYYgK;6a@9WiVo zN3T27t-X%!)defph4s>f^b$Dpa07zb7VStFxXtx@sc?B&pb~wiRSQ0YbLUL~LcK{= z$tIDW21+B&4|Td6t*iq4-GxZWS2Cs9Dovv7I*lExjH|c{?ECdNqYAH}J2pa5)}hdY z>C)i~d9GY~h_~o2G@=w@%t=8WTd?RYlw}+T-VPNPUCK?kzrE&aBS^R|fF2ujMwM(o zErxn0OL@mcZ%_6*kQptv1qcEH(F{Lu31<0NN$GUvK+pp|vPo;%3%v$W68ae%fKw!l z!Np4y4i56{olG99zL#c95_{i&NBO*_cp6GqP&j&PibqvWPbz7I6mgMnu14E#Zfh7_ zI^zo9OeS~QIIrYV(HDMWMLolm5!+P@9Z~o@0ipY*5-|*M54^dM2LK|Yj5sYvvBU@s zE$Fj%1Mk#yUPf}d^O1lwZ+-}E;(2lQ-Ks6X$=BBhX$+N5riNC$zhSY_x5=PeqJu8+ zX7{NnvYg!>*zg?;dqv120EFScx47`y$c%j1(^ugk5_SE-dsj^7IFkhyPLHsWAe_SV zQVTI}E@VuIg6k+DP~{}Ra$)OElCbyS3h?9xy+5P;K=u0|NP4wRs$Og{gmU2X_fgAp z#4k^#0+|@66V}_K4S3Sc5%L%a45K18D5JN@{RL*qTJC^|xFn1Bpz7S8@P78^OK5!LOEe-( zYdOmN6a4v+r%+Txza>ic<+)9shc4myvt)cs)hOq0_u|^qZp6T9?BS>85+`X|7?;n?~3Ep^TniopnZ%du|cpYQBPB}B&7(PL2t!V2!Y^T4N~E#Zzq+x>fHkMT8o znR#7ar{YvPKz)P=7?U%Or@1;n;i_aOkdr@N6FwMGQ(Mg7sY30VI_Ef;Kc}7)TxVX- zBdl;A`ULB4@iec_Ng8`oOT}CyW+wz!xQI-VQPG-g(xn-w>VJD%1x3p$Jokf*N?JOHYCZZe>j!Y=EOI)Zzq$T=o z?5~$#$`y#c{cIq^UpwfsVFEgXw{mwY3AHUoCEL5+Uky;>ZTec^Eb2}O#qB5|@FHwn zI9s;vkVhiGk)+anqB!?r`1UK|Q3d8EmBHE(5N?R8x_57iklb0)>^#yhi-60v+Fgdt zANzUu#~B8RT(5=M0<#R%9ldS+)8-17@iQFq&AC=@P|We;(aSCW_idho{CcK$LJm3O z@a1*BM(3NfS{;>LR8y;DDL$~1;z!ofm=%l@XWDc8V_4H)h+LolS&ex0l+k$B;5Jm^8RszoUZ{0( zNubS@i~r+S(wz5(73-+YkBe$#kGXT>vSqU}LNTQ`$(BTp6|m#d_itb<)2@{`AcoJ& zZJkpw3bdJ?XF6AO7<0B10pAWL=qQ!VfF4}R$&hda$qL2MlD}#Phj|>P(=XyF4<{~) z7ob%=lXch;L##5rZc)T#X`bVgJD4K6-(G4q9}R+#e193&BY#FAFYu~%b~apxDD`r| z%4B~RO~2d^CA~%BL+^7X1k+}?^q#}yz>J@sV_^}Lbn|nst=;iWbEIi(k6u>w1Bqm` zXhz!!uo(?xp;~f1yt?&mKm9vII3?fIHsm$3pk>7GUdy(MN2&aB(oZ`vCSRl|rR{&z zV3!j~iTHDe?)# z%Mh((#fCc@5Q|ZA%vge2ZF@M8RN7s)VLz?LRFJ%zaawEQ+bu|>PU1i6La`?q__Kv) zu-P|D#~FS{z*dWBOYfAD!VVD6hX!Kg_#BB_YmB>bf6r1$+YaDg6Ybv)DC;V||9WUJ zvHY{FBL0PiBEwCJQ8w(~27w&6PdhXan?Ny2MG2GiUR@A5A}D{AHa2A?Aa9GVA1?ea zN^dzh7BPe`ADN_u#4JlqpBi`elQg31CP40$bnV4m19MuCQ`PeVh@eVxAO?+oN#8lX zHZWWfEWMryQ-K6F9OyJrA*5O%P?m;EJ)xk)e(i}q4(z}oT6%ELBjCwF1OGfS_{s5$ z+2wjd$9&2)p_p8Ozs16&47*t5%Y7{gzVUTTy~&rSf@9)ptd?%Y2eqXLjU)K+@Rc(D ztd{=IM&4^GRO&-BfYBDrLd0SOu6kl0JRs1{< zBs}8byoY0maufAWeGTh9vQ;S)OU!&ULq6(?#+CTW8LS%BOhhGK&7WCIX%7RTod-I; z_qI8+-9=b=%md5v#jLg1w^aqwABovBX?HFKqp{sf%Hu3afEZ0T#kOT8eDNIgx{j9o zA>#rfCG%0Vr|}OLfu>-Li>sucVY*)ehUDk|nzOAVFr(uYp;MT6!Ph&~Gwg5el+*L3 zUjrgA)ZBAJruv>WrS`&7O{t(<^(InXDt9e6k0*JdBAyv^FQzIymKE1{cBk3gV5$Y# zL@HuDS*-1L0QFOxKjmL}2|I2jyUPxh8q%AGZGOw$KEt>GC3z&$r1@FwabbBYkLY2D zcgdPzJNlnRO*@4^j56AMIRw>XaXYFSCOvW|Sypy=X?yZ(->cL*A#- zGR8*n)0`BgOesQEg{7N5U=(6HhrJ-4{CUQAZo@vm0W@xKI9<*BqjRC7;hP-Rz%;s4 zv5Fe+p5CxTTHs-(unu;9uj(}FxN-nRa?Fv^Xd+(5MUyrYp`m#CAhst>Fx9Te19jRw zy{WYY1^&52@@Xe#Enoe6DPeQ8)d+01nr_d}&AxY#!ZhmKC8#=H8K`lL3Rc(pYR>EM za3b^;2!xy8W1l|bW;=?g8cV2sT-$gyWm^m*;S=YL%)y(nk^c08l z39npVd0XwK^!1LpCvGIl7t6uS{?>mc)qzP#L(Uw_CoC$JY*t!JW_^vKqTxiNWV$3n zB6`&*+gvB#j#)|ehTW^U4WhwIeRD#<`OeM`m>Z+&RrfU7MN4SdTvO-&Y=X$6dGDiC zC%dRudTU9X%zDI-)HKF)b|tU7Mg4vNmy4od_tE9%6lNOAOFfsJV)~G;(|v$AsBrZ+ zRaQWrIm1<99+m0pA*j~tcCP66jNXF_|4t*VTzp5B42&=L5{a0?cIZJWR4_LT?z^oX zU_&1MAqqx0N2KO7J?bW+@jQ6!3~78Bb;q!{V^hsUXhcxGxj}y;go1D}nC3@wCxP;?1ts95eJPai4mLknc58eU(viJ;J3f|LpTSUN@o)F2%Ao# z@Fflv?K&ix(^UfLfc8wERUon*#YzPEw^E%21#(d4eU%<;J%41r z=;j_l8FCTPGfmJ+SI*z~8K@{5bHD-OsVG6xm1Q_(XH?Ue%sTp$3XQd1Z+^V4>vXvJ z*8DMpjrIb~+xDMztiG_=DYvVr+;nC{o?2CnBJn6cN%tDgZ;!=x3nC1^n+kKx0D?OP z5t>)&s;f}}xl!(=kc|7BC`w+l%Kqcx*;TWk8C6@C87s23*p`I>zxV(k#}cN(I9=}w zQI$w1NA<^F+Zz$&dUeOR75T+u+vPw{2u6@hw7$J!?-s{j8dzHry4QD@5xG;FH*7FSftZ>s!va}8b88;L-USQlMUKE`#678dNm#}o%1?D< zzZWQE(O$H?Np+XC@av3<#aqeFA3ifxKDPcz4SJJ4PQEx%UOz2fdBzTQ9`C_WeOnK1 zn!EYrZ~vj02YJSHKJX6-Ss975CfSPCEY|4b{S zX)jS}DKa~PA+!0m5S%n^GGM-0!G!FQM&I{cfbFe}(I3UMuFHCji0L#UW=ep3565lL zRGCEz>LAaS12D6CuM{q7<+%U6ivNO`;num0HV!lC)w#XfQPzFXv&cl&l!&W+_*pHt zPuq)AiA#a&bDZqCfBxgaw8VCr#fNbhg`sUAe*KRyHDHX(3W?7#oXzevwkPGD~Ho^D#INvPD2d~d!*)}xG~v^Fi9+INB5oU#kcLcheeziQ_d}fKSbD2y zy?$uc`$cpJs=7br+ht;o9reYHaNg{^L)D-6OF;9lMO2J~FOBFGpKZDE+(tcgJ)6{- zt7M{!U%u9puaZe($?=pC;sn!X? zfV^xdS^}>V!wqtzP#dB(A#S$_#~tM4^tzD+)1nTO5ov^HyTA8bcYP={)nGvck#Lqh zhoI1$h&Y~V!P$8(b5787aKWIB(Y)w_zyK#ipeQUlJ=f|pdo)xI*N}{c4>gIY$hiQ& z1%9|_)z8MJ8F^+$nuHAOo5v6vxpk!u_={5Zpq|k{BN(Y!6h*(OY!(v0^n{PEWKgXs z)1zsYadBZh_b5?)hNqzzdh!d!-Mr&r)L$5MJy?leS4SsNL*^UoET!VJ(!Qd&U4NE< z8n)*uZH3Zh^yj-8?FeqDR(-6pLm6XtGT1{H_%2?fip!Ekz&&mk#`tB-yOKQ$Fy`e- z3PoYn;3@wz%}8p~rf^jcCPq)jsNGP+J)k9&;;>H`E{AvXL{{ms)4UxzvE(GdUr&Qs z%c66hOi|DMf??8AGRH<8=2%HXR|^}VRCu*5(#%ruTTRw7*wqMQakT)xeviI<$x$e} ze9TnYSOZy|JJzPU|Igw9Jvr+f(43gj));EOyva2&C!v`XkSFVFUdQ~0@;jrJ8<1B(DA=vm=Ej{MCoq{fXe&dqaVgn zoEQcrFHu^86|YMBZz(X%Cf8I-5^*K74_CRc>wW)LL1XW+e^$_6xo*42N!hYdOWQi)WDZxn%g;wbbwzt!6KBidWDR0FL> z(X7-ek?)|)J5!nk-4n?5qc(DGswU!#wM#Mm#Q)< z^I9h5O^lx8?V5s`Y=GW5%raG}sBY^xyt|E6y7ef(nL-dyYRDRrw~tvN{+RwfG|`Zr zpz>Q!Q*V(oJL42g7=qcosOU7IgnNyIuRi8x(gUd9%nb(B> z+>VK{vmz|TI!vxWAdF{KH9T|3m2a^IgZfKy{xx1v5F|+R(%-lIY@WH1vh`Aztj(>{ zy1m{)HrHtr_$AkaB2B6}%=5;NvL)6lPjB)CCY;$R3KG-jRN-wOWHfNa@p`U+Cg3J-H zx<&Q#X_!C0t1gVhpqeLyWYP7}9-O<*-c9F=irA<(c4947shqW$UQ@HxP`7%{XP@l zNWqwnV1Sev!FB#5$+5vvJBz1jsoL>av(0FFVqaGjjZ3HME0@kHYZSx{?DN27^^Ok} zF{y~cQ$biAeEFSIFyxfzf>(WRy z$lfBftxtI7;{zgIilkCnL{_(Xmv4Cj)64;YK}=m?nu7FjIQVpI?A4_ z=|2Q8`8`J(W(3QQ@zB(tKB>03tqL^eYdryP_%D_!Woo%~2K5q4bW@Hr1&)7ix_#~H zvelGEQ;`rizcg%BiMan}gwI<0E2BQw!+Y9+&@xj`=!fizr51zZal@$LlNPOzeM`{> z9RZ!pHU2k}_U)!$>^yoVc%5N=k@AkmwXOBE+|?RHQnA?|_bO$ov$PVo?)3_pjasn) zZ2oSirQ@gZ-`@y}dYNDCPUVBw-{~RT59JaNu|`QjlYm5+|ehL)6edd*+b& zb}%Yw--2$)Gp*rbOeWSdN#gIDeCmk|H=>zSwamq0BKzdm0EFYFIL@C=$puhKDiywy zJ>+52(hZFMFc1fJ|G3P8SJ(*B&ie?wCIcRA&F3E>4K_PXOSh%bGed2Yq_~-+j*s~J zal>ROZk#bZH9f{i~w|Y7SgVtMNJ7WdPF~$p6hd zG~!fMs+2)9x1I))Q=#MKCf3;LQP9&X2)~U*Ckwy*)rkKh&5xGwdS3(2L!z@x13a%7 zd|Cq^Jc}5e?vt$hZcwm7YNA?VNNu}gBxK~3-0)$s6k9&K`EJqUOy> zGRH^Gv5WC?=QrHCu|lQKl{DAATak4>oC71Y&o$t5kANa>;EP(MVj$_@4oHdOun>a5WMUYTx-Jso_|2tAbiFt5WpyNGjZH z3tC&?olE~F6QhQ^XkU45WD3R9aR?2@&1yLl%^W20)U#GsJ{H~mJCnrvBM9UGD*YtH z?DV7haB&D}d8iq)Zc7`z+}J@pbeI2ui_AJ^ieR%hVCHS38t-r2hzvx_(h4q|feBX17}Dj+uNlAYAeF)f5+7H0y@9Y`t5Tmpk3H6ieKc{-=KKs(H;~0os2$C%@D8- zf2L7fyNfyIA7{IUQzeK~2n}66pYtu=WOb+#7Za5g=aU+rG_)&kJKQK{O$eVE(F@h6 zNI%g7;hAO|jK+rHv<_z=him|R6Zq)xER^%&&bGO&eEN=_oZKuwMDN)!Sy%`jP2Aq( z<8-wNFDXD81Tgh;?|ApcDu(SBGPKhgw|)At;){_k%NG)eCrC)B zb4EF;!f!$So1`ENTXl4rDuJw|qQP(2dU$(jn#ib|M>$hMI9Qu=wgP}s1K-9BjxMYj z($J{yh%k>+aDx-eBQS~N>X@TQ;M@1U*oP$<+d_pMo={Od@YKUc2MnBZZel>W-c_p^ubq*i!mj6=wSA%urGDfp>%S z`FTj&*_O%`z1JKZoR8LnkS%`9*R}RU8+`CB&N(y9FP$iAHr^nYXW;)-c$~An8;*%Q zZ$q+6F(`Fw-cP*&SE|DQ(n`#CzW7889TZ1tLVxjg1vq!Y<&-N1gQZ{kTrSRju`NpA zq1o1`q3IA&Mb{u-aptV9^kJ>E*xO_)Sg2w;KcY=&B%X_ay3Pkvj zfL_wh18K?D!C1^`-`;w ztqC;?;H9lOd`U{y9LFvOzIw~8D#36>bG|#F8ARlKEy8{>_+>(X*{&zb+FYtxCxO9o z87yTUD6c|C(5Dk8$s9#AnV%LleSy)A3}-?f7TzFMbo?0@A7S_3o{&5Zj#J3K5se~p zHe2qrpzZr`J7``1m? zn#;wgEeqov`N+L897sDh@yL15dzoVQ-n+JQ{muv-d^%zyJwacWxcIUH4@!KBAOI3< zac!E90%zIji|O-mNTWw7-FZD*MICR+2xTiyZ$V-)r7S@Ily4`WKKV$V)$a|99AItg zK5EMbibVw3qEKt!zO#-g9AsdMBzk~p#xw!XE_xU*^&_NNTTKMCOrOxysTbDK%8CU$W!gbZW>0! z$Fa9zT2~}cv5gqwymYpLD@AZkx7EKw52_|F?fCyp057W-13d++NM86$~Q zTO!$vAL=cli<$o6ukF`}CxbKNxjdX)m|lCXtql8n6gl{dq&~q7J6YfR?M>#-5RuRq z(QU-+ST|)oyzMvo!P#4TQo^3)jZW^~On{kJfrEeeED~yVB}jRsiD8~s$?IWE#%*5z zpYIEHPX&WUx5$~UYcEUVxc=oKq+k%AMe;|J!$M@Fa~|V=ERIct_m0>|NCV5*yX=k+ z?7Do($D5sP2)Sz<`uZ|xCDjN|ojgl#CJBdhvf?931% zT{zL&zo?A>_jNPJvxxxp+mL#m!}xrr*Yu|*y>A$CtWWZlzEl6Dkisyc%fXOE(s-s1 z@I>q!9%g>Y;E&Z_1W(3d&?kzmwk8NI)ud1}F>LbYoM}+|OYT#{!mt{8Pn%r0O#8OC z?lfj8vHHGWcfo-8!3KJ`xUju`;#B$bO~-({Xd zvt}TnY1$4O<;1&fFd3HPif%XdVwi~B@>oL`q|@_Ty402f<;4yMtdl$6Mp#Fkkjd~# zBN?7H4WjktZqMMF-)XWbEV#zgnwB;h4Pz;VduA*7{>}^c%2u-LhMS!z>Tfk-NO{lc zLtlUQy2Dy+3>4y>Wy>y%7Dca=s0FwgAxmSIDvT4$*Sf6@qnd)gHRqgXlXs-q@YQ1c z6eBD8_snE^wx%J<(DCrD7{2YVgAS4(4Z66Clc<^xyMQJHES^Jb;n@(NL)u;&FwW{| zQcLs$0!JLn(LNr~OY*}Nhd8$G2BhK9OCtE&n1ap=583Gt!4Cf`J~sN|xY%z)nZNS{~~Gl|%j>o(~w znyW_b1M1~lKT4uSJ=BNm(3=Jvn>~-Akr!?uEG&iOXG0iEL&dqo7OFNz5U`F3`_OSz z9r{o@W$84jdat{2-Qr8l?d4!Vp3y+yS_eHT+M$yZB}(@-mOXb z!)N6`Gt*soXPuW~Bv*0kjA=o_+J-y1g<>JY4b)uIg>^DCqSFYn)$N{)qB0ReY^8kuhB#d3dY}_+uin1m{zZU)_n?BSUWz7i- zSfk$$n^`jJ!RhD}>TqgH@GTxSr8E}O2)b7r(}--!JVM#&GsW3*hzoMKe(W@4L4`-6 znb1ZpWy>nCCsDUNLO>`UvlvFgD0lr(3bk{x2Gh-BAkjEky#c@fe2R=f&W_EY;OIxY zetX_4pUfWWW77y-9N`wxdS*=4Mui6h8^5%7v%0=LZC|KscdUTv$_uz54i8KC3X3?}DW$8cF zduAomH2&sX>e<#M z$e-5l_Jr`r`4j5cS-}u$xkerroI}GWo6qL>5{?_xvwvutB@n6P@ESe;fl%JL`a$C~ z?EQT0Pw&PQiv$+Jp)el?T`0}{dEs6@$X+D+k%1$VfnZ7YeI{cgE}HpoZcwo41Vr$> ziSIM>++q(+`sdkdZ4N3F`f((z-mD6bl0lRo|C%!zRZVZ7$>M&3k1$oaAtj_AZ#z8% z{|CqjKb;@&{ffrkHyg6n3#8pFYg~avKW6G@*!p!&j=6?}6EPNXalUsOA6D}7TcJN6 zfXyN@_Lq{7@_^c(XCMN)`u0h-+`Le^y7iTLV6(8?m&d*P;Z2~W)_h)3#(p_mRh4f> z8F`>y@03<#+1D|G)33zL5vo=ZMZ%8FOtd;$|4gQuhX3Bzlv3Xz8|A!YIZ$f0+I`iE z78#>A)9DVC4ZD_-B!qYM6#tFaM}#ZD>a{l7<`_CU`u2lqyk{ImKBx?hz)PN&82C%< z?6JY~v;S+oM!UwkgX8gO73&{bvhQFqmcQ;1>bP}iXwBizl=Ue7Mur;RD^zJ0jq!D@ zh`6s0y{T9E3Z*HyS7@L(c#JFaw@@q61jN+t=M1B}?M6dB8)nv5N!txTX5VbXh52Ua zz((YAQ)oB(X(vzaXlA;IrHRuvM_jWOgGOI{uH*7=pk_s{kot;{j8W2U9}lf#$eayNyfH1ub4Cu07oab5=9^BwK37_xiF=euZ;xVI8)nw5`L}U9A_2L^xm{^swyT-|OHc ziX11)bu7@SR7A+JRjJr=C-I4d=CuVG6GHLq49-v&A}%Yg3%PI0 zJExhp@-b2?ZZeSZz~=9`6?o%k!5<67m`dlrzhI|uvF-yf!dMqX^eNnAh-CLuqT;}{qjcY$FX-#_3Z)r(4~XfMQm zZ}YoXAwJM=Zv;*g?JwtVf`v$&bToP}zL>fNRkKYZn(k%W-9t+YQt#~fhhvzZ%56Rb TI}Y9r64R(iw6A}kzP$Vo7$G<( diff --git a/cpld/db/GR8RAM.vpr.ammdb b/cpld/db/GR8RAM.vpr.ammdb index c0f8c8f2f135678f3a602a4803c1f01de33c18c8..147f5b868ad479dff2abdfeff5c12a53221f68ae 100755 GIT binary patch delta 755 zcmV+(=<){o0J|Vxo%)QsAIuD9b~?#e$(`GN?W~GL-ewF#(!)NqxkR0zra!l^S=xG z;r z{>zloHT*k5{B_ss6nBNc+ByFj?BD-8z97C13)j~(_y=BHjL=6&KZ9Vb53gtVPhd|eC%ssI&i4xbhl~APU#oY7G8>O?{p{5*3agH` zKQUh(-@`9ymgC?4K#P0tqp7Jxqceq($a zaFbyfzqS45^)I%lR_Dem=mWgREDrU%waX zCG+=)kMF}Qh6}zw-oReT7=M0$cD%+n!$01acT}(EuW|o=kB;(z*kAa!3+o9?(Sz}g l>Rp6?E?>{TSHFlsF4L3G3&uO*pOI{NKNzos{{fR=12QnEo&W#< delta 798 zcmV+(1L6GW29gJmQ-6#R00000003kI00000001li00000005E$00000004La>{vT) z6hRQ}^&)|ZNNl(P5`r5L3@H#H3j%Ti!yVYQ1Sdc^Atb^w5+`8o6A*Y8zTSNGU`-}h%mr963_SF>0B5xobIqXmfvHT zy#x2^s4SfV{Q~r}xy!F&bv~%S1^LF$9zl#tQMqqLopGLi8!|Dg0E)cabTueV@nd+z$~-|4_F3+iuK8FeVV4SSz@ zN45suN&N1|kNng~SpF&5%jEAv{z}Ai7M`C-JeDc8e}511Ymirb7vW$l;{Sm2;^6VH zea^=U@W}l)w)^Zo{C|ynt-ycFSLS~Ld+j{CK=!hHF}~-pr&YCl@%f$kdkOt^zcU`@ z2fn(oGJ1;mOjzs1cslqWqd#=Y=UZk!<4?$c$crzO zA(c(ow|^zough3a`Zi|mKH>T-%6EM3=y%&&)c#@RPa{9=doQb3_!B+N?-cs2pCbQt zvO)E~1NqO zo=%<1VERwvVf?QU-*V}It`z2H@%`)adkFAyd>`=NpX(@??h@-at}ov|mdD}y=|5jL zTAm+m6g}lQzurH7pWXEZYvi(n{VYUX&-7j0?J>>vH(uG0001Zob8?6Zrer>h41?mgzg)0Nc{p%0LOM(H%*;NMRQ>khHNpd z;Fxw~%1D5s&t6h;EX7uM_Ke6~E|9xK6i3V9o|)O%kM!Q_&A88AJ1o5@aO7KAn~*C znFKEvvk%iCilZRxwIymtp~S&BncvMWlHl#+;xdq6{7djIIS-Devn)Te_2P#h*t<+V zpWR%~((Lx^HpznQ^G!a!dGbD)C+S7f3jUcT^Zdxo^HzI#!saQ#Y?_a^^>EVPv)e40 z-+wLtVsWuA($T?quf6=|>h$)*`CIKpz~26JIzNs6Vob)s_$K%tGvxubgmbPf5oQU)#l1@G~2b@Kup4=iiMkJr(lkp#;my{v}2`GJc zl+R4cBoff_{&4zoD<|iXSsD^9x5Z|8hzrOu(ovo?$YCN8Ez>$V zN+hEqTXIn;Mk2bON+D$wsc4nn$oduOXm#H^oKd8t{j0?%w$MgOS`Cy-#WB)SF3&Dy zv4eC}2%d|c9i*b%B`QSyG2e4J7?%@#sKZlDIKh_q;siHhQj(s~Cf zsJM1+vUiYz-u`?X&LhgCQAH=5gt|yVOQT#w>LLv-ubsL@T_mD(v`;OnyGTPt=-_18 zHIoo&6iI05OQB2}EQ#1zgcMZtD9FxC*DME-?nD|YRxtY)*&WrOF)QbUtCV_1>mt&o zSyPVlX%D8O<>hmMzK6uLGRjTU9uiY}z(tXsft0ufN6(}=$617#h^=UPNJQ!Q-4WH0 zL;^}*o*cfX5=I}Yr>KfK_4JL@BV3`-N9uWX@S3t`l!Z9m^oC4cE_M!)h?WVMvWP^KhdHjzH#BG_a3OAJw6n_HaieA&IWrBC5T~D^nSR*KRiiXS z?u3R&LZkhIvIw{F-z6Ba{Os$G?(JvuNqV~iDmGw=e_IMG@2}@q$@Hn2EtgjVB_UxA zoP>mRkP;GB%t}aDIV~Y!^}K|HH4qaL*1}BG1WnXHP1Jx*)WA*D15VUHPSk)-)WS~G z0Z-IHPYA>(iYE4d`7x^G5C~9cs0@Jwg$BzINKw#ShL|xFB=I1SqR0oTxK&6}3f@B? zO2Gpk0#S;hQ>wUr*nX!$5`i#B9N-kFe(DE3K~)o z2vsO36@gF%kEsZRDm0vmK&nE+ln5j%6i|skwn9Ud2;?hhtVAGS!E+@70Sg)|5r|jN zWQjn&LV=cu8DBxNB?1Wx8ZHq?SZL@HF+(iKS#QQzP<)9%$U*~{2qY~Ogo!}bf=5gQ zq82<7B9OJvFd+hQ3mys)j9cWDIbZQW;DU!u#FV!nt(q*O*j~Lr;zGrS2xKl4aEKT( z7i3U{(1nKNkm|_`sUE$M>e&my@Wtpru`;Ux!H_x_45QA7U9a zK_L*z&=3y-!3+iRAP~;D$9DO20tpQTO(77`P~j8;DGi>d5XflIK!rd?gC;7(1kxZQ zAEY#Rra~a6p@1p`k{UEsArRD1VHIYu2KiVcWHmHc#RK~=DJY5+6|n_@`1)%PKYMwz z^~Dumj^BSHn`B8aOQ*@F=dEz#;PUYD+SW^>oB8#p&#f2vSZ_A0w7-~n_~rKMr?-z} z6X>AFvazI64Q8*s%~^GOa9y`Iz=Jyu#*GKptJ4N}aL2*8@!+~NX@Ca@K6Ijo1JWMqbXr-6Bu59_;Q|%q)+cWs~y{$-SMs**sfJKD2^#admca{o%HF zq2cCt8`I0`(B=da88#+VNDcETuHZQ*l|`w&SU|Yz@*NPib#xg`O%a z@0;VPsH`Js$5W-{NOL?@+B2}@sbN{!(Hu{SHb_*M`}~MgrCk&|p6dG%r+V(yryhdk zddhw7>z1wQn&YXcZ1HZXO7O!|>Kj_&oYIC$%X7-Pqe4H*sh<1P7pVg})iQb7F!^S? zC9N@(S6xqe4GYpSUj))I+cw zrrggdb)>ZHDfg+b^y!ucdCGm$MZNO09MIjTzK$QeP426y(2p>srm?E?RP0BX68Bwn z^$;v~Je@l#^kXR_?uiuj5G+qA_nsC+J zmeuFJl@R+8r`(6B(2wra(0z?C^rP@pKi5^Ar}}>M@k(DSXvb6PhaoJdKKF4-4Z2z0 z>~p_`6{(Aa<>%j@ZrLGAx!;#lCrZm%%6*Bb?vL7TBsuT7Me1IbHD%{*PHJ? z@~Vemx$bGevcr!yqWaZ{6-E?Kvbp;ofIULv@}S`q9K!53@o~ z`4OkoZ%L@oQ+}vV{f40GJXQKPKbqqy^?CPBJQ{N)kCmcak{Ug z)I0K)IY9SwO8w3)%N3{lI!gWeXv=fTy{Ah5?jGl<$DiE)!Q|qk>}-1e;)nkM&Xyke Dm*_Ob literal 3339 zcmV+m4fOJ?4*>uG0001Zob8?4Zrex_hVSPo2;EPlYMlWmfMPj2+SoZr!T2Ir2(m@* z2#IBnOa~KSu+P3E<;aY!;opCmVRr+$OC+n*EY`U{whlgBC-dc5v|e1s&l~@m4yL1% zXP1lX`Po?`%HI1DZ*Eps>*o!5CXMLN+r=iiy}5t&{q}`uGKt#e*Rxd;{l0xGlJ>LK zGl^c_u0Ag#(>777*A&w(TXpd~+vYuRsF?j+V>KN9j{C+d$^@@bytqUi$hXNj9sq z+a$i3e@;L0?e*e2cXxCC`rU{5rWqr zlZo7PIy%4Id^DU;`h1hGaVgn zD1pd(Qqw%XS}fxwB^7zl;pLLPKgfyFTsb>Brg8{!p%Ht_4RWA7zV*0Fhx{iqBT^)C zp!MwZh!SX(d$=fUko#=&85fKUa-i9W7HQ!^X>{izu|Xa*n$G@MT_luDn1)7VXm2A2 z+UAQkC)CP^3>P@t$ceTqCF@1xMrmf_%B?o?qxH+T<4ubaiQFh%Bv){?p%1Zl9C^_C z^eDYMlt|=1=~a`qj=U!e!<_weVE)N0os?R9wa$E(Z13Duz@Cra-ScZ0gfg~ms} zr9$w@|`?tP!f^n_uvOjcuxHh5aTQa~ zD$$X%xgK)WEXR`~Eowz_Y}&Ia7CCM9p{u5Ta6w75DHXZuvyYrLo6Jqt3FWVSi#Cf~ zCUVy_0&oGKkNjtwIyo!qTR9N9P~<<`g_0w9L0EY$sc6fTN3PUjM9-Bv(n4N)@^&_POYQbZ%OdM>fk0X??Xla=EsL^| zjH=SIa9A$yNy~1Vi`*e^As@=_`qeEpERYr)GLBh8vdev3RFxL;qFgGeQOH|l=EUL_ za9Wb}bkvMhAa3#Qw@GVbIGM#d^vOo&?es`E`@Jw3}d%3Ez^ z7sy-W*Djn)j+P+z8F-3ZzC9psql0geP?cf+GsDRvsa9->!UG^+LQ}i~ zk&nC$Q!KS=JXj#}!Slgl1AUP3#0vT#%^p%83Pf0}zz@>vA^D+zgawix8cJ9o{lR0x z0{IV~6BaxCg9L>I0w6g($-6ydKzLqQAOWHQh6O?(G%+j?15qHu0!a`JGc1q>(LlZe znGl-t6&oT%Q%qW6A>>l=L|-$YfMm!PB31~t+GghELPA7=C<|mnXrx#mA(B1+sJMLC zRIW%Cffh>oH3^tJE$Vo$mW?@%FYt^poBZXQfDt4{Nv3MBP*c_`N zifumwSqhr6H4vm=hn@yf6g*{XAVHDgh6;V!J-5P5J`Ch26r`=eM{JO!t$_@MhO{*h zp-_;v#)hC^D^!S3@T9GQ00mFl8c0y^6sxg3Yl94>5TD?gQv(4C4H;@I58NOFA3kwI zLxviNP`o;P&4)fnP-u8l10f2;o9^W$cWC4ygDkhu|_2%lYuZisJz@}Bfs3Wcj` zF$c|k%KFh7ybloWHCWj53rK_afQk<6g9`)yhG8Z1m71Ja=QWP?u(DQ+})zuD$q zgN4abKpHGO-r<7^TLG%D>yZWvJMaN%u;)`C9EPaDdz#C=1`E530co)CShoi%Y(K5I za-~A?NrfTilFri>U2$6yqy{~*!2%5&kOsx0@l4^7zmPQOQ)gG0Q3s^Lwoh){4bcdn zI8>^*Bn+{rHa<;RyCKf0eR5(G;&{gRR1bDS41+_ToVXWaDmES!Gll1%1JYpIqf}gY z;xix(c0Af_k|*wbIr3tUCWP`t0^lHjZ=Nj9KF4=*zN|LM?c#GITHjvI&#yk;WW~qs z(>uKtz9apk+sk!fp>qHA+xPCj{L$wR3fEsI^Vbrgk3<|a3)hvsw9iuZ+6l6f())5h zzAH14hxg>c9f8JSwS)rlNG_pXRkfXKs&Ht7*P1FT8S7D0=_uVFMHP+%bf&6K7~UuD zjjB^tMERqs(#`#PG*t>(`J*Xuuc=PifWjY5l>(DB*;E+0uU*!w)>I{g5z+h3BYNRE zTdy_MbzXUzK;l&C-fK-Z6-JyYWyb2!l!Q^FYc0RJfUeFdH5Uoa1A5_%Bd;~3o_JJP zB$RD3`J*W*-H513Re>0l`&mLSj6fys+ZhwcFrn+-s0Lve)xh~M!ITnc{%A@(n7gQ3 zDsCI<@g~$iZb5y&SM+H6Y2W74KW{xf6!zf54>p}@zWweeB&EEtKaMhR-}jet!TxAU zN}mO-M^&Zdpg*co7akRADvaKvl)kK~_6fuNhVI91CXA3ibUsZo>ixmGL%Q?U!vr#T zQ)4031uAs}sM-CrnWw^vN;@U3+x?tpKU4ZVkVmR2#Vl(YCxu~Er6hMvC6&7KuXiWY z`P|#66L8H9jdSml(vukc(UhonTq_JzVRSTY=XHAF7qz_BRNuL#3a9XUtts^lt*}fF z!=|M4OsJZQ&oC;gfpeoW>g}AGYftAkRrsYeuQerVF0w-R3&W^7&dYRBcM3I+UYwWd zM%|~^o&8*EO4Q|V&EDspI8;;YHP@cbJHNtjBzWceSdh}X%nc!Me(hei{sh^&x zFiwRL(bZUTg*K(GJoDFoqG3;HW}V;t!p|i7?I+H&l&A~(n)?p-HDc+B_5Nt8^zhtz zG}R3wONly4R2QfMY0<=eH)~1{SoTO&;IP7-Mt8;+|9QLm;sl@$qwW?pXA|KRsY={Webwi7{p{zS+|Rl1QUW<#PL0J>rz!Q|v*v!zeV$T3 zlU{Q_=e$XgUKpLP`q+r-Y)bu9WX%wTdz(@-{59_*xi1pcb!yG$#<<@dDg8M=e>5fP z$8oDOsz9Edc3vfjzVE0vqC20@$si1qQZKW@Dj^I_Ige7JK8vhoML9PqQDd=nk8+)> z%7ilYF5O6|NmXIAGwQRYDh%milnLrizPd=I-pQ}IpmMJ$we$U)ct16vyTAMDBl~Ke zPP%_`qVy-|{n1qE56IOt@eU*Oy5DV-P*@WWPT^CZnE5kJJ$Vz&dGIyrOj&o|>D*CF zAT7FcMTweVtvR8)S5z2t#J+oz3IyL%gVPnJ^DvOK(x1YusXq^7x6yqst3KQ5v8Eor Va_f?XdG_L${{t|w^}q*&YL@^2 diff --git a/cpld/output_files/GR8RAM.asm.rpt b/cpld/output_files/GR8RAM.asm.rpt index 35484a4..86126fb 100755 --- a/cpld/output_files/GR8RAM.asm.rpt +++ b/cpld/output_files/GR8RAM.asm.rpt @@ -1,5 +1,5 @@ Assembler report for GR8RAM -Thu Mar 18 04:40:52 2021 +Fri Mar 19 05:28:54 2021 Quartus II 32-bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition @@ -37,7 +37,7 @@ applicable agreement for further details. +---------------------------------------------------------------+ ; Assembler Summary ; +-----------------------+---------------------------------------+ -; Assembler Status ; Successful - Thu Mar 18 04:40:52 2021 ; +; Assembler Status ; Successful - Fri Mar 19 05:28:54 2021 ; ; Revision Name ; GR8RAM ; ; Top-level Entity Name ; GR8RAM ; ; Family ; MAX II ; @@ -90,8 +90,8 @@ applicable agreement for further details. ; Option ; Setting ; +----------------+-------------------------------------------------------+ ; Device ; EPM240T100C5 ; -; JTAG usercode ; 0x00178E81 ; -; Checksum ; 0x001792F1 ; +; JTAG usercode ; 0x0016E052 ; +; Checksum ; 0x0016E4CA ; +----------------+-------------------------------------------------------+ @@ -101,13 +101,13 @@ applicable agreement for further details. Info: ******************************************************************* Info: Running Quartus II 32-bit Assembler Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition - Info: Processing started: Thu Mar 18 04:40:50 2021 + Info: Processing started: Fri Mar 19 05:28:52 2021 Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM Info (115031): Writing out detailed assembly data for power analysis Info (115030): Assembler is generating device programming files Info: Quartus II 32-bit Assembler was successful. 0 errors, 0 warnings Info: Peak virtual memory: 293 megabytes - Info: Processing ended: Thu Mar 18 04:40:52 2021 + Info: Processing ended: Fri Mar 19 05:28:54 2021 Info: Elapsed time: 00:00:02 Info: Total CPU time (on all processors): 00:00:02 diff --git a/cpld/output_files/GR8RAM.done b/cpld/output_files/GR8RAM.done index 8fb9de0..5e09f4e 100755 --- a/cpld/output_files/GR8RAM.done +++ b/cpld/output_files/GR8RAM.done @@ -1 +1 @@ -Fri Mar 19 02:49:01 2021 +Fri Mar 19 05:29:01 2021 diff --git a/cpld/output_files/GR8RAM.fit.rpt b/cpld/output_files/GR8RAM.fit.rpt index f3f960a..fbefcec 100755 --- a/cpld/output_files/GR8RAM.fit.rpt +++ b/cpld/output_files/GR8RAM.fit.rpt @@ -1,5 +1,5 @@ Fitter report for GR8RAM -Fri Mar 19 02:55:57 2021 +Fri Mar 19 06:44:01 2021 Quartus II 32-bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition @@ -50,17 +50,17 @@ applicable agreement for further details. +-----------------------------------------------------------------------------+ ; Fitter Summary ; +---------------------------+-------------------------------------------------+ -; Fitter Status ; Failed - Fri Mar 19 02:55:57 2021 ; +; Fitter Status ; Failed - Fri Mar 19 06:44:01 2021 ; ; Quartus II 32-bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; ; Revision Name ; GR8RAM ; ; Top-level Entity Name ; GR8RAM ; ; Family ; MAX II ; ; Device ; EPM240T100C5 ; ; Timing Models ; Final ; -; Total logic elements ; 313 / 240 ( 130 % ) ; -; Total pins ; 73 / 80 ( 91 % ) ; +; Total logic elements ; 250 / 240 ( 104 % ) ; +; Total pins ; 69 / 80 ( 86 % ) ; ; Total virtual pins ; 0 ; -; UFM blocks ; 1 / 1 ( 100 % ) ; +; UFM blocks ; 0 / 1 ( 0 % ) ; +---------------------------+-------------------------------------------------+ @@ -138,19 +138,19 @@ applicable agreement for further details. ; Total logic elements ; Not available ; ; ; ; ; Total LABs ; Not available ; -; Logic elements in carry chains ; 41 ; +; Logic elements in carry chains ; 51 ; ; Virtual pins ; 0 ; -; I/O pins ; 73 / 80 ( 91 % ) ; +; I/O pins ; 69 / 80 ( 86 % ) ; ; -- Clock pins ; 0 / 4 ( 0 % ) ; ; ; ; ; Global signals ; 1 ; -; UFM blocks ; 1 / 1 ( 100 % ) ; +; UFM blocks ; 0 / 1 ( 0 % ) ; ; Global clocks ; 1 / 4 ( 25 % ) ; ; JTAGs ; 0 / 1 ( 0 % ) ; -; Maximum fan-out ; 123 ; -; Highest non-global fan-out ; 33 ; -; Total fan-out ; 1329 ; -; Average fan-out ; 3.43 ; +; Maximum fan-out ; 99 ; +; Highest non-global fan-out ; 36 ; +; Total fan-out ; 1002 ; +; Average fan-out ; 3.14 ; +--------------------------------+------------------+ @@ -159,11 +159,9 @@ applicable agreement for further details. +---------+------------+----------+-----------------------+--------------------+--------+-----------------+----------+--------------+--------------+----------------------+ ; Name ; Pin # ; I/O Bank ; Combinational Fan-Out ; Registered Fan-Out ; Global ; PCI I/O Enabled ; Bus Hold ; Weak Pull Up ; I/O Standard ; Location assigned by ; +---------+------------+----------+-----------------------+--------------------+--------+-----------------+----------+--------------+--------------+----------------------+ -; C25M ; Unassigned ; -- ; 123 ; 0 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; Fitter ; -; DMAin ; Unassigned ; -- ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; Fitter ; -; INTin ; Unassigned ; -- ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; Fitter ; +; C25M ; Unassigned ; -- ; 99 ; 0 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; Fitter ; ; MISO ; Unassigned ; -- ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; Fitter ; -; PHI0 ; Unassigned ; -- ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; Fitter ; +; PHI0 ; Unassigned ; -- ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; Fitter ; ; RA[0] ; Unassigned ; -- ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; Fitter ; ; RA[10] ; Unassigned ; -- ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; Fitter ; ; RA[11] ; Unassigned ; -- ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; Fitter ; @@ -181,11 +179,11 @@ applicable agreement for further details. ; RA[8] ; Unassigned ; -- ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; Fitter ; ; RA[9] ; Unassigned ; -- ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; Fitter ; ; nBOD ; Unassigned ; -- ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; Fitter ; -; nDEVSEL ; Unassigned ; -- ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; Fitter ; -; nIOSEL ; Unassigned ; -- ; 0 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; Fitter ; -; nIOSTRB ; Unassigned ; -- ; 0 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; Fitter ; +; nDEVSEL ; Unassigned ; -- ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; Fitter ; +; nIOSEL ; Unassigned ; -- ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; Fitter ; +; nIOSTRB ; Unassigned ; -- ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; Fitter ; ; nRES ; Unassigned ; -- ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; Fitter ; -; nWE ; Unassigned ; -- ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; Fitter ; +; nWE ; Unassigned ; -- ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; Fitter ; +---------+------------+----------+-----------------------+--------------------+--------+-----------------+----------+--------------+--------------+----------------------+ @@ -194,11 +192,9 @@ applicable agreement for further details. +---------+------------+----------+-----------------+----------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+------------------------+----------------------+-------+----------------------+---------------------+ ; Name ; Pin # ; I/O Bank ; Output Register ; Slow Slew Rate ; PCI I/O Enabled ; Open Drain ; TRI Primitive ; Bus Hold ; Weak Pull Up ; I/O Standard ; Current Strength ; Fast Output Connection ; Location assigned by ; Load ; Output Enable Source ; Output Enable Group ; +---------+------------+----------+-----------------+----------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+------------------------+----------------------+-------+----------------------+---------------------+ -; DMAout ; Unassigned ; -- ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Default ; no ; Fitter ; 10 pF ; - ; - ; ; DQMH ; Unassigned ; -- ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Default ; no ; Fitter ; 10 pF ; - ; - ; ; DQML ; Unassigned ; -- ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Default ; no ; Fitter ; 10 pF ; - ; - ; ; FCK ; Unassigned ; -- ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Default ; no ; Fitter ; 10 pF ; - ; - ; -; INTout ; Unassigned ; -- ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Default ; no ; Fitter ; 10 pF ; - ; - ; ; MOSI ; Unassigned ; -- ; no ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; Default ; no ; Fitter ; 10 pF ; - ; - ; ; RAdir ; Unassigned ; -- ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Default ; no ; Fitter ; 10 pF ; - ; - ; ; RCKE ; Unassigned ; -- ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Default ; no ; Fitter ; 10 pF ; - ; - ; @@ -232,20 +228,20 @@ applicable agreement for further details. +-------+------------+----------+-----------------------+--------------------+--------+-----------------+----------------+-----------------+------------+----------+--------------+--------------+------------------+------------------------+----------------------+-------+----------------------+---------------------+ ; Name ; Pin # ; I/O Bank ; Combinational Fan-Out ; Registered Fan-Out ; Global ; Output Register ; Slow Slew Rate ; PCI I/O Enabled ; Open Drain ; Bus Hold ; Weak Pull Up ; I/O Standard ; Current Strength ; Fast Output Connection ; Location assigned by ; Load ; Output Enable Source ; Output Enable Group ; +-------+------------+----------+-----------------------+--------------------+--------+-----------------+----------------+-----------------+------------+----------+--------------+--------------+------------------+------------------------+----------------------+-------+----------------------+---------------------+ -; RD[0] ; Unassigned ; -- ; 4 ; 0 ; no ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; Default ; no ; Fitter ; 10 pF ; - ; - ; -; RD[1] ; Unassigned ; -- ; 5 ; 0 ; no ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; Default ; no ; Fitter ; 10 pF ; - ; - ; -; RD[2] ; Unassigned ; -- ; 4 ; 0 ; no ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; Default ; no ; Fitter ; 10 pF ; - ; - ; -; RD[3] ; Unassigned ; -- ; 4 ; 0 ; no ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; Default ; no ; Fitter ; 10 pF ; - ; - ; -; RD[4] ; Unassigned ; -- ; 4 ; 0 ; no ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; Default ; no ; Fitter ; 10 pF ; - ; - ; -; RD[5] ; Unassigned ; -- ; 4 ; 0 ; no ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; Default ; no ; Fitter ; 10 pF ; - ; - ; -; RD[6] ; Unassigned ; -- ; 4 ; 0 ; no ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; Default ; no ; Fitter ; 10 pF ; - ; - ; -; RD[7] ; Unassigned ; -- ; 6 ; 0 ; no ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; Default ; no ; Fitter ; 10 pF ; - ; - ; -; SD[0] ; Unassigned ; -- ; 0 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Default ; no ; Fitter ; 10 pF ; SDOE ; - ; -; SD[1] ; Unassigned ; -- ; 0 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Default ; no ; Fitter ; 10 pF ; SDOE ; - ; -; SD[2] ; Unassigned ; -- ; 0 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Default ; no ; Fitter ; 10 pF ; SDOE ; - ; -; SD[3] ; Unassigned ; -- ; 0 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Default ; no ; Fitter ; 10 pF ; SDOE ; - ; -; SD[4] ; Unassigned ; -- ; 0 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Default ; no ; Fitter ; 10 pF ; SDOE ; - ; -; SD[5] ; Unassigned ; -- ; 0 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Default ; no ; Fitter ; 10 pF ; SDOE ; - ; +; RD[0] ; Unassigned ; -- ; 4 ; 0 ; no ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; Default ; no ; Fitter ; 10 pF ; comb~2 (inverted) ; - ; +; RD[1] ; Unassigned ; -- ; 4 ; 0 ; no ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; Default ; no ; Fitter ; 10 pF ; comb~2 (inverted) ; - ; +; RD[2] ; Unassigned ; -- ; 3 ; 0 ; no ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; Default ; no ; Fitter ; 10 pF ; comb~2 (inverted) ; - ; +; RD[3] ; Unassigned ; -- ; 3 ; 0 ; no ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; Default ; no ; Fitter ; 10 pF ; comb~2 (inverted) ; - ; +; RD[4] ; Unassigned ; -- ; 2 ; 0 ; no ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; Default ; no ; Fitter ; 10 pF ; comb~2 (inverted) ; - ; +; RD[5] ; Unassigned ; -- ; 2 ; 0 ; no ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; Default ; no ; Fitter ; 10 pF ; comb~2 (inverted) ; - ; +; RD[6] ; Unassigned ; -- ; 2 ; 0 ; no ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; Default ; no ; Fitter ; 10 pF ; comb~2 (inverted) ; - ; +; RD[7] ; Unassigned ; -- ; 4 ; 0 ; no ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; Default ; no ; Fitter ; 10 pF ; comb~2 (inverted) ; - ; +; SD[0] ; Unassigned ; -- ; 0 ; 0 ; no ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; Default ; no ; Fitter ; 10 pF ; SDOE ; - ; +; SD[1] ; Unassigned ; -- ; 0 ; 0 ; no ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; Default ; no ; Fitter ; 10 pF ; SDOE ; - ; +; SD[2] ; Unassigned ; -- ; 0 ; 0 ; no ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; Default ; no ; Fitter ; 10 pF ; SDOE ; - ; +; SD[3] ; Unassigned ; -- ; 0 ; 0 ; no ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; Default ; no ; Fitter ; 10 pF ; SDOE ; - ; +; SD[4] ; Unassigned ; -- ; 0 ; 0 ; no ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; Default ; no ; Fitter ; 10 pF ; SDOE ; - ; +; SD[5] ; Unassigned ; -- ; 0 ; 0 ; no ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; Default ; no ; Fitter ; 10 pF ; SDOE ; - ; ; SD[6] ; Unassigned ; -- ; 0 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Default ; no ; Fitter ; 10 pF ; SDOE ; - ; ; SD[7] ; Unassigned ; -- ; 0 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Default ; no ; Fitter ; 10 pF ; SDOE ; - ; +-------+------------+----------+-----------------------+--------------------+--------+-----------------+----------------+-----------------+------------+----------+--------------+--------------+------------------+------------------------+----------------------+-------+----------------------+---------------------+ @@ -258,7 +254,7 @@ applicable agreement for further details. +----------+----------------+---------------+--------------+ ; 1 ; 0 / 38 ( 0 % ) ; 3.3V ; -- ; ; 2 ; 0 / 42 ( 0 % ) ; 3.3V ; -- ; -; Unknown ; 73 ; -- ; ; +; Unknown ; 69 ; -- ; ; +----------+----------------+---------------+--------------+ @@ -387,15 +383,13 @@ Note: Pin directions (input, output or bidir) are based on device operating in u Note: User assignments will override these defaults. The user specified values are listed in the Output Pins and Bidir Pins tables. -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Fitter Resource Utilization by Entity ; -+-----------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+------------------------------------------------------------------------+--------------+ -; Compilation Hierarchy Node ; Logic Cells ; LC Registers ; UFM Blocks ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Carry Chain LCs ; Packed LCs ; Full Hierarchy Name ; Library Name ; -+-----------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+------------------------------------------------------------------------+--------------+ -; |GR8RAM ; 313 (313) ; 123 ; 1 ; 73 ; 0 ; 190 (190) ; 0 (0) ; 123 (123) ; 41 (41) ; 30 (30) ; |GR8RAM ; work ; -; |UFM:UFM_inst| ; 0 (0) ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |GR8RAM|UFM:UFM_inst ; work ; -; |UFM_altufm_none_0ep:UFM_altufm_none_0ep_component| ; 0 (0) ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |GR8RAM|UFM:UFM_inst|UFM_altufm_none_0ep:UFM_altufm_none_0ep_component ; work ; -+-----------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+------------------------------------------------------------------------+--------------+ ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Fitter Resource Utilization by Entity ; ++----------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+---------------------+--------------+ +; Compilation Hierarchy Node ; Logic Cells ; LC Registers ; UFM Blocks ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Carry Chain LCs ; Packed LCs ; Full Hierarchy Name ; Library Name ; ++----------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+---------------------+--------------+ +; |GR8RAM ; 250 (250) ; 99 ; 0 ; 69 ; 0 ; 151 (151) ; 0 (0) ; 99 (99) ; 51 (51) ; 27 (27) ; |GR8RAM ; work ; ++----------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+---------------------+--------------+ Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. @@ -404,38 +398,34 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +---------+----------+---------------+ ; Name ; Pin Type ; Pad to Core 0 ; +---------+----------+---------------+ -; nIOSEL ; Input ; 0 ; -; nIOSTRB ; Input ; 0 ; -; DMAin ; Input ; 0 ; -; INTin ; Input ; 0 ; -; C25M ; Input ; 0 ; +; PHI0 ; Input ; 0 ; ; nWE ; Input ; 0 ; -; RA[8] ; Input ; 0 ; -; RA[9] ; Input ; 0 ; -; RA[10] ; Input ; 0 ; -; RA[11] ; Input ; 0 ; -; RA[14] ; Input ; 0 ; -; RA[15] ; Input ; 0 ; -; RA[12] ; Input ; 0 ; -; RA[13] ; Input ; 0 ; +; nDEVSEL ; Input ; 0 ; +; nIOSTRB ; Input ; 0 ; +; nIOSEL ; Input ; 0 ; +; C25M ; Input ; 0 ; ; RA[1] ; Input ; 0 ; -; RA[0] ; Input ; 0 ; +; RA[10] ; Input ; 0 ; ; RA[2] ; Input ; 0 ; +; RA[11] ; Input ; 0 ; ; RA[3] ; Input ; 0 ; ; RA[4] ; Input ; 0 ; ; RA[5] ; Input ; 0 ; ; RA[6] ; Input ; 0 ; ; RA[7] ; Input ; 0 ; -; nDEVSEL ; Input ; 0 ; -; MISO ; Input ; 0 ; +; RA[8] ; Input ; 0 ; +; RA[9] ; Input ; 0 ; +; RA[0] ; Input ; 0 ; ; nRES ; Input ; 0 ; -; PHI0 ; Input ; 0 ; +; RA[14] ; Input ; 0 ; +; RA[15] ; Input ; 0 ; +; RA[12] ; Input ; 0 ; +; RA[13] ; Input ; 0 ; +; MISO ; Input ; 0 ; ; nBOD ; Input ; 0 ; +; nRESout ; Output ; -- ; ; RAdir ; Output ; -- ; ; RDdir ; Output ; -- ; -; DMAout ; Output ; -- ; -; INTout ; Output ; -- ; -; nRESout ; Output ; -- ; ; SBA[0] ; Output ; -- ; ; SBA[1] ; Output ; -- ; ; SA[0] ; Output ; -- ; @@ -480,29 +470,27 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +---------+----------+---------------+ -+------------------------------------------------------------------------------------------------------------------+ -; Control Signals ; -+------------+------------+---------+---------------------------+--------+----------------------+------------------+ -; Name ; Location ; Fan-Out ; Usage ; Global ; Global Resource Used ; Global Line Name ; -+------------+------------+---------+---------------------------+--------+----------------------+------------------+ -; Addr[23]~2 ; Unassigned ; 8 ; Clock enable ; no ; -- ; -- ; -; Addr[2]~3 ; Unassigned ; 8 ; Clock enable ; no ; -- ; -- ; -; Addr[8]~5 ; Unassigned ; 8 ; Clock enable ; no ; -- ; -- ; -; C25M ; Unassigned ; 123 ; Clock ; yes ; Global Clock ; Not Available ; -; DQMH~8 ; Unassigned ; 2 ; Clock enable ; no ; -- ; -- ; -; DRShift~0 ; Unassigned ; 6 ; Clock enable ; no ; -- ; -- ; -; DRShift~2 ; Unassigned ; 3 ; Clock enable ; no ; -- ; -- ; -; Equal0~0 ; Unassigned ; 2 ; Clock enable ; no ; -- ; -- ; -; IS[1]~2 ; Unassigned ; 1 ; Clock enable ; no ; -- ; -- ; -; InitActv ; Unassigned ; 25 ; Clock enable, Sync. clear ; no ; -- ; -- ; -; MOSIOE ; Unassigned ; 3 ; Output enable ; no ; -- ; -- ; -; MOSIOE~0 ; Unassigned ; 2 ; Clock enable ; no ; -- ; -- ; -; SDOE ; Unassigned ; 8 ; Output enable ; no ; -- ; -- ; -; S[3] ; Unassigned ; 26 ; Clock enable ; no ; -- ; -- ; -; WRD[0]~0 ; Unassigned ; 6 ; Clock enable ; no ; -- ; -- ; -; always7~0 ; Unassigned ; 15 ; Clock enable ; no ; -- ; -- ; -; nRESr ; Unassigned ; 28 ; Sync. clear, Sync. load ; no ; -- ; -- ; -+------------+------------+---------+---------------------------+--------+----------------------+------------------+ ++-----------------------------------------------------------------------------------------------------------------+ +; Control Signals ; ++-------------+------------+---------+-------------------------+--------+----------------------+------------------+ +; Name ; Location ; Fan-Out ; Usage ; Global ; Global Resource Used ; Global Line Name ; ++-------------+------------+---------+-------------------------+--------+----------------------+------------------+ +; Addr[14]~8 ; Unassigned ; 8 ; Clock enable ; no ; -- ; -- ; +; Addr[17]~19 ; Unassigned ; 4 ; Clock enable ; no ; -- ; -- ; +; Addr[4]~10 ; Unassigned ; 8 ; Clock enable ; no ; -- ; -- ; +; Bank[0]~1 ; Unassigned ; 2 ; Clock enable ; no ; -- ; -- ; +; C25M ; Unassigned ; 99 ; Clock ; yes ; Global Clock ; Not Available ; +; Equal0~3 ; Unassigned ; 3 ; Clock enable ; no ; -- ; -- ; +; IS[0]~4 ; Unassigned ; 2 ; Clock enable ; no ; -- ; -- ; +; InitActv ; Unassigned ; 23 ; Sync. clear ; no ; -- ; -- ; +; MOSIOE ; Unassigned ; 3 ; Output enable ; no ; -- ; -- ; +; MOSIout~2 ; Unassigned ; 2 ; Clock enable ; no ; -- ; -- ; +; PSStart~2 ; Unassigned ; 18 ; Clock enable ; no ; -- ; -- ; +; PS[2] ; Unassigned ; 17 ; Sync. load ; no ; -- ; -- ; +; SDOE ; Unassigned ; 8 ; Output enable ; no ; -- ; -- ; +; always15~0 ; Unassigned ; 2 ; Clock enable ; no ; -- ; -- ; +; nRESr ; Unassigned ; 36 ; Sync. clear, Sync. load ; no ; -- ; -- ; ++-------------+------------+---------+-------------------------+--------+----------------------+------------------+ +-----------------------------------------------------------------------+ @@ -510,425 +498,372 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +------+------------+---------+----------------------+------------------+ ; Name ; Location ; Fan-Out ; Global Resource Used ; Global Line Name ; +------+------------+---------+----------------------+------------------+ -; C25M ; Unassigned ; 123 ; Global Clock ; Not Available ; +; C25M ; Unassigned ; 99 ; Global Clock ; Not Available ; +------+------------+---------+----------------------+------------------+ -+-------------------------------------------------------------------------------------------------------+ -; Non-Global High Fan-Out Signals ; -+---------------------------------------------------------------------------------------------+---------+ -; Name ; Fan-Out ; -+---------------------------------------------------------------------------------------------+---------+ -; nWEcur ; 33 ; -; nRESr ; 28 ; -; S[3] ; 26 ; -; S[2] ; 26 ; -; always20~1 ; 25 ; -; InitActv ; 25 ; -; LS[1] ; 25 ; -; S[1] ; 22 ; -; LS[4] ; 22 ; -; S[0] ; 20 ; -; LS[2] ; 20 ; -; IS[1] ; 18 ; -; LS[0] ; 18 ; -; LS[3] ; 16 ; -; always7~0 ; 15 ; -; IS[0] ; 15 ; -; Equal79~0 ; 13 ; -; LS[6] ; 13 ; -; Equal8~2 ; 10 ; -; Equal78~0 ; 10 ; -; LS[5] ; 10 ; -; Equal9~0 ; 9 ; -; always10~2 ; 9 ; -; RAMSpecSEL~0 ; 9 ; -; LessThan1~0 ; 9 ; -; SDOE ; 8 ; -; Addr[8]~5 ; 8 ; -; Addr[2]~3 ; 8 ; -; Addr[23]~2 ; 8 ; -; SA[7]~48 ; 8 ; -; SA[7]~47 ; 8 ; -; Equal17~0 ; 7 ; -; RAMSEL ; 7 ; -; LS[13] ; 7 ; -; LS[12] ; 7 ; -; RD[7]~7 ; 6 ; -; WRD[0]~0 ; 6 ; -; DRShift~1 ; 6 ; -; DRShift~0 ; 6 ; -; DQMH~5 ; 6 ; -; Equal73~0 ; 6 ; -; SA~25 ; 6 ; -; RAcur[0] ; 6 ; -; LS[17] ; 6 ; -; LS[16] ; 6 ; -; LS[15] ; 6 ; -; LS[14] ; 6 ; -; CmdActv ; 6 ; -; RD[1]~1 ; 5 ; -; SA[7]~84 ; 5 ; -; nBODf ; 5 ; -; RAcur[2] ; 5 ; -; Equal68~0 ; 5 ; -; SetLoaded ; 5 ; -; always20~2 ; 5 ; -; Addr[7] ; 5 ; -; RAcur[3] ; 5 ; -; Addr[10] ; 5 ; -; Addr[0] ; 5 ; -; always20~0 ; 5 ; -; RAcur[1] ; 5 ; -; Equal1~5 ; 5 ; -; LS[7] ; 5 ; -; Equal1~0 ; 5 ; -; SetFW[1]~_wirecell ; 4 ; -; RD[6]~6 ; 4 ; -; RD[5]~5 ; 4 ; -; RD[4]~4 ; 4 ; -; RD[3]~3 ; 4 ; -; RD[2]~2 ; 4 ; -; RD[0]~0 ; 4 ; -; always10~3 ; 4 ; -; MOSIout~0 ; 4 ; -; DEVSELr ; 4 ; -; Addr[9] ; 4 ; -; Addr[8] ; 4 ; -; Addr[6] ; 4 ; -; Addr[15] ; 4 ; -; Addr[5] ; 4 ; -; Addr[4] ; 4 ; -; Addr[3] ; 4 ; -; Addr[2] ; 4 ; -; SA[12]~21 ; 4 ; -; Addr[1] ; 4 ; -; SBA~5 ; 4 ; -; RefDone ; 4 ; -; RAMSpecRD ; 4 ; -; LS[11] ; 4 ; -; LS[10] ; 4 ; -; LS[9] ; 4 ; -; LS[8] ; 4 ; -; UFM:UFM_inst|UFM_altufm_none_0ep:UFM_altufm_none_0ep_component|wire_maxii_ufm_block1_drdout ; 4 ; -; SA[2]~80 ; 3 ; -; Equal17~2 ; 3 ; -; Equal31~1 ; 3 ; -; SetFW[1] ; 3 ; -; DRShift~2 ; 3 ; -; MOSIOE ; 3 ; -; RAMSEL~0 ; 3 ; -; Equal84~0 ; 3 ; -; Addr[14] ; 3 ; -; Addr[13] ; 3 ; -; SA~38 ; 3 ; -; Addr[12] ; 3 ; -; Addr[11] ; 3 ; -; SA[2]~26 ; 3 ; -; SA[2]~22 ; 3 ; -; SA[2]~18 ; 3 ; -; SA[2]~17 ; 3 ; -; SA[2]~16 ; 3 ; -; Equal2~2 ; 3 ; -; Equal1~3 ; 3 ; -; Equal1~2 ; 3 ; -; Equal1~1 ; 3 ; -; nSWE~reg0 ; 3 ; -; nCAS~reg0 ; 3 ; -; nRAS~reg0 ; 3 ; -; nRCS~reg0 ; 3 ; -; SA[10]~reg0 ; 3 ; -; SA[9]~reg0 ; 3 ; -; SA[3]~reg0 ; 3 ; -; always10~11 ; 2 ; -; DQMH~12 ; 2 ; -; Equal33~1 ; 2 ; -; SetFW~0 ; 2 ; -; MOSIOE~0 ; 2 ; -; SetFW[0] ; 2 ; -; IS[1]~0 ; 2 ; -; Equal67~1 ; 2 ; -; SDRAMActv ; 2 ; -; PHI0r1 ; 2 ; -; always10~7 ; 2 ; -; Equal0~0 ; 2 ; -; Equal17~1 ; 2 ; -; MOSIout ; 2 ; -; FCKEN ; 2 ; -; Equal30~0 ; 2 ; -; Equal67~0 ; 2 ; -; Equal31~0 ; 2 ; -; LessThan0~0 ; 2 ; -; FCS~1 ; 2 ; -; FCS~0 ; 2 ; -; DQMH~8 ; 2 ; -; DQMH~6 ; 2 ; -; DQMH~4 ; 2 ; -; Addr[22] ; 2 ; -; Addr[21] ; 2 ; -; Addr[20] ; 2 ; -; Addr[19] ; 2 ; -; Addr[18] ; 2 ; -; Addr[17] ; 2 ; -; Addr[16] ; 2 ; -; SDOE~0 ; 2 ; -; Bank[1] ; 2 ; -; Equal77~1 ; 2 ; -; Equal77~0 ; 2 ; -; SBA~6 ; 2 ; -; SBA~2 ; 2 ; -; SetLim8M ; 2 ; -; RACr ; 2 ; -; Equal4~0 ; 2 ; -; Addr[23] ; 2 ; -; SDRAMActv~0 ; 2 ; -; InitIntr ; 2 ; -; Equal2~1 ; 2 ; -; ARCLK ; 2 ; -; FCS ; 2 ; -; SBA[1]~reg0 ; 2 ; -; SBA[0]~reg0 ; 2 ; -; nBOD ; 1 ; -; PHI0 ; 1 ; -; nRES ; 1 ; -; MISO ; 1 ; -; nDEVSEL ; 1 ; -; RA[7] ; 1 ; -; RA[6] ; 1 ; -; RA[5] ; 1 ; -; RA[4] ; 1 ; -; RA[3] ; 1 ; -; RA[2] ; 1 ; -; RA[0] ; 1 ; -; RA[1] ; 1 ; -; RA[13] ; 1 ; -; RA[12] ; 1 ; -; RA[15] ; 1 ; -; RA[14] ; 1 ; -; RA[11] ; 1 ; -; RA[10] ; 1 ; -; RA[9] ; 1 ; -; RA[8] ; 1 ; -; nWE ; 1 ; -; INTin ; 1 ; -; DMAin ; 1 ; -; ~GND ; 1 ; -; ARShift~3 ; 1 ; -; DQMH~13 ; 1 ; -; DQML~5 ; 1 ; -; SA~83 ; 1 ; -; SA~82 ; 1 ; -; SA~81 ; 1 ; -; SDOE~1 ; 1 ; -; SetFW~3 ; 1 ; -; SetFW~1 ; 1 ; -; SetLoaded~1 ; 1 ; -; SetLoaded~0 ; 1 ; -; WRD[7] ; 1 ; -; WRD[6] ; 1 ; -; Equal32~0 ; 1 ; -; Equal18~0 ; 1 ; -; Equal17~3 ; 1 ; -; Equal19~0 ; 1 ; -; MOSIout~4 ; 1 ; -; MOSIout~3 ; 1 ; -; MOSIout~2 ; 1 ; -; MOSIout~1 ; 1 ; -; FCKEN~1 ; 1 ; -; FCKEN~0 ; 1 ; -; DEVSELr0 ; 1 ; -; Equal84~1 ; 1 ; -; Add1~37 ; 1 ; -; Add1~35 ; 1 ; -; Add1~32 ; 1 ; -; Add1~30 ; 1 ; -; Add1~27 ; 1 ; -; Add1~25 ; 1 ; -; Add1~22 ; 1 ; -; Add1~20 ; 1 ; -; Add2~37 ; 1 ; -; Add2~35 ; 1 ; -; Add1~17 ; 1 ; -; Add1~15 ; 1 ; -; Add2~32 ; 1 ; -; Add2~30 ; 1 ; -; Add1~12 ; 1 ; -; Add1~10 ; 1 ; -; Add3~35 ; 1 ; -; Add1~7 ; 1 ; -; Add1~5 ; 1 ; -; Add3~32 ; 1 ; -; Add3~30 ; 1 ; -; Add2~25 ; 1 ; -; Add3~27 ; 1 ; -; Add3~25 ; 1 ; -; Add2~22 ; 1 ; -; Add2~20 ; 1 ; -; Add2~17 ; 1 ; -; Add2~15 ; 1 ; -; Add3~22 ; 1 ; -; Add3~20 ; 1 ; -; Add2~12 ; 1 ; -; Add2~10 ; 1 ; -; always11~1 ; 1 ; -; always11~0 ; 1 ; -; Add3~17 ; 1 ; -; Add3~15 ; 1 ; -; Add2~7 ; 1 ; -; Add2~5 ; 1 ; -; Add3~12 ; 1 ; -; Add3~10 ; 1 ; -; Addr[8]~4 ; 1 ; -; Add2~2 ; 1 ; -; Add2~0 ; 1 ; -; Add3~7 ; 1 ; -; Add3~5 ; 1 ; -; Add3~2 ; 1 ; -; Add3~0 ; 1 ; -; IS[1]~2 ; 1 ; -; Equal68~1 ; 1 ; -; Equal1~6 ; 1 ; -; PHI0r2 ; 1 ; -; RefDone~0 ; 1 ; -; S~1 ; 1 ; -; SetLim8M~0 ; 1 ; -; Addr[23]~1 ; 1 ; -; always10~10 ; 1 ; -; always10~9 ; 1 ; -; always10~8 ; 1 ; -; always10~6 ; 1 ; -; always10~5 ; 1 ; -; Addr[23]~0 ; 1 ; -; always10~4 ; 1 ; -; Equal8~1 ; 1 ; -; Add1~0 ; 1 ; -; InitActv~0 ; 1 ; -; Equal33~0 ; 1 ; -; ARCLK~1 ; 1 ; -; ARCLK~0 ; 1 ; -; always15~0 ; 1 ; -; FCS~2 ; 1 ; -; DQMH~10 ; 1 ; -; DQMH~9 ; 1 ; -; DQMH~7 ; 1 ; -; DQML~3 ; 1 ; -; DQML~2 ; 1 ; -; nSWE~2 ; 1 ; -; nSWE~1 ; 1 ; -; nSWE~0 ; 1 ; -; nCAS~4 ; 1 ; -; nCAS~3 ; 1 ; -; nCAS~2 ; 1 ; -; nCAS~1 ; 1 ; -; nCAS~0 ; 1 ; -; nRAS~3 ; 1 ; -; nRAS~2 ; 1 ; -; nRAS~1 ; 1 ; -; nRAS~0 ; 1 ; -; nRCS~4 ; 1 ; -; nRCS~3 ; 1 ; -; nRCS~2 ; 1 ; -; nRCS~1 ; 1 ; -; nRCS~0 ; 1 ; -; SA~78 ; 1 ; -; SA~77 ; 1 ; -; SA~76 ; 1 ; -; SA~74 ; 1 ; -; SA~73 ; 1 ; -; SA~72 ; 1 ; -; SA~71 ; 1 ; -; SA~70 ; 1 ; -; SA~68 ; 1 ; -; SA~67 ; 1 ; -; RAcur[9] ; 1 ; -; SA~66 ; 1 ; -; SA~64 ; 1 ; -; SA~63 ; 1 ; -; SA~62 ; 1 ; -; SA~60 ; 1 ; -; SA~59 ; 1 ; -; SA~58 ; 1 ; -; SA~56 ; 1 ; -; SA~55 ; 1 ; -; SA~54 ; 1 ; -; SA~53 ; 1 ; -; SA~51 ; 1 ; -; SA~50 ; 1 ; -; SA~49 ; 1 ; -; SA~45 ; 1 ; -; SA~44 ; 1 ; -; SA~43 ; 1 ; -; SA~42 ; 1 ; -; SA~41 ; 1 ; -; SA~40 ; 1 ; -; SA~39 ; 1 ; -; SA~36 ; 1 ; -; SA~35 ; 1 ; -; SA~34 ; 1 ; -; SA~33 ; 1 ; -; SA~31 ; 1 ; -; SA~30 ; 1 ; -; SA~29 ; 1 ; -; RAcur[11] ; 1 ; -; SA~28 ; 1 ; -; SA~24 ; 1 ; -; SA~23 ; 1 ; -; SA~20 ; 1 ; -; RAcur[10] ; 1 ; -; SA~19 ; 1 ; -; nBODr0 ; 1 ; -; PHI0r0 ; 1 ; -; nRESr0 ; 1 ; -; SBA~7 ; 1 ; -; nBODr ; 1 ; -; WRD[5] ; 1 ; -; WRD[4] ; 1 ; -; SBA~4 ; 1 ; -; WRD[3] ; 1 ; -; SBA~1 ; 1 ; -; SBA~0 ; 1 ; -; Equal8~0 ; 1 ; -; RAcur[8] ; 1 ; -; WRD[2] ; 1 ; -; WRD[1] ; 1 ; -; CmdActv~0 ; 1 ; -; WRD[0] ; 1 ; -; Equal2~0 ; 1 ; -; nBODf0 ; 1 ; -; Equal1~4 ; 1 ; -; LS[16]~31 ; 1 ; -; LS[4]~29 ; 1 ; -; LS[15]~27 ; 1 ; -; LS[14]~25 ; 1 ; -; LS[13]~23 ; 1 ; -; LS[12]~21 ; 1 ; -; LS[11]~19 ; 1 ; -; LS[10]~17 ; 1 ; -; LS[9]~15 ; 1 ; -; LS[8]~13 ; 1 ; -; LS[6]~11 ; 1 ; -; LS[5]~9 ; 1 ; -; LS[7]~7 ; 1 ; -; LS[3]~5 ; 1 ; -; LS[2]~3 ; 1 ; -; LS[1]~1 ; 1 ; -; ARShift ; 1 ; -; DRShift ; 1 ; -; DRCLK ; 1 ; -; FCK~reg0 ; 1 ; -; DQMH~reg0 ; 1 ; -; DQML~reg0 ; 1 ; -; SA[12]~reg0 ; 1 ; -; SA[11]~reg0 ; 1 ; -; SA[8]~reg0 ; 1 ; -; SA[7]~reg0 ; 1 ; -; SA[6]~reg0 ; 1 ; -; SA[5]~reg0 ; 1 ; -; SA[4]~reg0 ; 1 ; -; SA[2]~reg0 ; 1 ; -; SA[1]~reg0 ; 1 ; -; SA[0]~reg0 ; 1 ; -+---------------------------------------------------------------------------------------------+---------+ ++---------------------------------+ +; Non-Global High Fan-Out Signals ; ++--------------+------------------+ +; Name ; Fan-Out ; ++--------------+------------------+ +; nRESr ; 36 ; +; InitActv ; 23 ; +; RAMSpecSEL~4 ; 19 ; +; PS[1] ; 19 ; +; Amux[1] ; 19 ; +; PSStart~2 ; 18 ; +; Amux[0] ; 18 ; +; PS[2] ; 17 ; +; Amux[2] ; 17 ; +; PS[0] ; 16 ; +; RAcur[0] ; 15 ; +; always11~0 ; 13 ; +; LS[2] ; 12 ; +; LS[1] ; 12 ; +; LS[0] ; 11 ; +; LS[3] ; 11 ; +; LS[4] ; 9 ; +; comb~2 ; 9 ; +; Addr[4]~10 ; 8 ; +; Addr[14]~8 ; 8 ; +; RAMSpecSEL~2 ; 8 ; +; LS[5] ; 8 ; +; SDOE ; 8 ; +; Addr[17] ; 7 ; +; Addr[16] ; 7 ; +; Addr[4]~9 ; 7 ; +; IS[1] ; 7 ; +; RAMSpecSEL~3 ; 7 ; +; nWEcur ; 6 ; +; Addr[18] ; 6 ; +; Addr[17]~16 ; 6 ; +; Equal15~0 ; 5 ; +; Addr[19] ; 5 ; +; IS[0] ; 5 ; +; always11~1 ; 5 ; +; LS[6] ; 5 ; +; Equal36~1 ; 5 ; +; RD[7]~7 ; 4 ; +; RD[1]~1 ; 4 ; +; RD[0]~0 ; 4 ; +; Equal16~1 ; 4 ; +; always19~1 ; 4 ; +; Mux6~3 ; 4 ; +; Addr[17]~19 ; 4 ; +; RACr ; 4 ; +; RAcur[1] ; 4 ; +; Equal7~0 ; 4 ; +; Equal16~0 ; 4 ; +; nBODf ; 4 ; +; LS[17] ; 4 ; +; LS[16] ; 4 ; +; LS[7] ; 4 ; +; Equal2~0 ; 4 ; +; Addr[7] ; 4 ; +; Addr[15] ; 4 ; +; Addr[23] ; 4 ; +; RD[3]~3 ; 3 ; +; RD[2]~2 ; 3 ; +; Equal33~0 ; 3 ; +; Equal30~0 ; 3 ; +; MOSIOE ; 3 ; +; Equal12~0 ; 3 ; +; nRCS~0 ; 3 ; +; RefReqd ; 3 ; +; Addr~4 ; 3 ; +; RAcur[2] ; 3 ; +; RAcur[3] ; 3 ; +; always6~0 ; 3 ; +; Equal0~3 ; 3 ; +; Equal2~4 ; 3 ; +; LS[15] ; 3 ; +; LS[14] ; 3 ; +; LS[13] ; 3 ; +; LS[12] ; 3 ; +; LS[11] ; 3 ; +; LS[10] ; 3 ; +; LS[9] ; 3 ; +; LS[8] ; 3 ; +; Addr[20] ; 3 ; +; Addr[9] ; 3 ; +; Addr[8] ; 3 ; +; Addr[14] ; 3 ; +; Addr[13] ; 3 ; +; Addr[12] ; 3 ; +; Addr[11] ; 3 ; +; Addr[10] ; 3 ; +; REGEN ; 3 ; +; RD[6]~6 ; 2 ; +; RD[5]~5 ; 2 ; +; RD[4]~4 ; 2 ; +; nIOSEL ; 2 ; +; nIOSTRB ; 2 ; +; nDEVSEL ; 2 ; +; nWE ; 2 ; +; PHI0 ; 2 ; +; IS[0]~4 ; 2 ; +; always15~0 ; 2 ; +; MOSIout~2 ; 2 ; +; Equal15~2 ; 2 ; +; SDRAMActv ; 2 ; +; Equal15~1 ; 2 ; +; MOSIout ; 2 ; +; FCKEN ; 2 ; +; Equal0~4 ; 2 ; +; FCS~3 ; 2 ; +; FCS~2 ; 2 ; +; Mux7~1 ; 2 ; +; Mux7~0 ; 2 ; +; always19~0 ; 2 ; +; Bank[0]~1 ; 2 ; +; Mux11~0 ; 2 ; +; DEVSELr ; 2 ; +; RAcur[7] ; 2 ; +; RAcur[10] ; 2 ; +; RAMSpecSEL~1 ; 2 ; +; always10~3 ; 2 ; +; always10~0 ; 2 ; +; RAMSpecSEL~0 ; 2 ; +; IOSELr ; 2 ; +; Equal0~2 ; 2 ; +; Equal35~0 ; 2 ; +; SDRAMActv~0 ; 2 ; +; InitIntr ; 2 ; +; Equal2~6 ; 2 ; +; FCS ; 2 ; +; RCKE~reg0 ; 2 ; +; DQML~1 ; 2 ; +; DQML~0 ; 2 ; +; Addr[0] ; 2 ; +; SA~24 ; 2 ; +; RAcur[9] ; 2 ; +; RAcur[8] ; 2 ; +; Addr[6] ; 2 ; +; Addr[5] ; 2 ; +; Addr[4] ; 2 ; +; Addr[3] ; 2 ; +; Addr[2] ; 2 ; +; Addr[1] ; 2 ; +; IOROMEN ; 2 ; +; nRESout~reg0 ; 2 ; +; nBOD ; 1 ; +; MISO ; 1 ; +; RA[13] ; 1 ; +; RA[12] ; 1 ; +; RA[15] ; 1 ; +; RA[14] ; 1 ; +; nRES ; 1 ; +; RA[0] ; 1 ; +; RA[9] ; 1 ; +; RA[8] ; 1 ; +; RA[7] ; 1 ; +; RA[6] ; 1 ; +; RA[5] ; 1 ; +; RA[4] ; 1 ; +; RA[3] ; 1 ; +; RA[11] ; 1 ; +; RA[2] ; 1 ; +; RA[10] ; 1 ; +; RA[1] ; 1 ; +; MOSIout~3 ; 1 ; +; Equal17~2 ; 1 ; +; Addr~31 ; 1 ; +; WRD[6] ; 1 ; +; Equal31~0 ; 1 ; +; Equal16~2 ; 1 ; +; FCKEN~1 ; 1 ; +; Equal30~1 ; 1 ; +; DEVSELr0 ; 1 ; +; IOSTRBr0 ; 1 ; +; IOSELr0 ; 1 ; +; FCKEN~0 ; 1 ; +; FCS~4 ; 1 ; +; Mux5~2 ; 1 ; +; Mux5~1 ; 1 ; +; Mux5~0 ; 1 ; +; Add1~102 ; 1 ; +; Add1~100 ; 1 ; +; Mux9~1 ; 1 ; +; Mux9~0 ; 1 ; +; Mux8~1 ; 1 ; +; Mux8~0 ; 1 ; +; Mux6~6 ; 1 ; +; Mux7~5 ; 1 ; +; Mux7~4 ; 1 ; +; Mux7~3 ; 1 ; +; Mux7~2 ; 1 ; +; Mux6~4 ; 1 ; +; Mux6~2 ; 1 ; +; Mux6~1 ; 1 ; +; Mux6~0 ; 1 ; +; Addr~30 ; 1 ; +; Addr~29 ; 1 ; +; Add2~60 ; 1 ; +; Addr~28 ; 1 ; +; Add1~95 ; 1 ; +; Add1~92 ; 1 ; +; Add1~90 ; 1 ; +; Addr~26 ; 1 ; +; Add3~2 ; 1 ; +; Add2~57 ; 1 ; +; Add2~55 ; 1 ; +; Add1~87 ; 1 ; +; Add1~85 ; 1 ; +; Addr~24 ; 1 ; +; Add2~52 ; 1 ; +; Add2~50 ; 1 ; +; Add3~1 ; 1 ; +; Add1~82 ; 1 ; +; Add1~80 ; 1 ; +; Addr~23 ; 1 ; +; Add2~47 ; 1 ; +; Add2~45 ; 1 ; +; Add1~77 ; 1 ; +; Add1~75 ; 1 ; +; Addr~21 ; 1 ; +; Add3~0 ; 1 ; +; Add2~42 ; 1 ; +; Add2~40 ; 1 ; +; Add1~72 ; 1 ; +; Add1~70 ; 1 ; +; Addr~20 ; 1 ; +; Add2~37 ; 1 ; +; Add2~35 ; 1 ; +; Add1~67 ; 1 ; +; Add1~65 ; 1 ; +; Addr~17 ; 1 ; +; Add2~32 ; 1 ; +; Add2~30 ; 1 ; +; Add1~62 ; 1 ; +; Add1~60 ; 1 ; +; Add1~57 ; 1 ; +; Add1~55 ; 1 ; +; Addr~15 ; 1 ; +; Add2~27 ; 1 ; +; Add2~25 ; 1 ; +; Add1~52 ; 1 ; +; Add1~50 ; 1 ; +; Add1~47 ; 1 ; +; Add1~45 ; 1 ; +; Addr~14 ; 1 ; +; Add2~22 ; 1 ; +; Add2~20 ; 1 ; +; Add1~42 ; 1 ; +; Add1~40 ; 1 ; +; Add1~37 ; 1 ; +; Add1~35 ; 1 ; +; Add1~32 ; 1 ; +; Add1~30 ; 1 ; +; Addr~13 ; 1 ; +; Add2~17 ; 1 ; +; Add2~15 ; 1 ; +; Add1~27 ; 1 ; +; Add1~25 ; 1 ; +; Add1~22 ; 1 ; +; Add1~20 ; 1 ; +; Addr~12 ; 1 ; +; Add2~12 ; 1 ; +; Add2~10 ; 1 ; +; Add1~17 ; 1 ; +; Add1~15 ; 1 ; +; Add1~12 ; 1 ; +; Add1~10 ; 1 ; +; Addr~11 ; 1 ; +; Add2~7 ; 1 ; +; Add2~5 ; 1 ; +; Add1~7 ; 1 ; +; Add1~5 ; 1 ; +; Addr[14]~7 ; 1 ; +; Add1~2 ; 1 ; +; Add1~0 ; 1 ; +; Addr~6 ; 1 ; +; Add2~2 ; 1 ; +; Add2~0 ; 1 ; +; PHI0r1 ; 1 ; +; PSStart~1 ; 1 ; +; WideOr0~2 ; 1 ; +; WideOr0~1 ; 1 ; +; ROMSpecRD ; 1 ; +; Mux10~0 ; 1 ; +; PSStart~0 ; 1 ; +; Mux11~1 ; 1 ; +; Addr~5 ; 1 ; +; Addr~3 ; 1 ; +; Addr~2 ; 1 ; +; RAcur[4] ; 1 ; +; always10~2 ; 1 ; +; always10~1 ; 1 ; +; nBODf0 ; 1 ; +; Equal0~1 ; 1 ; +; Equal0~0 ; 1 ; +; LS[4]~33 ; 1 ; +; Equal2~5 ; 1 ; +; LS[2]~31 ; 1 ; +; LS[1]~29 ; 1 ; +; Equal2~3 ; 1 ; +; LS[15]~27 ; 1 ; +; LS[14]~25 ; 1 ; +; LS[16]~21 ; 1 ; +; Equal2~2 ; 1 ; +; LS[13]~19 ; 1 ; +; LS[12]~17 ; 1 ; +; LS[11]~15 ; 1 ; +; LS[10]~13 ; 1 ; +; Equal2~1 ; 1 ; +; LS[9]~11 ; 1 ; +; LS[8]~9 ; 1 ; +; LS[7]~7 ; 1 ; +; LS[5]~5 ; 1 ; +; LS[6]~3 ; 1 ; +; FCK~reg0 ; 1 ; +; DQMH~0 ; 1 ; +; DQML~2 ; 1 ; +; LS[3]~1 ; 1 ; +; nSWE~reg0 ; 1 ; +; nCAS~reg0 ; 1 ; +; nRAS~reg0 ; 1 ; +; nRCS~reg0 ; 1 ; +; SA~23 ; 1 ; +; SA~22 ; 1 ; +; SA~21 ; 1 ; +; SA~20 ; 1 ; +; SA~19 ; 1 ; +; SA~18 ; 1 ; +; SA~17 ; 1 ; +; SA~16 ; 1 ; +; SA~15 ; 1 ; +; RAcur[6] ; 1 ; +; SA~14 ; 1 ; +; SA~13 ; 1 ; +; RAcur[5] ; 1 ; +; SA~12 ; 1 ; +; nBODr0 ; 1 ; +; SA~11 ; 1 ; +; SA~10 ; 1 ; +; SA~9 ; 1 ; +; Bank[1] ; 1 ; +; nBODr ; 1 ; +; SA~8 ; 1 ; +; SA~7 ; 1 ; +; SA~6 ; 1 ; +; Bank[0] ; 1 ; +; WRD[7] ; 1 ; +; SA~5 ; 1 ; +; SA~4 ; 1 ; +; RAcur[11] ; 1 ; +; SA~3 ; 1 ; +; SA~2 ; 1 ; +; SA~1 ; 1 ; +; SA~0 ; 1 ; +; PHI0r0 ; 1 ; +; Equal36~0 ; 1 ; +; SBA~0 ; 1 ; +; nRESr0 ; 1 ; +; comb~1 ; 1 ; +; PHI0r2 ; 1 ; +; comb~0 ; 1 ; ++--------------+------------------+ +-------------------------------------------------------------------------+ @@ -961,14 +896,10 @@ Info (176444): Device migration not selected. If you intend to use device migrat Info (176445): Device EPM570T100C5 is compatible Info (176445): Device EPM570T100I5 is compatible Info (176445): Device EPM570T100A5 is compatible -Critical Warning (169085): No exact pin location assignment(s) for 73 pins of 73 total pins - Info (169086): Pin nIOSEL not assigned to an exact location on the device - Info (169086): Pin nIOSTRB not assigned to an exact location on the device +Critical Warning (169085): No exact pin location assignment(s) for 69 pins of 69 total pins + Info (169086): Pin nRESout not assigned to an exact location on the device Info (169086): Pin RAdir not assigned to an exact location on the device Info (169086): Pin RDdir not assigned to an exact location on the device - Info (169086): Pin DMAout not assigned to an exact location on the device - Info (169086): Pin INTout not assigned to an exact location on the device - Info (169086): Pin nRESout not assigned to an exact location on the device Info (169086): Pin SBA[0] not assigned to an exact location on the device Info (169086): Pin SBA[1] not assigned to an exact location on the device Info (169086): Pin SA[0] not assigned to an exact location on the device @@ -1010,41 +941,39 @@ Critical Warning (169085): No exact pin location assignment(s) for 73 pins of 73 Info (169086): Pin SD[5] not assigned to an exact location on the device Info (169086): Pin SD[6] not assigned to an exact location on the device Info (169086): Pin SD[7] not assigned to an exact location on the device - Info (169086): Pin DMAin not assigned to an exact location on the device - Info (169086): Pin INTin not assigned to an exact location on the device - Info (169086): Pin C25M not assigned to an exact location on the device + Info (169086): Pin PHI0 not assigned to an exact location on the device Info (169086): Pin nWE not assigned to an exact location on the device - Info (169086): Pin RA[8] not assigned to an exact location on the device - Info (169086): Pin RA[9] not assigned to an exact location on the device - Info (169086): Pin RA[10] not assigned to an exact location on the device - Info (169086): Pin RA[11] not assigned to an exact location on the device - Info (169086): Pin RA[14] not assigned to an exact location on the device - Info (169086): Pin RA[15] not assigned to an exact location on the device - Info (169086): Pin RA[12] not assigned to an exact location on the device - Info (169086): Pin RA[13] not assigned to an exact location on the device + Info (169086): Pin nDEVSEL not assigned to an exact location on the device + Info (169086): Pin nIOSTRB not assigned to an exact location on the device + Info (169086): Pin nIOSEL not assigned to an exact location on the device + Info (169086): Pin C25M not assigned to an exact location on the device Info (169086): Pin RA[1] not assigned to an exact location on the device - Info (169086): Pin RA[0] not assigned to an exact location on the device + Info (169086): Pin RA[10] not assigned to an exact location on the device Info (169086): Pin RA[2] not assigned to an exact location on the device + Info (169086): Pin RA[11] not assigned to an exact location on the device Info (169086): Pin RA[3] not assigned to an exact location on the device Info (169086): Pin RA[4] not assigned to an exact location on the device Info (169086): Pin RA[5] not assigned to an exact location on the device Info (169086): Pin RA[6] not assigned to an exact location on the device Info (169086): Pin RA[7] not assigned to an exact location on the device - Info (169086): Pin nDEVSEL not assigned to an exact location on the device - Info (169086): Pin MISO not assigned to an exact location on the device + Info (169086): Pin RA[8] not assigned to an exact location on the device + Info (169086): Pin RA[9] not assigned to an exact location on the device + Info (169086): Pin RA[0] not assigned to an exact location on the device Info (169086): Pin nRES not assigned to an exact location on the device - Info (169086): Pin PHI0 not assigned to an exact location on the device + Info (169086): Pin RA[14] not assigned to an exact location on the device + Info (169086): Pin RA[15] not assigned to an exact location on the device + Info (169086): Pin RA[12] not assigned to an exact location on the device + Info (169086): Pin RA[13] not assigned to an exact location on the device + Info (169086): Pin MISO not assigned to an exact location on the device Info (169086): Pin nBOD not assigned to an exact location on the device Critical Warning (332012): Synopsys Design Constraints File file not found: 'GR8RAM.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design. Info (332144): No user constrained base clocks found in the design Info (332128): Timing requirements not specified -- optimizing circuit to achieve the following default global requirements Info (332127): Assuming a default timing requirement -Info (332111): Found 3 clocks +Info (332111): Found 1 clocks Info (332111): Period Clock Name Info (332111): ======== ============ - Info (332111): 1.000 ARCLK Info (332111): 1.000 C25M - Info (332111): 1.000 DRCLK Info (186079): Completed User Assigned Global Signals Promotion Operation Info (186215): Automatically promoted signal "C25M" to use Global clock in PIN 14 Info (186079): Completed Auto Global Promotion Operation @@ -1054,7 +983,7 @@ Info (186468): Started processing fast register assignments Info (186469): Finished processing fast register assignments Info (176235): Finished register packing Info (176214): Statistics of I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement - Info (176211): Number of I/O pins in group: 72 (unused VREF, 3.3V VCCIO, 26 input, 30 output, 16 bidirectional) + Info (176211): Number of I/O pins in group: 68 (unused VREF, 3.3V VCCIO, 24 input, 28 output, 16 bidirectional) Info (176212): I/O standards used: 3.3-V LVTTL. Info (176215): I/O bank details before I/O pin placement Info (176214): Statistics of I/O banks @@ -1063,7 +992,7 @@ Info (176215): I/O bank details before I/O pin placement Info (171121): Fitter preparation operations ending: elapsed time is 00:00:01 Info (170189): Fitter placement preparation operations beginning Info (170190): Fitter placement preparation operations ending: elapsed time is 00:00:00 -Info (11888): Total time spent on timing analysis during the Fitter is 0.05 seconds. +Info (11888): Total time spent on timing analysis during the Fitter is 0.03 seconds. Info (170216): Fitter cannot place all nodes on current device -- Fitter will automatically make another fitting attempt and tightly pack logic elements Info (176234): Starting register packing Info (186391): Fitter is using Minimize Area packing mode for logic elements with Auto setting for Auto Packed Registers logic option @@ -1073,7 +1002,7 @@ Info (176235): Finished register packing Info (171121): Fitter preparation operations ending: elapsed time is 00:00:00 Info (170189): Fitter placement preparation operations beginning Info (170190): Fitter placement preparation operations ending: elapsed time is 00:00:00 -Info (11888): Total time spent on timing analysis during the Fitter is 0.02 seconds. +Info (11888): Total time spent on timing analysis during the Fitter is 0.00 seconds. Info (170216): Fitter cannot place all nodes on current device -- Fitter will automatically make another fitting attempt and tightly pack logic elements Info (176234): Starting register packing Info (186391): Fitter is using Minimize Area with Chains packing mode for logic elements with Auto setting for Auto Packed Registers logic option @@ -1082,26 +1011,17 @@ Info (186469): Finished processing fast register assignments Info (176235): Finished register packing Info (171121): Fitter preparation operations ending: elapsed time is 00:00:00 Info (170189): Fitter placement preparation operations beginning -Error (170011): Design contains 313 blocks of type logic cell. However, device contains only 240. +Error (170011): Design contains 250 blocks of type logic cell. However, device contains only 240. Info (170190): Fitter placement preparation operations ending: elapsed time is 00:00:00 Info (11888): Total time spent on timing analysis during the Fitter is 0.02 seconds. Error (171000): Can't fit design in device -Warning (169064): Following 8 pins have no output enable or a GND or VCC output enable - later changes to this connectivity may change fitting results - Info (169065): Pin RD[0] has a permanently disabled output enable - Info (169065): Pin RD[1] has a permanently disabled output enable - Info (169065): Pin RD[2] has a permanently disabled output enable - Info (169065): Pin RD[3] has a permanently disabled output enable - Info (169065): Pin RD[4] has a permanently disabled output enable - Info (169065): Pin RD[5] has a permanently disabled output enable - Info (169065): Pin RD[6] has a permanently disabled output enable - Info (169065): Pin RD[7] has a permanently disabled output enable Warning (169174): The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'. Info (144001): Generated suppressed messages file Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.fit.smsg -Error: Quartus II 32-bit Fitter was unsuccessful. 2 errors, 5 warnings - Error: Peak virtual memory: 367 megabytes - Error: Processing ended: Fri Mar 19 02:55:57 2021 +Error: Quartus II 32-bit Fitter was unsuccessful. 2 errors, 4 warnings + Error: Peak virtual memory: 359 megabytes + Error: Processing ended: Fri Mar 19 06:44:01 2021 Error: Elapsed time: 00:00:05 - Error: Total CPU time (on all processors): 00:00:06 + Error: Total CPU time (on all processors): 00:00:05 +----------------------------+ diff --git a/cpld/output_files/GR8RAM.fit.summary b/cpld/output_files/GR8RAM.fit.summary index 1603663..78008c2 100755 --- a/cpld/output_files/GR8RAM.fit.summary +++ b/cpld/output_files/GR8RAM.fit.summary @@ -1,11 +1,11 @@ -Fitter Status : Failed - Fri Mar 19 02:55:57 2021 +Fitter Status : Failed - Fri Mar 19 06:44:01 2021 Quartus II 32-bit Version : 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition Revision Name : GR8RAM Top-level Entity Name : GR8RAM Family : MAX II Device : EPM240T100C5 Timing Models : Final -Total logic elements : 313 / 240 ( 130 % ) -Total pins : 73 / 80 ( 91 % ) +Total logic elements : 250 / 240 ( 104 % ) +Total pins : 69 / 80 ( 86 % ) Total virtual pins : 0 -UFM blocks : 1 / 1 ( 100 % ) +UFM blocks : 0 / 1 ( 0 % ) diff --git a/cpld/output_files/GR8RAM.flow.rpt b/cpld/output_files/GR8RAM.flow.rpt index b25704e..c3ef97b 100755 --- a/cpld/output_files/GR8RAM.flow.rpt +++ b/cpld/output_files/GR8RAM.flow.rpt @@ -1,5 +1,5 @@ Flow report for GR8RAM -Fri Mar 19 02:55:57 2021 +Fri Mar 19 06:44:01 2021 Quartus II 32-bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition @@ -40,17 +40,17 @@ applicable agreement for further details. +-----------------------------------------------------------------------------+ ; Flow Summary ; +---------------------------+-------------------------------------------------+ -; Flow Status ; Flow Failed - Fri Mar 19 02:55:57 2021 ; +; Flow Status ; Flow Failed - Fri Mar 19 06:44:01 2021 ; ; Quartus II 32-bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; ; Revision Name ; GR8RAM ; ; Top-level Entity Name ; GR8RAM ; ; Family ; MAX II ; ; Device ; EPM240T100C5 ; ; Timing Models ; Final ; -; Total logic elements ; 313 / 240 ( 130 % ) ; -; Total pins ; 73 / 80 ( 91 % ) ; +; Total logic elements ; 250 / 240 ( 104 % ) ; +; Total pins ; 69 / 80 ( 86 % ) ; ; Total virtual pins ; 0 ; -; UFM blocks ; 1 / 1 ( 100 % ) ; +; UFM blocks ; 0 / 1 ( 0 % ) ; +---------------------------+-------------------------------------------------+ @@ -59,7 +59,7 @@ applicable agreement for further details. +-------------------+---------------------+ ; Option ; Setting ; +-------------------+---------------------+ -; Start date & time ; 03/19/2021 02:55:46 ; +; Start date & time ; 03/19/2021 06:43:51 ; ; Main task ; Compilation ; ; Revision Name ; GR8RAM ; +-------------------+---------------------+ @@ -70,7 +70,7 @@ applicable agreement for further details. +---------------------------------------+--------------------------------+---------------+-------------+------------+ ; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ; +---------------------------------------+--------------------------------+---------------+-------------+------------+ -; COMPILER_SIGNATURE_ID ; 44085571633675.161613694503328 ; -- ; -- ; -- ; +; COMPILER_SIGNATURE_ID ; 44085571633675.161615063101880 ; -- ; -- ; -- ; ; FITTER_EFFORT ; Standard Fit ; Auto Fit ; -- ; -- ; ; IP_TOOL_NAME ; ALTUFM_NONE ; -- ; -- ; -- ; ; IP_TOOL_VERSION ; 13.0 ; -- ; -- ; -- ; @@ -88,9 +88,9 @@ applicable agreement for further details. +----------------------+--------------+-------------------------+---------------------+------------------------------------+ ; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ; +----------------------+--------------+-------------------------+---------------------+------------------------------------+ -; Analysis & Synthesis ; 00:00:06 ; 1.0 ; 301 MB ; 00:00:06 ; -; Fitter ; 00:00:05 ; 1.0 ; 367 MB ; 00:00:05 ; -; Total ; 00:00:11 ; -- ; -- ; 00:00:11 ; +; Analysis & Synthesis ; 00:00:05 ; 1.0 ; 301 MB ; 00:00:05 ; +; Fitter ; 00:00:05 ; 1.0 ; 359 MB ; 00:00:04 ; +; Total ; 00:00:10 ; -- ; -- ; 00:00:09 ; +----------------------+--------------+-------------------------+---------------------+------------------------------------+ diff --git a/cpld/output_files/GR8RAM.jdi b/cpld/output_files/GR8RAM.jdi index 80d41b2..2e52d7d 100755 --- a/cpld/output_files/GR8RAM.jdi +++ b/cpld/output_files/GR8RAM.jdi @@ -1,6 +1,6 @@ - + diff --git a/cpld/output_files/GR8RAM.map.rpt b/cpld/output_files/GR8RAM.map.rpt index a3a7383..0574e7b 100755 --- a/cpld/output_files/GR8RAM.map.rpt +++ b/cpld/output_files/GR8RAM.map.rpt @@ -1,5 +1,5 @@ Analysis & Synthesis report for GR8RAM -Fri Mar 19 02:55:50 2021 +Fri Mar 19 06:43:55 2021 Quartus II 32-bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition @@ -13,14 +13,12 @@ Quartus II 32-bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edit 5. Analysis & Synthesis Source Files Read 6. Analysis & Synthesis Resource Usage Summary 7. Analysis & Synthesis Resource Utilization by Entity - 8. Analysis & Synthesis IP Cores Summary - 9. Registers Removed During Synthesis - 10. General Register Statistics - 11. Inverted Register Statistics - 12. Multiplexer Restructuring Statistics (Restructuring Performed) - 13. Port Connectivity Checks: "UFM:UFM_inst" - 14. Analysis & Synthesis Messages - 15. Analysis & Synthesis Suppressed Messages + 8. Registers Removed During Synthesis + 9. General Register Statistics + 10. Inverted Register Statistics + 11. Multiplexer Restructuring Statistics (Restructuring Performed) + 12. Analysis & Synthesis Messages + 13. Analysis & Synthesis Suppressed Messages @@ -46,15 +44,15 @@ applicable agreement for further details. +-------------------------------------------------------------------------------+ ; Analysis & Synthesis Summary ; +-----------------------------+-------------------------------------------------+ -; Analysis & Synthesis Status ; Successful - Fri Mar 19 02:55:50 2021 ; +; Analysis & Synthesis Status ; Successful - Fri Mar 19 06:43:55 2021 ; ; Quartus II 32-bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; ; Revision Name ; GR8RAM ; ; Top-level Entity Name ; GR8RAM ; ; Family ; MAX II ; -; Total logic elements ; 343 ; -; Total pins ; 73 ; +; Total logic elements ; 281 ; +; Total pins ; 69 ; ; Total virtual pins ; 0 ; -; UFM blocks ; 1 / 1 ( 100 % ) ; +; UFM blocks ; 0 / 1 ( 0 % ) ; +-----------------------------+-------------------------------------------------+ @@ -153,7 +151,6 @@ applicable agreement for further details. +----------------------------------+-----------------+------------------------------+-------------------------------+---------+ ; File Name with User-Entered Path ; Used in Netlist ; File Type ; File Name with Absolute Path ; Library ; +----------------------------------+-----------------+------------------------------+-------------------------------+---------+ -; UFM.v ; yes ; User Wizard-Generated File ; Z:/Repos/GR8RAM/cpld/UFM.v ; ; ; gr8ram.v ; yes ; Auto-Found Verilog HDL File ; Z:/Repos/GR8RAM/cpld/gr8ram.v ; ; +----------------------------------+-----------------+------------------------------+-------------------------------+---------+ @@ -163,68 +160,54 @@ applicable agreement for further details. +---------------------------------------------+-------+ ; Resource ; Usage ; +---------------------------------------------+-------+ -; Total logic elements ; 343 ; -; -- Combinational with no register ; 220 ; -; -- Register only ; 30 ; -; -- Combinational with a register ; 93 ; +; Total logic elements ; 281 ; +; -- Combinational with no register ; 182 ; +; -- Register only ; 31 ; +; -- Combinational with a register ; 68 ; ; ; ; ; Logic element usage by number of LUT inputs ; ; -; -- 4 input functions ; 198 ; -; -- 3 input functions ; 43 ; -; -- 2 input functions ; 66 ; -; -- 1 input functions ; 5 ; -; -- 0 input functions ; 1 ; +; -- 4 input functions ; 118 ; +; -- 3 input functions ; 54 ; +; -- 2 input functions ; 70 ; +; -- 1 input functions ; 8 ; +; -- 0 input functions ; 0 ; ; ; ; ; Logic elements by mode ; ; -; -- normal mode ; 306 ; -; -- arithmetic mode ; 37 ; +; -- normal mode ; 233 ; +; -- arithmetic mode ; 48 ; ; -- qfbk mode ; 0 ; ; -- register cascade mode ; 0 ; ; -- synchronous clear/load mode ; 28 ; ; -- asynchronous clear/load mode ; 0 ; ; ; ; -; Total registers ; 123 ; -; Total logic cells in carry chains ; 41 ; -; I/O pins ; 73 ; -; UFM blocks ; 1 ; +; Total registers ; 99 ; +; Total logic cells in carry chains ; 51 ; +; I/O pins ; 69 ; ; Maximum fan-out node ; C25M ; -; Maximum fan-out ; 123 ; -; Total fan-out ; 1357 ; -; Average fan-out ; 3.25 ; +; Maximum fan-out ; 99 ; +; Total fan-out ; 1030 ; +; Average fan-out ; 2.94 ; +---------------------------------------------+-------+ -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Analysis & Synthesis Resource Utilization by Entity ; -+-----------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+------------------------------------------------------------------------+--------------+ -; Compilation Hierarchy Node ; Logic Cells ; LC Registers ; UFM Blocks ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Carry Chain LCs ; Packed LCs ; Full Hierarchy Name ; Library Name ; -+-----------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+------------------------------------------------------------------------+--------------+ -; |GR8RAM ; 343 (343) ; 123 ; 1 ; 73 ; 0 ; 220 (220) ; 30 (30) ; 93 (93) ; 41 (41) ; 0 (0) ; |GR8RAM ; work ; -; |UFM:UFM_inst| ; 0 (0) ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |GR8RAM|UFM:UFM_inst ; work ; -; |UFM_altufm_none_0ep:UFM_altufm_none_0ep_component| ; 0 (0) ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |GR8RAM|UFM:UFM_inst|UFM_altufm_none_0ep:UFM_altufm_none_0ep_component ; work ; -+-----------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+------------------------------------------------------------------------+--------------+ ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Resource Utilization by Entity ; ++----------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+---------------------+--------------+ +; Compilation Hierarchy Node ; Logic Cells ; LC Registers ; UFM Blocks ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Carry Chain LCs ; Packed LCs ; Full Hierarchy Name ; Library Name ; ++----------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+---------------------+--------------+ +; |GR8RAM ; 281 (281) ; 99 ; 0 ; 69 ; 0 ; 182 (182) ; 31 (31) ; 68 (68) ; 51 (51) ; 0 (0) ; |GR8RAM ; work ; ++----------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+---------------------+--------------+ Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. -+-------------------------------------------------------------------------------------------------------------------+ -; Analysis & Synthesis IP Cores Summary ; -+--------+--------------+---------+--------------+--------------+----------------------+----------------------------+ -; Vendor ; IP Core Name ; Version ; Release Date ; License Type ; Entity Instance ; IP Include File ; -+--------+--------------+---------+--------------+--------------+----------------------+----------------------------+ -; Altera ; ALTUFM_NONE ; 13.0 ; N/A ; N/A ; |GR8RAM|UFM:UFM_inst ; Z:/Repos/GR8RAM/cpld/UFM.v ; -+--------+--------------+---------+--------------+--------------+----------------------+----------------------------+ - - -+--------------------------------------------------------------------------------+ -; Registers Removed During Synthesis ; -+---------------------------------------+----------------------------------------+ -; Register name ; Reason for Removal ; -+---------------------------------------+----------------------------------------+ -; DRDIn ; Stuck at GND due to stuck port data_in ; -; nRESout~reg0 ; Merged with CmdActv ; -; RCKE~reg0 ; Stuck at VCC due to stuck port data_in ; -; Total Number of Removed Registers = 3 ; ; -+---------------------------------------+----------------------------------------+ ++--------------------------------------------------------------+ +; Registers Removed During Synthesis ; ++---------------------------------------+----------------------+ +; Register name ; Reason for Removal ; ++---------------------------------------+----------------------+ +; Addr[21,22] ; Merged with Addr[23] ; +; Total Number of Removed Registers = 2 ; ; ++---------------------------------------+----------------------+ +------------------------------------------------------+ @@ -232,12 +215,12 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +----------------------------------------------+-------+ ; Statistic ; Value ; +----------------------------------------------+-------+ -; Total registers ; 123 ; -; Number of registers using Synchronous Clear ; 24 ; -; Number of registers using Synchronous Load ; 4 ; +; Total registers ; 99 ; +; Number of registers using Synchronous Clear ; 25 ; +; Number of registers using Synchronous Load ; 3 ; ; Number of registers using Asynchronous Clear ; 0 ; ; Number of registers using Asynchronous Load ; 0 ; -; Number of registers using Clock Enable ; 69 ; +; Number of registers using Clock Enable ; 43 ; ; Number of registers using Preset ; 0 ; +----------------------------------------------+-------+ @@ -247,13 +230,12 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +----------------------------------------+---------+ ; Inverted Register ; Fan out ; +----------------------------------------+---------+ -; nRCS~reg0 ; 3 ; -; nRAS~reg0 ; 3 ; -; nCAS~reg0 ; 3 ; -; nSWE~reg0 ; 3 ; -; DQML~reg0 ; 1 ; -; DQMH~reg0 ; 1 ; -; Total number of inverted registers = 6 ; ; +; nRCS~reg0 ; 1 ; +; nRAS~reg0 ; 1 ; +; nCAS~reg0 ; 1 ; +; nSWE~reg0 ; 1 ; +; RCKE~reg0 ; 2 ; +; Total number of inverted registers = 5 ; ; +----------------------------------------+---------+ @@ -262,87 +244,64 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +--------------------+-----------+---------------+----------------------+------------------------+------------+----------------------------+ ; Multiplexer Inputs ; Bus Width ; Baseline Area ; Area if Restructured ; Saving if Restructured ; Registered ; Example Multiplexer Output ; +--------------------+-----------+---------------+----------------------+------------------------+------------+----------------------------+ -; 3:1 ; 4 bits ; 8 LEs ; 8 LEs ; 0 LEs ; Yes ; |GR8RAM|S[3] ; -; 4:1 ; 8 bits ; 16 LEs ; 16 LEs ; 0 LEs ; Yes ; |GR8RAM|Addr[2] ; -; 5:1 ; 4 bits ; 12 LEs ; 8 LEs ; 4 LEs ; Yes ; |GR8RAM|Addr[18] ; -; 5:1 ; 2 bits ; 6 LEs ; 4 LEs ; 2 LEs ; Yes ; |GR8RAM|IS[1] ; -; 5:1 ; 8 bits ; 24 LEs ; 16 LEs ; 8 LEs ; Yes ; |GR8RAM|Addr[8] ; -; 5:1 ; 3 bits ; 9 LEs ; 6 LEs ; 3 LEs ; Yes ; |GR8RAM|DRShift ; -; 6:1 ; 4 bits ; 16 LEs ; 8 LEs ; 8 LEs ; Yes ; |GR8RAM|Addr[23] ; -; 13:1 ; 2 bits ; 16 LEs ; 4 LEs ; 12 LEs ; Yes ; |GR8RAM|SA[12]~reg0 ; -; 17:1 ; 5 bits ; 55 LEs ; 30 LEs ; 25 LEs ; Yes ; |GR8RAM|SA[7]~reg0 ; -; 20:1 ; 3 bits ; 39 LEs ; 18 LEs ; 21 LEs ; Yes ; |GR8RAM|SA[2]~reg0 ; -; 20:1 ; 2 bits ; 26 LEs ; 8 LEs ; 18 LEs ; Yes ; |GR8RAM|DQMH~reg0 ; +; 3:1 ; 3 bits ; 6 LEs ; 6 LEs ; 0 LEs ; Yes ; |GR8RAM|PS[0] ; +; 3:1 ; 2 bits ; 4 LEs ; 2 LEs ; 2 LEs ; Yes ; |GR8RAM|Bank[0] ; +; 5:1 ; 8 bits ; 24 LEs ; 16 LEs ; 8 LEs ; Yes ; |GR8RAM|Addr[4] ; +; 5:1 ; 2 bits ; 6 LEs ; 4 LEs ; 2 LEs ; Yes ; |GR8RAM|IS[0] ; +; 7:1 ; 8 bits ; 32 LEs ; 16 LEs ; 16 LEs ; Yes ; |GR8RAM|Addr[14] ; +; 9:1 ; 4 bits ; 24 LEs ; 12 LEs ; 12 LEs ; Yes ; |GR8RAM|Addr[17] ; +; 8:1 ; 2 bits ; 10 LEs ; 6 LEs ; 4 LEs ; No ; |GR8RAM|SA ; +; 8:1 ; 5 bits ; 25 LEs ; 15 LEs ; 10 LEs ; No ; |GR8RAM|SA ; +; 8:1 ; 4 bits ; 20 LEs ; 12 LEs ; 8 LEs ; No ; |GR8RAM|SA ; +; 8:1 ; 2 bits ; 10 LEs ; 6 LEs ; 4 LEs ; No ; |GR8RAM|DQMH ; +--------------------+-----------+---------------+----------------------+------------------------+------------+----------------------------+ -+-------------------------------------------------------------------------------------------------------------------+ -; Port Connectivity Checks: "UFM:UFM_inst" ; -+---------+--------+----------+-------------------------------------------------------------------------------------+ -; Port ; Type ; Severity ; Details ; -+---------+--------+----------+-------------------------------------------------------------------------------------+ -; ardin ; Input ; Info ; Stuck at GND ; -; oscena ; Input ; Info ; Stuck at VCC ; -; busy ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; -; osc ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; -; rtpbusy ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; -+---------+--------+----------+-------------------------------------------------------------------------------------+ - - +-------------------------------+ ; Analysis & Synthesis Messages ; +-------------------------------+ Info: ******************************************************************* Info: Running Quartus II 32-bit Analysis & Synthesis Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition - Info: Processing started: Fri Mar 19 02:55:44 2021 + Info: Processing started: Fri Mar 19 06:43:50 2021 Info: Command: quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM Info (20030): Parallel compilation is enabled and will use 2 of the 2 processors detected Info (12021): Found 2 design units, including 2 entities, in source file ufm.v Info (12023): Found entity 1: UFM_altufm_none_0ep Info (12023): Found entity 2: UFM -Warning (10229): Verilog HDL Expression warning at gr8ram.v(545): truncated literal to match 1 bits -Warning (10229): Verilog HDL Expression warning at gr8ram.v(558): truncated literal to match 1 bits Warning (12125): Using design file gr8ram.v, which is not specified as a design file for the current project, but contains definitions for 1 design units and 1 entities in project Info (12023): Found entity 1: GR8RAM -Warning (10236): Verilog HDL Implicit Net warning at gr8ram.v(275): created implicit net for "UFMB" -Warning (10236): Verilog HDL Implicit Net warning at gr8ram.v(278): created implicit net for "RTPB" Info (12127): Elaborating entity "GR8RAM" for the top level hierarchy -Warning (10036): Verilog HDL or VHDL warning at gr8ram.v(112): object "REGEN" assigned a value but never read -Warning (10858): Verilog HDL warning at gr8ram.v(127): object RDout used but never assigned -Warning (10036): Verilog HDL or VHDL warning at gr8ram.v(280): object "UFMBr" assigned a value but never read -Warning (10036): Verilog HDL or VHDL warning at gr8ram.v(282): object "RTPBr" assigned a value but never read -Warning (10230): Verilog HDL assignment warning at gr8ram.v(39): truncated value with size 32 to match size of target (18) -Warning (10230): Verilog HDL assignment warning at gr8ram.v(147): truncated value with size 32 to match size of target (8) -Warning (10230): Verilog HDL assignment warning at gr8ram.v(154): truncated value with size 32 to match size of target (8) -Warning (10230): Verilog HDL assignment warning at gr8ram.v(160): truncated value with size 32 to match size of target (8) -Warning (10230): Verilog HDL assignment warning at gr8ram.v(426): truncated value with size 32 to match size of target (4) -Warning (10230): Verilog HDL assignment warning at gr8ram.v(547): truncated value with size 10 to match size of target (6) -Warning (10230): Verilog HDL assignment warning at gr8ram.v(635): truncated value with size 10 to match size of target (6) -Warning (10030): Net "RDout" at gr8ram.v(127) has no driver or initial value, using a default initial value '0' -Info (12128): Elaborating entity "UFM" for hierarchy "UFM:UFM_inst" -Info (12128): Elaborating entity "UFM_altufm_none_0ep" for hierarchy "UFM:UFM_inst|UFM_altufm_none_0ep:UFM_altufm_none_0ep_component" +Warning (10858): Verilog HDL warning at gr8ram.v(110): object RDout used but never assigned +Warning (10858): Verilog HDL warning at gr8ram.v(230): object SetFW used but never assigned +Warning (10036): Verilog HDL or VHDL warning at gr8ram.v(263): object "RefReady" assigned a value but never read +Warning (10230): Verilog HDL assignment warning at gr8ram.v(34): truncated value with size 32 to match size of target (18) +Warning (10230): Verilog HDL assignment warning at gr8ram.v(125): truncated value with size 32 to match size of target (24) +Warning (10230): Verilog HDL assignment warning at gr8ram.v(126): truncated value with size 36 to match size of target (24) +Warning (10230): Verilog HDL assignment warning at gr8ram.v(130): truncated value with size 32 to match size of target (16) +Warning (10230): Verilog HDL assignment warning at gr8ram.v(131): truncated value with size 36 to match size of target (16) +Warning (10230): Verilog HDL assignment warning at gr8ram.v(136): truncated value with size 32 to match size of target (8) +Warning (10230): Verilog HDL assignment warning at gr8ram.v(137): truncated value with size 36 to match size of target (8) +Warning (10230): Verilog HDL assignment warning at gr8ram.v(195): truncated value with size 2 to match size of target (1) +Warning (10230): Verilog HDL assignment warning at gr8ram.v(249): truncated value with size 32 to match size of target (3) +Warning (10030): Net "RDout" at gr8ram.v(110) has no driver or initial value, using a default initial value '0' +Warning (10030): Net "SetFW" at gr8ram.v(230) has no driver or initial value, using a default initial value '0' +Warning (10030): Net "WRD[5..0]" at gr8ram.v(234) has no driver or initial value, using a default initial value '0' Warning (13046): Tri-state node(s) do not directly drive top-level pin(s) - Warning (13047): Converted the fan-out from the tri-state buffer "MOSI" to the node "WRD" into an OR gate + Warning (13047): Converted the fan-out from the tri-state buffer "MOSI" to the node "WRD[6]" into an OR gate Warning (13024): Output pins are stuck at VCC or GND Warning (13410): Pin "RAdir" is stuck at VCC - Warning (13410): Pin "RDdir" is stuck at VCC - Warning (13410): Pin "RCKE" is stuck at VCC -Warning (21074): Design contains 2 input pin(s) that do not drive logic - Warning (15610): No output dependent on input pin "nIOSEL" - Warning (15610): No output dependent on input pin "nIOSTRB" -Info (21057): Implemented 417 device resources after synthesis - the final resource count might be different - Info (21058): Implemented 27 input pins - Info (21059): Implemented 30 output pins +Info (21057): Implemented 350 device resources after synthesis - the final resource count might be different + Info (21058): Implemented 25 input pins + Info (21059): Implemented 28 output pins Info (21060): Implemented 16 bidirectional pins - Info (21061): Implemented 343 logic cells - Info (21070): Implemented 1 User Flash Memory blocks + Info (21061): Implemented 281 logic cells Info (144001): Generated suppressed messages file Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.map.smsg -Info: Quartus II 32-bit Analysis & Synthesis was successful. 0 errors, 26 warnings +Info: Quartus II 32-bit Analysis & Synthesis was successful. 0 errors, 20 warnings Info: Peak virtual memory: 301 megabytes - Info: Processing ended: Fri Mar 19 02:55:50 2021 - Info: Elapsed time: 00:00:06 - Info: Total CPU time (on all processors): 00:00:06 + Info: Processing ended: Fri Mar 19 06:43:55 2021 + Info: Elapsed time: 00:00:05 + Info: Total CPU time (on all processors): 00:00:05 +------------------------------------------+ diff --git a/cpld/output_files/GR8RAM.map.smsg b/cpld/output_files/GR8RAM.map.smsg index 754d645..63e7356 100755 --- a/cpld/output_files/GR8RAM.map.smsg +++ b/cpld/output_files/GR8RAM.map.smsg @@ -1,4 +1,4 @@ Warning (10463): Verilog HDL Declaration warning at UFM.v(72): "program" is SystemVerilog-2005 keyword Warning (10463): Verilog HDL Declaration warning at UFM.v(188): "program" is SystemVerilog-2005 keyword -Warning (10273): Verilog HDL warning at gr8ram.v(126): extended using "x" or "z" -Warning (10273): Verilog HDL warning at gr8ram.v(410): extended using "x" or "z" +Warning (10273): Verilog HDL warning at gr8ram.v(109): extended using "x" or "z" +Warning (10273): Verilog HDL warning at gr8ram.v(233): extended using "x" or "z" diff --git a/cpld/output_files/GR8RAM.map.summary b/cpld/output_files/GR8RAM.map.summary index b0021ee..d4bb560 100755 --- a/cpld/output_files/GR8RAM.map.summary +++ b/cpld/output_files/GR8RAM.map.summary @@ -1,9 +1,9 @@ -Analysis & Synthesis Status : Successful - Fri Mar 19 02:55:50 2021 +Analysis & Synthesis Status : Successful - Fri Mar 19 06:43:55 2021 Quartus II 32-bit Version : 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition Revision Name : GR8RAM Top-level Entity Name : GR8RAM Family : MAX II -Total logic elements : 343 -Total pins : 73 +Total logic elements : 281 +Total pins : 69 Total virtual pins : 0 -UFM blocks : 1 / 1 ( 100 % ) +UFM blocks : 0 / 1 ( 0 % ) diff --git a/cpld/output_files/GR8RAM.pof b/cpld/output_files/GR8RAM.pof index d235b31da1b664812e913db1b9a9cc19bc7c293e..8b0bc60fd6c164592e03c75a45e4b221c021bc7c 100755 GIT binary patch literal 7879 zcmeHMeQ;FQbzj+*L|ZU%Yo{(#V4InG`j7dN9SW(Cr!F+kN1>D2G$lecwnS-y)Bs`w zV)ycg?Q|x9(@q0Xh@hPmQ^%IXlu$)%-d;@-pcCt(sVih6zkOy5Qf;yCNfo>&jCnA?ujgclFi#bzwWU$f3|kb znkOHR+#C57EIr+PV9$ZCG(I1x1P}jvAhLLwdEl@AU?VjVDSXcGI9o@h`GV1-4_}9j z%X0KwQ_dl6T@K`6f!bSX^}Cu5`u3rlVgU|#%X8n;O6$3~!C|^j%IH%Rhhe$$I`oJl zoygPVK(8+r(1XCpXS9zombEFrP##zb#wQPSP0Ep?Y)?s#13DH{PC)TC{{!+NKg)y9 zk{_Ul_-rTefmz1)*-!K4cvkYm=4>AYWjW@Kg`aqz$b`79{bOF z#y{us5MY0m@shTtk-#2xdai>P%SsEGGW#5YoFqyMi{i03^btWG{*XTM!qK1^z6mp~ zKLh$OA(e=vSr;UD%=r`9ILzq6vzgO0VSW~=K{nQ~0ik^MilOt9&mhIQ)KZeNIG>oV z3R;j852#x=5LqmLgMzp(4ZR-ma_I-`56n64a#Tw^FRCM{$1}=|LR~f4#Oqz8;yaTRsyxdjHYL+cwORmb#-No#UG{o+*ZvUlJH-rq5` zW%q%xfxEIvix2Eh4_p3GUS~o1z8Po6dzw&;Qn;Ben#T`q1s^j%<_YQt4`Pt*A z+lzFmbU?mBLWTV9V1i=Ev$8*-#{~}0J+kqjDG0(j;~PE=`4-pIi6?ar;-p5wSIiGO zIA30BVd{iZA>Hr+M}+=1^#T0A(3LcOg!6|qEC%1S#uxtkVcw&kIM4@79gHJCVu*j) zE>cpE7J}3`iL5)+O)`V0T*v`2~aPN6Xn-%FsFhFn2w?TY(GK5!>$XipYR9lVt#NuJn|4XuGf@8FVv@C7ji1Ze;@h%hD7}6 zpa{4=!8aVlQL9`}S#w?zS$Ia>;(Sn^?nb?1J!R;5;7=ef2H)a!?z4=~bYvC!Hz^A} zTccAd#*w_vc*W7Dbl5L^(>D@0p62M>Rf#ee7bBoj1NA> zZQy(^;tMgM1+o?M>#lEOYzgi1ajLO??oLh+Cx^7NojTDrG@<@DkqzDG35M~kJ)Da~ zUbEo(Q?Li}!ovQ3K^C3|(=C}!qMe$~qb@`LWl~v@8=@h*B@pnRKLD3r&)K9ldD6%; zuG-K1=nJAnX$NHnTqTYE+nW8FW;=z8_$W2uXi7rgwB++`SP&uZRBz(ss5k}N^=v!Y zpfO!hPY!x*mBhxB5B%#Gn*1{}_Ng-=NTl60l`alJ=76SUE8 zr53qj`j5zhEljVM{&eon%ToD2m$~ig`${MO6AIDKbS%v{Vq!px8I{@KqQ4E%fXcSG zDhYef8ToS?kM-$$BbBX@zUoJ|yj2*UeW&{4|MN9kQc=}q@=qM=ONd30*2upvePqkO z6s~;j=J~40zo3UA5m?OU-#`CUS0Am~{6yc%sx5DiKR)wbb1FAU%Om%M^;dT^qO0%Q zviW0uORKgldg<|*-}$RElb}cMG4hH&*MD_)Vr<~nv9nFPHzjRHQ(!~Z5N>* zT=(Srx7^0DRl|QW_@ku#PnVPF-u4}j_MR^K$F@)G$Nj{yxv#-*1>?UA${?J>k6}JH zAig8DN(Kq^y+)R)hN2zhXI#N`%edoQ=YGyf z;{G|x<{GfMeDpG~U%M{)kC&2$9*ktza@*jOM18?uIK^zvXrjg(&IwNjw=uzgN0E5b zjX8Y2cAW%0ODYX>P}ksd_6jy$lSF;F*e}uTJlo}I*v(BPu!AJ#sKe1wbAEn{T5!&( zc&Oh2UT05QbdY_Jc7}HQj+?+f5GdfHKa)tkM{TGx0afsu)uX*2XSH#EBVh+#py9l@ z4C_nL2=0$GZWRIv{byB>NxNrnPRFcj|$QZ1-zHT%CO_eYb&>oG|-W z^jgYoY&+BnT`Aena$HZhrrM9QH)$m7ulN43-u=Sz%Da7H#j>5zC3M`W>McyG*%l*TPyK^ecQ@re|8|FWFjn`Q z9aHV?gEckpcAQp@@sDr^PyX!At;Q3JHb3%-%NGaE{cFvcB<`QR?Z$qEke=A@ONIL! z=f4VYO2ii2Kf(8<3D8AK65d}V&Ize44!z0SQ@<{KPkz4rE?MY z!+`eBr(4q0pHdBq5`yzJ>r+q*< zFs+@H*-fGShW^Fu!9crP3sXIOPZ&i$_$k&8c~hUW0tb7{e=|;a|B~Hn-_wV_oSui@ zx$%j@*u8EvKKqmE6&))pzB=>UxQtgE2Y z6lf`YUfuXa-&n)gi{E;%u)1m2qBX6-$`zjk9r{E6)?Jwy6Jsy@-GB8hZCa++OsTVr zPl6uCgZ=k&cX0Rmmu}b{FQfh*=$M+FP1me{x1+rs^~C$=AA999SD!!rw~K~Rf2Zwp z-#ML5Ufl6&GR%J^Z{Yo}rw4dke||3&;1?a&z1)*s3zT_w>!lN2BiobY}b?mtd}V7^|iFZ5U3XZT*HmSf z?T7p_u<`y|#FsoWKh7^%v`1%E7}pYhXP))7SVg)ltz$0FK)ycfus^)w`ZFpYn@$w< zrx;)0eW5O1vcH@cWgLO`^DdMfM9$x&@u_y043VGlz{qv`1{^4d9=yUbA zxNlc=gy{k3fAi2=!-kGLH1*fHadF>Rb>xLm|I%;1sH$)NYKUK~KSTdVrsrvC^u^ir zQ}>5-6UX{XZ{PUY`u(|q{$oQ;O^LPk)amg2Yi}?5p}+r?UAJy!+*QM_yD8c6`sIt? z!THx&u0Ly3Ure$;U_bw^$Msiy4>EfAe3ALkJ_>3HKM;T`v9Q-duIsQd{ zta~X7snf;D`BW_#M;{9!l|Z^M;6~#{)6v8xa4}bG_;Od*(OqjUDvsLL@2h3xqBGr?s50LJN;(& zz58L3|0?y5f@GGRneUs~*_qvaX8pR=p-^Z>s7C+Yg1>*Td*jYsyI%@F`DD0Z+0sp0 zcZJvQY}>MPFcZPqodFM-8+jfNO8y>EExIX;&?yWDr5MI`>EL`{KBlXK3Syory z5Po{|&X>17zd5{qTXz8∋wSPM%o*{bgUT`(b@u-M5#A zW`*WL^vsT3TX(&<`GwGI*zljbLi6s?|KPtq;vf|fN&M*1YUFXOe+=_ij$J6p9bX^V z!N|v1qZ)si=Sm6^15?zMQ%ffmrgF~&<+a|oACdviRWAF@26IZ5?A(fYO7_NXgy$}0 z$iXPfcEIX*1dojO96k4(YX3lLy|O5e#qs*8;$t2JCc&X>NfFDjZq^e;h%BY??lgSb z_ivea*bbcRr)q?Qq$8vxyIQX8*uP-8n?jDNaAh6~awVtlOQ82`H)>m6!thMCxo5e?KEMT|>z*chr?tx=EKtWEBQpD8 z;v313XJ_9i6jT53x{t8m9MWF$4}KI+R9eV?ldg+cjwo8v3rfVhnHTGKPHH^am3ew! zDr>jOL^(by3ET!~VehZ6+s=`osIET~-_7fow6f$`((^QK1F+E&UaZ_MPrDCFs}Sh9 z63hH;@}jaIQ}MVZM_);syxQ5a-u_V9+bG@pSw4~I(YRP{-Z_PwA`TaJ^0-{MXtbv8 zdS=NbKR?hL{~qh*joL-4XV$$NS#-(dhe~?z*>>*6+?A_0UArciTB+TGD~~$lKGy4=HnvdOvrre) z@UVrwjr#MB5m>lSEAhHsTP*bdP`<~bgt6zmYCFtD6hD|x`8dmri87DiI-lgZo3Mm0 z_eax@T-Lr^`E_mTE5iMrCQH&b-Y4{*ZR{N4IVCK@ew|VY{5$QykKuzq!b!;cWK&kU z0_&5iryF^+d_TTF!DC#dJlj(a`pdCRUL9RG6tRg0J@ya&o*vE1eq(usKj4Q#kpMZz zlk?>GxTz1===jF{k!;#xHAJz_06rB9Q`R2L_XFWd06~k3IU>6xZ*nbDYQd-&%a* zJIC@An#g=I}9LEFwKU+e**RQ=R4?M2p(|G73mpK0U2O@>9EIQ-Nm`HrG zaXMb>7vE@_vEMtFm&Z41d`kBB>;9Y4{kNiX;%x2cic^;p!)Kp0=f~Bny8i~a{~8Z< z#@;+SaO&vV*r5iqej#ukBR~55KwV&W^Oi;Z*eJ7pIpXI*VC!SjYbP}VJ1S~@qVgV5 zf>IPmRAK{szqI{ zwnvPzv)jik7qpV56ygk*q?iTITA7CISwD60hz*C=g;@#5(0Cr7iri8 zcK&E1ZSk~)_$%<`_;8M3vy(XeaDIqmut~uXE_{0{f$Ng;LoTP8PL||>p1hQ2bt|h4 z&4dKqtOt*MHJK{K>+_c8@BOt%Q}=m2rTkCxuOhOgM{Ri$@maV>jbBqoI?lF)9bc;u zcm!)?|Hq5eH0m8-KiJ2n&}sE6>)@KKc>DN#u4sc z^p7*jeW3M-Lb4yp_pJ?nwnw-L*A8$M?=zP!(y6#YY>YQJ|9;ZO2hYcO9Dc}mi_e2A zZ^K2%Eyg9Vf!ZF`qem-ZWt(%ntiT?>grFNugP-V~_t-o~MVj{wK2*_TJfieR<1#oUkZ;WUwh={ z`TI}X2lCP=p|?x%`1s2CMY7tnDI5Skf2^qE3i9A4@HAI8_}ZtvAB{ho?`568l)+Ww ztw<&*-D8M6MXvt-+mIt!_TNIhaQ-;P(0mUi zhmpDeW_1+y)y`4P*S3e&`2E*}^=KZx|IT+7Uag&TXY=Il&>BjD{{-+Hhl%fznRgdD z=KgZ_16133(AfHUyAHetDA>BX2xjy~={G0#%*Eum<+grcja!1PCe|Rom zJyQDqYdq9(;$X+IpTE84YaI^-{iolbSSP>3tO8B({<#(3e--(me?<`JAo@jGX8mWm ze>h)Q1Fxf>+OW=XD)`?cf!rUxVLU99jq@F+Z4&mucNF|HK8s{~I`7CS>xcEd737CU z>>K-zNZzTXm$&o2uBUT~zguXni~s(2-J>H8+| zAH)-k1yN~lF^{dlQRdk`ROYXg8(gf2^Qhfbfjz$u84n(jHar&QBJdZCfj#;U@sqi~ zVSjcS-tWJjz`o+#)#nQ2;BR4nfnPla_AK8)L4Jr5xZZJORM?~69Gq*AvAh#Mh^M57 ze{o$kb_M*OLhb9@uNXT#!7p$N`8Zqi1oK$&d}wsm?fWoyng3^W-zCOl9sc+2`A}<3 z=p6K@OXw|2>p72X&-iT3zE=aj!?fg*AAboy>gx@^!3Xvmavyj8y}fBN`2EXk6CJ-A zXlS_H+2OxGY2bTrZCX7V{Qjl?_&4L}czkUv9{1Pd#qs+le%I;v@%tAouh@sudef4| zQ-t%M`_GR*;m95QDGN^VO}+K!Rt9cm;QurOx9k5a Ie(g{H0gvCeZvX%Q diff --git a/cpld/output_files/GR8RAM.sta.rpt b/cpld/output_files/GR8RAM.sta.rpt index 99c0812..58b5fbf 100755 --- a/cpld/output_files/GR8RAM.sta.rpt +++ b/cpld/output_files/GR8RAM.sta.rpt @@ -1,5 +1,5 @@ TimeQuest Timing Analyzer report for GR8RAM -Thu Mar 18 04:40:58 2021 +Fri Mar 19 05:29:00 2021 Quartus II 32-bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition @@ -19,8 +19,8 @@ Quartus II 32-bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edit 11. Setup: 'ARCLK' 12. Setup: 'DRCLK' 13. Setup: 'C25M' - 14. Hold: 'DRCLK' - 15. Hold: 'ARCLK' + 14. Hold: 'ARCLK' + 15. Hold: 'DRCLK' 16. Hold: 'C25M' 17. Minimum Pulse Width: 'ARCLK' 18. Minimum Pulse Width: 'DRCLK' @@ -104,15 +104,15 @@ applicable agreement for further details. +------------+------+--------+------------+-------+-------+------------+-----------+-------------+-------+--------+-----------+------------+----------+--------+--------+-----------+ -+--------------------------------------------------+ -; Fmax Summary ; -+------------+-----------------+------------+------+ -; Fmax ; Restricted Fmax ; Clock Name ; Note ; -+------------+-----------------+------------+------+ -; 10.0 MHz ; 10.0 MHz ; ARCLK ; ; -; 10.0 MHz ; 10.0 MHz ; DRCLK ; ; -; 105.85 MHz ; 105.85 MHz ; C25M ; ; -+------------+-----------------+------------+------+ ++-------------------------------------------------+ +; Fmax Summary ; ++-----------+-----------------+------------+------+ +; Fmax ; Restricted Fmax ; Clock Name ; Note ; ++-----------+-----------------+------------+------+ +; 10.0 MHz ; 10.0 MHz ; ARCLK ; ; +; 10.0 MHz ; 10.0 MHz ; DRCLK ; ; +; 94.36 MHz ; 94.36 MHz ; C25M ; ; ++-----------+-----------------+------------+------+ This panel reports FMAX for every clock in the design, regardless of the user-specified clock periods. FMAX is only computed for paths where the source and destination registers or ports are driven by the same clock. Paths of different clocks, including generated clocks, are ignored. For paths between a clock and its inversion, FMAX is computed as if the rising and falling edges are scaled along with FMAX, such that the duty cycle (in terms of a percentage) is maintained. Altera recommends that you always use clock constraints and other slack reports for sign-off analysis. @@ -123,7 +123,7 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-------+---------+---------------+ ; ARCLK ; -99.000 ; -99.000 ; ; DRCLK ; -99.000 ; -99.000 ; -; C25M ; -8.447 ; -415.877 ; +; C25M ; -9.598 ; -485.527 ; +-------+---------+---------------+ @@ -132,9 +132,9 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-------+---------+---------------+ ; Clock ; Slack ; End Point TNS ; +-------+---------+---------------+ -; DRCLK ; -16.286 ; -16.286 ; -; ARCLK ; -16.276 ; -16.276 ; -; C25M ; -1.579 ; -1.579 ; +; ARCLK ; -16.296 ; -16.296 ; +; DRCLK ; -16.276 ; -16.276 ; +; C25M ; -1.031 ; -1.031 ; +-------+---------+---------------+ @@ -167,7 +167,7 @@ No paths to report. ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +---------+---------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ ; -99.000 ; UFM:UFM_inst|UFM_altufm_none_0ep:UFM_altufm_none_0ep_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; UFM:UFM_inst|UFM_altufm_none_0ep:UFM_altufm_none_0ep_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; ARCLK ; ARCLK ; 1.000 ; 0.000 ; 80.000 ; -; -22.724 ; ARShift ; UFM:UFM_inst|UFM_altufm_none_0ep:UFM_altufm_none_0ep_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; C25M ; ARCLK ; 1.000 ; -2.195 ; 1.529 ; +; -22.704 ; ARShift ; UFM:UFM_inst|UFM_altufm_none_0ep:UFM_altufm_none_0ep_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; C25M ; ARCLK ; 1.000 ; -2.175 ; 1.529 ; +---------+---------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ @@ -177,7 +177,7 @@ No paths to report. ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +---------+---------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ ; -99.000 ; UFM:UFM_inst|UFM_altufm_none_0ep:UFM_altufm_none_0ep_component|wire_maxii_ufm_block1_drdout ; UFM:UFM_inst|UFM_altufm_none_0ep:UFM_altufm_none_0ep_component|wire_maxii_ufm_block1_drdout ; DRCLK ; DRCLK ; 1.000 ; 0.000 ; 80.000 ; -; -22.714 ; DRShift ; UFM:UFM_inst|UFM_altufm_none_0ep:UFM_altufm_none_0ep_component|wire_maxii_ufm_block1_drdout ; C25M ; DRCLK ; 1.000 ; -2.165 ; 1.549 ; +; -22.724 ; DRShift ; UFM:UFM_inst|UFM_altufm_none_0ep:UFM_altufm_none_0ep_component|wire_maxii_ufm_block1_drdout ; C25M ; DRCLK ; 1.000 ; -2.195 ; 1.529 ; +---------+---------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ @@ -186,234 +186,234 @@ No paths to report. +--------+-----------+--------------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +--------+-----------+--------------+--------------+-------------+--------------+------------+------------+ -; -8.447 ; LS[6] ; LS[17] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.114 ; -; -8.446 ; LS[6] ; LS[18] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.113 ; -; -8.308 ; LS[8] ; LS[16] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.975 ; -; -8.237 ; LS[8] ; LS[19] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.904 ; -; -8.142 ; LS[8] ; LS[12] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.809 ; -; -8.013 ; LS[8] ; LS[21] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.680 ; -; -7.999 ; LS[0] ; LS[16] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.666 ; -; -7.991 ; LS[8] ; LS[18] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.658 ; -; -7.988 ; LS[6] ; nBODf0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.655 ; -; -7.986 ; LS[8] ; LS[15] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.653 ; -; -7.968 ; LS[12] ; LS[16] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.635 ; -; -7.952 ; LS[10] ; LS[16] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.619 ; -; -7.928 ; LS[0] ; LS[19] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.595 ; -; -7.902 ; LS[5] ; LS[17] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.569 ; -; -7.901 ; LS[5] ; LS[18] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.568 ; -; -7.897 ; LS[12] ; LS[19] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.564 ; -; -7.883 ; LS[3] ; LS[16] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.550 ; -; -7.881 ; LS[10] ; LS[19] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.548 ; -; -7.870 ; LS[22] ; InitActv ; C25M ; C25M ; 1.000 ; 0.000 ; 8.537 ; -; -7.847 ; LS[6] ; LS[20] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.514 ; -; -7.846 ; LS[6] ; LS[14] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.513 ; -; -7.846 ; LS[6] ; LS[22] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.513 ; -; -7.844 ; LS[6] ; LS[9] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.511 ; -; -7.842 ; LS[6] ; LS[10] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.509 ; -; -7.841 ; LS[22] ; nRESout~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.508 ; -; -7.838 ; LS[22] ; SDRAMActv ; C25M ; C25M ; 1.000 ; 0.000 ; 8.505 ; -; -7.835 ; LS[4] ; MOSIout ; C25M ; C25M ; 1.000 ; 0.000 ; 8.502 ; -; -7.833 ; LS[0] ; LS[12] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.500 ; -; -7.832 ; LS[7] ; LS[16] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.499 ; -; -7.829 ; LS[6] ; LS[11] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.496 ; -; -7.825 ; LS[8] ; LS[17] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.492 ; -; -7.812 ; LS[3] ; LS[19] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.479 ; -; -7.786 ; LS[10] ; LS[12] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.453 ; -; -7.778 ; LS[11] ; LS[16] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.445 ; -; -7.765 ; LS[6] ; nBODf ; C25M ; C25M ; 1.000 ; 0.000 ; 8.432 ; -; -7.761 ; LS[7] ; LS[19] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.428 ; -; -7.755 ; LS[10] ; InitActv ; C25M ; C25M ; 1.000 ; 0.000 ; 8.422 ; -; -7.735 ; LS[6] ; LS[4] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.402 ; -; -7.717 ; LS[3] ; LS[12] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.384 ; -; -7.709 ; LS[0] ; LS[10] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.376 ; -; -7.707 ; LS[11] ; LS[19] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.374 ; -; -7.704 ; LS[0] ; LS[21] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.371 ; -; -7.685 ; LS[20] ; InitActv ; C25M ; C25M ; 1.000 ; 0.000 ; 8.352 ; -; -7.682 ; LS[0] ; LS[18] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.349 ; -; -7.677 ; LS[0] ; LS[15] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.344 ; -; -7.673 ; LS[12] ; LS[21] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.340 ; -; -7.666 ; LS[7] ; LS[12] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.333 ; -; -7.657 ; LS[10] ; LS[21] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.324 ; -; -7.656 ; LS[20] ; nRESout~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.323 ; -; -7.656 ; LS[10] ; nRESout~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.323 ; -; -7.655 ; LS[5] ; LS[16] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.322 ; -; -7.653 ; LS[20] ; SDRAMActv ; C25M ; C25M ; 1.000 ; 0.000 ; 8.320 ; -; -7.653 ; LS[10] ; SDRAMActv ; C25M ; C25M ; 1.000 ; 0.000 ; 8.320 ; -; -7.651 ; LS[12] ; LS[18] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.318 ; -; -7.638 ; LS[6] ; LS[12] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.305 ; -; -7.635 ; LS[10] ; LS[18] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.302 ; -; -7.630 ; LS[10] ; LS[15] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.297 ; -; -7.620 ; LS[0] ; LS[3] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.287 ; -; -7.611 ; LS[6] ; nFCS~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.278 ; -; -7.600 ; LS[8] ; LS[14] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.267 ; -; -7.593 ; LS[3] ; LS[10] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.260 ; -; -7.589 ; LS[17] ; InitActv ; C25M ; C25M ; 1.000 ; 0.000 ; 8.256 ; -; -7.588 ; LS[3] ; LS[21] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.255 ; -; -7.584 ; LS[5] ; LS[19] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.251 ; -; -7.584 ; LS[8] ; LS[10] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.251 ; -; -7.566 ; LS[3] ; LS[18] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.233 ; -; -7.565 ; LS[2] ; nRESout~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.232 ; -; -7.562 ; LS[2] ; SDRAMActv ; C25M ; C25M ; 1.000 ; 0.000 ; 8.229 ; -; -7.561 ; LS[3] ; LS[15] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.228 ; -; -7.541 ; LS[2] ; LS[17] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.208 ; -; -7.540 ; LS[2] ; LS[18] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.207 ; -; -7.537 ; LS[7] ; LS[21] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.204 ; -; -7.529 ; LS[19] ; InitActv ; C25M ; C25M ; 1.000 ; 0.000 ; 8.196 ; -; -7.528 ; LS[10] ; nFCS~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.195 ; -; -7.517 ; LS[0] ; LS[7] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.184 ; -; -7.516 ; LS[0] ; LS[17] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.183 ; -; -7.515 ; LS[7] ; LS[18] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.182 ; -; -7.510 ; LS[7] ; LS[15] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.177 ; -; -7.489 ; LS[5] ; LS[12] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.156 ; -; -7.485 ; LS[12] ; LS[17] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.152 ; -; -7.483 ; LS[11] ; LS[21] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.150 ; -; -7.474 ; LS[11] ; InitActv ; C25M ; C25M ; 1.000 ; 0.000 ; 8.141 ; -; -7.469 ; LS[10] ; LS[17] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.136 ; -; -7.461 ; LS[11] ; LS[18] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.128 ; -; -7.454 ; LS[13] ; LS[16] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.121 ; -; -7.451 ; LS[14] ; LS[16] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.118 ; -; -7.443 ; LS[5] ; nBODf0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.110 ; -; -7.436 ; LS[12] ; LS[15] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.103 ; -; -7.401 ; LS[3] ; LS[7] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.068 ; -; -7.400 ; LS[3] ; LS[17] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.067 ; -; -7.394 ; LS[17] ; nRESout~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.061 ; -; -7.385 ; LS[11] ; nRESout~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.052 ; -; -7.383 ; LS[13] ; LS[19] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.050 ; -; -7.382 ; LS[11] ; SDRAMActv ; C25M ; C25M ; 1.000 ; 0.000 ; 8.049 ; -; -7.380 ; LS[14] ; LS[19] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.047 ; -; -7.367 ; LS[16] ; InitActv ; C25M ; C25M ; 1.000 ; 0.000 ; 8.034 ; -; -7.365 ; LS[5] ; LS[10] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.032 ; -; -7.363 ; LS[16] ; LS[21] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.030 ; -; -7.360 ; LS[5] ; LS[21] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.027 ; -; -7.349 ; LS[7] ; LS[17] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.016 ; +; -9.598 ; RAcur[8] ; nRCS~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 10.265 ; +; -9.515 ; RAcur[8] ; RCKE~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 10.182 ; +; -9.377 ; RAcur[10] ; nRCS~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 10.044 ; +; -9.338 ; RAcur[8] ; nRAS~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 10.005 ; +; -9.294 ; RAcur[10] ; RCKE~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 9.961 ; +; -9.232 ; RACr ; nRCS~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 9.899 ; +; -9.149 ; RACr ; RCKE~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 9.816 ; +; -9.117 ; RAcur[10] ; nRAS~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 9.784 ; +; -9.117 ; RAcur[1] ; nRCS~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 9.784 ; +; -9.095 ; RAcur[9] ; nRCS~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 9.762 ; +; -9.034 ; RAcur[1] ; RCKE~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 9.701 ; +; -9.012 ; RAcur[9] ; RCKE~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 9.679 ; +; -8.972 ; RACr ; nRAS~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 9.639 ; +; -8.879 ; LS[10] ; MOSIout ; C25M ; C25M ; 1.000 ; 0.000 ; 9.546 ; +; -8.857 ; RAcur[1] ; nRAS~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 9.524 ; +; -8.835 ; RAcur[9] ; nRAS~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 9.502 ; +; -8.807 ; LS[15] ; MOSIout ; C25M ; C25M ; 1.000 ; 0.000 ; 9.474 ; +; -8.710 ; LS[11] ; MOSIout ; C25M ; C25M ; 1.000 ; 0.000 ; 9.377 ; +; -8.657 ; LS[13] ; MOSIout ; C25M ; C25M ; 1.000 ; 0.000 ; 9.324 ; +; -8.578 ; RAcur[0] ; nRCS~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 9.245 ; +; -8.564 ; LS[9] ; MOSIout ; C25M ; C25M ; 1.000 ; 0.000 ; 9.231 ; +; -8.497 ; LS[12] ; MOSIout ; C25M ; C25M ; 1.000 ; 0.000 ; 9.164 ; +; -8.495 ; RAcur[0] ; RCKE~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 9.162 ; +; -8.486 ; LS[2] ; nRAS~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 9.153 ; +; -8.462 ; LS[3] ; MOSIout ; C25M ; C25M ; 1.000 ; 0.000 ; 9.129 ; +; -8.318 ; RAcur[0] ; nRAS~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.985 ; +; -8.310 ; LS[17] ; FCKEN ; C25M ; C25M ; 1.000 ; 0.000 ; 8.977 ; +; -8.276 ; RAcur[8] ; nCAS~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.943 ; +; -8.260 ; InitActv ; nRCS~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.927 ; +; -8.200 ; LS[1] ; nRAS~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.867 ; +; -8.195 ; PS[1] ; nRCS~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.862 ; +; -8.178 ; LS[10] ; IS[0] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.845 ; +; -8.174 ; LS[10] ; IS[1] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.841 ; +; -8.171 ; RAcur[11] ; nRCS~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.838 ; +; -8.166 ; LS[10] ; FCKEN ; C25M ; C25M ; 1.000 ; 0.000 ; 8.833 ; +; -8.124 ; LS[14] ; MOSIout ; C25M ; C25M ; 1.000 ; 0.000 ; 8.791 ; +; -8.106 ; LS[15] ; IS[0] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.773 ; +; -8.102 ; LS[15] ; IS[1] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.769 ; +; -8.088 ; RAcur[11] ; RCKE~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.755 ; +; -8.055 ; RAcur[10] ; nCAS~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.722 ; +; -8.054 ; LS[2] ; MOSIout ; C25M ; C25M ; 1.000 ; 0.000 ; 8.721 ; +; -8.027 ; RAcur[7] ; nRCS~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.694 ; +; -8.009 ; LS[11] ; IS[0] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.676 ; +; -8.005 ; LS[11] ; IS[1] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.672 ; +; -7.996 ; LS[0] ; FCS ; C25M ; C25M ; 1.000 ; 0.000 ; 8.663 ; +; -7.980 ; LS[0] ; FCKEN ; C25M ; C25M ; 1.000 ; 0.000 ; 8.647 ; +; -7.973 ; LS[16] ; FCKEN ; C25M ; C25M ; 1.000 ; 0.000 ; 8.640 ; +; -7.956 ; LS[13] ; IS[0] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.623 ; +; -7.952 ; LS[13] ; IS[1] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.619 ; +; -7.950 ; LS[10] ; FCS ; C25M ; C25M ; 1.000 ; 0.000 ; 8.617 ; +; -7.944 ; RAcur[7] ; RCKE~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.611 ; +; -7.942 ; IS[0] ; nRCS~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.609 ; +; -7.933 ; LS[10] ; ARCLK ; C25M ; C25M ; 1.000 ; 0.000 ; 8.600 ; +; -7.911 ; RAcur[11] ; nRAS~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.578 ; +; -7.910 ; RACr ; nCAS~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.577 ; +; -7.905 ; LS[15] ; FCKEN ; C25M ; C25M ; 1.000 ; 0.000 ; 8.572 ; +; -7.889 ; RAcur[2] ; nRCS~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.556 ; +; -7.878 ; LS[15] ; FCS ; C25M ; C25M ; 1.000 ; 0.000 ; 8.545 ; +; -7.863 ; LS[9] ; IS[0] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.530 ; +; -7.859 ; LS[9] ; IS[1] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.526 ; +; -7.842 ; nWEcur ; RCKE~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.509 ; +; -7.817 ; LS[5] ; FCKEN ; C25M ; C25M ; 1.000 ; 0.000 ; 8.484 ; +; -7.813 ; PS[3] ; RCKE~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.480 ; +; -7.812 ; LS[8] ; MOSIout ; C25M ; C25M ; 1.000 ; 0.000 ; 8.479 ; +; -7.808 ; LS[11] ; FCKEN ; C25M ; C25M ; 1.000 ; 0.000 ; 8.475 ; +; -7.806 ; RAcur[2] ; RCKE~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.473 ; +; -7.800 ; LS[2] ; nRCS~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.467 ; +; -7.796 ; LS[12] ; IS[0] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.463 ; +; -7.795 ; LS[17] ; MOSIout ; C25M ; C25M ; 1.000 ; 0.000 ; 8.462 ; +; -7.795 ; RAcur[1] ; nCAS~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.462 ; +; -7.792 ; LS[12] ; IS[1] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.459 ; +; -7.786 ; PHI0r2 ; nRCS~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.453 ; +; -7.781 ; LS[11] ; FCS ; C25M ; C25M ; 1.000 ; 0.000 ; 8.448 ; +; -7.773 ; RAcur[9] ; nCAS~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.440 ; +; -7.767 ; RAcur[7] ; nRAS~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.434 ; +; -7.765 ; LS[4] ; MOSIout ; C25M ; C25M ; 1.000 ; 0.000 ; 8.432 ; +; -7.764 ; LS[11] ; ARCLK ; C25M ; C25M ; 1.000 ; 0.000 ; 8.431 ; +; -7.755 ; LS[13] ; FCKEN ; C25M ; C25M ; 1.000 ; 0.000 ; 8.422 ; +; -7.736 ; LS[17] ; nRESout~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.403 ; +; -7.728 ; LS[13] ; FCS ; C25M ; C25M ; 1.000 ; 0.000 ; 8.395 ; +; -7.704 ; PS[3] ; IOROMEN ; C25M ; C25M ; 1.000 ; 0.000 ; 8.371 ; +; -7.704 ; LS[9] ; FCKEN ; C25M ; C25M ; 1.000 ; 0.000 ; 8.371 ; +; -7.698 ; PS[3] ; REGEN ; C25M ; C25M ; 1.000 ; 0.000 ; 8.365 ; +; -7.691 ; LS[6] ; MOSIout ; C25M ; C25M ; 1.000 ; 0.000 ; 8.358 ; +; -7.677 ; InitActv ; nRAS~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.344 ; +; -7.672 ; LS[2] ; FCS ; C25M ; C25M ; 1.000 ; 0.000 ; 8.339 ; +; -7.662 ; PS[2] ; nRCS~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.329 ; +; -7.656 ; LS[2] ; FCKEN ; C25M ; C25M ; 1.000 ; 0.000 ; 8.323 ; +; -7.635 ; LS[9] ; FCS ; C25M ; C25M ; 1.000 ; 0.000 ; 8.302 ; +; -7.631 ; LS[10] ; SetFW[0] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.298 ; +; -7.631 ; LS[10] ; SetFW[1] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.298 ; +; -7.629 ; RAcur[2] ; nRAS~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.296 ; +; -7.629 ; PHI0r1 ; nRCS~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.296 ; +; -7.622 ; LS[0] ; MOSIOE ; C25M ; C25M ; 1.000 ; 0.000 ; 8.289 ; +; -7.618 ; LS[9] ; ARCLK ; C25M ; C25M ; 1.000 ; 0.000 ; 8.285 ; +; -7.612 ; PS[1] ; nRAS~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.279 ; +; -7.612 ; LS[10] ; MOSIOE ; C25M ; C25M ; 1.000 ; 0.000 ; 8.279 ; +; -7.608 ; LS[0] ; nBODf ; C25M ; C25M ; 1.000 ; 0.000 ; 8.275 ; +; -7.595 ; LS[12] ; FCKEN ; C25M ; C25M ; 1.000 ; 0.000 ; 8.262 ; +; -7.592 ; LS[10] ; nRESout~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.259 ; +--------+-----------+--------------+--------------+-------------+--------------+------------+------------+ -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Hold: 'DRCLK' ; -+---------+---------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+---------+---------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ -; -16.286 ; DRShift ; UFM:UFM_inst|UFM_altufm_none_0ep:UFM_altufm_none_0ep_component|wire_maxii_ufm_block1_drdout ; C25M ; DRCLK ; 0.000 ; -2.165 ; 1.549 ; -; 60.000 ; UFM:UFM_inst|UFM_altufm_none_0ep:UFM_altufm_none_0ep_component|wire_maxii_ufm_block1_drdout ; UFM:UFM_inst|UFM_altufm_none_0ep:UFM_altufm_none_0ep_component|wire_maxii_ufm_block1_drdout ; DRCLK ; DRCLK ; 0.000 ; 0.000 ; 80.000 ; -+---------+---------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ - - +---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; Hold: 'ARCLK' ; +---------+---------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +---------+---------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ -; -16.276 ; ARShift ; UFM:UFM_inst|UFM_altufm_none_0ep:UFM_altufm_none_0ep_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; C25M ; ARCLK ; 0.000 ; -2.195 ; 1.529 ; +; -16.296 ; ARShift ; UFM:UFM_inst|UFM_altufm_none_0ep:UFM_altufm_none_0ep_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; C25M ; ARCLK ; 0.000 ; -2.175 ; 1.529 ; ; 60.000 ; UFM:UFM_inst|UFM_altufm_none_0ep:UFM_altufm_none_0ep_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; UFM:UFM_inst|UFM_altufm_none_0ep:UFM_altufm_none_0ep_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; ARCLK ; ARCLK ; 0.000 ; 0.000 ; 80.000 ; +---------+---------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ ++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Hold: 'DRCLK' ; ++---------+---------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ +; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; ++---------+---------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ +; -16.276 ; DRShift ; UFM:UFM_inst|UFM_altufm_none_0ep:UFM_altufm_none_0ep_component|wire_maxii_ufm_block1_drdout ; C25M ; DRCLK ; 0.000 ; -2.195 ; 1.529 ; +; 60.000 ; UFM:UFM_inst|UFM_altufm_none_0ep:UFM_altufm_none_0ep_component|wire_maxii_ufm_block1_drdout ; UFM:UFM_inst|UFM_altufm_none_0ep:UFM_altufm_none_0ep_component|wire_maxii_ufm_block1_drdout ; DRCLK ; DRCLK ; 0.000 ; 0.000 ; 80.000 ; ++---------+---------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ + + +------------------------------------------------------------------------------------------------------------+ ; Hold: 'C25M' ; +--------+--------------+--------------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +--------+--------------+--------------+--------------+-------------+--------------+------------+------------+ -; -1.579 ; ARCLK ; ARCLK ; ARCLK ; C25M ; 0.000 ; 3.348 ; 2.366 ; -; -1.079 ; ARCLK ; ARCLK ; ARCLK ; C25M ; -0.500 ; 3.348 ; 2.366 ; -; 1.374 ; nBODr0 ; nBODr ; C25M ; C25M ; 0.000 ; 0.000 ; 1.595 ; -; 1.382 ; nRESr0 ; nRESr ; C25M ; C25M ; 0.000 ; 0.000 ; 1.603 ; -; 1.677 ; SDRAMActv ; SDRAMActv ; C25M ; C25M ; 0.000 ; 0.000 ; 1.898 ; -; 1.739 ; S[2] ; S[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.960 ; -; 1.879 ; PHI0r0 ; PHI0r1 ; C25M ; C25M ; -0.500 ; 0.000 ; 1.600 ; -; 1.907 ; UFMD[14] ; UFMD[14] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.128 ; -; 1.908 ; UFMD[13] ; UFMD[13] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.129 ; -; 2.005 ; S[1] ; S[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.226 ; -; 2.014 ; S[1] ; S[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.235 ; -; 2.127 ; InitIntr ; InitIntr ; C25M ; C25M ; 0.000 ; 0.000 ; 2.348 ; -; 2.160 ; PHI0r2 ; S[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.381 ; -; 2.165 ; PHI0r2 ; S[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.386 ; -; 2.213 ; nFCS~reg0 ; nFCS~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.434 ; -; 2.285 ; SetLoaded ; SetLoaded ; C25M ; C25M ; 0.000 ; 0.000 ; 2.506 ; -; 2.288 ; DEVSELr0 ; DEVSELr ; C25M ; C25M ; -0.500 ; 0.000 ; 2.009 ; -; 2.290 ; SetLoaded ; ARShift ; C25M ; C25M ; 0.000 ; 0.000 ; 2.511 ; -; 2.292 ; SetLoaded ; DRShift ; C25M ; C25M ; 0.000 ; 0.000 ; 2.513 ; -; 2.299 ; MOSIOE ; WRD[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.520 ; -; 2.328 ; PHI0r1 ; PHI0r2 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.549 ; -; 2.380 ; S[0] ; S[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.601 ; -; 2.383 ; nWEcur ; SDOE ; C25M ; C25M ; 0.000 ; 0.000 ; 2.604 ; -; 2.383 ; S[0] ; S[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.604 ; -; 2.387 ; S[0] ; S[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.608 ; -; 2.397 ; S[0] ; SDOE ; C25M ; C25M ; 0.000 ; 0.000 ; 2.618 ; -; 2.523 ; DEVSELr ; RAMSEL ; C25M ; C25M ; 0.000 ; 0.000 ; 2.744 ; -; 2.768 ; PHI0r2 ; nWEcur ; C25M ; C25M ; 0.000 ; 0.000 ; 2.989 ; -; 2.770 ; nBODr ; nBODf0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.991 ; -; 2.771 ; InitActv ; WRD[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.992 ; -; 2.771 ; InitActv ; WRD[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.992 ; -; 2.829 ; LS[4] ; DRCLK ; C25M ; C25M ; 0.000 ; 0.000 ; 3.050 ; -; 2.868 ; nRESout~reg0 ; nRESout~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 3.089 ; -; 2.874 ; LS[2] ; LS[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.095 ; -; 2.877 ; nRESout~reg0 ; nFCS~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 3.098 ; -; 2.896 ; LS[1] ; LS[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.117 ; -; 2.903 ; InitActv ; SDOE ; C25M ; C25M ; 0.000 ; 0.000 ; 3.124 ; -; 2.966 ; RAMSEL ; SDOE ; C25M ; C25M ; 0.000 ; 0.000 ; 3.187 ; -; 3.035 ; RAcur[11] ; RAMSEL ; C25M ; C25M ; 0.000 ; 0.000 ; 3.256 ; -; 3.084 ; InitActv ; InitActv ; C25M ; C25M ; 0.000 ; 0.000 ; 3.305 ; -; 3.091 ; nBODf ; InitActv ; C25M ; C25M ; 0.000 ; 0.000 ; 3.312 ; -; 3.177 ; MOSIout ; WRD[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.398 ; -; 3.185 ; S[0] ; RAMSEL ; C25M ; C25M ; 0.000 ; 0.000 ; 3.406 ; -; 3.188 ; S[2] ; S[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.409 ; -; 3.264 ; nBODf ; nRESout~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 3.485 ; -; 3.266 ; S[2] ; S[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.487 ; -; 3.281 ; RAMSEL ; RAMSEL ; C25M ; C25M ; 0.000 ; 0.000 ; 3.502 ; -; 3.284 ; LS[1] ; DRCLK ; C25M ; C25M ; 0.000 ; 0.000 ; 3.505 ; -; 3.285 ; LS[1] ; ARCLK ; C25M ; C25M ; 0.000 ; 0.000 ; 3.506 ; -; 3.301 ; LS[0] ; InitActv ; C25M ; C25M ; 0.000 ; 0.000 ; 3.522 ; -; 3.320 ; RAcur[0] ; RAMSEL ; C25M ; C25M ; 0.000 ; 0.000 ; 3.541 ; -; 3.342 ; LS[4] ; DRShift ; C25M ; C25M ; 0.000 ; 0.000 ; 3.563 ; -; 3.352 ; LS[2] ; SetLoaded ; C25M ; C25M ; 0.000 ; 0.000 ; 3.573 ; -; 3.377 ; LS[22] ; LS[22] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.598 ; -; 3.389 ; InitIntr ; SDRAMActv ; C25M ; C25M ; 0.000 ; 0.000 ; 3.610 ; -; 3.392 ; InitIntr ; nRESout~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 3.613 ; -; 3.429 ; nBODf ; SDRAMActv ; C25M ; C25M ; 0.000 ; 0.000 ; 3.650 ; -; 3.437 ; nBODf ; InitIntr ; C25M ; C25M ; 0.000 ; 0.000 ; 3.658 ; -; 3.521 ; LS[0] ; InitIntr ; C25M ; C25M ; 0.000 ; 0.000 ; 3.742 ; -; 3.523 ; S[2] ; SDOE ; C25M ; C25M ; 0.000 ; 0.000 ; 3.744 ; -; 3.530 ; LS[21] ; FCK~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 3.751 ; -; 3.539 ; LS[20] ; LS[20] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.760 ; -; 3.546 ; RAcur[10] ; RAMSEL ; C25M ; C25M ; 0.000 ; 0.000 ; 3.767 ; -; 3.552 ; S[1] ; S[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.773 ; -; 3.559 ; PHI0r2 ; RACr ; C25M ; C25M ; 0.000 ; 0.000 ; 3.780 ; -; 3.559 ; PHI0r2 ; RAcur[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.780 ; -; 3.559 ; PHI0r2 ; RAcur[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.780 ; -; 3.559 ; PHI0r2 ; RAcur[11] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.780 ; -; 3.559 ; PHI0r2 ; RAcur[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.780 ; -; 3.559 ; PHI0r2 ; RAcur[8] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.780 ; -; 3.559 ; PHI0r2 ; RAcur[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.780 ; -; 3.559 ; PHI0r2 ; RAcur[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.780 ; -; 3.559 ; PHI0r2 ; RAcur[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.780 ; -; 3.588 ; SetLoaded ; DRCLK ; C25M ; C25M ; 0.000 ; 0.000 ; 3.809 ; -; 3.597 ; PHI0r1 ; S[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.818 ; -; 3.602 ; PHI0r1 ; S[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.823 ; -; 3.652 ; LS[13] ; LS[19] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.873 ; -; 3.660 ; S[2] ; RAMSEL ; C25M ; C25M ; 0.000 ; 0.000 ; 3.881 ; -; 3.674 ; S[1] ; RAMSEL ; C25M ; C25M ; 0.000 ; 0.000 ; 3.895 ; -; 3.685 ; LS[6] ; LS[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.906 ; -; 3.701 ; LS[4] ; LS[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.922 ; -; 3.703 ; LS[1] ; LS[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.924 ; -; 3.708 ; S[1] ; SDOE ; C25M ; C25M ; 0.000 ; 0.000 ; 3.929 ; -; 3.798 ; nRESout~reg0 ; InitActv ; C25M ; C25M ; 0.000 ; 0.000 ; 4.019 ; -; 3.823 ; LS[4] ; MOSIOE ; C25M ; C25M ; 0.000 ; 0.000 ; 4.044 ; -; 3.825 ; RAcur[8] ; RAMSEL ; C25M ; C25M ; 0.000 ; 0.000 ; 4.046 ; -; 3.874 ; S[2] ; nWEcur ; C25M ; C25M ; 0.000 ; 0.000 ; 4.095 ; -; 3.925 ; LS[2] ; DRShift ; C25M ; C25M ; 0.000 ; 0.000 ; 4.146 ; -; 3.965 ; LS[13] ; LS[16] ; C25M ; C25M ; 0.000 ; 0.000 ; 4.186 ; -; 4.022 ; LS[0] ; nRESout~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 4.243 ; -; 4.093 ; RAcur[9] ; RAMSEL ; C25M ; C25M ; 0.000 ; 0.000 ; 4.314 ; -; 4.116 ; nRESr ; S[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 4.337 ; -; 4.157 ; LS[14] ; MOSIout ; C25M ; C25M ; 0.000 ; 0.000 ; 4.378 ; -; 4.184 ; nRESout~reg0 ; FCK~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 4.405 ; -; 4.184 ; nRESout~reg0 ; MOSIOE ; C25M ; C25M ; 0.000 ; 0.000 ; 4.405 ; -; 4.205 ; PHI0r1 ; nWEcur ; C25M ; C25M ; 0.000 ; 0.000 ; 4.426 ; -; 4.209 ; LS[1] ; WRD[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 4.430 ; -; 4.233 ; RACr ; RAMSEL ; C25M ; C25M ; 0.000 ; 0.000 ; 4.454 ; -; 4.233 ; LS[3] ; InitActv ; C25M ; C25M ; 0.000 ; 0.000 ; 4.454 ; -; 4.238 ; S[1] ; nWEcur ; C25M ; C25M ; 0.000 ; 0.000 ; 4.459 ; +; -1.031 ; ARCLK ; ARCLK ; ARCLK ; C25M ; 0.000 ; 3.348 ; 2.914 ; +; -0.531 ; ARCLK ; ARCLK ; ARCLK ; C25M ; -0.500 ; 3.348 ; 2.914 ; +; 1.646 ; IOSELr ; IOROMEN ; C25M ; C25M ; 0.000 ; 0.000 ; 1.867 ; +; 1.661 ; FCKEN ; FCK~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 1.882 ; +; 1.670 ; LS[17] ; LS[17] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.891 ; +; 1.687 ; PS[2] ; PS[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.908 ; +; 1.787 ; PHI0r1 ; PHI0r2 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.008 ; +; 1.877 ; nBODr0 ; nBODr ; C25M ; C25M ; -0.500 ; 0.000 ; 1.598 ; +; 1.886 ; IOSELr0 ; IOSELr ; C25M ; C25M ; -0.500 ; 0.000 ; 1.607 ; +; 1.980 ; PS[0] ; PS[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.201 ; +; 2.009 ; SetLoaded ; ARShift ; C25M ; C25M ; 0.000 ; 0.000 ; 2.230 ; +; 2.027 ; SetLoaded ; DRShift ; C25M ; C25M ; 0.000 ; 0.000 ; 2.248 ; +; 2.055 ; IOSELr ; REGEN ; C25M ; C25M ; 0.000 ; 0.000 ; 2.276 ; +; 2.065 ; nBODf0 ; nBODf ; C25M ; C25M ; 0.000 ; 0.000 ; 2.286 ; +; 2.081 ; SDRAMActv ; RefReqd ; C25M ; C25M ; 0.000 ; 0.000 ; 2.302 ; +; 2.108 ; LS[6] ; LS[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.329 ; +; 2.120 ; nBODf ; InitActv ; C25M ; C25M ; 0.000 ; 0.000 ; 2.341 ; +; 2.125 ; LS[9] ; LS[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.346 ; +; 2.126 ; LS[1] ; LS[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.347 ; +; 2.126 ; MOSIOE ; MOSIOE ; C25M ; C25M ; 0.000 ; 0.000 ; 2.347 ; +; 2.135 ; InitIntr ; InitIntr ; C25M ; C25M ; 0.000 ; 0.000 ; 2.356 ; +; 2.137 ; LS[8] ; LS[8] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.358 ; +; 2.137 ; LS[16] ; LS[16] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.358 ; +; 2.143 ; LS[10] ; LS[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.364 ; +; 2.145 ; LS[11] ; LS[11] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.366 ; +; 2.152 ; PS[1] ; PS[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.373 ; +; 2.212 ; nRESout~reg0 ; nRESout~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.433 ; +; 2.212 ; FCS ; FCS ; C25M ; C25M ; 0.000 ; 0.000 ; 2.433 ; +; 2.221 ; LS[7] ; LS[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.442 ; +; 2.221 ; LS[2] ; LS[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.442 ; +; 2.230 ; LS[12] ; LS[12] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.451 ; +; 2.230 ; LS[14] ; LS[14] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.451 ; +; 2.230 ; LS[4] ; LS[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.451 ; +; 2.230 ; InitActv ; InitActv ; C25M ; C25M ; 0.000 ; 0.000 ; 2.451 ; +; 2.230 ; RefReqd ; RefReqd ; C25M ; C25M ; 0.000 ; 0.000 ; 2.451 ; +; 2.230 ; SetFW[0] ; SetFW[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.451 ; +; 2.231 ; LS[15] ; LS[15] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.452 ; +; 2.231 ; LS[13] ; LS[13] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.452 ; +; 2.231 ; LS[5] ; LS[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.452 ; +; 2.231 ; LS[3] ; LS[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.452 ; +; 2.232 ; IOROMEN ; IOROMEN ; C25M ; C25M ; 0.000 ; 0.000 ; 2.453 ; +; 2.241 ; IS[1] ; IS[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.462 ; +; 2.250 ; nCAS~reg0 ; nCAS~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.471 ; +; 2.317 ; PS[0] ; PS[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.538 ; +; 2.339 ; SetLoaded ; SetLoaded ; C25M ; C25M ; 0.000 ; 0.000 ; 2.560 ; +; 2.345 ; SetLoaded ; DRCLK ; C25M ; C25M ; 0.000 ; 0.000 ; 2.566 ; +; 2.349 ; SetLoaded ; ARCLK ; C25M ; C25M ; 0.000 ; 0.000 ; 2.570 ; +; 2.357 ; nBODf ; InitIntr ; C25M ; C25M ; 0.000 ; 0.000 ; 2.578 ; +; 2.362 ; nBODf ; SDRAMActv ; C25M ; C25M ; 0.000 ; 0.000 ; 2.583 ; +; 2.380 ; nRESr ; PS[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.601 ; +; 2.411 ; LS[0] ; LS[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.632 ; +; 2.570 ; nBODf ; nRESout~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.791 ; +; 2.581 ; PS[3] ; PS[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.802 ; +; 2.659 ; REGEN ; REGEN ; C25M ; C25M ; 0.000 ; 0.000 ; 2.880 ; +; 2.669 ; SDRAMActv ; SDRAMActv ; C25M ; C25M ; 0.000 ; 0.000 ; 2.890 ; +; 2.715 ; InitIntr ; SDRAMActv ; C25M ; C25M ; 0.000 ; 0.000 ; 2.936 ; +; 2.804 ; nBODr ; nBODf0 ; C25M ; C25M ; 0.000 ; 0.000 ; 3.025 ; +; 2.932 ; PS[1] ; nCAS~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 3.153 ; +; 2.940 ; LS[6] ; LS[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.161 ; +; 2.957 ; LS[9] ; LS[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.178 ; +; 2.958 ; LS[1] ; LS[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.179 ; +; 2.969 ; LS[16] ; LS[17] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.190 ; +; 2.973 ; LS[5] ; RefReqd ; C25M ; C25M ; 0.000 ; 0.000 ; 3.194 ; +; 2.975 ; LS[10] ; LS[11] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.196 ; +; 2.977 ; LS[11] ; LS[12] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.198 ; +; 2.977 ; InitActv ; SDRAMActv ; C25M ; C25M ; 0.000 ; 0.000 ; 3.198 ; +; 3.012 ; PHI0r0 ; PHI0r1 ; C25M ; C25M ; -0.500 ; 0.000 ; 2.733 ; +; 3.051 ; LS[6] ; LS[8] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.272 ; +; 3.057 ; DEVSELr0 ; DEVSELr ; C25M ; C25M ; -0.500 ; 0.000 ; 2.778 ; +; 3.068 ; LS[9] ; LS[11] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.289 ; +; 3.069 ; LS[1] ; LS[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.290 ; +; 3.080 ; PS[2] ; PS[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.301 ; +; 3.086 ; LS[10] ; LS[12] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.307 ; +; 3.088 ; LS[11] ; LS[13] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.309 ; +; 3.119 ; nRESr ; PS[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.340 ; +; 3.126 ; nRESr ; PS[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.347 ; +; 3.128 ; nRESr ; PS[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.349 ; +; 3.145 ; InitIntr ; nRESout~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 3.366 ; +; 3.160 ; PS[3] ; PS[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.381 ; +; 3.161 ; LS[7] ; LS[8] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.382 ; +; 3.161 ; LS[2] ; LS[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.382 ; +; 3.167 ; nRAS~reg0 ; nRAS~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 3.388 ; +; 3.170 ; LS[14] ; LS[15] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.391 ; +; 3.170 ; LS[4] ; LS[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.391 ; +; 3.170 ; LS[12] ; LS[13] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.391 ; +; 3.171 ; LS[5] ; LS[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.392 ; +; 3.171 ; LS[15] ; LS[16] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.392 ; +; 3.179 ; LS[9] ; LS[12] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.400 ; +; 3.197 ; LS[10] ; LS[13] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.418 ; +; 3.281 ; LS[4] ; LS[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.502 ; +; 3.281 ; LS[14] ; LS[16] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.502 ; +; 3.282 ; LS[5] ; LS[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.503 ; +; 3.282 ; LS[15] ; LS[17] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.503 ; +; 3.290 ; LS[9] ; LS[13] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.511 ; +; 3.316 ; FCKEN ; FCKEN ; C25M ; C25M ; 0.000 ; 0.000 ; 3.537 ; +; 3.361 ; LS[1] ; WRD[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.582 ; +; 3.363 ; PS[2] ; RCKE~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 3.584 ; +; 3.384 ; LS[0] ; LS[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.605 ; +; 3.392 ; LS[4] ; LS[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.613 ; +; 3.392 ; LS[14] ; LS[17] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.613 ; +--------+--------------+--------------+--------------+-------------+--------------+------------+------------+ @@ -463,8 +463,26 @@ No paths to report. ; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; DRCLK ; ; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; DRShift ; ; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; DRShift ; +; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; FCKEN ; +; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; FCKEN ; ; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; FCK~reg0 ; ; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; FCK~reg0 ; +; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; FCS ; +; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; FCS ; +; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; IOROMEN ; +; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; IOROMEN ; +; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; IOSELr ; +; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; IOSELr ; +; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Fall ; IOSELr0 ; +; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Fall ; IOSELr0 ; +; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; IOSTRBr ; +; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; IOSTRBr ; +; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Fall ; IOSTRBr0 ; +; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Fall ; IOSTRBr0 ; +; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; IS[0] ; +; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; IS[0] ; +; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; IS[1] ; +; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; IS[1] ; ; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; InitActv ; ; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; InitActv ; ; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; InitIntr ; @@ -487,18 +505,8 @@ No paths to report. ; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; LS[16] ; ; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; LS[17] ; ; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; LS[17] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; LS[18] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; LS[18] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; LS[19] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; LS[19] ; ; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; LS[1] ; ; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; LS[1] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; LS[20] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; LS[20] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; LS[21] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; LS[21] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; LS[22] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; LS[22] ; ; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; LS[2] ; ; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; LS[2] ; ; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; LS[3] ; @@ -525,10 +533,16 @@ No paths to report. ; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; PHI0r1 ; ; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; PHI0r2 ; ; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; PHI0r2 ; +; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; PS[0] ; +; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; PS[0] ; +; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; PS[1] ; +; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; PS[1] ; +; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; PS[2] ; +; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; PS[2] ; +; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; PS[3] ; +; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; PS[3] ; ; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; RACr ; ; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; RACr ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; RAMSEL ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; RAMSEL ; ; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; RAcur[0] ; ; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; RAcur[0] ; ; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; RAcur[10] ; @@ -536,20 +550,6 @@ No paths to report. ; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; RAcur[11] ; ; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; RAcur[11] ; ; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; RAcur[1] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; RAcur[1] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; RAcur[2] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; RAcur[2] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; RAcur[3] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; RAcur[3] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; RAcur[8] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; RAcur[8] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; RAcur[9] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; RAcur[9] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; SDOE ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; SDOE ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; SDRAMActv ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; SDRAMActv ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; S[0] ; +--------+--------------+----------------+------------------+-------+------------+-----------+ @@ -558,34 +558,40 @@ No paths to report. +-----------+------------+-------+-------+------------+-----------------+ ; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; +-----------+------------+-------+-------+------------+-----------------+ -; MISO ; C25M ; 2.942 ; 2.942 ; Rise ; C25M ; -; RA[*] ; C25M ; 3.501 ; 3.501 ; Rise ; C25M ; -; RA[0] ; C25M ; 2.717 ; 2.717 ; Rise ; C25M ; -; RA[1] ; C25M ; 1.838 ; 1.838 ; Rise ; C25M ; -; RA[2] ; C25M ; 1.842 ; 1.842 ; Rise ; C25M ; -; RA[3] ; C25M ; 2.604 ; 2.604 ; Rise ; C25M ; -; RA[8] ; C25M ; 2.435 ; 2.435 ; Rise ; C25M ; -; RA[9] ; C25M ; 2.467 ; 2.467 ; Rise ; C25M ; -; RA[10] ; C25M ; 2.663 ; 2.663 ; Rise ; C25M ; -; RA[11] ; C25M ; 2.353 ; 2.353 ; Rise ; C25M ; -; RA[12] ; C25M ; 3.501 ; 3.501 ; Rise ; C25M ; -; RA[13] ; C25M ; 3.219 ; 3.219 ; Rise ; C25M ; -; RA[14] ; C25M ; 2.101 ; 2.101 ; Rise ; C25M ; -; RA[15] ; C25M ; 3.148 ; 3.148 ; Rise ; C25M ; -; RD[*] ; C25M ; 3.584 ; 3.584 ; Rise ; C25M ; -; RD[0] ; C25M ; 2.094 ; 2.094 ; Rise ; C25M ; -; RD[1] ; C25M ; 1.819 ; 1.819 ; Rise ; C25M ; -; RD[2] ; C25M ; 2.431 ; 2.431 ; Rise ; C25M ; -; RD[3] ; C25M ; 2.028 ; 2.028 ; Rise ; C25M ; -; RD[4] ; C25M ; 1.817 ; 1.817 ; Rise ; C25M ; -; RD[5] ; C25M ; 2.454 ; 2.454 ; Rise ; C25M ; -; RD[6] ; C25M ; 3.584 ; 3.584 ; Rise ; C25M ; -; RD[7] ; C25M ; 3.280 ; 3.280 ; Rise ; C25M ; -; nBOD ; C25M ; 2.712 ; 2.712 ; Rise ; C25M ; -; nRES ; C25M ; 2.707 ; 2.707 ; Rise ; C25M ; -; nWE ; C25M ; 2.649 ; 2.649 ; Rise ; C25M ; -; PHI0 ; C25M ; 2.420 ; 2.420 ; Fall ; C25M ; -; nDEVSEL ; C25M ; 2.916 ; 2.916 ; Fall ; C25M ; +; MISO ; C25M ; 3.547 ; 3.547 ; Rise ; C25M ; +; RA[*] ; C25M ; 3.862 ; 3.862 ; Rise ; C25M ; +; RA[0] ; C25M ; 2.127 ; 2.127 ; Rise ; C25M ; +; RA[1] ; C25M ; 2.953 ; 2.953 ; Rise ; C25M ; +; RA[2] ; C25M ; 2.929 ; 2.929 ; Rise ; C25M ; +; RA[3] ; C25M ; 2.446 ; 2.446 ; Rise ; C25M ; +; RA[4] ; C25M ; 1.926 ; 1.926 ; Rise ; C25M ; +; RA[5] ; C25M ; 1.793 ; 1.793 ; Rise ; C25M ; +; RA[6] ; C25M ; 2.659 ; 2.659 ; Rise ; C25M ; +; RA[7] ; C25M ; 1.849 ; 1.849 ; Rise ; C25M ; +; RA[8] ; C25M ; 2.684 ; 2.684 ; Rise ; C25M ; +; RA[9] ; C25M ; 2.694 ; 2.694 ; Rise ; C25M ; +; RA[10] ; C25M ; 2.926 ; 2.926 ; Rise ; C25M ; +; RA[11] ; C25M ; 2.456 ; 2.456 ; Rise ; C25M ; +; RA[12] ; C25M ; 3.512 ; 3.512 ; Rise ; C25M ; +; RA[13] ; C25M ; 3.862 ; 3.862 ; Rise ; C25M ; +; RA[14] ; C25M ; 2.029 ; 2.029 ; Rise ; C25M ; +; RA[15] ; C25M ; 3.701 ; 3.701 ; Rise ; C25M ; +; RD[*] ; C25M ; 4.042 ; 4.042 ; Rise ; C25M ; +; RD[0] ; C25M ; 2.631 ; 2.631 ; Rise ; C25M ; +; RD[1] ; C25M ; 2.789 ; 2.789 ; Rise ; C25M ; +; RD[2] ; C25M ; 1.817 ; 1.817 ; Rise ; C25M ; +; RD[3] ; C25M ; 2.669 ; 2.669 ; Rise ; C25M ; +; RD[4] ; C25M ; 2.027 ; 2.027 ; Rise ; C25M ; +; RD[5] ; C25M ; 1.833 ; 1.833 ; Rise ; C25M ; +; RD[6] ; C25M ; 4.042 ; 4.042 ; Rise ; C25M ; +; RD[7] ; C25M ; 2.990 ; 2.990 ; Rise ; C25M ; +; nWE ; C25M ; 2.526 ; 2.526 ; Rise ; C25M ; +; PHI0 ; C25M ; 2.666 ; 2.666 ; Fall ; C25M ; +; nBOD ; C25M ; 2.626 ; 2.626 ; Fall ; C25M ; +; nDEVSEL ; C25M ; 2.111 ; 2.111 ; Fall ; C25M ; +; nIOSEL ; C25M ; 2.125 ; 2.125 ; Fall ; C25M ; +; nIOSTRB ; C25M ; 2.393 ; 2.393 ; Fall ; C25M ; +; nRES ; C25M ; 2.421 ; 2.421 ; Fall ; C25M ; +-----------+------------+-------+-------+------------+-----------------+ @@ -594,97 +600,219 @@ No paths to report. +-----------+------------+--------+--------+------------+-----------------+ ; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; +-----------+------------+--------+--------+------------+-----------------+ -; MISO ; C25M ; -2.388 ; -2.388 ; Rise ; C25M ; -; RA[*] ; C25M ; -1.284 ; -1.284 ; Rise ; C25M ; -; RA[0] ; C25M ; -2.163 ; -2.163 ; Rise ; C25M ; -; RA[1] ; C25M ; -1.284 ; -1.284 ; Rise ; C25M ; -; RA[2] ; C25M ; -1.288 ; -1.288 ; Rise ; C25M ; -; RA[3] ; C25M ; -2.050 ; -2.050 ; Rise ; C25M ; -; RA[8] ; C25M ; -1.881 ; -1.881 ; Rise ; C25M ; -; RA[9] ; C25M ; -1.913 ; -1.913 ; Rise ; C25M ; -; RA[10] ; C25M ; -2.109 ; -2.109 ; Rise ; C25M ; -; RA[11] ; C25M ; -1.799 ; -1.799 ; Rise ; C25M ; -; RA[12] ; C25M ; -2.947 ; -2.947 ; Rise ; C25M ; -; RA[13] ; C25M ; -2.665 ; -2.665 ; Rise ; C25M ; -; RA[14] ; C25M ; -1.547 ; -1.547 ; Rise ; C25M ; -; RA[15] ; C25M ; -2.594 ; -2.594 ; Rise ; C25M ; +; MISO ; C25M ; -2.993 ; -2.993 ; Rise ; C25M ; +; RA[*] ; C25M ; -1.239 ; -1.239 ; Rise ; C25M ; +; RA[0] ; C25M ; -1.573 ; -1.573 ; Rise ; C25M ; +; RA[1] ; C25M ; -2.399 ; -2.399 ; Rise ; C25M ; +; RA[2] ; C25M ; -2.375 ; -2.375 ; Rise ; C25M ; +; RA[3] ; C25M ; -1.892 ; -1.892 ; Rise ; C25M ; +; RA[4] ; C25M ; -1.372 ; -1.372 ; Rise ; C25M ; +; RA[5] ; C25M ; -1.239 ; -1.239 ; Rise ; C25M ; +; RA[6] ; C25M ; -2.105 ; -2.105 ; Rise ; C25M ; +; RA[7] ; C25M ; -1.295 ; -1.295 ; Rise ; C25M ; +; RA[8] ; C25M ; -2.130 ; -2.130 ; Rise ; C25M ; +; RA[9] ; C25M ; -2.140 ; -2.140 ; Rise ; C25M ; +; RA[10] ; C25M ; -2.372 ; -2.372 ; Rise ; C25M ; +; RA[11] ; C25M ; -1.902 ; -1.902 ; Rise ; C25M ; +; RA[12] ; C25M ; -2.958 ; -2.958 ; Rise ; C25M ; +; RA[13] ; C25M ; -3.308 ; -3.308 ; Rise ; C25M ; +; RA[14] ; C25M ; -1.475 ; -1.475 ; Rise ; C25M ; +; RA[15] ; C25M ; -3.147 ; -3.147 ; Rise ; C25M ; ; RD[*] ; C25M ; -1.263 ; -1.263 ; Rise ; C25M ; -; RD[0] ; C25M ; -1.540 ; -1.540 ; Rise ; C25M ; -; RD[1] ; C25M ; -1.265 ; -1.265 ; Rise ; C25M ; -; RD[2] ; C25M ; -1.877 ; -1.877 ; Rise ; C25M ; -; RD[3] ; C25M ; -1.474 ; -1.474 ; Rise ; C25M ; -; RD[4] ; C25M ; -1.263 ; -1.263 ; Rise ; C25M ; -; RD[5] ; C25M ; -1.900 ; -1.900 ; Rise ; C25M ; -; RD[6] ; C25M ; -3.030 ; -3.030 ; Rise ; C25M ; -; RD[7] ; C25M ; -2.726 ; -2.726 ; Rise ; C25M ; -; nBOD ; C25M ; -2.158 ; -2.158 ; Rise ; C25M ; -; nRES ; C25M ; -2.153 ; -2.153 ; Rise ; C25M ; -; nWE ; C25M ; -2.095 ; -2.095 ; Rise ; C25M ; -; PHI0 ; C25M ; -1.866 ; -1.866 ; Fall ; C25M ; -; nDEVSEL ; C25M ; -2.362 ; -2.362 ; Fall ; C25M ; +; RD[0] ; C25M ; -2.077 ; -2.077 ; Rise ; C25M ; +; RD[1] ; C25M ; -2.235 ; -2.235 ; Rise ; C25M ; +; RD[2] ; C25M ; -1.263 ; -1.263 ; Rise ; C25M ; +; RD[3] ; C25M ; -2.115 ; -2.115 ; Rise ; C25M ; +; RD[4] ; C25M ; -1.473 ; -1.473 ; Rise ; C25M ; +; RD[5] ; C25M ; -1.279 ; -1.279 ; Rise ; C25M ; +; RD[6] ; C25M ; -3.488 ; -3.488 ; Rise ; C25M ; +; RD[7] ; C25M ; -2.436 ; -2.436 ; Rise ; C25M ; +; nWE ; C25M ; -1.972 ; -1.972 ; Rise ; C25M ; +; PHI0 ; C25M ; -2.112 ; -2.112 ; Fall ; C25M ; +; nBOD ; C25M ; -2.072 ; -2.072 ; Fall ; C25M ; +; nDEVSEL ; C25M ; -1.557 ; -1.557 ; Fall ; C25M ; +; nIOSEL ; C25M ; -1.571 ; -1.571 ; Fall ; C25M ; +; nIOSTRB ; C25M ; -1.839 ; -1.839 ; Fall ; C25M ; +; nRES ; C25M ; -1.867 ; -1.867 ; Fall ; C25M ; +-----------+------------+--------+--------+------------+-----------------+ -+-----------------------------------------------------------------------+ -; Clock to Output Times ; -+-----------+------------+-------+-------+------------+-----------------+ -; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; -+-----------+------------+-------+-------+------------+-----------------+ -; FCK ; C25M ; 8.081 ; 8.081 ; Rise ; C25M ; -; MOSI ; C25M ; 8.599 ; 8.599 ; Rise ; C25M ; -; SD[*] ; C25M ; 8.802 ; 8.802 ; Rise ; C25M ; -; SD[0] ; C25M ; 6.853 ; 6.853 ; Rise ; C25M ; -; SD[1] ; C25M ; 6.847 ; 6.847 ; Rise ; C25M ; -; SD[2] ; C25M ; 6.820 ; 6.820 ; Rise ; C25M ; -; SD[3] ; C25M ; 6.867 ; 6.867 ; Rise ; C25M ; -; SD[4] ; C25M ; 6.848 ; 6.848 ; Rise ; C25M ; -; SD[5] ; C25M ; 6.814 ; 6.814 ; Rise ; C25M ; -; SD[6] ; C25M ; 8.802 ; 8.802 ; Rise ; C25M ; -; SD[7] ; C25M ; 8.542 ; 8.542 ; Rise ; C25M ; -; nFCS ; C25M ; 6.854 ; 6.854 ; Rise ; C25M ; -; nRESout ; C25M ; 8.024 ; 8.024 ; Rise ; C25M ; -+-----------+------------+-------+-------+------------+-----------------+ ++-------------------------------------------------------------------------+ +; Clock to Output Times ; ++-----------+------------+--------+--------+------------+-----------------+ +; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; ++-----------+------------+--------+--------+------------+-----------------+ +; FCK ; C25M ; 6.814 ; 6.814 ; Rise ; C25M ; +; MOSI ; C25M ; 9.054 ; 9.054 ; Rise ; C25M ; +; RCKE ; C25M ; 8.111 ; 8.111 ; Rise ; C25M ; +; RD[*] ; C25M ; 14.025 ; 14.025 ; Rise ; C25M ; +; RD[0] ; C25M ; 13.989 ; 13.989 ; Rise ; C25M ; +; RD[1] ; C25M ; 13.989 ; 13.989 ; Rise ; C25M ; +; RD[2] ; C25M ; 14.025 ; 14.025 ; Rise ; C25M ; +; RD[3] ; C25M ; 13.564 ; 13.564 ; Rise ; C25M ; +; RD[4] ; C25M ; 14.025 ; 14.025 ; Rise ; C25M ; +; RD[5] ; C25M ; 14.025 ; 14.025 ; Rise ; C25M ; +; RD[6] ; C25M ; 13.564 ; 13.564 ; Rise ; C25M ; +; RD[7] ; C25M ; 14.005 ; 14.005 ; Rise ; C25M ; +; RDdir ; C25M ; 13.564 ; 13.564 ; Rise ; C25M ; +; SD[*] ; C25M ; 8.201 ; 8.201 ; Rise ; C25M ; +; SD[0] ; C25M ; 8.201 ; 8.201 ; Rise ; C25M ; +; SD[1] ; C25M ; 8.097 ; 8.097 ; Rise ; C25M ; +; SD[2] ; C25M ; 8.090 ; 8.090 ; Rise ; C25M ; +; SD[3] ; C25M ; 8.137 ; 8.137 ; Rise ; C25M ; +; SD[4] ; C25M ; 8.111 ; 8.111 ; Rise ; C25M ; +; SD[5] ; C25M ; 8.101 ; 8.101 ; Rise ; C25M ; +; SD[6] ; C25M ; 6.820 ; 6.820 ; Rise ; C25M ; +; SD[7] ; C25M ; 6.820 ; 6.820 ; Rise ; C25M ; +; nCAS ; C25M ; 8.703 ; 8.703 ; Rise ; C25M ; +; nFCS ; C25M ; 8.534 ; 8.534 ; Rise ; C25M ; +; nRAS ; C25M ; 8.131 ; 8.131 ; Rise ; C25M ; +; nRCS ; C25M ; 6.859 ; 6.859 ; Rise ; C25M ; +; nRESout ; C25M ; 7.478 ; 7.478 ; Rise ; C25M ; +; nSWE ; C25M ; 6.827 ; 6.827 ; Rise ; C25M ; ++-----------+------------+--------+--------+------------+-----------------+ -+-----------------------------------------------------------------------+ -; Minimum Clock to Output Times ; -+-----------+------------+-------+-------+------------+-----------------+ -; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; -+-----------+------------+-------+-------+------------+-----------------+ -; FCK ; C25M ; 8.081 ; 8.081 ; Rise ; C25M ; -; MOSI ; C25M ; 8.599 ; 8.599 ; Rise ; C25M ; -; SD[*] ; C25M ; 6.814 ; 6.814 ; Rise ; C25M ; -; SD[0] ; C25M ; 6.853 ; 6.853 ; Rise ; C25M ; -; SD[1] ; C25M ; 6.847 ; 6.847 ; Rise ; C25M ; -; SD[2] ; C25M ; 6.820 ; 6.820 ; Rise ; C25M ; -; SD[3] ; C25M ; 6.867 ; 6.867 ; Rise ; C25M ; -; SD[4] ; C25M ; 6.848 ; 6.848 ; Rise ; C25M ; -; SD[5] ; C25M ; 6.814 ; 6.814 ; Rise ; C25M ; -; SD[6] ; C25M ; 8.802 ; 8.802 ; Rise ; C25M ; -; SD[7] ; C25M ; 8.542 ; 8.542 ; Rise ; C25M ; -; nFCS ; C25M ; 6.854 ; 6.854 ; Rise ; C25M ; -; nRESout ; C25M ; 8.024 ; 8.024 ; Rise ; C25M ; -+-----------+------------+-------+-------+------------+-----------------+ ++-------------------------------------------------------------------------+ +; Minimum Clock to Output Times ; ++-----------+------------+--------+--------+------------+-----------------+ +; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; ++-----------+------------+--------+--------+------------+-----------------+ +; FCK ; C25M ; 6.814 ; 6.814 ; Rise ; C25M ; +; MOSI ; C25M ; 9.054 ; 9.054 ; Rise ; C25M ; +; RCKE ; C25M ; 8.111 ; 8.111 ; Rise ; C25M ; +; RD[*] ; C25M ; 10.614 ; 10.614 ; Rise ; C25M ; +; RD[0] ; C25M ; 11.039 ; 11.039 ; Rise ; C25M ; +; RD[1] ; C25M ; 11.039 ; 11.039 ; Rise ; C25M ; +; RD[2] ; C25M ; 11.075 ; 11.075 ; Rise ; C25M ; +; RD[3] ; C25M ; 10.614 ; 10.614 ; Rise ; C25M ; +; RD[4] ; C25M ; 11.075 ; 11.075 ; Rise ; C25M ; +; RD[5] ; C25M ; 11.075 ; 11.075 ; Rise ; C25M ; +; RD[6] ; C25M ; 10.614 ; 10.614 ; Rise ; C25M ; +; RD[7] ; C25M ; 11.055 ; 11.055 ; Rise ; C25M ; +; RDdir ; C25M ; 10.614 ; 10.614 ; Rise ; C25M ; +; SD[*] ; C25M ; 6.820 ; 6.820 ; Rise ; C25M ; +; SD[0] ; C25M ; 8.201 ; 8.201 ; Rise ; C25M ; +; SD[1] ; C25M ; 8.097 ; 8.097 ; Rise ; C25M ; +; SD[2] ; C25M ; 8.090 ; 8.090 ; Rise ; C25M ; +; SD[3] ; C25M ; 8.137 ; 8.137 ; Rise ; C25M ; +; SD[4] ; C25M ; 8.111 ; 8.111 ; Rise ; C25M ; +; SD[5] ; C25M ; 8.101 ; 8.101 ; Rise ; C25M ; +; SD[6] ; C25M ; 6.820 ; 6.820 ; Rise ; C25M ; +; SD[7] ; C25M ; 6.820 ; 6.820 ; Rise ; C25M ; +; nCAS ; C25M ; 8.703 ; 8.703 ; Rise ; C25M ; +; nFCS ; C25M ; 8.534 ; 8.534 ; Rise ; C25M ; +; nRAS ; C25M ; 8.131 ; 8.131 ; Rise ; C25M ; +; nRCS ; C25M ; 6.859 ; 6.859 ; Rise ; C25M ; +; nRESout ; C25M ; 7.478 ; 7.478 ; Rise ; C25M ; +; nSWE ; C25M ; 6.827 ; 6.827 ; Rise ; C25M ; ++-----------+------------+--------+--------+------------+-----------------+ -+----------------------------------------------------+ -; Propagation Delay ; -+------------+-------------+-------+----+----+-------+ -; Input Port ; Output Port ; RR ; RF ; FR ; FF ; -+------------+-------------+-------+----+----+-------+ -; DMAin ; DMAout ; 8.003 ; ; ; 8.003 ; -; INTin ; INTout ; 8.012 ; ; ; 8.012 ; -+------------+-------------+-------+----+----+-------+ ++------------------------------------------------------+ +; Propagation Delay ; ++------------+-------------+--------+----+----+--------+ +; Input Port ; Output Port ; RR ; RF ; FR ; FF ; ++------------+-------------+--------+----+----+--------+ +; PHI0 ; RD[0] ; 13.206 ; ; ; 13.206 ; +; PHI0 ; RD[1] ; 13.206 ; ; ; 13.206 ; +; PHI0 ; RD[2] ; 13.242 ; ; ; 13.242 ; +; PHI0 ; RD[3] ; 12.781 ; ; ; 12.781 ; +; PHI0 ; RD[4] ; 13.242 ; ; ; 13.242 ; +; PHI0 ; RD[5] ; 13.242 ; ; ; 13.242 ; +; PHI0 ; RD[6] ; 12.781 ; ; ; 12.781 ; +; PHI0 ; RD[7] ; 13.222 ; ; ; 13.222 ; +; PHI0 ; RDdir ; 12.781 ; ; ; 12.781 ; +; nDEVSEL ; RD[0] ; 11.475 ; ; ; 11.475 ; +; nDEVSEL ; RD[1] ; 11.475 ; ; ; 11.475 ; +; nDEVSEL ; RD[2] ; 11.511 ; ; ; 11.511 ; +; nDEVSEL ; RD[3] ; 11.050 ; ; ; 11.050 ; +; nDEVSEL ; RD[4] ; 11.511 ; ; ; 11.511 ; +; nDEVSEL ; RD[5] ; 11.511 ; ; ; 11.511 ; +; nDEVSEL ; RD[6] ; 11.050 ; ; ; 11.050 ; +; nDEVSEL ; RD[7] ; 11.491 ; ; ; 11.491 ; +; nDEVSEL ; RDdir ; 11.050 ; ; ; 11.050 ; +; nIOSEL ; RD[0] ; 10.201 ; ; ; 10.201 ; +; nIOSEL ; RD[1] ; 10.201 ; ; ; 10.201 ; +; nIOSEL ; RD[2] ; 10.237 ; ; ; 10.237 ; +; nIOSEL ; RD[3] ; 9.776 ; ; ; 9.776 ; +; nIOSEL ; RD[4] ; 10.237 ; ; ; 10.237 ; +; nIOSEL ; RD[5] ; 10.237 ; ; ; 10.237 ; +; nIOSEL ; RD[6] ; 9.776 ; ; ; 9.776 ; +; nIOSEL ; RD[7] ; 10.217 ; ; ; 10.217 ; +; nIOSEL ; RDdir ; 9.776 ; ; ; 9.776 ; +; nIOSTRB ; RD[0] ; 11.644 ; ; ; 11.644 ; +; nIOSTRB ; RD[1] ; 11.644 ; ; ; 11.644 ; +; nIOSTRB ; RD[2] ; 11.680 ; ; ; 11.680 ; +; nIOSTRB ; RD[3] ; 11.219 ; ; ; 11.219 ; +; nIOSTRB ; RD[4] ; 11.680 ; ; ; 11.680 ; +; nIOSTRB ; RD[5] ; 11.680 ; ; ; 11.680 ; +; nIOSTRB ; RD[6] ; 11.219 ; ; ; 11.219 ; +; nIOSTRB ; RD[7] ; 11.660 ; ; ; 11.660 ; +; nIOSTRB ; RDdir ; 11.219 ; ; ; 11.219 ; +; nWE ; RD[0] ; 14.484 ; ; ; 14.484 ; +; nWE ; RD[1] ; 14.484 ; ; ; 14.484 ; +; nWE ; RD[2] ; 14.520 ; ; ; 14.520 ; +; nWE ; RD[3] ; 14.059 ; ; ; 14.059 ; +; nWE ; RD[4] ; 14.520 ; ; ; 14.520 ; +; nWE ; RD[5] ; 14.520 ; ; ; 14.520 ; +; nWE ; RD[6] ; 14.059 ; ; ; 14.059 ; +; nWE ; RD[7] ; 14.500 ; ; ; 14.500 ; +; nWE ; RDdir ; 14.059 ; ; ; 14.059 ; ++------------+-------------+--------+----+----+--------+ -+----------------------------------------------------+ -; Minimum Propagation Delay ; -+------------+-------------+-------+----+----+-------+ -; Input Port ; Output Port ; RR ; RF ; FR ; FF ; -+------------+-------------+-------+----+----+-------+ -; DMAin ; DMAout ; 8.003 ; ; ; 8.003 ; -; INTin ; INTout ; 8.012 ; ; ; 8.012 ; -+------------+-------------+-------+----+----+-------+ ++------------------------------------------------------+ +; Minimum Propagation Delay ; ++------------+-------------+--------+----+----+--------+ +; Input Port ; Output Port ; RR ; RF ; FR ; FF ; ++------------+-------------+--------+----+----+--------+ +; PHI0 ; RD[0] ; 13.206 ; ; ; 13.206 ; +; PHI0 ; RD[1] ; 13.206 ; ; ; 13.206 ; +; PHI0 ; RD[2] ; 13.242 ; ; ; 13.242 ; +; PHI0 ; RD[3] ; 12.781 ; ; ; 12.781 ; +; PHI0 ; RD[4] ; 13.242 ; ; ; 13.242 ; +; PHI0 ; RD[5] ; 13.242 ; ; ; 13.242 ; +; PHI0 ; RD[6] ; 12.781 ; ; ; 12.781 ; +; PHI0 ; RD[7] ; 13.222 ; ; ; 13.222 ; +; PHI0 ; RDdir ; 12.781 ; ; ; 12.781 ; +; nDEVSEL ; RD[0] ; 11.475 ; ; ; 11.475 ; +; nDEVSEL ; RD[1] ; 11.475 ; ; ; 11.475 ; +; nDEVSEL ; RD[2] ; 11.511 ; ; ; 11.511 ; +; nDEVSEL ; RD[3] ; 11.050 ; ; ; 11.050 ; +; nDEVSEL ; RD[4] ; 11.511 ; ; ; 11.511 ; +; nDEVSEL ; RD[5] ; 11.511 ; ; ; 11.511 ; +; nDEVSEL ; RD[6] ; 11.050 ; ; ; 11.050 ; +; nDEVSEL ; RD[7] ; 11.491 ; ; ; 11.491 ; +; nDEVSEL ; RDdir ; 11.050 ; ; ; 11.050 ; +; nIOSEL ; RD[0] ; 10.201 ; ; ; 10.201 ; +; nIOSEL ; RD[1] ; 10.201 ; ; ; 10.201 ; +; nIOSEL ; RD[2] ; 10.237 ; ; ; 10.237 ; +; nIOSEL ; RD[3] ; 9.776 ; ; ; 9.776 ; +; nIOSEL ; RD[4] ; 10.237 ; ; ; 10.237 ; +; nIOSEL ; RD[5] ; 10.237 ; ; ; 10.237 ; +; nIOSEL ; RD[6] ; 9.776 ; ; ; 9.776 ; +; nIOSEL ; RD[7] ; 10.217 ; ; ; 10.217 ; +; nIOSEL ; RDdir ; 9.776 ; ; ; 9.776 ; +; nIOSTRB ; RD[0] ; 11.644 ; ; ; 11.644 ; +; nIOSTRB ; RD[1] ; 11.644 ; ; ; 11.644 ; +; nIOSTRB ; RD[2] ; 11.680 ; ; ; 11.680 ; +; nIOSTRB ; RD[3] ; 11.219 ; ; ; 11.219 ; +; nIOSTRB ; RD[4] ; 11.680 ; ; ; 11.680 ; +; nIOSTRB ; RD[5] ; 11.680 ; ; ; 11.680 ; +; nIOSTRB ; RD[6] ; 11.219 ; ; ; 11.219 ; +; nIOSTRB ; RD[7] ; 11.660 ; ; ; 11.660 ; +; nIOSTRB ; RDdir ; 11.219 ; ; ; 11.219 ; +; nWE ; RD[0] ; 14.484 ; ; ; 14.484 ; +; nWE ; RD[1] ; 14.484 ; ; ; 14.484 ; +; nWE ; RD[2] ; 14.520 ; ; ; 14.520 ; +; nWE ; RD[3] ; 14.059 ; ; ; 14.059 ; +; nWE ; RD[4] ; 14.520 ; ; ; 14.520 ; +; nWE ; RD[5] ; 14.520 ; ; ; 14.520 ; +; nWE ; RD[6] ; 14.059 ; ; ; 14.059 ; +; nWE ; RD[7] ; 14.500 ; ; ; 14.500 ; +; nWE ; RDdir ; 14.059 ; ; ; 14.059 ; ++------------+-------------+--------+----+----+--------+ +----------------------------------------------------------------------+ @@ -692,16 +820,16 @@ No paths to report. +-----------+------------+-------+------+------------+-----------------+ ; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; +-----------+------------+-------+------+------------+-----------------+ -; MOSI ; C25M ; 6.350 ; ; Rise ; C25M ; -; SD[*] ; C25M ; 7.768 ; ; Rise ; C25M ; -; SD[0] ; C25M ; 8.282 ; ; Rise ; C25M ; -; SD[1] ; C25M ; 8.282 ; ; Rise ; C25M ; -; SD[2] ; C25M ; 8.282 ; ; Rise ; C25M ; -; SD[3] ; C25M ; 8.282 ; ; Rise ; C25M ; -; SD[4] ; C25M ; 8.282 ; ; Rise ; C25M ; -; SD[5] ; C25M ; 8.282 ; ; Rise ; C25M ; -; SD[6] ; C25M ; 7.768 ; ; Rise ; C25M ; -; SD[7] ; C25M ; 7.768 ; ; Rise ; C25M ; +; MOSI ; C25M ; 8.354 ; ; Rise ; C25M ; +; SD[*] ; C25M ; 6.777 ; ; Rise ; C25M ; +; SD[0] ; C25M ; 7.423 ; ; Rise ; C25M ; +; SD[1] ; C25M ; 6.983 ; ; Rise ; C25M ; +; SD[2] ; C25M ; 6.983 ; ; Rise ; C25M ; +; SD[3] ; C25M ; 7.423 ; ; Rise ; C25M ; +; SD[4] ; C25M ; 6.983 ; ; Rise ; C25M ; +; SD[5] ; C25M ; 6.983 ; ; Rise ; C25M ; +; SD[6] ; C25M ; 6.777 ; ; Rise ; C25M ; +; SD[7] ; C25M ; 6.777 ; ; Rise ; C25M ; +-----------+------------+-------+------+------------+-----------------+ @@ -710,16 +838,16 @@ No paths to report. +-----------+------------+-------+------+------------+-----------------+ ; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; +-----------+------------+-------+------+------------+-----------------+ -; MOSI ; C25M ; 6.350 ; ; Rise ; C25M ; -; SD[*] ; C25M ; 7.768 ; ; Rise ; C25M ; -; SD[0] ; C25M ; 8.282 ; ; Rise ; C25M ; -; SD[1] ; C25M ; 8.282 ; ; Rise ; C25M ; -; SD[2] ; C25M ; 8.282 ; ; Rise ; C25M ; -; SD[3] ; C25M ; 8.282 ; ; Rise ; C25M ; -; SD[4] ; C25M ; 8.282 ; ; Rise ; C25M ; -; SD[5] ; C25M ; 8.282 ; ; Rise ; C25M ; -; SD[6] ; C25M ; 7.768 ; ; Rise ; C25M ; -; SD[7] ; C25M ; 7.768 ; ; Rise ; C25M ; +; MOSI ; C25M ; 8.354 ; ; Rise ; C25M ; +; SD[*] ; C25M ; 6.777 ; ; Rise ; C25M ; +; SD[0] ; C25M ; 7.423 ; ; Rise ; C25M ; +; SD[1] ; C25M ; 6.983 ; ; Rise ; C25M ; +; SD[2] ; C25M ; 6.983 ; ; Rise ; C25M ; +; SD[3] ; C25M ; 7.423 ; ; Rise ; C25M ; +; SD[4] ; C25M ; 6.983 ; ; Rise ; C25M ; +; SD[5] ; C25M ; 6.983 ; ; Rise ; C25M ; +; SD[6] ; C25M ; 6.777 ; ; Rise ; C25M ; +; SD[7] ; C25M ; 6.777 ; ; Rise ; C25M ; +-----------+------------+-------+------+------------+-----------------+ @@ -728,16 +856,16 @@ No paths to report. +-----------+------------+-----------+-----------+------------+-----------------+ ; Data Port ; Clock Port ; 0 to Hi-Z ; 1 to Hi-Z ; Clock Edge ; Clock Reference ; +-----------+------------+-----------+-----------+------------+-----------------+ -; MOSI ; C25M ; 6.350 ; ; Rise ; C25M ; -; SD[*] ; C25M ; 7.768 ; ; Rise ; C25M ; -; SD[0] ; C25M ; 8.282 ; ; Rise ; C25M ; -; SD[1] ; C25M ; 8.282 ; ; Rise ; C25M ; -; SD[2] ; C25M ; 8.282 ; ; Rise ; C25M ; -; SD[3] ; C25M ; 8.282 ; ; Rise ; C25M ; -; SD[4] ; C25M ; 8.282 ; ; Rise ; C25M ; -; SD[5] ; C25M ; 8.282 ; ; Rise ; C25M ; -; SD[6] ; C25M ; 7.768 ; ; Rise ; C25M ; -; SD[7] ; C25M ; 7.768 ; ; Rise ; C25M ; +; MOSI ; C25M ; 8.354 ; ; Rise ; C25M ; +; SD[*] ; C25M ; 6.777 ; ; Rise ; C25M ; +; SD[0] ; C25M ; 7.423 ; ; Rise ; C25M ; +; SD[1] ; C25M ; 6.983 ; ; Rise ; C25M ; +; SD[2] ; C25M ; 6.983 ; ; Rise ; C25M ; +; SD[3] ; C25M ; 7.423 ; ; Rise ; C25M ; +; SD[4] ; C25M ; 6.983 ; ; Rise ; C25M ; +; SD[5] ; C25M ; 6.983 ; ; Rise ; C25M ; +; SD[6] ; C25M ; 6.777 ; ; Rise ; C25M ; +; SD[7] ; C25M ; 6.777 ; ; Rise ; C25M ; +-----------+------------+-----------+-----------+------------+-----------------+ @@ -746,16 +874,16 @@ No paths to report. +-----------+------------+-----------+-----------+------------+-----------------+ ; Data Port ; Clock Port ; 0 to Hi-Z ; 1 to Hi-Z ; Clock Edge ; Clock Reference ; +-----------+------------+-----------+-----------+------------+-----------------+ -; MOSI ; C25M ; 6.350 ; ; Rise ; C25M ; -; SD[*] ; C25M ; 7.768 ; ; Rise ; C25M ; -; SD[0] ; C25M ; 8.282 ; ; Rise ; C25M ; -; SD[1] ; C25M ; 8.282 ; ; Rise ; C25M ; -; SD[2] ; C25M ; 8.282 ; ; Rise ; C25M ; -; SD[3] ; C25M ; 8.282 ; ; Rise ; C25M ; -; SD[4] ; C25M ; 8.282 ; ; Rise ; C25M ; -; SD[5] ; C25M ; 8.282 ; ; Rise ; C25M ; -; SD[6] ; C25M ; 7.768 ; ; Rise ; C25M ; -; SD[7] ; C25M ; 7.768 ; ; Rise ; C25M ; +; MOSI ; C25M ; 8.354 ; ; Rise ; C25M ; +; SD[*] ; C25M ; 6.777 ; ; Rise ; C25M ; +; SD[0] ; C25M ; 7.423 ; ; Rise ; C25M ; +; SD[1] ; C25M ; 6.983 ; ; Rise ; C25M ; +; SD[2] ; C25M ; 6.983 ; ; Rise ; C25M ; +; SD[3] ; C25M ; 7.423 ; ; Rise ; C25M ; +; SD[4] ; C25M ; 6.983 ; ; Rise ; C25M ; +; SD[5] ; C25M ; 6.983 ; ; Rise ; C25M ; +; SD[6] ; C25M ; 6.777 ; ; Rise ; C25M ; +; SD[7] ; C25M ; 6.777 ; ; Rise ; C25M ; +-----------+------------+-----------+-----------+------------+-----------------+ @@ -767,7 +895,7 @@ No paths to report. ; ARCLK ; ARCLK ; 1 ; 0 ; 0 ; 0 ; ; C25M ; ARCLK ; 1 ; 0 ; 0 ; 0 ; ; ARCLK ; C25M ; 1 ; 1 ; 0 ; 0 ; -; C25M ; C25M ; 1370 ; 2 ; 0 ; 0 ; +; C25M ; C25M ; 1653 ; 6 ; 0 ; 0 ; ; DRCLK ; C25M ; 3 ; 0 ; 0 ; 0 ; ; C25M ; DRCLK ; 1 ; 0 ; 0 ; 0 ; ; DRCLK ; DRCLK ; 1 ; 0 ; 0 ; 0 ; @@ -783,7 +911,7 @@ Entries labeled "false path" only account for clock-to-clock false paths and not ; ARCLK ; ARCLK ; 1 ; 0 ; 0 ; 0 ; ; C25M ; ARCLK ; 1 ; 0 ; 0 ; 0 ; ; ARCLK ; C25M ; 1 ; 1 ; 0 ; 0 ; -; C25M ; C25M ; 1370 ; 2 ; 0 ; 0 ; +; C25M ; C25M ; 1653 ; 6 ; 0 ; 0 ; ; DRCLK ; C25M ; 3 ; 0 ; 0 ; 0 ; ; C25M ; DRCLK ; 1 ; 0 ; 0 ; 0 ; ; DRCLK ; DRCLK ; 1 ; 0 ; 0 ; 0 ; @@ -810,10 +938,10 @@ No dedicated SERDES Receiver circuitry present in device or used in design +---------------------------------+-------+------+ ; Illegal Clocks ; 0 ; 0 ; ; Unconstrained Clocks ; 0 ; 0 ; -; Unconstrained Input Ports ; 28 ; 28 ; -; Unconstrained Input Port Paths ; 28 ; 28 ; -; Unconstrained Output Ports ; 14 ; 14 ; -; Unconstrained Output Port Paths ; 23 ; 23 ; +; Unconstrained Input Ports ; 32 ; 32 ; +; Unconstrained Input Port Paths ; 77 ; 77 ; +; Unconstrained Output Ports ; 26 ; 26 ; +; Unconstrained Output Port Paths ; 107 ; 107 ; +---------------------------------+-------+------+ @@ -823,7 +951,7 @@ No dedicated SERDES Receiver circuitry present in device or used in design Info: ******************************************************************* Info: Running Quartus II 32-bit TimeQuest Timing Analyzer Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition - Info: Processing started: Thu Mar 18 04:40:54 2021 + Info: Processing started: Fri Mar 19 05:28:56 2021 Info: Command: quartus_sta GR8RAM -c GR8RAM Info: qsta_default_script.tcl version: #1 Info (20030): Parallel compilation is enabled and will use 2 of the 2 processors detected @@ -844,13 +972,13 @@ Info (332146): Worst-case setup slack is -99.000 Info (332119): ========= ============= ===================== Info (332119): -99.000 -99.000 ARCLK Info (332119): -99.000 -99.000 DRCLK - Info (332119): -8.447 -415.877 C25M -Info (332146): Worst-case hold slack is -16.286 + Info (332119): -9.598 -485.527 C25M +Info (332146): Worst-case hold slack is -16.296 Info (332119): Slack End Point TNS Clock Info (332119): ========= ============= ===================== - Info (332119): -16.286 -16.286 DRCLK - Info (332119): -16.276 -16.276 ARCLK - Info (332119): -1.579 -1.579 C25M + Info (332119): -16.296 -16.296 ARCLK + Info (332119): -16.276 -16.276 DRCLK + Info (332119): -1.031 -1.031 C25M Info (332140): No Recovery paths to report Info (332140): No Removal paths to report Info (332146): Worst-case minimum pulse width slack is -29.500 @@ -863,8 +991,8 @@ Info (332001): The selected device family is not supported by the report_metasta Info (332102): Design is not fully constrained for setup requirements Info (332102): Design is not fully constrained for hold requirements Info: Quartus II 32-bit TimeQuest Timing Analyzer was successful. 0 errors, 2 warnings - Info: Peak virtual memory: 286 megabytes - Info: Processing ended: Thu Mar 18 04:40:58 2021 + Info: Peak virtual memory: 275 megabytes + Info: Processing ended: Fri Mar 19 05:29:00 2021 Info: Elapsed time: 00:00:04 Info: Total CPU time (on all processors): 00:00:04 diff --git a/cpld/output_files/GR8RAM.sta.summary b/cpld/output_files/GR8RAM.sta.summary index 1711c4c..1161093 100755 --- a/cpld/output_files/GR8RAM.sta.summary +++ b/cpld/output_files/GR8RAM.sta.summary @@ -11,20 +11,20 @@ Slack : -99.000 TNS : -99.000 Type : Setup 'C25M' -Slack : -8.447 -TNS : -415.877 - -Type : Hold 'DRCLK' -Slack : -16.286 -TNS : -16.286 +Slack : -9.598 +TNS : -485.527 Type : Hold 'ARCLK' +Slack : -16.296 +TNS : -16.296 + +Type : Hold 'DRCLK' Slack : -16.276 TNS : -16.276 Type : Hold 'C25M' -Slack : -1.579 -TNS : -1.579 +Slack : -1.031 +TNS : -1.031 Type : Minimum Pulse Width 'ARCLK' Slack : -29.500

U_Z3%0Mdo z7fsl=or^ro%kpb!cu4m-v2)dtZIpoVV)2B9HpxYP4XnpXsipUULWQR#a$;E^#E;ES zP#u16Pe$wADS2)f9A9(shMc?r88!$Sae`&*-|LPyt0`UA>t1F2X1vT3TUby^`Fxg3 zAJtBt_g59KHD*?fipjOr{WZmwth;*^gya1!moD3CXKY`>2X76Nqf{$xn3s*ha(#!< z-|EnkhvR4C$_Ue~kx{P&2Dgxb-I{X%>+@_Fm>uM>K!&4@gz`TrX9&qb;Xs{h(2K!LD+%_v6 zhs5G(I)&AlM5`gPFG3+!hs_dkRbmsB1pyszS0N|UJRFXB3p|^KNNUm0SFO#eJM;7 zbssgHMZIyphQm!Qd!PgvyOYYgeLTBru`#!sA6D5?HbPmo9A&A|(^))I?83-d_R=#> zc}9HlBh?o{a5Nm0l5i@IYcicm;VDBzgALZs3K10gX*N)2r$46e4uS5(B2r|E3bQ14 zRiv?rXT@8%W{?k08GoVx5dF1pm|?)hdUB%wn35sNJSz;=9$9#>eiG(h0O< zL>(P&gf(@eyWWa{9*>lb8o(F9Oa|mu7`YlrT!OfzwWj+`+*O=xJJP@nJdu{4+U97)2Rt(GmrS=MeWp)wsu=R+ zT{Z(u>5#~442>-Yp6{8x8p%inmTYXDgHJfZ9l?_(GaBbq9SWRcxobWaq9k3SLioE~ z!SK?&Ms78RoTO`VENsvew*=S-Y3jz{ueH#9(t@8^T`FuzX)lzDe0%!o97_Pafa_P? zf})DHsEgL2B(}lJtQ{ucxRC3%d)>;uHvyHZoGgk*;X#-w;JD?*z-}Z#wP6)CQnM>z z*gU=KQ5Pu$hm!M_CF0@mTw;S?EFVh()Dt@=F;?_=9s_b!Qc3JlOCh`bT4G#k>sM5O zh*8-%66tf5ITsz^UXpOVWS=c&=a=e^AWL>=PM z#R;7bMJ-IV1Gt4L$6{}knD%qP{Dm0`Bij8faqiN`qrgDRNy)DcRTc+~_>-X_yo&f_ z+kprD%At4R_ld=%xRQjJ3L=nt@M^3i$Wp?0EAW?!1Yc02mu#%Rk=se1ShsmYjL*Wl zz|rZm6sFi1SgGHXrN`W=RJ z7bQ)}8#D>xh{OJ)z{ew+*I4@oQ8!2g7#~nPkKc4v?A0>;FR^tVW!9)#>5wN|sz1&w zjPu`{Gv0bnjW(^C3`xbx#}pw;jbSaAfYBMUCulSH~ z?-qzJryUHE=(4G0D)@bVb&3v`{fxUne)u}d-=7Fu(9oAqm6A_8{5_|wr$(CZQHhOJNfe7?~nW5TT{<;*O{49Ju_WX zbGn~{2m}OF2?P97+y4}SAE|5vus5@@CZK1e{Yy(vAmC_bX-L4p$UyLyg^r$qj^Qso zBY~n3z{$+Oh(J!yz=D9DKv9A~#Ymq(*wD=3XC!y!e_jU!luGx11W-Es|4MR}|CKJl z7oNXda77cX*GKvKkdXS4fI{nHj0mEfM!BFoX|&eSE$pgD(h>M#Y1k2)j5M0W`;bgj zkBEExYbN$VxAr|5w>w<7Awevn7tSaZo$9A!E{xIIWQ6wJ3C1X z#>>MNiP-0l(7F0@N?^Ip-RSr};jq5&@v#t@e36owZgzfh(V1XLv$%gb%E z=cR79{<&F}w8_P5e@R!mL3a}@vO^Dl{`UjNh_}Djg)%DJk1o1!$7=r-Xl=jODSR)F z0lR4xpn_dtfjhbG<2mO-y^zJCb4OWB&BKNtF(6s?Y}Su%&$ND*Xx6%CN_9^WXJ2~Z zYHZ7^?tQ*X49S*X9*TS1@hIh)A&}fNKPIGZ_8s7m;|nl zW~!EB0icXf&vU+xTI5kz%uG(hq-dv$839kv# zU1v2FX!)exbS|+-J07mIfPL0I_3KDI20+Zinwt?zly#FqkeDwM(Q3`$-)8%@^Zu>*YEv7}KNwGltW?nBEd)H+P&z=&~&T&?|?ngtYq&U)ay z5qT?_e<@HgE+YsWb$2sBO$T|bex|2UAtI`*h*$*}h8kH=lw%2uaHRzDg0&hzQmhMB z587Ix=1;~zF{U`$uo;k+`s0%nJbzb?367VXnwy~j$9KN%zH?Ag^e}Aw+J6Nwhan4uy8|5M|ck!@~?eiM}8~K!G zj((;hCiC<5oA_F$y*Dj|n-=mQM{!NKfcK5P*}KPbZ;Zfc@{_O+D-{Fbg--GrPUdQU zH@(E@b7Hr|t4WDJ->gNXwJz7<*L$NEC_wGAfM!b|iWT$*rTtDE*LHld2m0=-(>mWxgq-*$ubx;)nSZz9cs<>&Omsclv%i z^A`i!L!FLLE!)?(DOWdj(I-Ra^1!QE>uj(d!h%6A$G-~uKmKbDN0D5A_k$(1r(Z83 z&%W5xRv9X@fu3X^#T6S|aiZQnaL}+--OU zn3wg{-qN+^72weB9Y&EjmM*qFV{W{#&cO2 zBy{0dil3_$f}U2+MXK=_0+tEWJjMy1g}UmrB8 z&(}IW%g?8gJi+poqz`6u!E+edOHjnD4E1sz0W?f6Hl5R$bxg}@?9m8c&7BRkO99JU>P>5^N#l^x-QDOH(9U%b~YVj>4bF3ruGbiTF?cA+0L zCumo93!j$R$8vRO$YgIG9m^AGi6y?LnUT?SF4>@dyR)vY!#0l<& zVsW*)n|m2s>-Zo3f+L23=zX3+Amu;}T*>ER=WJHlWqgm_!}JTln*LNBVD<2E0-RT6 zw%^jPmB`-+qmvOvOkmLKQ8uQfZMPY4l3IEM<&CcBLuX}WQYjQ8ey9$*UOTQsC)xaxUI<^! zn)qM6t-hv?itLGhZ|zvQ(ZlPUPB#H=E})~t!l9PKSimZwH`X>xgVKkVV54Lr+NCfR zA(PQ!qpL8>mspjSV3nx+{U6U(R6E;bc1)tO4gF>!2kc7j)oeq1S(MCQr08~YbmH_n z3JmHe5-_Eex{x(OSA*|E4g5^QxrI2PjH;w$%dhoBi;KejP-l(5&~wW0Ax**ud2ht8 zKy@nwOOT6nEsBnsitQJ}_%8L~{W?*|34!$DmIk;KmxmY%W{n2N<#NN2kx)p?;yoxN zzYKT$av+Br*+%kSK@$iuP7uxlRy{iN2L36@eK;hx;Cd%lsb#^RCsw{cHqR;Tp?W0-or*0KqN)3$9wD|0*Jr{8 z$@!VhnuXbCZK|gQYrHUuZj)FI_ek{)-4GjizJB_-aGW&d8&Rq162+?X-&lDl#k$fj^4k4@Up;GFWgaM>uObxyMsXs0yO zj7pI&Pbu)#(UQ7-#a%`&wRu_51oB{ew~NWtIx@L+d65T+cBYq!!fsxGpcUgg!uKtF?6F_He+F{24jClyV@fz+a>F- z|MYi_PiFC&6%ErKKbc<9WnsDa&8@U#e+0Q&j>t4qfEx_h#0+0>O4L7N@6o&K1@yCE z?k{L2F&%rTBkA%g<!g#X*PkJu-kwy zn(DLWTp;tS#<;mT2iGg5`I)@6VeVKq=~1wD&*o;QHXlk4B<45Mi~feSxAWTDI!7Pv zT=7iyZg!^mufI3WFg!`-{ai3WCIjYS@~rE!rNeH$KGW`=&sR{cm+L9Fsx1^dbhe9J zx~HUXy*ktPKHFFalP|WX;y%~a>byB6pKOXdy(zze|A|8g@$SkG`(*En zak2bOzfyf~P@^+FtlMpUyvV^hSUt_WRt^6H!VQJg;vV zPh2*^HnrW?N`tTfE;Or2kWYgsr?STCECstl%cP>}a}D5waI?py@}6QBCseFi-^J>& z_O<|O->d|_cxr;ESzpEKanc#j+@?}#H;IIpx>6JLKfS*|d9IiZc{&%IT>ozawxU0B zjkzU4w_3WEcx*fc_}o)Y&ayrgIXhruxf|?)IlZ z$p3M>ycVC)7Px2e_}%`Gt6A?>-N>>=8?(xC^Z5_{s%xW0div&Zcrh0!XR+sQnx~cZ zc84U6t&;CWv6CjRzuq6?Nfnn4#kR9%V6MQ z#vfd5b%qEGJx?L+UV?{3Up_ef~GqL z&y=AX8Nb>r@6R`2p0*egda44*%qUI$YU{}GAr~IwIdhaAUWKyvc{UujpPiZ5>fB$J zo^++OKyoSl0cD1IcgC_aduNyK7sc_D7U}Mxh`36t{M;e4gsB^s4elB^A1gFUwAMLU|0%eb|vxoPqw3aPOA|(4JA8g85Hlbuu~$&yq%!iu?GZ zxlOC~<>Q%>Hmk}RsNmM}LE_trW4)IRZQQdi=9d3ghwDBI%ZamA%v1EdeXl(De$mi4 z`o6muoqcg0o2ala#g>gDu<}POsMkut@%xyoYqovQVHMq5hCdNJ-EpJmVMo*S zX(PMd+qkQqH#^-0-ErWjwsy*cx%ZE~bj#(R+MQ$PkL)c5rR~kFZf1VI020id}!DrjkfEp4S}3Nnz`~ ze$C!qkB+V($SH7TE|pu~InFaw%eET`_#`0s-zp!xyTCQaX;^_(krD6p5G(PGfau0A z70CX^T6rsuPkH39=BlmeV_mV58`zIXZ49_R#uzUQ_z%RuVU91_0~Zc2jyvs-0Fq1* z$jZ{d8 zjS*IwJ(LSA0L>R;BgcJP6X$mqQTKPCsWY<>8mzj2?t)Mik%j0a7WFr3)wj_eQybSm zb4E0t6*td4;UyaRGA4ZFXNbYJ@JPcFL(1*r21jO({dXsjQ7T?tId&6(AzsC3)rpYF zi5K452}oHG8!uqW--O3)BHlHlkA^c@Rg{G2bj{di>670JMAyi(WRKB;BQ`Wl>q7#k zmQL)r40lLOzX_)`VXVqyzmCz~x$vy8x3ei0vx|M7m}r>a;#JxrFOw#}=ZKC=O~act z?4Vu|E9*LHQu>^3A2N+Sm(I{r{GBH-u zn{Ang#n(3aN?hNnVWf4R;%e)K^_yqHr_K_UN|g`Q{0?@|&tzHq^P6xVJoOAX-f==n zc$ZEtA4VWkH)HY!PC+ZZa<(u6UHhKQf)g|NurJ-(WjE4XCPJ3YT1RK7=q=cYdfhK` zFA)M#r`nHOq1!7nmEIb`f96A1<|XDAqc;fUN2r>87NyM5fFE5|QDoZq%If@;L_DyV zLfZ3L>n|wBskzr1?he_o+_SBJ_aj+74wUuD9=wkUU2Ji_sJOLs3=2oQd2)D z+ftA|RvP$+1Y!U6GY6VTi{Nug50zikNWsYlw-F31g^?I~il&Dy?j}oPVQDW1b;R%& z?xkEJ8LSQ)`Af0Z(c{)_Fx1$j?`2f~LI@UV>lI{R#)KbTFkBm5aG5j2z+nQf#JCV3 zzC>c`zf76wSo%k}pR@)^p`23s>O)wnp11gVp_y1q0ciljsZ@->xiP0|2Pa_gtI~JK zLlezw-I6@tkJ~>JSRCqg=3j7yCFU=NR7qywy^0*n>&_AAaVc3@R=w2sIGesO^~f1M zX4nX+Npp+<-@x7B{eZEL+SJjE2L@Qxc&4%KTU|L=htjc=IS=V0sdQhXwNWXGRIG~{ zF@cnVm=WU$NJzM6pKcq3KyUo;={ieD6T@+rkAzVI!~|=POAlTzbN$8glZ%(yIHhW$ zWw@G&LpIZ#XTNjTkkM#Y%UL)tU$29FaZ4jbBWj(CeSgzrD{dbH$T^$v^&JtQCP(fu z3`OiAf3}kx=iCo1Ha6zk42iq8V6&uCrh6`zD1E6<2kv=w9bAhJDwbNNk|T?`6pZ?f zB--$0j};zA=0~f8LaPEZ5B(>YS1!2WPqA(+`coe8>>e-P#I3-Tl;qqjY=WxDanG0a zzi*147284k);C>nka+#Ltq`vk2;KEMuDVHsQ?hj7KXSpdMeaTomJk1!~i-e)M#KlPN5J?;{_L z?mvotL zaDm^_V@&g)@8@jKce8tMhPhzTKYHNLJ%Eq#;jWM;1WMvkf1~MVwUiP!C;XN6b|cqs zlAPeWlj=qv_9{ROWWx}6WPQt@=P)jnId_>IFS&ngKWdJr?{6l4UEo4b1pB!20?-S3 zdLbN}F=iHdk?Z@EgglT^2AFc2$%h4;|9RxyC3YPIYz~Q&@O)Whptz0>mn>Q$$(?Q<4+X zk|sx>?d=>C^i2MT0_*4|pV>~{VYoTPm4>jB*^M5Y2lFmb(lgqT*8B_f)xeRYj5xQj zP^l&cQiWSL%v>OK$##na{X>jif-x;_02b$Q*4@~Q66XqNJl}b24p6XQ!Qhcet5`O^ z*sh<7)%b@Oy&FBLau+AQ15{bAaQk^o*^Z@DWrD#W-7Qh5^EOdg_aNXx`_TzKI2KW0 z&?v^+F=q;T>bYHt4H`B3MT<64rFM?i&1Rg0Ka(hQdv+{d=-Plej>5kuz(uLNHmob= z)r_x^$-xIYg;%DeXtE^yCa8H7Y^0?ljwb09wBV2Ck8Ci(_-P zz0E+mHBfOX;98jPaGM)&Km=zu@XSXe+E_-UGKS;q4-9X1@?ET2Li;QH zJOnywz0EZF@VO*FIM^P3{X8l7N|PlzNLV{qtQ(&{&_ipXdAcAx0BnH&(Ot-yHN3Ay zvrsXcjZ?H43F=YSlP;zE;a8qxUMe zQX5St%cjY|F+&TLxj|u*^X|Z#hF7&E^1Cjw#rVD@I;2a5Wkr@`n)J%>x2wrOgX`@D zE;j>f%htCjCj!}YS+PgS!s2lr7PaU=YFc*L0}itf>H@mFFC!TK5neke&ozhFpmN$X zs;%p`wTbZqERBlrqb?=(E;C%kRhGiGz}LjJO!T^0HjHPg!8)PKTWeOjVyiDwa+OR; z$kuF4z|r}Nh2`{8(LdQJ+EgNLSNM!(*@dHN=wrim*VxO}GfOw>fGeHFR$?1Yapz>} zDvo4sEr+VM68tM(57sSfLz98t7CHmjh#v5GXuqE(z2v^y0}B| z)?4v*9BlUR;Y&c=Aai%ifx|3~@TS7Z-ri~%)pfh%?6qVsAlYS�oGZRJ z4#DFv6~qq_KHO%Dwttd*YCOKZ6}Wi3t{->L)AX;fDy4m;&P}6a@y`E5`L}UC1&+zh zygV9~=@z<%IX?wH-(8lsb-bp~USIpOyIejbALd>XPJa51MQ_L3pM6L5y)s(yesfWB zext36&UxoPmU>LCiem9ne(QfGrFrFkkiKd>!f4>fz8$+%>zIGXT+}aI(j|P?Ch3^} z^oD7S7Rnw&zf{|&6WGi_6Ft4<>y5>=pd>3$q>&xA?MDs=5>C{KsUs$ z@{RCvkU&|%D+jbG8!;(j<}RujO5d>!=fFfdlx4Irgjs|ks;_4N#C_M8Ve!O!>zVpR ztdIkgz2#vVXn)PaZ2=H4hD=dOxf%+n;gpm45M>I0L7XwXla?b0=`T;0wsl<&wsS4uv!Po zNCWy0UEKij`tisC>qnD%=w8}4QbYpbl4H`gzfnqt$FZEm_rzVWze4d6%jA>>y^(~b zindLK4mdbP^LRtE|6W=i3m{hTgZp=e)rfgcavcu--JW}sc;y%G_kicMxNWH9h@z(0W`%(kLka=E6&s1>ya(j z)6O5E*tbvk|8(|6G60L+o>P%8Gg>;Y=6HHePs>Z+=kSzXd78Cv(b@rA8fY354k__B ziPaIYulvZUe)@Pm4R54r2~knL0B3U1;?+UZLN#8RV}sWDk2ogxXe^wdd%97VQAek% zI+G6hsKJvGGc};ZSEddnWIhRxld@%Q0bf%Jd7tIs z@rx}@WRlgO&2O?G+QPBj92RuMP#@`rJ5AQ;;|q^Do;5ohZ2C^46ctl+9f%zXa$NSr#uumb?N= z$?Aigf8=w{Y6kV5&5PRUL+sD<_-+1pD2Z9Q&VobC1U|XKc9X;CQ>90jRb)`bAf6W9 zK(_lF;li(>;oXZGO)gjZE13gMnEgI_wj}Q2W!i-Ko9N%6kTgS?NnC%7+(+WZDsgb} z=f`Q8j7ly`8Div6e;z@t>NCJ&5^6~Ch>qs-H>F$z))kybcFAq#+=o_=KedR=6a0>J zNX2|UdB~^;x1>)Mg~`px34qKLL&Ihf;j8}{GpwXfP-}IGl98#TU=Fa!<6!gu{@3S7mY%(9U`M)#SJ+rkvtyC&i zurf#L_271w8qZf^u!IQSOiL3M(Ux^DBcdV2Y;1XuBd-UNc$ zbb?zzAsVOAqf%Xe1ix}yGXE~V;)f$r61dY(ROOX_M}qaVphVd z1g$}c1hXy${n=-J=Xzk2gX(&e!~{SgG^gT&_~mI7+blu*Rm2cZM-d9B_P{>w!e zj}zM(ITq6fiy2S2?{m|M20K3+dK-znZ{`2EM)teI@o_d3@jN9hM$HCffIHC=B5#4~ zHm(j#C6Uy{OwF%+9H|2qY(oVid|AZw=GN_!K}v7`=X*g%KFhGKLUlQTH02N7LQy@WJQ(Us|rp`<+Ojx@;VDk!py6&0p2Pc^ijU7W>Ay=n~ablMiI6r@)v1MuI!$ z<8vbs8(<+V*At!+Sh!RTlZY#5zvd+1ZaCeKW}Sh3&OdV}?V>>&RDxdAfaiL_waIwQkC(SH6i5eUkPA*F6aHV%XE@Nu1cbu2q9A-YQ~zv9 zYDGL@I#4UrC5mQUqg7R8wavO_rKRb5$Yaeo8N>PM(aQ!n*~>M8Q+CJ-9nls9$4fY!~$i=!PChI}1Rzwh5F zY$S}XPzY6CJKFW?__cHb9}sL3o3mRi9opW~bj0+G-QdtB6z^uAdO$bZg!k8SG%Z`i zMDhK6H(_Gh zU5qzH$EI>EjR{qwWrGAb%zBqW9@*igkh|z+o%pi8FksG8{Y`jg%Y6yHy0aTSc7I5owbX zS;vCECcwT%z`j<%zGlF(QwZ|Fhqya<@EhL=V*-LxRsKFmvc-No6~ zvSW5LnAL?Zm@t9)}fzaXV zHC5~y9ae3!u=+nD4(iX}nzTe~z6cj{R1B?3#&=T~bJpHa?>u}j!uD4dJ;)ipZjLP+ z4BD7!4cr3oz)}70M!$G;eKD#&&0t6tCc%15fb2LAOu`XG7?bzeJKCa4I|?e5C0&Uf zh0|c{(9rN4y$CmNW|8kTRj!BQ*ArkA^wQk%4Ew#UpVktRh#prH=J6WbX~WF(t+1)~ z&xhA;Y@6Yt{Ve*C`>pn|A@k>88)H);8+T-*{XesykUm#1_MJ9%V#KZat9mvp6lRo3 z!m*exxUGBxD3i})4idQR`>(X_-^q$st+8OW>nUi=X~7}w#p0J8HOQSWx0~I0o7qu= zxG7LwIw25vD)#(e9R>3(YH|%LIC!Ft{S5-Cxthyz1q^aP*n(ObZW)3Jr(~4_NU*v2aodUd0ePgX}54q%*`{kHlP$#kd3K zQs6GZ4C9A~yzd!vT(*mQ;|k(T8~q-Q*&d169*YqLveU?3x2B28`H3q*M}hmLQRuEw zTZ=NP0fVKoHSJb`fz4}wC5hj&iGyU|uqx=fP43tF*s8W?p=X$zAe)7UE`$7H23ki1 z+C4o5CvHD7jzC5ViwL~|$zcn(>HD3LJL?#4TXE4VbY;6ojkkC}T$x{NM&yxA{-p4PWWKQ4r zcVkUIAa|OBfMkA2h?Wiw5!(l$%|y(QftnFBmN1{eFdw#6A!q?O){+wTM%?uSnn8j?Kbr->^VL{_2VOa~fZ5A}roTLxB_T&9u7vc;0@6_C0~WjIm*+bR+y z1>C1$q#30o0&(#k)a0_)l!%vd ziHFeQIOib|}&cf*2st0O$H z9*!9F-2n<^HrKrfdOx+71RJ>xCp1gBh;YO`C^&JRL@zN~g8(8~b|gl22w@nv2OZchd!t+5YNA`Fr{&j5G&${>Ex*MN2!iaXkAumV>e)e8!K_8W{uVJvSWw5Vl zu&Mmls1uKp7TlMDx&3|>O))@5j^-SVaXEZ zPtFTnkQwdRU35fV1Vmm?U?fi5tKYG(ts0icc!+k@AuqKdEwVxzKg~o$cnFBRsEEAC zh`i{C-3W-?U;-zbkiul$NQm8Nh{1;Zcu1)q%0gV!L|)`Xc)$WRtEj3^_VAN%7z#KC zxLW0F>q9KY*_Rl+c|+hDT|H~Fj~`c2$Ii{ojc`9{+Vgb|x2)x*)veS*P#MUs2CKtr z{*dSW9W1*C3QxfLMO5lVIxFFy{Tt$ejMh5zuA$a_>wgNDUguGmT?K>7Ayk6Xl5-V29>ZG&mlm3aSe3$ITUf<=IfwK zYC(p(&YvE=wGm{=@1ATjZtt%5jQ}9qplHO8<7WT94J>F$@-eer%v#+PiHp8_zQ|77 zZuY*uxbS1dnC#6Z7X8hIN|?i&qjy4vyeBxpj9l( zI+wBMz|QN_^U$B;$+f3p`@jV_02=EX5vbDg|Hz5&+BsRcG@AVFt*>crxjr`7++Pg$WzqAk zn-SdGY4s&Pvr|r+8`anmd21!2(e^u;xeV7sYowi9`W(BP*BTw2K9%KfZ#fr_dVGR6 zgsMk}*s5NneAW6J%GoV&-i-zQ^t}og;qf_;J>_1^eQngO!4l*Y-{NP8=TI>WayIgc zaur;bsI9`-1TL+1m!o^nGWvQSP1h&-c+r}3*h_D9JkQaj8Ddc_br+f0+O`Yu;>dgw z>)}r_6RvpN6@8=uuUeGx$Z=uTvU+i;_VhS#?eiNH2F&?9 z2~9|%K&+pbqy}_-eSN#46W+uG5%Yk2iQHdG1U^FkUxZ==WPSn>w}HPvetq5g#!>Ww zffLd15kvIDdzs$Q(BB4AB5`l0w$^W=ts#-M+B;vpUbP;3UeO}f3-J;T1nD$+@yC}G z#fp>pHCThUB{KT|Qglu2|b>vYR|>8rB$FZm=>RVDT!0VVlfvtjef~ zTS&%A(^i38+PqEI>Z$%p`rzU`Hg}6*sO!|_DE2wc%SthCI$cS!e&r5-=L0@&(*P)Q zPm5_7SG!En?5No*W|bvD|6|Pr9qzGldbqND)1|}c6V0l64YGQycto)aDNuKx-IR&P z5C&bxXQ&gGc8vEeRX106=12B4jX;1zA*}M|JQhtG5W?~)_fXw=@zs;hP&<(Bcc>GB z%XZC6@&dyqzdyEhGe|B>3#eCc(k<#o=Bq;d-3ias=>nvaZw3^;lhb4GKvk-dmg`P% zr#Rj*odyGV!4Uy<>7Qq4D@C)tB2PkoEFAZSg;0>lbu%lMgFa!`Ntl0s_DyClFF8Asf%s;N%YmCZ7R9__ekeB7~CX zH|L&VTBu`lRn_JrJ;>yuIAoj9u2yQs>uHZy5Ep_{RH8s$X7>0J!4khTm=dz`NKlv+ znes;W%;gh<3@OKlmr)Kl;oE#maQ^<#vH!EKuzFvu7XEgg z-w;V{#MZUuY6HH9q0n$30Ti*I8o?2gYi}Fk_Z$jL(n9P8{}h8q;Xf(ju>x;xUhY6+ zOi*pcKGHBiKn=q`Dblviveqx8$+@wl%d||N{?y#`Hm5Ujkf?lMs`R$hnN67)J=o88 zv2Q_J3s%=5B&INcz$14fzFr*spY=J-P0=Vv6lbX9m|S%AXb|f8p79vC{sbv9z8#0` zv6X4<^cK*eWbGqEp*@D*)xP?Oo!i~&%YMfHL_pJof0$8OAjD!TqsDo`(_$)w3Do%e-q}lnNePa3`rwmzop|$z2pZBB6isrrnM-AEA*%&masA zaFO_xq6lhjK*xbwds&i*1yJi7j8K!aPE0G(F;g)Drh#t%d{S^Lw4r;&w$8YQ1G*{5 z0k>C94irt;Q`4**NTh%#OaQ+C3gX$=Q6s2NlE_KGZ-%Hd6QG@9-t3yUG01CvCpG+g zcMPzj=R4G++BoO*8YVvx3TVVU#z<$h=mMv*)U zF&N?)c7Q+w1C0nSal*mya|x84P6S_Wvb^wSPtDwH>R1E@XekMq*)h^WKM_wNJ%mRA zH*6cQkWU!K4TN269*N~x4wU?0$hM4fV?NTjknO)@^SJ8vup#rNMsIMfJvS^XyzyFx zi8W}LSqNb5*-9O2Eh%(x>q$Ks_T$ zxT4ee*BPY`T7u$algDCBo+jk#)hc|Z+uY@Q?`bCf)k_A0%pE$5qLE$@^HoG?_CJ>?(1yG~RW>u@iilfr-e%SKdEAZGC_L E5Ar4+-!XbxL>SHdx4a%x+lLRPut+@WhvRhkf!Bt}#4f zb|@2_;Kr^{s$lHU5M%sC;g;0FcMOJ&VSn4X76vHnb^tlz|Njccq|}7O$p(xXH3l1) z427FHCOom;lVd47VH#6Xi(dS7h4S#}KNP~t&p!~juCPGHh2NN&e?sVfT~mQ2?tC4B zRSIQ4cCfJ@{%W|X>FRsVNHf_LQyj%y_trafEMK24GRd^3hey*vwY}B*j^nAPfm7Ib Z{`%FfsL9t?UdXhP=~r_j$nXFE0|0#gX_Ei| delta 383 zcmbQh{FZq_aQy^E1~AZq()v*PEtIZc{Pq9;|9UevwzhhQU-|<78!s8y83*X~Ol*8; z9w6hH(Qd#Rnf;mMt5ohF%DM>PXRLyz)y1D0kVmQHoXm;W7BJD4>;xg62JBE-Nk`A}T;xv{qA5kcs-tec?Axhv>`%La9O^hd=Di{|vymDZ8vaVX- z*?)b9S<)xkiylr<3dj)?SlnE&;;@5=wZL+fX)4FkpBz&zU%{A^oRB*4jYd5~seyrk;R}Y7H*ZbcJhNeoihyznYkP}T^>Ox{yQ^uyQ`}2#%bapcQI6XfezCS$sT7UDK--8E%`V}U({rp%i zzXw52!}JgH#o=is=3p^Boqju9Oy{$vl=}Hzco^IdzkUXZn?Ai781@~uT$<=jK<#<> zu29>ZpI&^KCGeu&5YSrxl;AxJ&lPz4^UH)>3*=q|cM6h(hcgLR+fXiC~_@s2fY*^Kgx3k6Z`O$nf z3+eF6Q?H)>=XRw=p{%%T(5lc)pk3Fv){s@z;&C8@r>&wjVRkdjN=MsG(Rm$M_21Re z9#x=)#xA*8jS#;Tu`)D+o2`1egc-4_=_|~_Q&|elYky-*_1B7YxFBS3%MjGqK1XseB6}lUEU5ZytPZy0C>p92Jl5y3UvQnxnn;?Fjgcw{ zM&ZiQz<=3dq^Q>+=s;(FLC4wu`i5bbw{o82DHLbb|U$T zay4IBBaYM#4fPybh?`Naa$z#60gqKd*gi;j>|cu%sPV5zo5T82p1c+3cZCc_MuJ}% zFZPXZ&}K52T8)M4HPw43f#Y-8g0n)JXidQuFn^bVR4(c-z@gk|O|eFF#!^0$6yzsS zaDav)iIw9vO59jkL<$0ljHGp;6R;|XSrq9SYTO-({LEO;BEsUOTOhZMlHN%yWKQA; zsUBE$X?tKn2>NR^1#;u$_PQ@vzm*z=dMuV=-H-c*`W4KLmEkHNF_^9rR|8ztianv8 zM1SDV7~Dw>Xa!Oe6y$>3q8iYue>S8~g=;cTo%{e^`93(f8+V-^TD6mu)U{wuNjnsWL9T+u*^;df{AlXCr z?&5Vi7g(XcO5In}3DItWOx;%#t2+$3_$?E-+q?ThnZUg$NW5wU$!nJM=5ggZ>tX-U zXoZGmv-IY1OEK6fob_Immx|!3LA$&%pw21?m&WbxpP^(M5{Uwbba1(S-2%C7)WH2S yZ^iN79e4L{BrpoHcY2@Fj9-9*(w5$*G_is|v%(^9+C&OGmSiv9r~Cnv+XTe%1T5G9 delta 1172 zcmV;F1Z(^F3ZDy*TYujK00000000#M00000005r_00000004La?3qt*6EP6Qn+p;L z4j|xwga~{9WPAT4xgoU|B)C*moU5voNF}LCs!Ck=&|HDw&1O}uo*skGs>e*?4l)|2RH9?7!bX`G4BAhu^&iUi#$+r+$9S z=imKAPyO%@)7k!UJI&r~d_4ZPKO0Xc;Vj+rJ^#?V@BjVuGhX$%Qyu-f{gN9Oz453$ z_unmQ+tcH-FOv*jlnoxOv%0$R!~6!XvkL(%YTh$^g!92;h^3f@QzmKi*Deho=YA z$;79_3#MK?ZF0HNq0sEOOVHZT&7fUYxOR}WyTxNKdZsO+HDh)?%o?I?=jgl)tnPOu z+M^aUU)W`ubqMiW5o`Dx<2*sFYUKY<0a(Rne+y7^*5%bb~5v zbylphLm3NjEUZ#+Y$40XdV!=-tcZpc55f$i%eO{s#za<^PphzeRb^^f5zKH_Tn2YT zEJmUVB7Z0f&6t;>@|m%q%wg;5BE?ws!bm)5Yl&Yw7=hfU*M5=NUziDRZ^08i5NOFS+ib9N=ffXS%AR;s%VqR#Do3SRX zT(2hN(uo%eZ7r;%5oeX{;6`*$aYj@Xr9@e2B!7O(p|n;SbhO!NDv0hW*!ZlG6|ofy z9LPjW*T~-SJ*AKYMO8pj#kkETZlof)CKO#$GY0A-i2n>pW^b)0k%AE2Xhr%$ZjZwb zRZjPA?CeD)dniPjq5Dyi8Jt%Ob<7J9W?+FyW)OCiJ$JtPN-6@Q+<+I7C<>RwRWYnU zLVrXz#H3)ySjEbqHAze|1D$mu;7n0-4sC|!EG|wLms~8!CStXs!1)*T6|1kIs6uC` zLTFG#MWI$9xs`>KJ!LH=6GYT?oHvOo@fr!`7DS9qnm67V6Dg7?ASuQ*Z+0t)R4XUz zN)8B>`WKO6wX@OAaZchSQmKDyJzNa*Kz~#zH*lC@ghs7G=XkKAm8?^%c-DxsPRGX6 z7i^{%6iJ#9MI-LAO>0Gd2F-Xn&L{d{cLss4I4mpqO+L{FNZyX1)2ssTBVQqlVo!0o z`U<^|(1570uaYX52zyFgjPG=t-I}Yf1-qAThvS(c-kPhg5Xn`>zFwr@CXhvuUVjYY z_7XRNN$=?Mo5#?afKB#zB*9>dLgO|~|@f&6cmiXb6kA0$K? zK`X|Bb#aP96*3aC8Qxq#q!}7?NoH_fO`Dh(BFw-7lguFO`F%1j)d>uoDc+LzDSrTyv;@Sz3oYgV diff --git a/cpld/db/GR8RAM.rtlv.hdb b/cpld/db/GR8RAM.rtlv.hdb index 7c271191740a6ed07c5468e99931a0a69ef2bef6..6ba5306b033c012fe31d01104cefbc68645214b5 100755 GIT binary patch literal 12592 zcmZ8{V{oQHvvxMNz0ngV8{1Ac*2cE&CpI>=?PO!ywr$(i$@`uk=c}*oy6&Fps-Bsi z>8h^2rcppZKq}yVeE%K4KcR1_V(j2(Zfirt$V|sT$4DgPY;I*l#Kg=*#K1<+$VAV? zz{pJW$JoKe+|ZavUf_@zB$2AI0g$nLW;I1@M}c?LmoL|IJq|n9iBGuV;o8}BYh)%KkZ|8bE6;IdBcbuS)6UeBtJ*s zAE8&bV%J)6!^PLv``h~(A5x=+wdENU@(XIUM%ki8ZiN~KvXT<@ZQ}jML@KB0B(LdV zrsI`OEsSZLqv_=MmDf?`lb7ja2B+gB0f*gow>K9kEPbA#vo)}S=UP#cSFQz!XvYy5 zm4ECOWoBFOyb@DKX;8W&gcQV|Q;gvqGl!G~;EBqY0LuNbB1j+`%5b}X#Q-qCMpohq zIOwO~{oG=!GaY-ZDaHR2Z+H>U%}QOAZ*NzXXGub$ek|`~Wv2zA?v$hP*qI{RJA>Au zp%M3^7^L0bfXg}oYydZs1vIh^;T==HqGTqTa5`~H>bkJVfE`w3VO>Hk^&e^Y@Vy#M%s ziy9Q8)c~a6Zt<-wR$n1-w{CVCzET?!rcdUvg0FeAq4`@Y+<0?n&+_nFl?u59Cec+# z_&K&@_({Ve0EJB0KI?xxRPV+R$q`9NwltxpKJkCM_BfpiQeQ;-oO_u6cix*Htz=ec zNW{#hBY>sfi2fe^77PjB;Nk?21XEnMo(Nf&c2;UFpBQG&>tZbqrDMq9nU?+_>C9mte(}Z<&%ff85TjRIf1Zj_Ls!eG zlJ^ph@Jk2t`FdLiDzzvC8z~S_kVF07eVKgOUe}WJcCF^yrx9jH z-G3_A)93nqEBY{lc-h-we_P*MWUqYPh|fXm+ygrG_o11OAUeJkbaCZggY7sBR9&Un z|6bxpx^O;1ZUbNQ&GY~82|tSS#dj)r1N;R#Nknq)NaeFf(5NF#kCa#!GOPF6>4&BX zCi(kP9Sz_17W=8{)gkD=BChcLyWRNVXxfkaTGOh!azY>xGe3JhlBz#dE(jlMrt|JK zpXCbv3Y%^n%)B!IgafQ^6Jb{9rVCCoo=y>4O@OV(c7}wbDM`%eygolK?f-d=Q)q{i zuhGenCdTJ7hkP6c+90k@|MO{Gr8pF#cbs-n{h48msBV)Z%BV@$=8iZ>ko=+LVwOZ_ zSHHm;%<(`4S2vX9PuHcR)o3l2-E-!z$3zNGZuDR0^&X`LFoe`rN(?CEHdvV+;~s$o1v1N4ZK%NZP=VK3irFGu3=d_ML0 zKt64!3BEV`msPEK)4di?=Vxom1YKgmXGJA+qb|#1jl- zdwo5JI90m+o03eS&oo_EyXp4ojvDi#qDo2d_S#IkfsVnXkWTtjmI7$fJj>naVEz4B z7i(4K*ks*`+e7d}b7A1o7kJrO8ENJzu^`lv&xO}pWyJsP6DY`w0C1#zuH~z;5JG&& z{K*FB6Q6?FoU7p)O2}NX$X0Jzo;Txev(tiJR0APp!6zpO`DT$Q%8^)`W6OdL z*7lbgDRTp+Vd@|n9E5%>Bcg+^otWu3pbGnj!+zT~$Xi#m1EcT9s$(L8OQ=x4g^BJqDFN-mahG}iv`;(i=Y$p}ktTd9`iEwy$!B@$5mLGcxUhP0+zg7%w|%hV3wc(QGenRPLaazVL$rzD&m)fuRc`90d0VD8TBfyIrZZcn@mr>= zeHuGuGP^$ut}uYzV|TtE@TL9Nb@`xgsS17{|4O>O#gz2;e^OU(1ghCi+eFs4>sg&w zqot^@K);Vic@$Mc@{bL<@$Y@(?HgF3H{LqPdmng!!M7Koh$qJo>?_l4Y}+P!EorC% z^8%M&bwAD*jlh;G1|h38LlE?uK!0}IT(J6Hl=X!uIv)j;9YB=N(YoMXCGkYM!etMx z9k37ii+>`1Rno4l?QAs&xBDz1-q_emH#GHK#F)3QQOrGOJYEc&>rT~hHzsF$<-;Uq z14){*oxfrK4eM{1f5Z42`rptdY3^7vXrHlxoN-X9RR+h&i&ccaK0&K?|(3hqo&^AxjZefVmT^cL)L$)qF5RGtgKN{JYz^n-E zn7SWxX}$-f%-xTb=|38g&T;hK5_!R_vRPB~B4mwv5ZgNHroGwb+dm>oX1rDA&G?xu z>NldEMOq#$a?E3A<|#OudI%xD5v`@%P!_F8b<)_bU~N*qH+t= z&OV!o+8%>VKq;mMhZ5UxEL=n~vKtglUhI2Qct%fb;icLjd^(obkohlK7v_+fP1YWsHnI3)A>al-A7#A%(T?ULw+T~(u(mD zEp5K_nPrRN4G4+^c)OGd?nYY&{f5;2-Lq){ucQ4#jcg>reU|Si=jA(2j@jM^LoU)e zdCG-eigFCKMgCXSVgap;)7eeX>J_4j6mcJ4l}zI}k{5~bDrjw%BSf#9stQTCiSw^O`V=`ER-pW($bh#Cs48yg3tKuaylu_*|il(Ju|4ISen=@E@l1wj-J}#Y# zh!qdl*J;)ESB-IAUDGos7q$%`@nxp$kWAklP}P+0>U6yLNtQl2EdBJ}-|5etdINK| zGWWL_8!N+}I4D>fE4h^vbd>H&jmkI$y_hSn@hviOUYaA^zC$J0^U*cNm%)Aq_t!RO zN`$Iheyax=g=u-qv7abO^yqK`Yk>+rRi473R|C5w5!*l2-b4gh6viMttVTlU_{R%F z9$?QqwItWpK9sWK?q%ZXKKR?+S@{W9&$r^~#hgq9*qK{7CKZ>`_9sYk@0LWy0``Cx zcY(ZVp4?UD`IsSzd`o;a(U$b$Hc4AYw(T~uU_&QBVSe7GDhs`@!xIZ}(RIalDKoaW za>O|2(sOe8;vgc%sBH0!wIY6bvzEP4%#kY_b99Zbw>Dq?)M4}DTo4!W(=4C;OPc=j^P5m0wiN_ORw!XM^xB-m+tZ>XnjORb^@Q=0}{-^(l`- zD^m+_sJ~##NpxiA+`Ir3Cqk-tX}ieH1K8Z_&Y94ASd+c9T=DGQFfm=1+_B?w)+8&9 z_>u}Sr@_>+l@*4;vLJVZD`euCUfJNA zo!Q)!+Ec?*`T*s8UZvI1>P|qWflLv*LHIIqJd#5yIA+S6j*+fy8Kvtz&E+t`k*shlCSa@dfn zr@*aGQDvQF^XObBzRFahSgrDR!y!wn$XDh{*XfjIGC0lm@0L+2cV?Z{wVIi_P-1t= z^B*>xY72b?myz%ehEX@{08Y`@47Ws|_QqAh?n+DUA9ywIjc|baSmo4oZ3(u*Y~>}c zqOf;@I6F44HOBaOYyE-aCcUtuisY>472y$if^0L_$`!X+MAwZmlzAp0SEiF4P^sJ3 zs@wl*GE$=Mzea`MzrrQTNFD9}d`BrkHmbxV#iQqtFUYj2kk%ZJJ~k1@7{5k85hs^I z0ZEPgyL$ve?B}7T$7J?UEl%D96n{6oI8-iL=s>eQc|@2ok+;Qz! z_hqXo0~r~6)T9!qJ8yjOBpT-!*Q}Ya8Y8UG{xEyAoid7CYC{ETWSCO98CJ!NgjLFv zEwYay4AfH@mLIeWNyt?>XvFcGDOQb>5Z1N*&H1qXKjka^8Lk-n2e{G=ZjAr1sd2}F zWFIX#!$|%HtoV4_F!|1Ng$72Gr)3_Sz;rD(1W44{hXJ|~r4mR<#pmxVXumf(9NcwZ z1Q#zOE}kim!Gc?QY9nb*weO3XsHcIR_>aZhhSl8nw=cRP6w1VzOxo11(7>JF|2a-C zIn+qYU_oYrQn2o89&<}PT3ZUe~+@<^b6}C(8*S-#d0J}4boa6&$p!w%n;WOypn_(*3 z^i3(JKYuSt5y<+p$v5EDMGcHQX)0>iV@EHRRsVDnJ1q9q&*6QyUN28s4C)OPk#b3|BxOF zAm6gT&zR$rs1`eCq=vZPU}-6Y`hNOjdr3gGt5nRzv_b!0X}t|S@7w)=xzs{GvseEm zspFV`2pYgC%S5!KMS&ONV1tbCys$5D2D z9}``oY&$I+@>uwIdA%ogtu>3urSLC)5qtR>*HcEb-xMk`g>tpEqKYWc%H|ngzz|Cp zljf$EPzVMBy`}FZB~3z`XsGZH51EGl+KFAEmC|^sd$>$B1g2d4UV8*TjBuX}e%bYz zed*!KD^e7a3Q>u!#3edfv;1o!6uWpG1n{)-TpQ1#T^lNLk(P;Skh?5c7a0>UfE*X= z`zW`k<6PF+5Ajgm^OS_y4|!8$zC9qAH{!F|THrRg)+QNF9HVN$SQsvrB#qs4NmD3j zN+AztsGc7+AyAjUBu+?|-UCGysmvWkD2!B;pz}I3!aMafoUbmN5ByW)n~0hnki=f- zA!zFzqp@ibIGX>2C`}%y^l~7=#|V9AUfvZJeQTcVt-`m-QL|q)GCIVK6ZxX}8i;5X z6Hn)tSxcU_E?DNPgTq|c5VK>V&J`YDg}(XQvL8*PT^)(T4fFNOFi&8;L~7c+RCAa$ z2O1~lCJ*l(5QU2PIuQ9f{AZ8CP>=r~Kv5|ACivKo<_!?38rUtDa? zPfqlNx;4ft`?E58r^uw3b)L>YJ=9 zd@~(ZD@7B(2HV(ZH>`GJy#v9m#w~e%WBtC6%oVHg9VLjNDR2;VNv%KE*L=LH_1Y39 zp|R$$?CP-cuK(b!MOdi~ym$k!_AGWXZxe%pU=(Z!w}!-$DRoNcCrwkx%FWQq8|wo# zvQ)SJ>^jhKRd)&xY&z6}isMutp@bbOGP~|lJnM`2)D3-46>~N4F-RQ2F zTenh$k;TR@^$KnQ4eqTJ^FesqY*f2asJvM*o6kzul1>X}?G2_xdY$jz{`j7b_q$5s zfj0SKdQ!!!ex;E`>ge{(1c>Q$@_BTtU+L3q)>1p&SpqoO<8yBH>{G^EC3F?9e$QsqP9dmf<%e%t|z!=xJ$eb88(nSsSeB;_d7TZ~SXXB5$>x+%x+! z+RL_I40jPDbF_Ox4fkQ?0&G2nvrf>?E*~GLOJx$juG-J$@ZZL=HnRL7Tt*akaAHrb z{;5<(Pd;`aWhTU2-0b%i5C2g^gz>D(}9P}S@(AD8Ao=X{O-_te3&<0SZ8wPctbOK z*d+DplUTO>lTU4Rfh;c|Y!igmwDb!TV)tjQV z2&b9wYrQS{LB$!A3!L@SM1pqiRx^+07xq}+&Ab0R2k2{aM*b9?PwrZm@`wF>hWFkl z75gbHM;I*VW8~P@y3$wV$=uTR%XAm#hy6i@wDBHc_59Xrm@pkXQgNns1Jl-XrW(ONj&)j6kSMJ#{&4()zZGQVVhM$tr zg!Vv}FPnypv+U1z&BW|a%D}o0!f`x)!Dk`Gw9y!;?j#l|OKE|CtDsK7bZyg_+G&ak;IbPK|?WR`wUJoI>D6Q$~R8)6D zKneI@NcWL5=!LtAt&RXohB8H^@IYgG;(hn3FmZ{$wjlr!hwXSIXa%vqB0j?;LItb6 za7jY#eE(Sgt%?^P2;`cf0=8U1IvO)R&#e-26C+^3y`4glH3%t@Ta~iRig<+Bb>mn^ z`aXe%v>_QdRF}kcm>a7~dwK9JmMLcW@Sf->fYIrS%r*Fpq>`kDTx7or4)aRH0TL`r1ieu)d)KU-@lL{3CTq_)L}-?10g>hK1zC_;SnFn1|uQX+A! z#Cve9&?rJrlBrbT^KyaWrSf02f1zWUT>gR+mWqaobM@VE2!D|kLg#A!G|t!%&*PWF z04Of7#pXW58c+|Y5)V^C`FEwU0nGoBvaY!s<_{{8E-n_~Vi{*lFeNr@C$dRG=lsr2 z)U@A?NWd$N2%@IgjlGRdfYM&1Tpq$@o-`j9R~;k%Ob{(dF)@|5nQwN@xL?^q=#2Ua zQ^=@3f+`&J5Hbl|j&wV(YWzt3dEdRN^r%;Uj^=&3b_YGZ1>PJt0pNmt-kK=(wvWGt6i!p%^tLm6m38D>iy>93G5ih&?W*8p(@LkDvc{V0xdpTt6t?pFL+Y&eB_I^r5gX?&PiPr~8^1j#H%f z&4eGxMJ7>Yve~!wZn+m$3X}$=CRB~l7pF*m!l$H6_-v;_ws}fFM2nx54&wL2|Cyy{ zlO>b$8hb{DE@hv&;S6`N@g&Di8sIqO8u9Av ziw8UaRY*FDok;;33@Cej(SMkB5#%`JK3*s_c~&doe<#j{HJ01IS&SxK;sCQ=cMV26tA&08b-(2=Ek7?58f-uw6Q9G zJyiX~IbjcrxuvFV@(})faiYs zX(v71NKXRPaqO{fVswuHN4GI|(7QI%iSt^Zh2t_RGdt9hMuJY918Z)>E(EpdA>+vX z8#(1b@}*ko%?aj~SY!W*l8DW$0|13Wh;!5PLD>@fqnhBjGDkMiF=4sbTfDZjuIJp0 zzJ5MXzC9x3oec@djs!#!T#x)@ncCMOxLr7R7g;~7GA1z)xSm>p7Owjeg1)EtI5iV_ z&uKk+?9u)hCQr}v42x%<%D;Kx{g;q{)7f>TqF4+Y z!L0?v384ldRWZPxT(BANNz=Q1`t!8LPtZwY_kdGVkSj@zzToIOM)W`4Ck_Gs{x+P7 z6hZJm;4y*_TvusNF}>9U?~!`Ve~>KIqF)=hbVA1roVc1+|_`9}iz2iiDyx{CG@^9V`!!e-^KB2O#K^V3gNx#bq1O zRH@lcNHJvTmdF6lmZia8s^CM_>rg zsIYgxFu@)m`Ywj>E`|bT_i+x42hC28bq4O-S&=y7Lox=0C3*I(2pkZR)cb|KGea`Q zgeUg^RXc!i;PA_Ld_<8gD)YoI@EG9>PUgEa6!JpJa%=5SWsOup`!681F8!N_ya-2@Lqk+_txtwrJgzz+BOM%J z4$S+N@KO6o^W(Tn`0%JC#lGW6o%{{P4{n_r7Tq`)EKqRFPjDC<5MOZ9(*WGXM2;#$ zOj5Vx8I7Nt3C#a0g(5@N2-5wNxRPwG+s_QFNet7W`2-=D>uxlmZ_?8Lr$X7pRY(_wpr-*F>_{z zyh9=ZLr@Ec%9<@P^2Yu)M~SWv!`(s)*+YMIThGyJzs1i)4C=0j(IauKL^Uz=cj3&{pr&Tb-{RhPAZfksRKw3J{i|YoCNhS_$H;UhcH8TR(0zI0xQX(Z2+Nb0NV*M z>;)~6shtBa=@*1T3)VxKQmH*=K~?=jg`J~dC7iLtQf0%!t{>C zftG|;*2DVnQ{M2}GU39V+u=0#FoMgZV+A{BJ*ONNrgf}C@{-7S^E{LH6OP%f8<9N1 zpMSdW897Mf(vN9`H+~sTn@E}{n;m=ff}$`c|Dp^70B=t-xrnYF;B_>q*vnh7 z@uU@S+bfrO8V#q$CwZ3-6L4G<==#nw!F&3sc~W#Y`0L}?66SxAklcG#XN|-$+2(<< z>8Mw#TBW3yf`mUl-1@Eq7#LRN%AJ3@U+f)GKxgVh=lgdVp!iG>^NkSmi9(B+zPSx& z^6amH+p|A&^Tc1>#)P;H=Y#uE;xC5nMXU82mZizQ+05!vhwinE|KZs;C%A=20%j4} zTo|rZ44~Y1uG2$Yb*vsjrd<)_x8fJ)=7*4aY`S#u&Q#MUOai7s;muLuK^T8c$&4H0qY2r!?Q?_1gCRZwvrcY)>#u`bPUm~g& zRZYQ{CMe8Sv>xaNsm?A>Y?wDLLVAz}821(fd4{E!9gLk~HODqI(??hGH0PETS4JpH zwV`LVC_+*w;7g|8sof4O{FxW?#?LBnho6uXoc-8m80>E6JS_s#CjnVKSxGo0+L)O! z;r0!a9C9DYZFs_pH+wtPa}<;@?VTMn6mYSnP86*2xe3bH?3onu9^lV$335pk`nKyG zT}Y5W@uW^97SL(nhmFvh9pV{4l(PM#x~TjbFB}Y*>_UOH)^LdJ;kAdmCemH-K@L14 z{VHUrG{1M{Wk8~`$8&k@^k>;L#hLIG56964&;A(CJ^_IPJyJ__h-VOyO-H8WfK9(S&8`z>O*(Rf$<_oD5NT>3lHgW> z;MSC9zY4}cU7UHaPtHA;?;z;)C;fbJhkW*p&_@N<>D4(UO8M^91?AptTZ(6(ef(Kz zh8w5L`nfU6l>rJnGAPn9WZ8W!>E;#wPuzOj+pm~iQy;ZA`^G_j6O?Qf=(l|TDat@E ztkENAL1F(7lUvzV2E7-~9{_QKrTA~gDJEpaoj@NY zx;B)sCUi)xe~)H&h-R09X1ADT7Y6f&8S};w^9D|cVbLqj_?Dk$H<@OaN+(9QMmkF$ zWvVvxNUi@aP|wMQiuSFg?NH-X@pvC!TWVG6VQ0S%e=oX3zXy}P+QmtGeZ$_~)uWU} zzVe}x%K2VP{?zJ9dKN_%s=eO&ph^(^Di_SMe<%OGhE}slhJ33XMX970iE3~-G2`JYZXXcbSQN#_VqN=uXRTD>3 znV{s+wmHTk-ZkK4f&x5feHoj9g+~#;q%$@&*uu~Oi0w3OE$K+)H+Y=bzZzV#$$D_A zr!}-~<{p3sO{8MmvZ;Al{v0Ui&16Xki$_sa6Zb0ny@%e#HIDQk~qOBU1s zjtiN5zS=q`zN_$A$-6hl?BQa&v3d*haJXFx2KAUF%{a?496A@t^4c*u6R>0VQ;^_$@Sgb2_^7G^v_}Q&9idF~A(SDuwd;qHaV+0yR zMbjO*rEz2~)BIeJf)r*y3?P`fSA$FNQ%Q$0ux&4wm zO~%#KV0d$X&g=0ZyV)w9l-Swi`XZf~R@DxSYG{wVDUN7$!jZ8w;=OkUT)nbX*uL8< z)5{p3I!}YpPo3nmDtyug7Di)9@cv`Db=KC+ad-uZZI-*?DW*lnt^&gip~A$Dc9!-T zcfXQQy5|_+`ffQ?I*c>B#-q`k!MQGiX9iBtDpGUr#pk;cs`DQOr zj{+5QD-31y&OV({mB$85kplSwqS@J`-ge;KX8Ns(y$OM$FkT`oL_DYaT zFNe`4g(zm59)nE59|l&$p!*;sSj5}RE;;474?QgFYJ44-iD?ECzs}A4=apEmaXFa5=hQ(LZ zPJWxSHF1%4VwxBErBr{jcwzwJJu2r%`;Iy1h7wxFTUerq*UK)UuwQ~=iO!;=nmQu!`||KL zHW!m1(zIE|1!iRHAKKVFg{qc$GZhKO^7tbKclFbBd0D=WI`5Ox0-2_Tpwk9t>@V(e zJ*tUmca|%oeHa780@MWVb|3OT2mZo`)<^wAfKA%@MKU0rC;f618E=>1RJjzv5!#DY zQwonY7iLpsQ|S}7IqR8pk*4iyJU%_4#aR>Ui)PmCS!7e>)CA`QF8swe{{`~y91m^) zgZbU1_P|?%^+_)lZf#z9Ndn{w=Z>)KyLPMy`H^&UaWKrGUs?Ev$c|uZWO+&_yB+NN zfh4*P9%qC@I$%ICZL3Rb3DkbfT%c~)%ica{C?FxzhTQ!*!lX}5&FP%wQ6jtqF&s~F z?}Y9ZdN0;tk0Y;h)x^3t4xNe)HG*5tF&|szj{A+?RZpTUm1O``rK;eD(}bQkx!cYZ z9Ljsp0aWY5?5!9&C5$DJI{hWb>kw+(V zt_2n`G1-$SU7bx46*w^It zv3UhnqdCxw=_p-J8JUWw%D5wl`ofTao1yK z$9We-T!#eyoPavFQLvEh|K4?t?}~p?2)EG=H-@350g9>8+Pr1JDhRe?O;`{HPRB;B z6(pIM{>8}L$i)eS7ItI0TD>!}l$dJcA)A7DKCgOH)$cl|S>hIE_s}hExirmJ};lv qnvdJSXFF>FR`s)jYPRMiayQ3CSii*6{xyOe6<3i(Z~6Lf&iNn4tE`v+ literal 13746 zcmZ9Q18^om+peRH^=|Apwz09XvCWNb+qP}nw#|*LjcuQN|5xWfRcEHIzNcoYpQom} zpYE9(00IJ11qb@wI((mk->PC{?_g$Q4P<1dW1wRM3Obot8UmS^nScyz^o&gOObm?7 zKt&^aXEOsMpxhq=3m_vSOmJN9=EbOS_p_eadFV&wd<{P4=u}N|JN$!3Q<}iR$>ZFvO6q7g^j7{j70iRl1 zcube~Y4y|k$K~dmSNr(5wv&sO$PbK_6pu|Poz@88EjnP1BIe-JXHk1|F{a$-frB|g z{8+-hz5Vp*YxzrgadUCe>+x#4$A`cQzeshixqeBJ8VOgVEv1ZQ1`?5c!5Pzv9&kb1 z=reaj6W-Nm`zM45e|909eH06d9vOfAD)z=(^6fx$$bKVCw00XAmUwP79Mn+ux}m@z z!{u_V)yUEP?Eb)X;(#qE{MeLc18R4o;z&o_!B``ZHhWxm^yDV@6p$RR(@;|AgO^En;23Kc)d0NGs(fHtB9{LYi z>I~8eIypz=3{hEn|Gm}`;lwVz9mEFLfD_z}grjNK_iTT@>#}6^2_36|Vpq3EYy@sor?R4(0^#p(qv^gj26C zXGYcx!GCm|U4q1KKZoXVkdj2#^P_kVHgG1%k{ClUf3TJXRXL2rR%&ukHf*CF4D}*z z8LYKFe5n{jP}Y4DDq0iX{3>oXow1RBGis(&>XsOz1}-{KH@jNXv%Y0SNof)?*9DfO8Kx&+J5U(0Y$|&wQXiD%8&# zCki((;&GtH>r=nchS5D`eYHod#4W6n|MTRDK<&6${rFK1H+`*Ry&ok$_1Mq>5>JDs ze#O=O?eT}b`&Nc$?rX*@A7=>^NS#&EQ5FCAH#*%6x9bsxr4$af#$F^}8yT>%Z24<^FHCjbWz{6i@wHRf|J)XVYX`ZIZ0 z=+WnkJugi+wR{AvD_P+|1FydAtm&x;JKv`H16+V0OLyRnja1b7jj~_QM{ZW^=weXW z1pMZXnykCwwZ(?I<(UujLh~334!8YtaU`YSCmk zevNPE5u^Xk+)q*CPzP@eygW)&#s?xQs=z@f=H@)$_H&hBRlf@3#-Vqg{8lVcXcEFq z<)C3o8fF!FExL(*y=jW?<<@9{b$mh8#Nx_$LQ74yz(J$F&+@~v9@_0AQ`&{ut8t*k zVPh$^fbvDEtA3+`*bQiFLfh|g&R)e`-+0o2RtnEK_PJA?5rLUx9QT+mlA-Qt7y-v! zA$0pa2ntTxrQyrP0Q_w zeQb%T3Dy0s2uonl0PdG+Izp!Wl3Oql>#B zVytm8(lWQwYPsd?>lm5%V6ZvkZyQD39L?QB1}hdZdgXrJ(tpUiR*r!2-hi&n-Bf8d z&yARVi00)J6?R=uVKVxu7%=Z={1WItU`7=w)wu6NQCv_zCF!e4&UDdgV^n-0!46mo z*5(>*g&8rTpySCiREhNOk{fBCL}BJIB)eYHmSGYa2*XlEAbdEOT!|LPKm#mlx~r|-O`Qp{dv&S@rkg@ z!{5mR(|Fgvn>ek~Gyis}J}5;IqpSI2SVC=oSP11O!ANE#7`K*nciNa5t{9K|u5|z- zJWbuY6f`w;wsa|<9u}z{+^g#2cT1jfY<=aTAUyJ-_jho!tY}o9(ozRx_{cY1W!{Xl z2^R>;wV;}D3y_9UCP3t2iG+VZ8`8;2jb8nED=8-3BJyGU8d6#m!V!&F-9Ap(=m@Km z5Hxb^OOBDY2_G&O$<`XWbmIcb{N@k*qXXEN(yki${V@^lA|FJ9C|(MjJUjTXyWNL5 za?5Je7Qj(s=>`-5A*o=J<}zxMic;&tQTbGiFLQewmT9I#nr@%z9;_T!SBT!FLXS4d zkhC;i6l&>XxI=JbX>~eFOt0?dJNu%1r_RzT3Gy%wffve$tx&`m9J?x|n-aLK zZ8JNvc%Cvi?Nu`yNGeerLM)ioCBpBe29u!fmP|5gYn0qH{#3PglroYnAY<`uYt`d%zO<`J$~pF^xzpM7)s&B-^%-_k35^ z0uX3hAWqM$c7*S{sZR*f@k0>AIl>?0932dFW$Npjmv8RAxoFi0QQYvXp0(yxGW|tP z)tG^Swl)<7d+|>!$l0M#FoP#72TN%{j)70orS1LQ(m&X`(_vv&i{BbMeSB0}sDauU zyQws=e~M2vIqeSG_jl+&?5TJ45t6XT-bY_a-;0}Mko5st&}K1BFiWInh!tFmvOA9# zrnl0#9ZLdMR7^&sk`=KilC`Oj@Rkge@7xjJxkZE6-T-`mE8Qt)EmV{>jU#2D^^owi zSGz4N8<^eQU*xt$O&SvmryTK@N&DW>Hq|-oL`@RyTN#1{3d3d2JOmpPW?K<*ij}FN zCu(i06s{_gpvL#PYV70iR67<=%Cf3mM;AJb`q8RaR7+f@R|W~InfyLh{#A@rZ_Zf- zRP6XmmYkhY(W#|Es+}Eh1;+I)jCFE<3rk^DSZ_;fjaMTTB3BJ@EO>4-S*7)0w zi4Z-x@>u)gCq*1_)-Y`(V6crTHKt_&xGSkWGqPH?ASR*V(Pd-lgQhggm?cQ6pJS71 z>Tvo<_{2uOEoNIn2k5&iTQf$`TI3+Ul$k^8%K{_wKZ@{Yvp_90a(O)MmFqfnox&X7 z%BF{2yZa%~p@_Uw2)!DTS$)EQJ%_o&y|SzIm#@uW0CQGP>y7Wt}mnI0dLc{1@Rr z?I}N-Mi0w*Cn8|dO>sPl@gwUcKleWmIYb074Ya@eCCya)M-C5^wSJ{obbU-O9~^3Y zj8nG!!szRuN4ny5*=n_`cxuze5dN$arL#*E?W_An%PhOT z{tB<8CYGWLh>=w~UoH`b>;tHnT>I4@EHYqyp{^_cAd;DHcg{&VgaewGHF#wmPs?ph zY&1(2tUzja&i75@* z5mI36^9W611-HVZ9jKzE?Q%qnRG97Nhw*m#Nx!mpu~n!=o}e|N*j9fbo;X9j?AOmH z!xmyKo+U$)l`9rI=sb=~ffeD5A<9SlUd!;FJDhKrGxY50e_F>f&C0~fYlevwO}_Q4 zBNq!X+-}I7dcYZG8l#*@fAb0TbU#UUGZOyK*y= zV0GQFJ@)y@S&vYy>rY~xH`!NhLHO0rK7#7)YV@|tf$d=0m+u;SiJ()XLbtcm(HKNL zO@ar^1G#3PZ4CGo6d#w%2TLNFCbu^cu!AV$&v+o3E;GjTH6y4<$HEQM`9`OG+Td6g z17lbC=**c`Dq$BlKBx2P+R2H2REBHL;x3z6f)|$Hq&At4biDWO=WW|1bN5F(Gqs8} zkz60@m0mAG($Nk?aU@=c+WRpOj*;yQoRkiavdI0k$$w3G(e3 zbgM}Ul-0O~&fTeby&6|0uA(HzvNW5tPX}% zuPSHk`qY z&|#%;hloD>ze60FfLR^W7vaD`bA73cJEE#+3p%jinVBS{oFW;S1l{job=k zI7u{cWXVBu-U@N564OOH&&qIh)GWq8yfXX7mcSym{Zkk#SXzMqaj~Sq0Cz4%v`MIY zTplT~7)<5x3G@V@v#lRdu9w!V+C3d(zy81ij-%Rn_koz9NKx>tTv#-qLR}P6KrruF zA~p`nr<`C=#+?ze6b5JLmWX7GKWQ9XIlVe8%gmrwjjIlnR7L0v&`;8jYqSTo77#GV zvvXx8yhi|bBaiN4E7hzv7;^$IC;%8%oxGn#Vy5YW?UUiVsJo}K)s{|f3uVJRRvdhw zSqmVDHWR3L!hHe6keThK&W$F8?jEudjtbyOrm5CR%)_*wg9&)J>%m{Y(*Hpn*bObE*N+v6`W<>pmEXBk4ut+X(oz(uPb+P@s=7-u^- zXM)ueo<_cmW~j2s<`Jv9y}YZrUsoi&J~G_E37zo*{C$YWR@9}ZT0ig1${CMH`q`bE zgy}msDxtm-v#+*Xp1&qmd`0f}lFCm)oZTespF|gS7XFNo;738&GP@5v`f$YLG6Jsf z!3{HRjf9tgZOk6)f)9v`yrUAz7&Tw`Sx{a-n$%PN7x2cBFdR;OahTiCl9)OC3ovG( zkx`%UX6?k1tXu@~(VkC&Bvp^Z^3FArWP!_ymT5~t()f0=VYQ;4p5?c(CviKN?iJjI z+^8sJ*r(mI_d=1hENQTA|1shI*Gv-{2NTpzh*tqyZfLz4=;9c!+x^6xF^dz!OHKmD zSV%ePB5SF_YQcfmm`Z!h`eW3s_59i?sVyy#bV;+-c4wiDbB~Rtpf-&loz+67?gN#0 z;3#AVX?mS>Zbw%=UxjImozkk%RUVPgwJJt`!j$*-F0wM%&mbe0FkogjSkCToGk355 zyS9Y3295w};rq@-WR?h@AH9@}DZdi#*>7N4RzSR^@Jz8S^B2zo=IN11oM;l-Fm!nV zP|)`gCKV4UcF#;J5a+YZmN6nBC|vPH1vymMOOx9^!kJ+HnGlWi7rk5tiu;@34>PChl$etkSJfYWgD*ghovm(fyq9@6HmB%A5~dyCQjDm;7#gSRO4s7B*AAn zL>2&nwR5g;piJ%-W=luGwJl}s#fIvHM2TG7za@U2`F#7aS$L2r0j znXB_)u-BQJu|EqgfUbo~W;$ytZ`MNqIabn??J-k@;{!1Etcz- z5b(0_cnl`koMoM@jyGB>3#uIIy|9ePj}LYD@a~f6-(85}$gQNjWi4Ar3C5D6ZCZ^_ zST)&l_Aj_@jRrWTO!6dyIha^ae4FIfxx$th=Qi6a8#$?g1dF=W9a~^ zPW*0AR-0c*uRQRi@SK@#Gg>VSj!&u{#uIyIPZVW(^H)lNp&-ED^H;o^1{6O9+9nIo z_{e0$=V%d6-(MTKr^=_}PAHW8lgiJf#E)$to5De;O_vv43Tjx> zk&QiQJK{TFceg6HS@neC$%vX0buvqwMt_RBr8%Dem_Z-V`l_9?ck1?;6XYJ&UTYi* zu9|-0yR5LZDmL<`W9$B0X<-?{a4fcqtFWL`IfUf`_46;>tjRrW{iL{A=b~wtX8H7= zRxw%VGnU24DvOT5sm5<#F}!^kv^28%*Lm?OkG!y&EPLma?x3&L1tE*s`Pk3i$gJ zJP(S-;G)YX!m=~{Ceq2lFWGzWQ1oKdq1UwngmYY=yyuu4$3T?z<^aw1&wBJIQ{>PZ zmrv{8vTbVet83F5ebMPBo=D$7^9N%v+8lK!lcy{V9{kEW;L3~c?~K~m7y16AfD@ZI z_h|pFUm3>8$Yg78E6spYx8#m!f3M@h@XmqD?zTA$sQin$*Ag;fcyePzXJ{5pt}Tsu zD5VP}aui~@y=GYV0JZLwT{XR=Q{dG6h_0Yr@X9LD66?r^YH0Qs=}y|Dp{YuC{q&zE zR5wpsuz(%|e7tj3rcdSmI#;XPZMWArF+va%*#$->&qpgSXoakT{hI#P(*<}#IRW2t z0-uiMoom$6i!%qYqDv3mn|psvf}}ot^K`e)-iNKizb=h}k1JhThg7y~HqM^QKaN3( zm)?9-MyI_`b#KgWuWV-xgRDC2G86g@4|#G&Z#GWF+Mb$UR^M%V6ngu4>d}X4Q)7yE zbReMjC@jd}<^AX^mUl$J{`&sKo1%#LRN!o(WF$Ag+wCpa=H=r|SJ6AjtKil0&4A`< zp(>wE1q^q5G>{9pg@x=fuVeC2bn4EbgN8YR9;+N>m z13`5*4uS5;L*@tK!>jTBn_P=*AwhNUZO%5)>-p!*qQgf*LCk4JQjWL4RQZ<}^Tav%Bajd$pyoPaLx>ivL*@4DZn`2wfq zTlQ{vm|6=dh&i}huH044I@ZMOu&ZASy zT|ul6Z(X8MD2L&h1V%~oJFe6wDljyWu2YY4aQ#E&qqxaa15qg)5^(DUHA_8HR`V77 zV|>(l7>d?-fO~rOI50UTF+o*SK$=a^EJJ~gCbl9tOiax- zT|f0Nn=r+LNfZCcPbTdP8Yo{P{t4|-A@Ohp!U}&rDCjuzWP0CTqF9Yf1riFhdaCCH zK^DbY_A%6LeE#vid#0Rz@`YP7{8CgE;d1qCLu)`8p_*3^8L62XaE6^kSuJ-jkv4%p#J{X+lbCCUq;_Hh}?1tk>>Tl7zittAze$UFY|!7YnD~%PXX`C zk;aK-5s7bKb2kB6OU&2ymRg1WZn8(8P>SD4VjG9f71O!zkwHN(Of80$ftC=ASMn?* zI-W1o%aKCQ%%b`IYl7%AP^2)`7(>pibi--H>tSFEt2J)c;QY^2;6uo?_hhu|DLva) z8s1Hu7}fGRK&=o-i0!BU%w^$&l-SIglx=93lPIuDryo`*dEqd7v|Ht9+{sD!jJJ0_tv6w( z9I<3C-yy6r$6xtJQiw=eQ395tO6OEJhVy~~WpgcgI7;y+G7DFYf@X*IU+Apn%MY(s zbkT^GeBw{?s$nT&1rm3^*K&u^#2n?F67NI9V7ir&JKehy(TT(%>{jhvn=S>D+`ofT zR7-~`ijEmupk(c@Pd%~M6XXG(01cj^4Q}+~cyD4i$`{B~DKSrqu-`#KC``&^TF=mE0>u z5)f(8FMT(Us1yso^GSJ@bz$7{clmV7)M%fD$2IWLw2w{?HSPB&L%c`80t{7PCBkPQ z^cFoHwl-T#z=%FnOkt-kBEB{vsW@EE=q?bK50P{my?xMYBF83T3%O;5n%e)`LH66I z@B0Me;-O2B%nWbB_?YBrv%5phJD6VzLLz*YqKeL1ZkXM4#kWAwQ*MvH52mI&`%wnf zmv94Nj6mrrV|HkV?{)y4L(dMV+c>TfH}a#B?GkrEjscvA23aj5hvC7Gf)htrc1I%) zophZ;uJ}I$AqAqLITLBvavyv}J-}^Z9xSQN;}CqK#`|Iv-f3{@M+gj0iLCes`jG-k zh~WMpVTD)K3IM=G;87Xn2KfQtaLkZ@fBbl7#&H`eSi$&zU&H-icLsjU81y>lc+qV# zuTfWZc&bwL@jb-&Y;^A%Ki_v6q*B>?9rvbb*YR&9BYkd+fBs_+rq`|$g*s{QCI1O| zuA!;n7@Ex&1_Yk`g?o5^QG&x4qErkvV}bYE%oDeS*<-6D)Wnw@+y^dh zqix)VFaqbWT`7M_<;FJ;(305X$>8!&&3e*8EO!aHTt{X6SJ2vnP3*xZ4nDXOG}Xw| zBF9%QMDXo#ozyypN1(cRR}FqJBF+DR5cpDKoF}*{_sGwPRsqKu3V7V)K6r8)eRCUy zg4S1ko#0OJa2@3{ZXqVh`l7@EfOh&!i<%~XUqJ66xv(?3h$Hl!CgH~vH*1h(5fi_#L065-psgPag(U|^HSaRdN2 zkbTJh*gig7r0OB#C%d{$!vd=$qsZqQ+(tPd^1ia;M&fW_l+-F1+V)J2gyFt+=d zU+5wFBwvXiB+9ht7pbVlUMHFq7F{{JQlYQYefVpO*&6Fr@G(mft7)pruxAc!NU1#g zig>l~ MRS)>hCC2{R+h;dQ8jr@1|rqk`abhI@o7pa@fIe1~srRm98k`EI-LfmX^ z=$5?P)Ku;3bmHK;m|}nx_I1_&1bcWe+@0tg`SQ|6F@dA<2WO{xPOgod?A%IMi$sLU zcn56=H?i1AWp}ZE{CB$0Pm6_!2P{N`CB2$XH5@yWn)2cV405n{)oVyYy(rYhLbdh> zxo&?}&PZNQcS58blDc95^t7?mJ2{~Pke16a=8@U77_r}YUc^Q3!kyf7{OjHYtwz^} z*#QT9^jhLdQZ`=nNUOrg9?#as0L^FPVs$buS28ZQQM%@=3g|v2bk+5ZUn z_6cWD2JuVY+{ku%BQ zy^~gXKxhF|AGkKwYDisGfmlOTRgtKgvNY?t5=310;@IV5O-gpZO>{1UUKb&? zZPaT2B0Guev$4RpTn!L-w62 zuwN&8%m&(j6{&r>@-O660&)*2ZlSdmB|@cw?m~okWB>-VhzZ4=*@p<&tWU%wy*w+5 zJAH%HBLIxN6)n*;CG8OfG95f15k``M_z0_Yg) zO>R2LZS_W#JysDV_1E$S5V%A48spg8ISlHM2>#C$YLXE#b(upi+Nl>;3^N6ja|__& zPkbpXwjmx^@YVd=ooA8Qaw;ycriejmYg9<)A7ROLtRjrR-zfWx7dB{a^ox`S8R0a! zu)9nJw;DE9Wlh<=imDQ|SCP9@k*&=@>=~FmXH;+pA%7zd z;8%U9rmH~rv{3h$Q1_5f_mt4%b?g=L;1*Z+1oI0%Qd>gE#`kSmz45$3iKV5>AN zIX-1h+U23!2QyMz4Dk7QF?tH6K=pDB*+N`cjE@LYbgfRCtEJd>4Sd$*1zon7d95N; z*lzvSnr_0@NVs zPox@M#S2#5Upk}V4J`bPSj%5pgG3t8eJe!~M)o)}2L_;pL+{9s3lM!6Q+3sT**o`3 zRNzT{yY^qDCxCXKZ7lDAqHEapGmKUpw+B?`yO37$=D!E=AWwkOB|!0SpgEcjA8Z(^e=+Grt?SufjUE-U3! z(on?LQKp|8*!;-@Ta6eApBTJ+|5C(gexVm!n&#?`uzF=mBp(x1wvA|ktG|linE2=N z5MY{Fua02;n9aa1Ja{NEuKzo`(|CO;Evo><^p^y74JFl-IUShCLLyWR^@v!@8*v{b1S-lfRp-W)RbaNtY6dQvrQxQj$Zr`0 z0LwoCfc9Tl7z)rVA#XzYiDm}A%MsX5(VeWabB|PoThtp~Lye+@Rpnh)2#etDs3~X$V~m)Z18?VZz!;gTIX>#IFwy(Ha*rhuF2$B#-3T z3w{Y+x{Y_^N5C+gyzs_+^ijvrq)_l?H>&`peoUe8X z3%C#L@tH)CH>eg4)!b^AJFQIvh^;pOzji}290#=p*f&ZRA~V&)sPWRI0W!{D?yop^ zna*Bz;1cZtf1v=^6fL^Y9HAms#+vJ?`5kd; zF01tcswiNpKv97j7_~-c%DIF$3vhJ2F<2*0?cRRwWp|#4RzH!A)F@;L*qM(1+1@qP z2YrkH1Y@G6H+S-awgI13h^a9~Ern+9FoK3z3ao#4)24)(#|f}fO=G`?g?MM0?|x*D zT|kBw-|Aum(;Iz^d`(qe!a?)xUZ{{>G(hS$Ks{rCj|p#^!OkpMSFHRjL7!V7bEvPN zNH2r}wtm~X>Y67pNLcCYUjh`zjrT-R9_Q#gpxtF(V#w7A+?aZnDRX?zz1*mw1~&`D zpd@ZNMP(nD0!&(E9J_YlC{t3h$bpJ)Cfpe&xGV3O3|P13{qO$B_U&`+mV0g?&E6Bu zO*zQwLP=!P701Fx7|+mx3{p$iv6611DQ=^9+y@m9b=3E)*<-qQu|2zly5!LKw3QW) z2sPNOI&z)?NB7eHwt#n9sL2*Q1`k)e?)~0>9f5UkyB;)qGU#>~gsD64yhtxx`1Ze$ z+P({9Lg+Ia=-km2SAG$ipgu@RqYp)hInkYCbPJk2HpP8_!hJB~I*I|KpZa@Ycz~WG z&)P-sqX^}6LZ*}}Q|@aW=0VjsF;waXjah>0BU_fSKX6Q1Nw$-Q+S<7x^r+PPH8Wr32mJb;$#j*6VIM;Sy zb)s~FnnN3{AmsK)uyHIgdNImT`XUT41FV({>D zHx3QFAV4SpjWay{n)^W*(V}f^eqIpJJ3~1s>ZF>KiMkm03iX+NLbeyqiiL+q%afJ0 zS*3k(p}m#Il#b%GslX#<8HYAo^c(PcJ>S61Kid!aXY>BkqyFm7|WCvqoDYv8Q zi$N22FuQUz60r2$g3<@hDvSPVTiQsU?bmS;+mI@r$psqOO!j`kDMvP^%;Dme@Wf)q zvDD#C$+F!D=Mw35Wv7dL=Iqbf*^=;Wt*a9fwkxaYCYkE@uY}j4{$%u-ZoKZi8(o?A zCx{V4Tayf*Fw^4-I>C#i&asaR4bAdsItxRtdndx9N7SmbPiBD*M*xaN0Hmv%6hRft zM31=It7}~2fPIx#(}Poa2@-Oc2Y9v#{Vl|>Ma=M@HMqzTb6Gy)t~d5__w+})kA+~E zX&6)LMaXGlM}_bxJT{$br}eNJ>gL|5?hliRVk+nQXL+p<-r*@TbTV~xtppZan^s?m zTNb>t+g<<3K*hsWIANWK<(G|pPIKOM>NQRVZ|kMYF9HyduP;~7LKO>3RAtoefr;c> zsw)%P&?Lb)|KF0DunpgbqBH*VBoXVNe5o7*C9*J~01V-81~Pxa$eSP-FqlsT`Jbez zx%?!eo`ZVNeMku1q-38ABKb#L%q}e*LCt~Umsx2Z-5!^2IcMP>Lm-5M!@AAh0`&5d z#92~-!PX(|Sxx;)qO9pG69^yt2=%=5OO}@_jn2{|23AQHT-m0>Y~KG+Z8i8UHaY%~ zmeDh_cIAOqdhDrc_SW1Kzk8COnYpJjF?;E8w)mdJZ>5??A96NZLv=-P)%ZFLNOM$l z+h8{@l4n1mT~~Gx$|_3+m75s$F~VzTcadjIUx5ofk1jX$I-YMeWm6%>E1l7~uAh(& z&-Ok-O-U&zb{U$MHJcyfo8}Wl$?NwJ4K~>vef*|pOoQB^B0z5!FkM46VRKt(+<=!a zIP#X4CD<)4d*_NbKCf4fuyr1Xp(W-7J^0aI9gheulMf2~+1LRHqYy?b zdV-jf(Y3j#=7^ClVsTYmb4-F&F&~!Q&IB)`Arql2r$KFMbhlIq5joS>k~;T}o$nQu z`bhBtX1_^rR=E(eKm=@|7Ph znvg1cm;X@kITQ{bS{Pl4(9n!Uai|X|U;KVITxNEkJpG^vfU|{uLpRw<&Fd@3g=PTsP>RXOWO7HL?9^i^saU)+r8c~pIOr$?n2qYfcjALkxr z<2q2x_+f=VW7V^{cBLS?!Id_w*Ye^*0e-Va9xk!TPcAqz13-rJUb69a?Eg1E`SZ<4S5S=LFaRgZa|nN#9R1TPwl+|>gb#i>4Z1}_r;r#ZgoR3M z%(&Nr!kR@<#{|$?EJ#N^&W_h(<>Kt|r)v|$vcaFJbNssn3wJbAQjL{L$$WXhVCTcD z$#L@$0wTe&=OI)bDC8%u&oSk+7s3$>1)d!d7d}i~;_?|JNHh*t<{b?PMd7fMi01Y{ z^Qpi5XFv*1@$();nC?;B*vm7<8I*c{D=wc=Wlnv&`8G+@H}3OD^x~|%q-dbXy_+V9 zQOrU4A>}$(DTrR%&JH4lP#=7%f;#$gP+H(s?t!~0iQcrgHYV8q&T5U-=LeH07<2R= zb9f~M%XYihMmTK#EUA!{r)AB6B;m?ptcH&EYJ4AYY$OO&GL2)C zQhT;PLe;!Wt>>u3?V_iS9}3`IFcEPrkqmjS?hZzu-8S}96;M`)xcjH^02 zhZz4Pg54eKw3t!6D58+%xw=h)$JlY`!B-3VsBhRfh9BBX+^ny0#?Jk5sN`_Y&w9bW zEYR%nBqT4}?!?FLbvIy@!yJ_GJ{04E*N=eBR_{p+e}5?OJ4H5$enVb2=-(a}Sexb2 lorCV!BD5u?AhnA diff --git a/cpld/db/GR8RAM.rtlv_sg.cdb b/cpld/db/GR8RAM.rtlv_sg.cdb index dd24739c53e43265fc028cae1d0b816b4d7bbabe..4e8db380796b0189cfd5b8ed2a31ff5c312eceb9 100755 GIT binary patch literal 22218 zcmb4pg;!j?^LGmrDMbntC{9bExWnQFid*sG#ocwWEnW)6-4=IuUnuTg++}fD9G2ae z=llB$-gEEy%-ke*l9}X8CUf%k#fuje*e{>a_4$!_rdsAMuGWqYG@RUQ9BiC467JS^ zW;9&fTr?bf?3`TeTpXO-H0tIq9@eJjG~bL(ZD}}Z)a7Zk%}r>e%&gs>|NOj-@+{`X zi%pIHkr(Eu|3`Fa2pp^AaWai^SWPoEqYo z(?s9o5V=Ix>NV$!_-I5@ufF1o$9&kZ)czt~@*9&c!EpCUY+^KHv96B$_H-q#oxkN0 zaoSdAIU(#ip2}@*X=ypo-`_7SW9LQXOhQR}0y!i*nAr4vYfSnc_^+14HmDbz!TuWn z5{5cT^;#-W#ZkEtI*p-!5JsK$LWZ}`elzp4va`b_VpbE|JQ}#jifos`KqqkIJ(7*4qHs|-ctQR1gnMaNuKTOvHRAhmpX`T6~13(6}(se zJ8!|-i^b2ve@OzKXg*s)QQlNBEuY?<2X~`=Qfik;;4Ua;=Pp{*i=dlG`qxg;n}d*GAUg@S;ic{)8po+4HfMo2cYOS&S( z5(v>Al|p-2A1f0RIuPbe_h%bsF8-ZjpkjXAiOd>PQ46P}H=p}rd|An0qG(V_*r)6u zUPwjQbXXC*U~{MvFR1?a(?z<7`@<-skT-&&JJ|#_r0#LXBvQNX-mkTIfA(if52eIH zamlvBuU1`MA=z6(yJY^1>AJU4&2dum5T{MPLsh$k|CH&P-k@NuzHYXh>AV4pQZSwF zY;u_n7Oq6K-+F`3h-owbWJdiM7|vnl`1@`Z2V((J!@K z8^gR%&GVZXlRL(z|Ck@o%x;02=cL3+#7?1RR(rAyts(8JvnZDC?+l{v+zPV}M$)m; zlK7!)28V3JD~8iixhU0k{X|j#r)hlddu}~Q zVT2KeqU#o-fB=N)YjGEtGxK(U%Cvdtkt>t*LgV3fJB{)Sh0~y_=oq%|sI*#%TvJq~ zdVHxQ(=}~Orv2e)g&@_VEDTrQzzn?TyjPf(!+cWy(W6AD*g_tLTbe4rD$c9^-`BGW zbBYC8bCDX9UR1VPnul!YhUZDoAiFx&74d8xK6TZYc2v)0YIO5Q9-sbyyQ@0tWiuo8 zTXOf|0_J7}j;rD(jmGpBioAO^Onj8T!WquED(dv)lf8s~EKKrP((gF-{}WPlmXncA zYaFm`?yYM&$Sxia9H+>0sJq4$-7Ykpoo|UOQUVWcM&K-)vi$8l5PuOb!D_YOA(kd@ z!TY&uS5^|a+uN(UJB#+2Kw{e?jnLUw`3SpJ^hU5~aldIs&{@>Rtg>N^2|q7$_rcE~ zd6b+cdck%$c3@o4t|RtcuNuVz9HVvwPnBD{AgqQ(Csuo#Yn*x{rcMr{!gGu1mu@bV zIw#u;VVjubbfTA-@7)H`vj^=arT1V)P+TSPU#73YUyNyj*nIxkRvdbTLr7g}3U9V# z$bYHSyv%UxdRbZ-|6wgZT2acj<0lddE63=QsH*!mhjb$~U@6=7Z6^vD6gQRKX52H2 zwQWDwg zsw!931psLnBR}G<<7xg0prc&+R1`FtB5J?qgS!IiH;bSAkRW2f(D<%falKvKc6kXd z-=ZfsTG)skQewmd^jxYnKhLT+KC3TKTENT!ncWXcu1sq*hfWUv*x{A3qt$=Q9fJEF z(`S?e%hf!a%;O8M`1@|P9wN32e$%=Jp9u3O?R$j)j zlNf%nb}MZkjN@ZJ$7M`00d-;vm1S%N%o*w%jo;CPv7uGaoGk&z8{PU7?1ki2)^+he z?f0epZY;RmV7x*MB&qm(iN#OSDiQ%G1Q4XF^>X&|qRoKXGV~fM^mpZJgpMJpl1we+ zCJnMpH?3vBKK?F+=Tfm%Lh(sM_BWIVA{i?OQs2vez zUEYl~8m&~a(g<%X+RZqbGtKm9G^)2d8HMSd^pz_lya;<6rRU+ENmN)NlsZBCW;w;! z#oU#wkIl}(90+@(#D69D5b*lMfoG7cc?_gv-$+`qw1nM7VEsAuYnT@PPQ;f5|8_gu zp?_9()mQLM$KagqttxDU;b@C(XXGjoUD(rKk}S>$;KT3e+Q%=`>geO0V=(o{3I1r6NvVPkax3e8&9m?rAb)!yp#Y_VdFWBUKGq#lHJ1kc>L zvQ6_^_D#0sf)sAsAiBX0I?U@wC8c45D><9|p5#qx{0F?4rB4>bJ-zjT*qQIkH%;?} zVzJILn;#{^LU>aAj!N3 zt$(BflA5|^9)#mLY&%#c zB8}GIILqfXRImLQ`i*&8PMSF*I3Vs|vII+~n2-C;plsCJ^e?)j-_2}rxl%>_VF^|Z z_xE*fAp(1CwNwO@ZC zfN9;qUiJqQr}&A4X~|lv_d=(nqco^o(0)f0i(*YhMd+saI#S>b+Zfrh{;<9q(#7Xv z@<=c+Zsg&TE;$_7Qau-oNWaxNuDXs6O}yRSXN$*MLHty_|jr+SeKTgXOb=9n?^i5lhAAc)+qPyaN z{@K$TC!c7_;7@fFa7;^f{XPTmpQKb`QKo)-rj|Jo>;^S`T0&SJa%i74MPACt-2ire zq88<86Q-@r7Lia5^AYu=Vx?}U8d|LWh?$8uZIe!^vSt7&E5+x`BYpmmpn*41bz zz_xV$Y*RF8V$;y}r8O2H?#oUJAN`-GQQ6hLCQr z)`OIdlIOC!s~opLbW}a-S6&qDN!ekjJq*MY3_q&0{%gsh4+%q+tkl%|(vPM;r}w|2 zjD?;6TFV!N%3XWzW^73JPQ;&eeiI{m(XYcI?E~j!!7lqIL!%8t^>i~sGcVQ<=NSSQ zs408Qs=u@)*=(3z&o+WAoEuhWFhJ$*GNBYPeM zx3;sX*W2B67(?vpwQb&p=?FB~-2a>$DPNG&sd&gFWKg$MTxzXCG?Bw6+W!Kuz~7c_ zB|ywv5#r|mkPcaEqCHmskXfChWynmwRBhzrBUcr|^WNO>>Fj!>eTx#AbDmkWDB3DQ zq!xWaj5?BjZc0c;-tp09i9&SEG$X*k+@^W>H|_0+zKG{*fB#`Klf8BwW$9^;G;?pl zVY43iknpw&3jDqV0iBOT5bf zyRS9@jDmha=NkE}B5ba4QHR!3?)qi4j`Vktd{!Jf{~|u!tguPiB|G#9Hmq7Nd64sX z?JqJXTHR(^!g!A#@QaE}sghN_-6l&8m>inKg9b_jPA14cyGP}O>S=UizEMi+$muSx z2t}7XF_x?(cLIuA?9)jylw93OGSuL5mXr4UeundxE$Nguqzsj6J-Cl4 zS~j0zA=kpHd)fE!WK^!X$LpAeUN+xuO=f5KyuO%rd5zatt~k7vQuwh&>B-# zpME9GDM&P}hK|`jS5^c2NW6nj{2i~-!cO{wfeQ|!LGMgDMKUmsx)w3W*Y`nnn{|hv zvi~6OKS=)%;{St){~+i;@Y$@B$K!UnsT0PUOTdqpzE|3ddl z{6xcDrbjkIYdV*MW%6CMCi|n!L-7!kh%j$cyjIDMp{PFco)+$PB#zYZjJLQi(i3eJ zWIJ+q-lkQy9S&+;T51o+A+Fsm?~gMUwCCxFuln-kyQ|SZ&MxrE$`l`^A8q)|OSe>I z{vPjl(;nKFfV#m}UY?&GIfnJZTj?uwwR6i-O8l90S>Db*lJoS{C6lzgJ-s{pe{~k~ zk3qegk0ta{j&%kbFfS|Vy`ncfi4K4H1_W$N3?|oph?ivD+G0DZL}#o+-3S>yo3g5+Qx6mGA;bz!i4I2$&7LE{a<@;5fxi;L{UytNZl*y(yn} z%N@;|$bZ43=4I1AWXcT7&mn{!W-aM2Wvn4w|H@Fd2ZNWK^#j_Nd;5nK?aH-vYH;EL zYA^ocFVwRtfwz3|iE}r+PHeXQm@>^6L8Y1nVO*}son=LULC7=6uFt7cvq|An!xiSz`2P*Y}KsylPz!N}LVL#LZ_M&qR_>xDY;DC1q?$KQNy7_~GO zo0gGvm|`(blOIi8X$%L%0^hoeg_F)E%1Hk<%%&2z?;t=s+Gz3D)1YWY!(( z^JcT#I%;{5hi`Nu#b%ZEu_gb|K{J_1+kj+G;-rTyp+SX(BjW81QAF3gjA1l$2a7=? z{ZGmqDFxP)8T-#=)fETy&;||VHGbzXzW&c+j(MxWxI3g{Snh^2Fj~N{W8EY zQfi~E6v^uy8EM0E=ARc|d9WW!NgGF4K7Un=xQKZrWIZe+_Cu!-M~W@&9KUv>HwjHa zc2t9tLqNKix44ruMQ@ZqO*@6&=6KIUEt>;di`Xv0zU$Dtu|42 zdBCoxJmu4<+C~qfD``=?^T(okdowe#AH6YYBKcs6dxE5DDV3AJe({!>zpK+psU2#`o*!YVoFfmcjf3ToOp`C~ z%Hh#O@953_zQsPlPtzy4YSl`pGt{yTEL|3#`nojd0o-yZ4AE-2H7Z$gY0yPgtKrTi z?xol@YJ<`O$7zQ~s-mYuerV zn=prgR&D@A$?it7W;q+qZ>@`3-9_M!@1hjyTii~rvX0mBIjvs)4Tc9f_RI+$^JpSX zhHteWnED&|8;4!}n~pDPO~_?&KDT&%*bdqXIN*y}+P`s(ssEjvI9oQkO&sZ6a5NjJ zs+DuATGq{8R-J(^SLW5BD|M|sEA5~0XD7HLqbQ0?h*m_t0Wuwr$rw!UChjo{jkbFn~Xwy{i)o=xZxXqe-^m1G^Nyn0l7B-G_FX$@f#gxVKICTSI%*1VUf z(LV-21^^6m^}4TgPjGA$mw-}b$%F++BDuM{MI?{mjXqLYZWS9F- zgW@FI6vw>zDA68b24^+`j`-88gc%UU9i+tn*W3C{}3qpCods#Wm%+ zt`b#6w2Yt_YeIk5Yn7xM61o|sJp0UI+YlJ(V!c$SqMT4d99IYr6K6P`l!zxw25Tu_ zLEe@hepN$0tE)nb3uPwm#MPUTzkamK3*Fx_z0U|uUD@v#k*@3 zkR>$&Gg(dMZuA^Xk*8lTCk%#vkhJ#-Q!4Cc--|uvce63Q=?>pR(xc;8vRUtvcy~Dr zC-LaBTZkjLDL{h^&_GGV;>P;QeZD?kw2x57AY^eG_~6ov-u|I?7OI=&e+f1n@mLw0 zar7G?N62tLQCBAKial+J^$0LOAqn8kiV<`ddY+5acf?U`hQbbhkPU&s(D7zm35HJc zeBuXhQlo{tGj|VL8*s_^j~%ERnex2?`kPWeAkK*qzj`Ia;Ecu!d17y*F{`RD9G38; zVAI;!zRvm9=(1>QgFA;2Ns9&a4goVy#E6*#jVg6^+o~5`L6)6`i0JfA79SIn^(HWz z^$03)QQD*iRVTC`P3hisB$>bQjnc(@_3@wkiQxpKd+J>>Gn{+&PV0DM11`Ql>sePc zu-6WJzZ~^?l{?8Lh4lW`r=B93c6r}xPxcdDt}A`3hhPZEJ5}XEop;UkuEeY#kw@I- zgwKkX019n2Qs7hw-7%uPX>nAw1<%<9rj6GncIG8D(Ht2>ImAmLNs6&wRi4k&y}8%Z zv$g`iteeopImHk=ax?MUso(8x#7%a5LKk%HagQ5>r2kEJ_U{tSJv-wQC(~wlWt4^r zKK>Sh#NXMZV`L8;^|d_->Ic1nr_4>mdEu&owBP*st2ED7Ho0}NP`>zw8EB9O5{^|} zpz?u!`+RUzDYD&Um;OKo8G;9W`R32|p;rJjlzo9WYBvGNh0`Vm+{rP(6dh=y+1BY# zkQc)ULRw_4gQ)AfvM*!nqQ^ve@MST6K4^}4g~*vJAZN|%*b3$T_S;k20__ge;#Gbu zk|71WGJCVAW+ZVF3t>ihmOOS7A+#w_E~>1*8E$X~z(F;&L{Ys#`Zsj6F-VK6`HXp} z)GTFan#g*|4rqU|MxeaMEWx|G8P~FfaO-jkNBKVffq5}r18WD$BJd0TsfXng-ptQf zF)ZHu33u(mk#$}N;hv5Zlb6EuG07*a%C89!hcI(}jIjxx=6)kCD}?7?vF`7mEn1G{ z94BUPkJvt$FTNDBcBFJt@a?p{%cz?u?kibT-)4Vx|7!hS5@-EBv4lMVs~R3I#_^vG z4gb%EDFcHG2@rC4ozb^p>R+2Gya;12L?Ctugf!gBKe7NyakRvL#ruZ$(bkV7?5O}z zxD-E-=!eLLFJ36z@bO<$laMVM#l=A6d@Ao-df!7sCUq&pCq8}H*sQXr5d;0$c_wF8 z^hnE1ydp6RHx8fCUaqN=Ig*;}m%{KrKMoj28nrsx`@aC)fI2o0V)u~ct}GG(OxU_U z6hnY&5JddY#t4W*RS?THCfRjN+wA6h2y6nV4#gAYqm98-w)m}83gorB9pxT7SqjHZ^OlRP3m{ zR@-ZyOgyW8V!;+8U_RAA5VknTrnqthAmFG+BOxCzV%&sa&;`(7RXJHW?g=61?+@1_ zq9o12zd%%n5%tjT*9%-RNMrz*T|bty>B*6Q4c+V_xD+y2WiVJ8-8)eN$vkgsNzF~% zXnjhxu`jugx0yi*!0_(33$mY(YIn<_jc-ORR%?jb${rb)h=k$J%n4ei?_H4#`R&a^ zjHoqD-FJr`k8gMv-&UA3vm!-P$^aOyfl)*vFXqvt5|cI}O~UVL2p@Muk!1e{nos0TdJ&R7 zxF?Ln-$|b=-2jN>tt!d`Jcf;X0NeRIA4?>H+fHo8f%7V?-rg(Z=DueOc+Ko7GED5 zu=0jX*3lI&)e{>KQIx>JEOKI9h$!(QO?dLg*!e3bVAMnEZ!> z)e&*V)}JN@XoMahfurMK+k-3@A`|Mu9l46+@kANO8IW+PscDfomf&7cNMhf) zkjn{;{|DI5oKFycu&yLQcP}P&Q?EZ6POlTGgcwb!Pq82-MFG+12Gkc0 z$?WU{`U-ryhE^Je)6u_#D{&(+l|Ls;4xK$z1_}of!mu;pD6p zbKtd*N9=0i9Y>0yD%mlDl28`ilzSpzo%M=@b!*nk|H4V zz9GQ>z-NGlk>f_KJJ-1|?`8p5BXo+Epfu!9AbqrYh?8E+G@$gp} z_os(Azxn(P_xY^(oo^E`}?{w8CnFp#*;UJH2_0~D#q46sE`m0SzbYaxhiol_+8LoYsE`FAcpg9 zOO*TcNGLCI>W-=#HuscFp$nXZLq7x6wvER?Ov}`RQam}ygM5wYwNT>}$NQAkp3i2N z(7+&5XNtQCSGdG&B-;l!7z7;-8tO874+o8JAW5!vF{&iqU$rDz@@}l3oU0@9?&n`1 zGvCA7so)^Hp6Gj1LMw33>{D92OzkaGM&7Wkt1x(JGO9%;LrmuA1tB3)I1+m62B+fq zCEG!R#Ib=N+{_dYeH*&(g1>n zA*Jd+Vbp)l3Of5mP2;c68}138$A^Gy0T<)3`l6L3iXb<29We0)ZE4iubYVkI718eWS-X-5s-DQzgPPo zl?T>|N}Pu(LIa^MK5V~RkVPkHq!;nJkKg!AwKRbW!)Vk2u_E+8 zPnje#8aZ1VW2N|dmA&hE7T35l590@BRqi_eWq&2>SJnIQ8na@zq>E&lqIz+PLMqGBAmH6PZWPAI0 zyFcv<)3!G{21B558?l<3l74ctr9rS}nl#)S6!{A|Kdf+G;uvhiHXoIF&K~iI{o);O zHD4O8E`^&(H)e;Z%(n}ffY)C z)iyUWx&$IJ$FBqZre1#&m6W{fS%@O_MkoErcqZ&;;FpJ}>Sa&3u=@eS_aLf1&-IsN z0;<=%y^G|~j5@kgQ&VDU)opG5+_MLQ$`Zp?8ZcUUUeY(&;DIo$Iv9=axiG3HB8q_GqBnQ7}&Zzy@L#x6+drg1a-{G&L?lK=-WHkqGk#`~AbKJ3Q+wlcGHUiDlzg-!Iw%@+%_on?5_|mEpWJ^( ze5*u1sl|}f-nswqc{Pg#58>xCnPnFy%vSn*9?0zpkzlM^HBWGCC?FWb?H+JSfh z7HmjMzUbM;_&M&8;WMfHdk|zFJl+z9_eZiL3U8-~8fLJd{zjx}WyFL298bW0pW!dn zgE8cw<>5vzYy4aXaw_p2@%jkM&~yo0tM8=oP<1B$= z#(|~lL8mtcZwFD%K8&}pNmx?x<6%B&4c_bGGo$?%ITh%82Xl?fxpb-s&KM!u~!sxYFP`YyDN(9(B171tdHf z4Tia~Mju;CdJ}e3Yq!;KKf3-2attHs{V@sq{`;xw+M5`Vz&Lv(yf1Z%;ZzYWT+e+o z(!JeKDy-RIhq!b6CpVdOYYH#f%|TY2?8(*}DrjE8(%w4m z3Q>B{-F>%>SC4ng*}1H=^OnIK^rwSrPw}wZE5+rjglYj02c;Ei^L75RK8#Us-x*<< zLjol|9jHkM2Kfaz6ZhX^bV(b^Cl(c4%d2Cnxz|cOSEVS*7KSrYo1UqViECVK-+v8FJ8zGkZ}?SBuGGX_a6fXkNc*o9LGoHV zlajFwO{v*@kj*@yREygKlOr9zF-xHmAG&K4S{qQ!eUp#)r~cU>d2cq|GubBu*yeah z*hSBdTM}zu-Q)G)9es$hg?%!Y{TeFdClL2=BtrybC z5f{9>9iELQvpkT5k*ktg+ed4O`E4?NwtfENu1gT!K1 z$qoq+_a~h5K}dYSurp*N9^>N#A{$IO`7Sz&_~C0GiLBs=&y*r)&+E}^^f}`uX3MX| z<$=I_xQ+3D5Bq#jAjZ6WxQ}9O`57=fk_4N?l@$7p{pN%N?|5<%fD!mk7Z6?tY3}+n zv1SR$V@hT^y10VRsp1~-Q1~5DZyW)GKIwzp$RCau;HXgTv<=~qE4b>HKa!MR_vtU0 zC?aCTGN8^!vp5M!VVA6<$?L!=Z)ef3{j<7RhrY2gOmC_mi=6#YG78T1(4IK#=~+tv{tpPI--IMZ~rDyM0j#nZG9@1W`gakMKc$_C}O#_*Kct()Vf6C zKb-rTH>`zsB2{-8(vaIxNV^b8|H>lT^(}E=fYp9GeE@s{6{3#A*CpAUqc;7I z$n_spLjeEM-NEq@*{!zCdq4tm9epajAyl z!D9pRcO(CJuK;&vv>^G$66DEwIN~7wl)GL9T{0Fg>a0cRSe<^SI5|9VOr#t%+C6GA z6m6ner(M+lO0mFmaC2 z>xV1MCxS`O@JV)bKZ{_0+Wj`E{dIIdJjsbmaNn#Co3Fo;tuw{+4#I(QAq(D4J$-B5 zB!KK+!~Ns*4;^=e*%#sOLqD#Il_93#^tlGBFI3q->)`Qg)RYMf{uTi0=6win;@TRW zm(X$sbhZlQ&Qo@E-%68hJL&t`qCu56R?-7{+*u*f8!N9e+PIwndmSQyuKZ4?XsbzW z-|6hBMInVEJuVOo00=Gfa$V_o^qQ{S2-ErW74B|(JMFmobH^{kJlb+;aR+8OfrvL0 zNgr>rt@7syu`(WiNsT8dUx)zo$f>rcyXK;*7}`JF~+N$PFh0&`j%1@-h-@V ziv;~3^}VC5=8X4Y(c!4?Mt;&WOpC>VMIW(N+C=RRjfNlu4?1f;;L7P(Nbir)y$IRv zI@!-3c;d*W6@cH*?kMa>Y;+&KI7<#(3E#U5O+5TXn5gWm=Vz|3H8}bjmM-6qsGiQ7 zZ79CNo!th}_Uu?Y=0`R&<5M=Pm;q#W*vV11?qcm!}5Rw>XRh zW&n0jQ-B!S_`36-iC8~t+N{6ELexDiuK~V}&d^Z6RTJs>T-WBKA2-=nbtVI@`@ic1 z(_EDe%K`2Ju&K&#iQ_zI4Yhb@#-$f$)DrIBk-WyDc9}*B*d(I=c6`Bzrw>RzY%6zO z72fWsGq%?+Na6#g)Q<4XUai*O1;Id?W1u8$>EE98cN6mGXUQ94+})rrfk*``L=XYo zNcJ4U+>L}_AtVXmV1;w)qzy6tZjeVHXdMkHfQ9fQfI~ms-O8VXM7klCfk<*Jga`qg zgznB$;T$B?{VWSfdKht6Rv9hRQ?z)-Qz7H4qJ9`#8BOv+48ziMqn+wL^aR;N0Psx? zgq?-+wE6*1pcIb5fOX{!_@{u&EHvEpvfl^SP&8ab0e-ayIjXxC+yF=Y%+Z`(Y!dGu#? z8~9;QqE^N&MiEzGL7fUeFMnP_@x!k9LR}3|pa`__WkSF_gVU+P#&KfTy)z2bVWS<> zy7{cQaiS9|gPZ{1N$<3l+SS4t(9=?xBD^reZ39gw05pAa@{0Zo%RM{vFg*#TM)Y39 z87)J_qNp0hmMyo=Q@@XbuiMjapb5fwpPT@lMaOTHobEa%QiQ!btFUm-SWN)k-s;Sx zW{L-Wk2R!GS(bJm@Xl0C0PXAev}qeonUZg0CLH;?H#?Nygx#_Vz^cW5Z|(!<=wats zjG=Y5g~GQCPFKDTgg`GmqIk<&Mc}gn3qUj51A3Sf8#n#LGS}|CI!f4MzH1azk)lD^ zGY6?lZoSZSaAWDZ^Y{_|7jYz>4<(J-{`#lqg4DUVrhk#B-u?qXzsZSx+R3-b`BZ+R z{dpEW^DU`eoqxK@pjg(Sjf=%(`Hg;hIetb6(C?v|IReX0i0)0lfc`^Mj_tZ9nO=|L zS;up7@Bpm;^^0ueJ{th4kSEQT#byaQ`O7Zfmu*-ALjZb06iIof5Ws{KnPmKZf$7g4 zsGq_Y8)9W7Omj3xj>a!?S6WG2x-_kf(b|e4Lhhv@T z0}*3}h9A;RqKXI?9MF69ph!P1f|W60vE^haNIwDP`cH+7*zg`pu4m`NPY8`d8;5c` zBT~BVCFsYg2>?c;y_?**DSn*^;jVfp(2-OCL}g?D)+23pX8n`Xm5=E2jEyo`fa|!f zTR#Q3deGy7{rYz9ZRp1x2?0>Et@HP~idnAQ&i*G(QIepd$IOB$JU`;6ZMN^ol98i` z{k;6xxf8f^7wyyXcjj_u1kTDQr;`XA=QWHqzn^b!MvyPZ)0#abBr|U5ov6lp1^5Ck zW$AGrZ&M6c=9y*KZ_YR=#@qHbszK44PShh586OD&DlecF&5cGqu&s70#ViJHXMhTM z50Ht_(gOv0phz)p(6^>U z`!~)mP#eXPg%G-dHjcT}LjlB<-(ZOgcm084$wLVJi8h{_3dpF6NPIL&1w4LoqHl$@ z54ucoibj|>4uTe9W7^ioIebWZXeEMg;1>hCfV{Os;OXkKKYjUS`}Waykk zGsC%s0xnY(GkORC;IUqT(M{n$Tr<1Z?2!z}V1F|q{aY>Rm89UOs*#9GCcPiXRPrEa zdgvJ4pMIV9{?ZS&97%HkBUB_&JN50{3(E+!s2`Wb&XqyJ43b%R=zxr(I38W9sEOM? zGEk-E6rc+I$<3GFc;ba+*>lSI3poaz!ve@!{af4)Z zT0^1eSg#dkFN8llb-Jr6TjuHmRJcE%-5$8(iUoBFwRNZvt3H+%3`Zb@e^XZ-!O}Ep z>Ta{QcDs;)m)0GQ3T9^T-V`l4Nw~X*2dVV)Pf=webCTN(UuW zo(_C$$ii$3xl#!NPsAAF0x8+??8qbV0+D7x>Pto!`&KzBJAR6j&&Qn7$!pPmm@ga! zf{_b!2;Un!RA@m9aaNl5*l2(7nDg9ADJSiVwtau?{d(G(dYm@Y?bOqoWZ#<-N3=sKPYyjwn5fQohKF^hy74ywfZh$^ z%A4m~>_AnFU6WvEn3G6T#*v2?@i7Q(_~^Ypb%Mutc!tNGAI`jslE#C$%CBJ} z?cp7CcFk_TGq}UP{0|H+GoM%0%*7DZcqv5t@liq)L`5WYbbDnEp8m#uDl^P07nGlT z16IAmJ38nO06QV;k*Ee|>n~Qv{B8NA_v;nj!{G9d6FObQ6enV5KZWr&muMDs9;4SV z#E%;AuDmIa`p$@tmr)yvz{4VY*aYJOMBStDKxvP20m2@|qp(#paFYKvEC(xU zMWyD=l~<7IoMpyS`a+n8S=u-D%CfpzCziw5H-FsF?V$_-lB*^FJMEz}RSG`qHxK^7 zfLX@gsWU&GSSZ%UwXts4V&Urkr&9fe!yCT5+tC zm{-4C^waT{r__n4s&trvWbFA8RQfI(DUc2%0-?hK&Yn<#M;s4NU%3m7*7O&ofV}!^8&0d)`PPAT=uTG1bz@wOTTgw3lpt50 zD{r)Qo&}~DC}9^puKO&kx)QVV-%-#;#I<^_u~?tK%yug5Y3h_i3p!#+qp>Dj8$u)c(j1Hv`lCcv{pO zNz`M9Om!yDJEmqCf?KZ4R%KIO!)(PqRGo*Nln!b%PHkww#l^HTf^G4(Qt!*)S++@F z0N&UA3@F|~T#=m4leUJ+lTO^YpPeuXt6dPI(M?bzgt(T~sFS=pZBCVqw5{e0>-tqt zOY&O`9;xgD+{xQZe42|XRn}E@X#`=8kz-3mV(KPeP2+09)z--gcKx|vg^&)eTD-NOg}hJs0Z^@(R|nC zF6f6t^28eYE<*b(uQr6ta{mI-SVy(qiQlM?HSwnBnlHMT%OS8%*lGA8pH=2zIWHBk zV)QZe@;m)_Emp<=w{z0-b{iXB=Y|3uQp_NsbIKa-)nN*_bfX`S!=ex+Ap+ZOi77l6 zkM-&Z2VCmYkDFj+AT737(z<}6gqA!3L1{_mP$>ey$JCR4+2?RB1)A_kx^(~Dk9w>Z zxVBmTTOdkLi+LH$pUt}d#aLi*6hJ#o-B{p=DLjQIL#e&9HpxhRONhXEpu-vWRS8k1 zfU-w-DpkfJUnfLll$mm{p)jD_JE9;qsn(Zpl7EjSj9tN}MVkBT9dY+U{LwS2T72YJ zA?;%q)Nk4j?kT!~1h$yvJYV~KcCj*=wwG8eeV$Vtit=#V>G6y=a1xW_E`C2JCltU_&r8Y@vCFPVhm~)d;8fKQ(YbxXnh~|))Ih3ZAD2{2FlBS_1 z;80>&fFq?PkQye=fJ_2E-fw+>-L=ly=iGDd-D~Z=pZ)AD+xnr+Lbz^pNws9Hrn|;F zxg@gl#Tj{S5=!L9yuE)oo?ds&zCy5DUr@hCD=B;}BH5^aazCHy zM?43BJ@7;u8iVJGaqK5#k=O4jQBSuw@uKL@*g>38wV+?b3mOJBB#nwm=OpcB4)!|S ztGlF8%gIB;A}ZfsRZhFR^ijPkE(|z|eP)}O>1}+57mX4=E!veA%B)}~ynP-KaAIrl z0ymy;2MA6&6DHXePrN@*^c^T_s91hMpfj(;Flqd~1~gTCrVa9s8_EtdLOIoD&MIy- z;d`kMar;xz$F8dA`inK>*)DG`&5nO=#Z@;A;L;2WG6 zS6dmE;5urw8<5?nPJ$$dZbY*bh%9{;x4`f&&z;HbRd4^rkj#V$%Pg zVQO~dL}1}T{ybCC9wq6P2oA$HX0W@!Qk;O%^dA_%d8S^Xd|L^XdyM>dul3Yyakul2o#~kF z#{_+Jq@jqoq1r4M?s!T2oZ+lfyz2euE^=q6m8e#Qpul{%Ey z%;l}f3LtyY+DYbwPeFPUITqa7n;##U_76S5u*Z{1m_A=CpUNH;!Ar*JkL^e4wwuS- zcJ$b_@p6Ps5Vqvqg%d9g&Di3OW#FGM+sf4>H1$$!Up=S|ycZQ&(`n~zRHeTfH+uTa zYrDGvab8s@=OmpR)7wMeTOaex&w6HC?ga?vPf*BTbY8EYj(BPq0RN)SsYA7piwH|j z1?4!np7Uy4K&Y(l0Z}7ti>Rdl{Yo^VYR|4TRDq|iN(hn1#uQfLdc@X|QK!$tk7;W* zl16!oHVU$iu5#?MGy+`Oyr|e@>ud{9`-}9@2uu17fGDDuY{6qMCI|D#s6%H%?azsp zq#m@YgiD}V-a!AB;i1v!!sGO6PQcCGKj_O6c2Ht7Wz%(j98x8V9or2r@F#*pzryv& ztSzQzLlZ&lm@j}t1s%JPU2XG3PeaBdEBPJpgI4L7FqQp){#Y>P_MOl%jIZny?5h3Z zqVM8$e&T^*rsOKI_uebf6My0rT3F#u`n}b*r;l$Wk@!9t{J8hTtP!UP(^Zbt+zWV| zV%b654&oj5TD-`^jnUOe`Som{IRf^VwyOUx`VV-?idJCfJ^QLL zmbR=+{sU)lgjdK*vD;VU+{MPD*ze%{Nm~MC>+e2f2#&(@U5rl(rE_NAATNm0`N{v@ zI4M`duUL^>%SGfsOYw)Bd4GyDh%Q2A88snrTb&cW0K*%|0pQ>9=h`M7%PE|KHS+j2 zje=6O^wV>VG5B?!or{;^oFfkc60FxqXyv zF~c$cF8-r15+<*ysMn$UVbbvhs7y(=N+aqoZ_gDF+3Suv{=2$b1wH z3mwd{zqH^mO6GsdD$6B#h_ua35I9|{o@TWn0Bfs->a$hd(ALo!89HZ|j;NMTBKB}a zW6A86I7iSziDln(HD59?zkz>QymbM8tOx&P$3%@msTr2iy3&5_ zaX-L)CT0V-edEoe^{)0mbp&*koaVNLnel6gi8`TKZpiAF_JO}I*|c|5_8p|p19Yk- z#e4P>&qLgnSl5tJR246^WWezU%XMgrYE8g} z$5i%X1zH$C4f0bbID*$+*?Q&$zhxDDV+7nun-z-KA22wRvDyrmJPWia6io8ufI zV9R00R1T`JHZabYJ`GZlTooH?@|I(l?6Pd)QYbN(fFRi9Qomx-wjc9{7XS}y&ch7V zW=82V6yhl%Ec@gPuH$SH#L#K|4Z^(ym6_U#vYIJp;X9|nXQh2Mu) zPknwG_*aurFfENLupT#A$ZZfh2Mg~yzl>I3SgGn9ABj+yI&SyhJV(&HS z^pIK6zjnqifxvo^wx64AH6VSht4;3SHVAvT!4 zINp1}PU^EV0#;#+*=e9bN~}BApl^OCvpSW@MHVnQ(u8bGnXLEeP+21hVJSH8uJ%uh z*7+6loOEUKTnSo-&8)=z1K-jBTh93hO5X!!t z*~2kFhiZadLw>)VfAsm?<@HXx_tQy2dnCe_c8NR`{vZ;R0mqlAk=+&4`JvyzDaQPp z1t0zOI*|4=tjw{98QR)#EsTLS7``Mr zl{xA9btvAQhD}n)XwFZ8yU2Ewlsnr>Orh6#j=77)j3vm<`|qy5wCTV-V9tp1Ojgzo z-s3!UOAus>p4o^HtL{??JMQ%)*0={9Jpg*IbT~oqXpc%paGox?Za+8$e9=Hrq#3_> zU;CG|0|;I_8}Z#}MXd2;3}Ja|FIr0zjCE%~7m>e{j?@O;!Q|!)4?P`cp!FGV?Tj{| zB`NTBm-VDD@AKPK+e;@Vr)=zNYwALNGqw{3R+>19$3j8b-G8=Tg~Z8G)Q(eHXlpGn z98&3wXW%2h0%(^{9O+`B12;R-<>?6FDMgiI2i`oblQdD|hhzWX5pJa|`@s`~XSRmA zdBAeDNPebTEWRo_Mvk)b^h3^#d@NggYtZv2|*_1!P6;vJVb&! z6rA+Q;^(2-ni_@T==&yMv}e{2p*+|`)3cb!Hz~o>-T#?_0hFB0p-U#ozh9*i`fdP8 zNYPcN^*c|v&-Dm>e+Oh8EfWdijmzOu8%BxLq=|>IsF(-SwjG%c zl}vLo($g{Tjnc`K4-xm~$43N@$z_gif?eANq4S0 zj_H1pHQ5Nce*RA-7l8!q#jm}|dx4njc#K-;2|Zv@RE4ol@~OVP(h)*ZCg0p@@c9*b z)8^?P=J%q`n2@KGb4oMrTnB<3hV5uxQ_JVlXmPr+U4eI}=WHooWX6C1iIF*Z2 zy4VWdwlAl)BoaGh>%$Bl>2q|XwA5H#G#1aGq5yoN>s^T)Zxoa-hYA zWG!B+C{OfIAS+)>1DmePNE|U#gL!NqpJpsQ&o2xGngZru&UdIoor9^dCcVlwlX!hf z#8GlW+4hjNA#kJ{qeE}4k#{iAm9do2#t2JLUuS!t!&6qr07=jZCR8BJGXg-b)-AD7 zQM<+61c7;J(!+CDc0Qb2H(^m9pIR4%mnl1pK;vKfG5z;o1 zGwwWOz1x`Tv!69PmKTwIxVfjbspr|#4XFNASm^MkovpzRm>fY&m$>Ok&NI1No-&yi zp=QzCqj+1zKi3zy@Md+tx4(}Mx#v4`-^cWG(r*Sbh`>9a3Of*S5jnV+CyRa*O86_T zZITk4vO|?K)--WW=kJ*9)->2Y*pH;oPhlPX-YVzKi2niS!KH2g=LtB=Z~G$k>*6)X`fgsy9abyxbby_l>oAVe)x|V zn>rXVv$|Aq8qvqMc%Md2ZO`HVPJ(O43f~1H_@eG#;271}z=_a3|JG(@iCK6H2~ zuN1StJkmA8vzrkQ{3AI{^?XRBZxWH(tKMOC;wKS?lK-L8}A& zM5op!TSLAMLA#D{xeb_r+a4(~qAybUPH5uGKK8MXOuJbtrPSL;avFx@R{0>*h-8EZ zsjZ6fo`0!R(&eL_%*0z}lt2qut@98!}?~xCCauBjktHG3>Z5pRlB>@qHGd;Z+F(>*{+3_+gYDN?aZJ6?Mvx zZ%zLwhABh47#(U9ka;c@ry@Zpl+1HHN*BV;?o952p{e24p2U(A*g#AJp&zM#!@M*d zBD?Q-0VT01qMh5G3OM?T$gUNiyt)`&vh1z2s<%Wby4KNU(~KdyX4ARIeokI$$L28# zO@S=+?T2pV>K2GM&9iQjx(DEca=Y&o_kG|*~{CF z%4G2h(HDuH+78;N${l@JYxP?Nr#Cv5YiD#ZKp)5PezExKwMfYKY6b;q_1=F}6Lr(v&EH9^?3}{{+D9WIjXs{aZI+Z1r&b-Wk+S!Z##B~3O z+TpOHMfa`O8_7TP)MdJ!O>f1?or-~Wb4Cl5#Xlp9PBXn`-&7tx(m)||i^VuXEVCgY iWcd2F`|%IrubZygEe|zMR2_AD?&Dl7kT;l>$^Hk-g8t3` literal 34473 zcmX_n1yoc|+_s8<5+c$K0wUckz0xHh-5?-c(#?uWNq2*EcjwaG-AL!Mz)}m#?#KUq z&-{$i&^CxtDx+I@UZ3`Dy8z)CvZe9*94sKdWcN_a} zv^=~#v|Iw5+&r8-T-?008Wt`dHs%(zUrf#IXt`-M6lrxV%xGo4*|+-XmP1r*9P21~>Ske%ouy0Ea_jSCZ-6*b(F3EH$l` z!#|I9Z*=i2R`m$Yq`@qlqh!Z3@&ZRbqFt!rVc>Pu*sjO5Y9@l5_h9BJhYG?D47WiO zc{HQHL)jCs9DWRZ}=zpF}Fd2E<^lZKDOqxvJU za{D_bO6#xJ35+3}NFi^&Mi6+JANI|j0LP!OMLyoupP}+Rp5qc53^IWQpS^BeBM{BO zt{e!1Y!8`&f21gRI^-yeuCrZnDtq?{x3byRvx8%4FnZCJLF+^E4<( zY#uYO%XDugO6s%g#t#Uidq?tH^=vR|mjKS-KqO@5CAp~U!f|^QdyTQhX8w8h$~eo; z-+RN+c&=>r%8X+B&SJn9GO`fqmV&{zlNqEZupZ;9(Dl#jdYm+rBM9tHyfmyog+cvm z^8D^&6dPayqWt{%&SId^fPEW@%~DEvd;h!miN1%k#)o228QX0=5h!otV;{kQvOO#J z04Z9X$EMYGv)HO)r)YdMmFFk9qu56zfrrWj2#=7akOt$!soP<3Z=6P*m*Md=RRQ&^ z+Hr-5Vu!L^NfYGbwFgzfMrYofh+D$F1Ej!GE3hikadw%(JALEB@Twa?VWSYNa4A5g z64$il4Cp%cTj4+Ha=vo{P>k%2i05IWUn?_6MkK!KPGAmPmJY26Y1Fgia8H-nS8viY z<8Y@y_mDDe5Euz3_V8}Pr_;10qAN|hwI*bTQMWCs@LH1HdZDAr3X|GBH+@0LX>U)+ zGq!0un0D-bg85rUgJ(mb2I2?;3vp$7TV!v z89s%>QfBN+hSikZTt@PnQcj`2_6ui2-`I&99ngyvWH-ASbck18#q2t0*5k(1mFzlL zovAm)0%SZ(R*QEHE4S5~)P}V-95h`LTO$=K|EFcEHC*OXrnoMftWn^YH#$5wptXA9 za=h!{lfJtV+{TWM%_}^SR;}c_qn_?n^yVFOMt0lP)HNO^J-=Fh%yuGS@`1W-)A;C@ z&4hVNU)%FuM=e)z%G1xHrpaOhE&1~X@$5$1dONEhOVQt^cfc;%PGhYM8yJOFEZP^v z2|OnWvZIGp8u9R6q)0c0ojNwG*s!Ed<~$pu#eGcH;Boz>Dq!8WPeilu>+wdU>QxcV>hpHV2!@jt9o@gB<2me=T!mh>}_; zC10>KIk%OuoZR5aN3DVYpA(VC0nO=X;#z|;(!NBCx>NbTLquA2r{50P~6i+Gk>XnNP%e8$iH&PHBDF@8Gz zk#Z52!WHEB3S|h2T8vm0Rcs$P>ZgUe@E|o1-q*6)HZ(%$V;B6XQ}*Rbpv;mD;D-=_Ke4P{(O9a- zTybz{Wct{c8~XR%0f|7q?i%`8c{KpvxB=nBKLFHNMzXe7YWtGQgN^(O*+oO56T)?}xa zE}POF+&1pRYe-6(a7b2$llokfl4%5V&k7N;fK)rQXxLJZlYxx0fNUc`K!36kU$N2h z?QYno{Fm9zmD{GFshg{h#9EhfV(S++YTjVHH z2>!vZ^~(xn)cEX9J|~^EwB1my!9b045qDyWusC-x$=<3N^#s;86uptgs7tMdZW3pI z6ANLI5n8E#g;`we# zkcp_iW>SH@dkcwbCRs28=_uC(44ypiRiY>5!TL9MtMQdvhqdIA2}@cGG0arpas}lU zW+{Bp`$8=ZbLTG;M0k%U!Xc{2&~wzaytK|6>aeZ)M)yP{vjO#=dy|D-;r8)iWcR#c1 z_l@f1R?V2z%+U95PJU0zvj=^QY0Dze(*G!w>aJSarhiz^q>`WUe&7FA^qL?#i`(CrqC`*8e02yOsd8LHFmNW$%Dw5U)??Ypl$-|1 z-bjOAMNI(DTP0omKdJ8+$fq09Xs+zu|D9zNy?$=9T8SsW>f!%MUxP+B>q^Uq z0+98<&O9g#=>B5w@nKTva>@LApR2%W0QOrYT z)p40Ync72*1Go40a}(0p0#=u6HVG^C%ztJb>kg=e92UMM3o5&|_B*>;((qedjy2Bk z`h89X-b%-(xD<`NscVA0$En-Opw$HE!Aukv=6omGcU-1ZvuK>Q2l@}&EeC5emjw## z1nP*}21T2?kXE~s_#?i9P=1@s8rZ&Swvf%jsov~|$TW|&RkV5q-K6i7XOk}cAzOrtm*|d*wO9I@O>BK}ek@csTtFNB)yQTfM z+^Wqg$;v>K0Ixu7USxfJQY3`~gC$9i3~sVM79QZU7!E%-UKd@69)Xm}0>%8RFqKY6 z05`LD9koY8n8JAbsB*+_-5UR~vrFSI)J4NXXMZ-#!iKGIb!^Sl;~tbhE3SMYkW$m> zVoNxj{G-_rmV@HA6W)k;=sQ?j85?FAOW_Vy$ zU`93oPn;Jrfs3&Lj+;i_bR$C0 zTHyk=N-vVMgBA0Tu)*4A7G+Y(GcVA%DWV&WY-izlS3|7{D-Px8$1EB;sjVXka_`H@ z+!u?_$d3eG9Jm*kOIc8{oO~Iso=P`nw7L{AioQepl#(cVx0cr4(%%v|BP&_t6!-4e zEaA;~7e}X->eq&SZ@w%B7g*xIc$QSvU9nUp!p>JO^P24|-rujTQw~qWTTu~sk*}}_ z)tLe%8KJVTU2_wH52TsFTs*&Bo$?&U%w%sZjd=~$ag6^2In6UGr5A}|e;OEYt#PZ% z<3QhU#v8NhZ2uJ@@daDBHBM4i(yJp6-W zucF?OHF>57EJCjQ*74t`R?uL{+gs;p1VO3tiDN2w2v*s$91G86KQAk3X|dtCX=!1J z0&mQRLF&__(6FY0VT9ef-l?Ei>aO4k+xmqB{$Wci$07y}VdKyVwZ2zpHIXgG1A6*R!ylmt4(Nj@$l; zO(^(FwGRrFKqGGjnXVl!sSoly&Cm9(M148feR@WJ1Qkr^vnuU6>wC{_6#zzIBJxhg zxQDbI-t0NQuJ#i{-^`>hatl9^=toZ^uFOo`2Ryca;G01nl(fD_{n#kK;C*ai;FRNG z3vR{xK`24d_^Rv8Zpm!ncDpIe)d|4M@!Cq?Qowxwjqes8bWf>peZ=ksp{sW!@JoP~ zJdg9BhGN=5Labm-fW28!i!IQj63RB|&Gjgv@J-P5wJM|zZ znCC(c;^jb@>}uXoaa&OCvq?4HaO@A_n=HMhnh`DAxRr-Jk&NSYe{L1p&Q6a*ya)v{ zHJu;=uNbX#z$%dskK*PvSt1%Og?aDq%yUx*5t^yG z=VYTbLv~wpI%SJJB~=1dUj9M%a9NRhk$M*U=I<-sPqfW}gE4kdW|KF2hR4Q!!xNdV z16}o2iCJkU?s+C*v9LPS9qkAss(LK~nStT8*JzQ7oIOK)lbWnEoBFPIeMRBqI;G3Q z32)%exc865kYwtP`QQeo4yp?tpKOaSmu5#UXi3S^y0KP8qlHht+#Nhf6N_JTsV`eq zal{}1CeE*x%Ah%y5|Uka%6L41(0{=GAJF{=ME}9-|KQR62`={NpKC8|;MFh|Z46=z zm)v$sxE%Yt#bo%c{%_`10ir!Fj*soFiOmTscC~cHe(9E`N#!rXaz$@6k*)#@J z;|~@GMY15iN&<*MXE`kMW{r}M6pX$e7s@P9%#ZiW2TStA;yPPF>5g#9)vz!Ts{2N5 z?78j*voL06fzbdVizNafrGFVWoDw)bT zT_~^X~VQ=P>dR5=FBUpkLU`Y*BP7(R6Jo+TlpPyk~!yVsmLSgC)e` z!ZNfrx+?JPBMyV8?(Zw6Dh%2iHSb#azXI#uCkREy80e5@yVFZ{7Fj3MjC^(e6SK*d zTGwOEbUmb~ z1S#!`GI+o+tN>vA{q#TK4L=G;x}FV(9=|)fsE{Av|H1NiWPB84?2(J=;Jdo#ehd0d z@>XbHmy>nM=5z|T-I?e{-Ma#$AqL#R_945D@iLx_&9SZ}aCo5ET5iX&$aMNpuiE0% z*YOqCm9>@!8ZqyMkF&+3!+I9GZFMfYxL~)CGTQ`=GJ)0xTkjGzRr|->m6U~2c!BJw zraMRByh`s$E>z7_|1AQ(&=Jul2$GGQoANeT9MH=nYH0VZ)k#?#VGoW!voJKhm({tj zgyGuH``YupdB9RV**1JV$SanVc~KYB(L)(r^Y9|SXJPDY18-qguVq?q{O>BiP(|>V zedSm$t2b&%sDt|0eGK7Yv)olpQfc*P%fiDciiBi3q>fsV3LBKgZ+06Ub&m|@BLTS| zKhhW{(}4a~l2kgn=RTk@u9Ik;v?!Aps5%jUp)dlT9QIk`Xa@DNl`goZb2MflD!S#d z`3yy`yW^%=8#?8;8dVhAD5*;YGC6iE@iU+&auFNsszy|1gjxC}%Vi7q)lD1b%R-ZJ zqn!>xNwZ@=*{g${h%`zV_mWj(+=R-L{47_o9P|Jmqz9XMB;q3%J4;nUJ=FQnexirJ zKQ^bX+!V%n@hF!~HxIsaw{{m5YLYg@}^c z>*U17Z*SH3%FOj!9yo2i*T?M;oW=FPsy{Zg$08LUPicm!RGMtPOOq;_E9*sTIkSJ! z*}Ep|a$lvlDwb1GWG-`=x#HcN#I#vZv3tzr zi`?B2Ve#0t%NlMt;2ZJ@b+sl~y%4lCVk#tBTPXb#S0Rw1i#@nySIvKHEzDIGRXC!< z+H6OqJbFVuaGm~hAxD=;M^(z1O8H{u8&& zRG~YcgKqcI$l{50=?gSf1F~|}UsCJ>Y*Uf^TYlgy!8XQSe(Is%Fbna=xi7NoY;+Sy-lFrOZ1KSP z;&pw|R)#4+}Ychl&+>kL2S${nr@ub`I73n_sW*8-4r@dVeNsPZM_6 zM-{RN)MrSR=an=PPZ<3F5v#~M+BN_`@UdN&EV=Vlq&wQG zUvx!MP!+9n?tGA0YvD?22UftK4XY}XClz_ZII+gB8ikLYTkf>toKP14|g3CwRmJjBZ_h)=R zMDYXdLU<2=GP9Y1+I!Yts^!j9=vOD5qxcD#Q~_2zO)-shiV?KbmI^(W z+T!hRS>F~7>UP_UHe}bS=d%syT3hVzu~~PE>9Epe9Mm+cOQDFm`K{mK8#a#4@=?_u zX~OOlGpDkD5a;RQmmJvqdrVv=pPaJZ)x!b}_H~L1h)NrNtk-G*?n#t-)y9^n*niLe zMPvu$Z$eFo79j%YeR0e(KKzg`zn3`zy0XYh(pr9DyD`_idqwx^eO=4b9Gq1Sc;mzL zIbGc2rrf5rcaK(|E~WxMhe8JY{AVPy&jbEzCROLZr#@xmLn$hMQa>bHVw#xJ#Djv2 z+`rmWe=eYM&@gN|vF=bT;?F}vJw*gvVPLZ^+F z<){JbK`DslySz;|jRf|@al3DuvR9_Aw{Bwfn%FOQ`i!bz^6u8-H1dp^KM4W`R-asc z`O8{{CAKrEr61U5gbTQh70-OlIb4rZrrj;h$-hmLi-oX0`n~$Ho|GlAUFG)gb#UwF3Na03O@vS*yCzrW=0S z=^abcqL=re7LM(A58;BdZZ)JkiT=tDi@8Qu(h7$F$m13MygktU(ayksg=Y@jn79^b zuDm7a7={~$!{=i+zrzT9=fKr?rj-q@#5vI>mA3kE)h4X8(%|SLWYdL-E5p>#V@P%f ztJvXwe8XgS;9oXJN;T&c{inp+-h%6r zZJLf_zBf3w`%1St^JBHR+hp&=o5aF**mnHkFCq?%HM)LZ$(+?TT#i#b>uqU0Lu8cU zn#Xybq+P7NAMdC;W0$V#{i-%sft}tSE=79E$6)^j>ovA-Ehq#l{;^ea^|cR=NjhSB`b``RQ++l*JAB2)CA+yVlIgfPzk~8XtRipBP66B2eX;dSpULz3xLPx$ zK$tL(xZ0c{{`y+F|J|yxIbZQ&DFjeFS# z4w+#GSwj?eEHVRPa_KDauMPdQp6*OZlXF5ER+9iY?P5fmf;4{N{`>Z4Y-d6n^+NFn zDtS1JEpXwv(-yh7H~c(jLZpYYmdg9=pM&vS08Pz>JIz9M(P_NiAE1fhT4z?FIp;h)}B6v%7!|2eHbUC3#VGue`mhSZC`Y*52?8?3E0sr%LFjLrVC zDkiSv++e0)B_A^6sxbc%-9Nfv0V;s6rmnpI+R*cBNkaR`I~PsKNdMto{mT)?ZvllO zCrw;&l2Jr$oP_>OA6~pw`Ta3`qtkgYk~De!?XcZ6d9rdADUVwOIbfF;L@r?K|JSHN z_OI@rcR6vlK3~)wgQ8=~dOxK)35qixsiu!Y#?^H?m=3)e z8M~!1v>~z;=fci9Fm`*D3ZKmy*`F9V%bcki^)`3(!7rn3H&(hB_wkE z(rE7b_~TN=G+KeNn#+ZB)`^Q<;rw~Ij?;JX+|iQkdPyPl5nIi;Mh@w`M~jZDilUUnrXq32 ziSYe<6+ui>A)v9>+sd#^ z4QuF!9BaM+de1>W>c?_;n6nERgQO85CA# zd?b@Wb+(QXTkl}#N?gLjxIPweqT&73`J} zfutP_6>B{$)5!(6hlP%Pwrl_g8s@g@Wck-hsW$04?0^9R1t)F%E#VAX`)Ad9~rQbcz*as^6ByRp%O1f@6#S=4&95QT+ zHP8hx12z&p{!+9Axz9enV{UsA%n9vdNP=Dy8`%Oxy1arob9V`My%6)npl(Q9rq&@3 z*Lu!@VJd*vjP@bD%<0+;2zI0JtweoF4H|&wNhJ5AjuE7+a=g5HaP84bV7w6IrOU&F zjJLe(qo6Gs>kcbFg=*1hcEMNR^5G?_!IC<6 zhJ5_O{|2{ru-;|vR`P&{tk-ZP?p?=iv>mfmo;JM%uEOZ~=)7@`Z`z0T+-mKd>QW6I zvHyP3pC;(_KCaSbrSOWa8Kq8*-EYiEL?i)PGPVOuf1m!)j9M4H3){A0&30C*SwR*N zmq)T9qviD` zWF9gWn$?oObG-Bac1So;pb@U7sIOE`JWBIAiaj6V@n zBabNaZh3{_T=dpqJU8D21k;S=2JFcLN zs#wE$b_Txh9N?XOFDZ=$;7B8gOdKIVed@wMueH2Yr7v-S;kM^Vk~;~$ zZm!#!jjH3DYgy{gtx>MpHV&Y6!r0_w4u%+9qgk&?2y_$MDEhnf2tg}!Rvo@c61zE= zcmfYYd_VZ>|8f%O`0th0wQ|4eo*YO}0jY^d+r0g8>0Sjf7svg@R(5Y-`>4EkKvG~6 zSbAB0Fs7B5o!z6_4HU%(jY=cY!!9W^LqQQe+2_*J#!4-M&4_c3e&B0}h}AnU-T@R^ za@-9n>{#_hutyXl?_RiWW?+c#Xyjd$Q71q6n>>=!tX;^~JsjAx|8>OJ>c*o0dOt~g z6YEpt-Qmg$#?#-QHc#dUjqxNIuNF<7!WOW0#%V+{b!l`YjM|Z88iRMc@0%fik9Tgm z4h)IOj6`1-(#784y+<|+#ePIhQoev^KL;M*D!AOyCIjeVHphQi?1uJZ#>ON3FkWe& zO*{N-IRV(|KAhUuIaq@^8r|q8 zU-+3^j8}HF^=>t2-6uK@jwpOARF}Y==l4BU!4}%H-SQNyzoaUnutVBQ4ca@O5+I_QtrO=4trv*CWl}62*)DS$@?GLxl$uKVr zoxsi{ec<|O6vZyd3%HJPU6&^p?xlUsuJ_(njzmCWWc$yZnLSK)JRsl)#aiA4gdHoJ zCor+i9`_Q_y-vq@dl3ctoqrznp2?e}E4iv(fV_)UqFWh;Qkfsb%*Y6MKR(G%8zStT zpLLIL`f_iK>AM{uOC6>px=7KvZzeDjoi7BE@UYsT>w!8GCGS?ftE%rLk;rPxKY`VI<3i zUpCf_S}J2dX8wsUlC^@J*W#CDQQ}p_j&})a_UmLFRS?oo_ml#tz!&N`wQ(Q38i; zb>Vm_CNu&6qK8tXM?e%epjGbZF#wifmdY*z%5Ey)HE7~rX8tA3=?no1uX@tbV>~28 z->_$_1yS_Rd%WZ(Rk8bDCN2PZlHoUZYmmYzJWvS_A8=)Uduf6LN|REguqWz$b=T(p)Pdo{EUnRC->B13TD&5-lFXa z%OJlUA100DdWiD2k`|4I-zTF>dwSx?>xx}_^m&_q~tyOy7!+DXDf19{Zluj z&~IF#p37;Cdar=qW3exhYRQYCvVPhA&qOWrL<5DEFajb?WT^k=h7Qo^{d)W7??nlk zqjR()In85N(oIB#cX)#ykAQQn^j-`_$L@iT?f_VUmnoaed>|h_Yo(Ira(YT1O(r&s zbjxnc4Cqv$pgNa}ouVeQ>d{5Siq){BkY`<$_+tD~!nfeKsLVjlLGGy&<)qkTsm6uK8-HBEGl`D24f{r=n~zj7Y8I3TUjcvS>b z(Y4>sc?o!R?m~L4cB`3dn`xyChs@Qfg&oKH_=eFKr{Qs@aVv90{Lv~qeIAy#KeKu{ zzmu2-wts#Pa>%Y#zS44_aflp%^>>TsLFzWk)!KeuqIoL%gF|l{Ols}YFxN6Z_ZqJ0 zr_g?VcL}x2#n?XBckJvsgd)apYsHJ-zN7|t)yB8IB%`Q)(ydJ6B{wibSHLM^^zO|m`=W*vx| zI!AN{wsc~6Q?_kYnSsVZsML2RjCo~ztI*v`UVs78|GZg*P^8AprU z!Hst`1tgvY#ZI)lsWgl-NmyKFA35>;1HulXVdZ4)NX!=gm*(r{yRc3N(}QYn5WWCT8OMXL-#^R?pdE9F@smYhriIO9SKZUH z^FT&XPAo_i0iO+Z{vFz3^1OPC8fm#4Uh^iime zKtkZNx2RvbApYHjhyD>ASntQw^Me;&OyLATaMs=T7VwshfuqR=4l-IeK*v}auBwi} zjfnaSQ9zm`gE^;TKEuF7o%ENXH21IhKq8l~I-^+^Gymn`*>^4|vvuPe{^zQlMlMA+ zZpQqH;^ady-iZ&p4RU%R)nFzV$dcCxogW~@7bvSPkn{_b)<2+VAd37P%o&IhKBw8f zTfdtCs2X$Bqv$*;+++)v?qpW$6h&zq5xtNHFU;n5IgguxOFx{iX%#rK0FkJ4x^b+V z%++=ykMD4aRTOhQJF5^fqg!Meq51=q_!2p2znX|Y(-95LA#wh3FKmPhLd&DK_9n(a z0oVLOcTYwc-A2G~HU?42JGby%eHb|6c3H0Z)@rX4G>72)2}&hK+&>zw$aR9YcSafp zvY%09xon2NZ9N}WI~25}hcx-}ZiF|;xoU#;Azq%SY>x=xAB}>|HVD99&i##+Kb^oz zxKLKyjo7{ujc1E`KqV0+h z_{v_@b~gEDvQ*_@8^MU{kNbe|vgr4f)p)0n(@)4*F7VYbG0drn1)1){g(^J2YjVoK zbBY0>Gfw&lcv!Z$1j1L168*Ki{Ei6r4zO8D#2y=aKty*Ed(;q=9UNurjSHOpYR3ZH zcfstAkDYeF6>n{8>%Ls^E(km=ckxzeMcfhfqwpBPb~NcDu14tIH(%~lyFe;Vbd!sT zVv~*uOvwf+^VG7f-+e?tuj`RV~4=1Lw$020J zCb)U0tU@9{xuupKeK75BA_Vb>z&$J){P+Xq51;2vN$ z#&Pq1bEB3j!8a9bV^vNOnOq*(a2P^UJ}ve`Kn7hNx+CZ zX0Cmi7Ca4w@ejJ2|IX)B-tQ7SAVT$U7bGKt-gIo@2%sFEqyjX{HEDJCMYe;x4W{4Y zv0D${NF#wcwh#kj79VbvtYCePqm|f$dui{R3fky}+j?mP{K?~$+{Uh*YmhLBoCNT6 z_ZsD<)d?_rn9ps=K4i??X}5NiAc5tlps<<68onWVh1D9AV@!zZ&(JMPEsEVunFf8J zoWIcYHILz=ZOlR0AJE&pmlJIGG`kqaGZuM>*2Jg_yN4;TB`O0;9!{7OS1o$YRwm z0cSs+0@8Zm)4l|Yq{RkuWr&c`FhO0>z+m*V4_nz72&Rh2Z*v=eq4G?0?qb+?UlQ8p zk}=?@-0j{5#el-@g&)A_8D)eJX59yvK?D!D904jx#P*JHKoiJm@E?#0xMj?K9`UT1 zf2isa9--xdpvp7BMy4n@?OxsF=OuCX&{khln9#W zVTr>N1Yx9h&i(_MXd;+2&#mss0Sj_xf=~WZJ<3E^0;!xRicJn#j+s2J#84xy?0JTE zd$_+8iO`bW>evVB92v+^`wcJI&oyCz4g3xDHZQ_1LvzYT(7bkjX~8uv?2!9NaQ^bs zF2=yJ`*iavLld8IlfjUc?1G77b1hI3A3s<(*ROm>3U;q`OOv)L*IrU-VitB@V*<(B z>9q{o31?9)_)1bYE#C2O@iBy8j%EEjGcL~IMgI=b)%?X)2PqgW+1G7$Cmf*NMMArB zFocEh@e6Fp#5rndS__SSu7x3c#tyi2O{5`xB8IYACbh)|fB<}uM#N^$$J-=|YcUag z8oU9XXHT9FK8mhQlFx1!2jdc&918M8_ut&+VM3awRDBm7(r5{WCs`2!H$IUYu2jqr zfv?@4S1yO5UTFVgKe_W4Z&^T027+2%BCWc>KG?{;`MbxHf7uQWiVqlo91UdK*KBkF z*%YRPoR?{!x3I__nr+7M15|NuRS)>}d9tgML#v66oqXX%b~nq9M1%G{IGIJZ*c?l{ zE?hCV9?#S8De>SV9ti_h>@xv8?z~%knQQ zSpvp4+kuVw%SBOm9!r-56*xPXVlyJ{nJ)Mp6lHVxDq$vIGh1RMCqDWn2K7Ou-u-B0i9g**9I zG*8Fb}Ij%lM0@{sp_eMpdztMh-Pj~zDNW>vbA=P_`z8~aFyg^|E-J*3PHJW8!+i_ z0s76(=Bk>!n)C-Hg|*oc&3Sypu-|SASM2Ez1hwi%v-tE!X-0%}^Lo$yaaK_N! zuE`ZSeoYtzfJ==ItlzlVM5YNDVv_}B^Y!XgpWF)0xBsTTPT0W<>43B5 z{S6m8Od6A~*Nb?7T^O&H@!g9PT2daK7WYKly?$Rur5O?%pB~`IYl0fQp)K|v8cPg! z)ALP3Q^flh>RBuoV1j@EAl6ZfTvI`25c4FZA37!YI4gG9-=wS?;ejHa@C|Toc!`_asmP~uiaA6n;f5g&xdhR zm1XFc(8oIb>?b=Nj-U+!Tow+j8pCuh0Mmt3a8OleG?QQr{RLF}LUf=ht&R)sBLbzj?2CPQdlLF)ygCK6sRJ3^oZch|7@YpW$sC3fm`j|60K$G# zip8NQrgS=?x6=8Yub#neXtqdNL2Ju4|9#gJgcW^vDv2751Y*Glz)`z*jlJZzF`R%rAAHOyHMY$dR&i2LUUga zfw=CPz9VX&(AUr4fbNGdK;0W zMVN72K6M|DDBV7R{(2k=;1(r_m{J?nC}{T?2d-^Pb#x9jLg_ZA>r0W$HnssZ(sxU& z59OyUTo)vNJC+6lG`{}hH|54~16|u+H4O>|+-8U^S-$;qckt6>WpK&MA!0NcvAS%6 zGcNC9GUz!Y{K1_T)o`q1F;_8DQg8Q^KiXt)9_LJYMPv8y8Fk8mTFkQl_r~*opxv#)U`WQT?tK*Z0mQZ zv%AhmJ^=WDlww6B;yG^QB?1fl%rnN^f*h$h)c6h!G2v}gE|qpINjx2-}6MZj`J8Q;r;Kn%&Sga>qevQv=)99+}yr- z+Plk&dTpo2_O`f`^KMK#5xlumQ5k(l`~_q0$(WU{$o=@gu{=bMg<|bt3yo=OWf{Y6 zeIMgyDs5GbXijca$``%LZB-TYo)qqg92HIJok7)!FAMT}6yD%+gOc9z_EuRbvSg{5T_9AD*Cui<{^=VEM(;VNjJ~Rbr+0c{?Ozr_1G7j1fnBr8T zBA#;V(fsTB<_b-{inq(mLMvN7qB=+*)Dv4?&ct-8Nu%vb3bN9=Mucu9*JfEAxWTen z1gNo-^A~@9d8BVr&OiBdX!d=y;iz--l=;1FhqR^t6@>Oyev_GNQPJlku1(Wd$VrDW z`3(5w3f>~yYJyuQ&Gvo-qX8`AH2u)C)hQ(5kVNh-48Ny^w38qgNWBI6D0u)a|NZ^E z(k5nN6Y}OFo;Y{#t_Fi~2xRj+%9E-N)0q=Mu3~Mqpt0Dv+mtp9jt(#mMd^KQv3kKs ziP(4iG6DEYX=67UL0QAS3Cb~~_K`ibY~7dwhWZ98JkkU2b$`)A^cxXxj^Z`#y=;fJ ze{~2dOIyzq zcF6A7_lEJpB0rPoEjH*9a5H~Gp>G7Ov?5kTf>FtTqu)${aPPIB3vXWd*!@6?Of|il zDw4`#eQ`;NdtZchmG=oO`YHSQeZlj4?!VbIe<#r&d!9W?c`+d)TnsEutGABPmN#kG zS7Ec~*>6F{l6yJw&ZgzhvmjbVSI(+t{S?TbtCI-47v^9DO& z`WRCsb2&aiLHAZX2ZML-7z)Ho0fV(=KpJ&J4|CkU6 zaTo{@h3j-*-+tPh&a0ydcyYX-XABP7`5SEpoqJ=SxAY;CdI%7t0%W_>80`q<%>oTs z$N=$g)a{4E1ds4lN;_NRvqZT?+_1sko#iI5FJM8B{^&5<<L0mNow3E#IOunE&E^A^7;ogxIB%Fa}KaNEDrYZFj_RIC@W1n@+kQa$MV6Vf>() z)s_tXp2vBA@BaHl&h(tSc+}$MG4`UsjM?a#)!sM%cC0;>73}l8c0wweqqVEMpRP>0 zCPG6(Bw{M?AEU|M))}gTeiP<4l#L>NH_mtLQC8ofsESV+l+5&1_UR;5|E#iKA}bHk z?ga;hyzd;cDA5mgJvaSWj%2(;w|2_kkaw1GhdM1JewSz6jpY^j;5)ZId*i&Rz#XAq zWlnbxIh6>gH#Tefm6JY@x^vajM)9%AkT*Vybd7Jqd&+bChp~mXy)Xi>DQT(~IZ!!z zveN}udKPjMH`l`VmkLeDCWP}7AX2KM5PbxDQw3|g{FSh?piZu{yzkg}ru9P%IEE?t zW6?#{fH%2d71~W+*L_ENid1QN1brxaV?XoxQC)`I|D);K+;T}sjU|KVi&R*x7_vQ6|zF)8B>vf7&oPNXdu%n6TE{|aRV!snlB&k+ckd1kgeiy@b-c7x4 zT`R#RCq=ssAh(IrCPk?Y(D&50Bkc#Bz1*l9Uo#!Pc7<4Gq`!z?l>9RtcmY??ol+ynF{HUs`LfecbzI zT!3tIdhe^NgiwfZTUpkVbLR;`+w0kehhX>D(};g!WNK&*J^$jjg~;(vY(eben<2KR z@bb%n74vV_=iY}+jvYzS4D)w@r435HA{XB-+dC#`dPs}MUU8q{*JFyv#U;(aEXUOx zq;`w*h!0!d%FAo(sUk8WBu^N}FV8Rh<^(t(M>Ze);^X7yNJC=9Lvr*vUi?z$j~k(0 zri=g*7jL;POUq-mC1j@4H!|QM?Ufx0Lfg7H|0L^UfMbGB#lok92q&ZG^8VxnL6Fap zUr~gjI2DkIyPmK|ZqBMzyRaK3xu1(TeiYE-YB->ob3`p+{U?C^rLgnUrdue3r{Y~# zvUotG({RAkMefe_c5T81qm^%asWpKXNi%6pF(LVr-8+kPx5enjiX|FStO z8qMj(*o&KtPV8x(5L9e>dcSOJ$E{QI38@pI(y<|;=^;0&W;Szek3-##pZ1FjqbV00 z7_$?*Wt+wQ@+#__Zf2E;QDDOHS1Le))6Ei&n<%=z+Rht$G32gd*+eUeF*N`Q}-FEXvpV(S1}^p&xBrt#hnxRqTn{Ao&p^Vv(bFp z*PV~E9?3zGnuYEK7tV;hrH^G4QaB*euiVIK3pJxl*7#;@3 z?)J6kE){ownA!Z@#*x^YIJTW3#?2IWdx+$H#E2EsfoZ2@tcmM?qkr7xq(wg!`KGO@ zBsRrgt5yWdrdj!nGyL)T^5V;h_gc8I8*n|K^MQl(tveENpVz`D6-i6>qdAgyuSQ