From 9eec9bf7b94044c676fbd728f5c0e0434c0f7b1c Mon Sep 17 00:00:00 2001 From: Zane Kaminski Date: Fri, 19 Mar 2021 16:38:48 -0400 Subject: [PATCH] ugh --- cpld/GR8RAM.qsf | 11 +- cpld/GR8RAM.qws | Bin 0 -> 1595 bytes cpld/GR8RAM.v | 162 +- cpld/db/GR8RAM.(0).cnf.cdb | Bin 26314 -> 23628 bytes cpld/db/GR8RAM.(0).cnf.hdb | Bin 4609 -> 4797 bytes cpld/db/GR8RAM.asm.qmsg | 12 +- cpld/db/GR8RAM.asm.rdb | Bin 1368 -> 1368 bytes cpld/db/GR8RAM.asm_labs.ddb | Bin 3136 -> 2924 bytes cpld/db/GR8RAM.cmp.cdb | Bin 59502 -> 53398 bytes cpld/db/GR8RAM.cmp.hdb | Bin 18917 -> 18530 bytes cpld/db/GR8RAM.cmp.idb | Bin 17386 -> 15435 bytes cpld/db/GR8RAM.cmp.rdb | Bin 15817 -> 16007 bytes cpld/db/GR8RAM.cmp0.ddb | Bin 98408 -> 85862 bytes cpld/db/GR8RAM.fit.qmsg | 80 +- cpld/db/GR8RAM.hier_info | 29 +- cpld/db/GR8RAM.hif | Bin 552 -> 554 bytes cpld/db/GR8RAM.ipinfo | Bin 316 -> 315 bytes cpld/db/GR8RAM.map.cdb | Bin 20656 -> 19761 bytes cpld/db/GR8RAM.map.hdb | Bin 17327 -> 17134 bytes cpld/db/GR8RAM.map.qmsg | 65 +- cpld/db/GR8RAM.map.rdb | Bin 1242 -> 1244 bytes cpld/db/GR8RAM.pre_map.hdb | Bin 13196 -> 13280 bytes cpld/db/GR8RAM.quiproj.3716.rdr.flock | 0 cpld/db/GR8RAM.root_partition.map.reg_db.cdb | Bin 480 -> 472 bytes cpld/db/GR8RAM.routing.rdb | Bin 1463 -> 1461 bytes cpld/db/GR8RAM.rtlv.hdb | Bin 13046 -> 13177 bytes cpld/db/GR8RAM.rtlv_sg.cdb | Bin 24019 -> 22373 bytes cpld/db/GR8RAM.rtlv_sg_swap.cdb | Bin 825 -> 814 bytes cpld/db/GR8RAM.sgdiff.cdb | Bin 21838 -> 19260 bytes cpld/db/GR8RAM.sgdiff.hdb | Bin 14146 -> 14259 bytes cpld/db/GR8RAM.sta.qmsg | 46 +- cpld/db/GR8RAM.sta.rdb | Bin 11480 -> 11883 bytes cpld/db/GR8RAM.sta_cmp.5_slow.tdb | Bin 54028 -> 50366 bytes cpld/db/GR8RAM.tmw_info | 6 + cpld/db/GR8RAM.vpr.ammdb | Bin 1038 -> 985 bytes cpld/db/logic_util_heursitic.dat | Bin 13376 -> 12584 bytes cpld/db/prev_cmp_GR8RAM.qmsg | 124 +- .../GR8RAM.root_partition.map.kpt | Bin 2609 -> 2631 bytes cpld/output_files/GR8RAM.asm.rpt | 14 +- cpld/output_files/GR8RAM.done | 2 +- cpld/output_files/GR8RAM.fit.rpt | 1304 ++++++++--------- cpld/output_files/GR8RAM.fit.summary | 4 +- cpld/output_files/GR8RAM.flow.rpt | 57 +- cpld/output_files/GR8RAM.jdi | 2 +- cpld/output_files/GR8RAM.map.rpt | 89 +- cpld/output_files/GR8RAM.map.smsg | 2 +- cpld/output_files/GR8RAM.map.summary | 4 +- cpld/output_files/GR8RAM.pin | 148 +- cpld/output_files/GR8RAM.pof | Bin 7879 -> 7879 bytes cpld/output_files/GR8RAM.sta.rpt | 1112 +++++++------- cpld/output_files/GR8RAM.sta.summary | 30 +- 51 files changed, 1663 insertions(+), 1640 deletions(-) create mode 100755 cpld/GR8RAM.qws delete mode 100755 cpld/db/GR8RAM.quiproj.3716.rdr.flock create mode 100755 cpld/db/GR8RAM.tmw_info diff --git a/cpld/GR8RAM.qsf b/cpld/GR8RAM.qsf index 886cda8..cae00f8 100755 --- a/cpld/GR8RAM.qsf +++ b/cpld/GR8RAM.qsf @@ -56,4 +56,13 @@ set_global_assignment -name OPTIMIZE_HOLD_TIMING "IO PATHS AND MINIMUM TPD PATHS set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING OFF set_global_assignment -name FITTER_EFFORT "STANDARD FIT" set_global_assignment -name MAXII_OPTIMIZATION_TECHNIQUE AREA -set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS OFF \ No newline at end of file +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS OFF +set_global_assignment -name ADV_NETLIST_OPT_SYNTH_WYSIWYG_REMAP ON +set_global_assignment -name SAFE_STATE_MACHINE ON +set_global_assignment -name REMOVE_REDUNDANT_LOGIC_CELLS ON +set_global_assignment -name ALLOW_SHIFT_REGISTER_MERGING_ACROSS_HIERARCHIES ALWAYS +set_global_assignment -name AUTO_RESOURCE_SHARING ON +set_global_assignment -name PLACEMENT_EFFORT_MULTIPLIER 2.0 +set_global_assignment -name ROUTER_EFFORT_MULTIPLIER 2.0 +set_global_assignment -name FINAL_PLACEMENT_OPTIMIZATION ALWAYS +set_global_assignment -name ALM_REGISTER_PACKING_EFFORT HIGH \ No newline at end of file diff --git a/cpld/GR8RAM.qws b/cpld/GR8RAM.qws new file mode 100755 index 0000000000000000000000000000000000000000..5ef41df2a22567cba866e960db326c12348db2c5 GIT binary patch literal 1595 zcmds$&1+Lp5XH|+S`d*UC~hheLX{#!(pWVG7e*UJ4H|1j#6`%*i0P1uIP~#RZvk4){kSIQjULJCt1s}SJwh|4qf2v5>{R(5c?GQ7WnFFv$qxE zMpF6`)_2doB550pCsJzPz?Y^6{AQ)-H2N_I_J78Zw^CpD9a5FAqHBP^pcP%!4dyj1 zW1Z7w4iKJ!x?{s<_TU#o&{X@A9@j@6yR{0?O(%l==r)JmBIEtS#I0qOh*!}qZmsH~ zt^_!V=s-VM#eTb5xE-pR5`r@o?(2)EgSQ!&!Jn! zj3#wc#MSeGccUb2UW%UD#c7MY71B0Ca=Pk3vCHcZUl}I{dNxWzb^=NXf|W7BozgqJ z1ssPHU{l1h?6Me+Cmw#}BSed-guXI5s|V|S!H(+Y;06jmm;TYFw?A3XW#t^ql zQ|qBNU98WOsJm0$$>?hCUkn5&>y&A2AgE2!;6RPx~p4s=^|p?;#G2Vw>v$? za?iF9cXdAWu)EqlooA)ZSI2h|kD|6lsf}K6UwAZkwRw5G$83eJ4yB$AP1XqYmw|K} zy2GmMb909zxcNMy7QfJZ)YhkD{>%C@t$$fxI~AdUS^lr0flxBiZ{XJ)&3M*t7SB`q E0id)Vc>n+a literal 0 HcmV?d00001 diff --git a/cpld/GR8RAM.v b/cpld/GR8RAM.v index e2451ef..0aec353 100644 --- a/cpld/GR8RAM.v +++ b/cpld/GR8RAM.v @@ -230,78 +230,81 @@ module GR8RAM(C25M, PHI0, nRES, nRESout, reg RTPBr = 0; // RTPBusy registered to sync with C25M always @(negedge C25M) begin UFMBr0 <= UFMB; RTPBr0 <= RTPB; end always @(posedge C25M) begin UFMBr <= UFMBr0; RTPBr <= RTPBr0; end - reg SetLoaded = 0; + reg [1:0] SS = 0; reg SetFW; reg SetLim8M; always @(posedge C25M) begin - if (~SetLoaded) begin - if (LS[15:0]<=16'h0FB0) begin - ARCLK <= 0; - ARShift <= 1; - DRCLK <= 0; - DRShift <= 0; - end else if (LS[15:0]<=16'h0FFF) begin - ARCLK <= ~LS[1]; - ARShift <= 1; - DRCLK <= 0; - DRShift <= 0; - SetFW <= 1'b1; - SetLim8M <= 1'b1; - end else if (LS[15:0]<=16'h1FFF) begin - case (LS[3:1]) - 3'h0: begin - ARCLK <= 0; - ARShift <= 0; - DRCLK <= 1; - DRShift <= 0; - end 3'h1: begin - ARCLK <= 0; - ARShift <= 0; - DRCLK <= 0; - DRShift <= 1; - end 3'h2: begin - ARCLK <= 0; - ARShift <= 0; - DRCLK <= 1; - DRShift <= 1; - if (LS[3:0]==4'h2 && DRDOut) SetLoaded <= 1; - end 3'h3: begin - ARCLK <= 0; - ARShift <= 0; - DRCLK <= 0; - DRShift <= 1; - end 3'h4: begin - ARCLK <= 0; - ARShift <= 0; - DRCLK <= 1; - DRShift <= 1; - if (LS[3:0]==4'h4) SetFW <= DRDOut; - end 3'h5: begin - ARCLK <= 0; - ARShift <= 0; - DRCLK <= 0; - DRShift <= 1; - end 3'h6: begin - ARCLK <= 1; - ARShift <= 0; - DRCLK <= 0; - DRShift <= 1; - if (LS[3:0]==4'h6) SetLim8M <= DRDOut; - end 3'h7: begin - ARCLK <= 0; - ARShift <= 0; - DRCLK <= 0; - DRShift <= 0; - end - endcase - end else SetLoaded <= 1; + if (SS[1:0]!=2'b11) begin + if (SS[1:0]==2'b10 && LS[3:0]==4'h2 && DRDOut) SS[1:0] <= 2'b11; + else if (LS[15:0]==16'h0FB0) SS[1:0] <= 2'b01; + else if (LS[15:0]==16'h0FFF) SS[1:0] <= 2'b10; + else if (LS[15:0]==16'h1FFF) SS[1:0] <= 2'b11; + end + end + always @(posedge C25M) begin + if (SS[1:0]==2'b00 /* LS[15:0]<=16'h0FB0 */) begin + ARCLK <= 0; + ARShift <= 1; + DRCLK <= 0; + DRShift <= 0; + end else if (SS[1:0]==2'b01 /* LS[15:0]<=16'h0FFF */) begin + ARCLK <= ~LS[1]; + ARShift <= 1; + DRCLK <= 0; + DRShift <= 0; + SetFW <= 1'b1; + SetLim8M <= 1'b1; + end else if (SS[1:0]==2'b10 /* LS[15:0]<=16'h1FFF */) begin + case (LS[3:1]) + 3'h0: begin + ARCLK <= 0; + ARShift <= 0; + DRCLK <= 1; + DRShift <= 0; + end 3'h1: begin + ARCLK <= 0; + ARShift <= 0; + DRCLK <= 0; + DRShift <= 1; + end 3'h2: begin + ARCLK <= 0; + ARShift <= 0; + DRCLK <= 1; + DRShift <= 1; + end 3'h3: begin + ARCLK <= 0; + ARShift <= 0; + DRCLK <= 0; + DRShift <= 1; + end 3'h4: begin + ARCLK <= 0; + ARShift <= 0; + DRCLK <= 1; + DRShift <= 1; + if (LS[3:0]==4'h4) SetFW <= DRDOut; + end 3'h5: begin + ARCLK <= 0; + ARShift <= 0; + DRCLK <= 0; + DRShift <= 1; + end 3'h6: begin + ARCLK <= 1; + ARShift <= 0; + DRCLK <= 0; + DRShift <= 1; + if (LS[3:0]==4'h6) SetLim8M <= DRDOut; + end 3'h7: begin + ARCLK <= 0; + ARShift <= 0; + DRCLK <= 0; + DRShift <= 0; + end + endcase DRDIn <= 0; - end else if (PS==7 /* && ... FIXME */) begin + end else begin ARCLK <= 0; ARShift <= 0; DRShift <= 1; - - DRCLK <= 0; DRDIn <= 0; end @@ -337,22 +340,25 @@ module GR8RAM(C25M, PHI0, nRES, nRESout, /* SDRAM address/command */ output [1:0] SBA; assign SBA[1:0] = - Amux[2:0]==2'h0 ? 2'b00 : // mode register / "all" - Amux[2:0]==2'h1 ? 2'b00 : // FIXME: init row / col - Amux[2:0]==2'h2 ? 2'b10 : // ROM row / col - /* 2'h3 */ { 1'b0, Addr[23] & SetFW & ~SetLim8M }; // RAM col - output [12:0] SA; assign SA[12:0] = - Amux[2:0]==3'h0 ? 13'b0001000100000 : // mode register - Amux[2:0]==3'h1 ? 13'b0011000100000 : // "all" - Amux[2:0]==3'h2 ? 13'b0011000100000 : // FIXME: init row - Amux[2:0]==3'h3 ? 13'b0011000100000 : // FIXME: init col + Amux[2:1]==2'h0 ? 2'b00 : // mode register / "all" + Amux[2:1]==2'h1 ? 2'b00 : // FIXME: init row / col + Amux[2:1]==2'h2 ? 2'b10 : // ROM row / col + /* 2'h3 */ { 1'b0, Addr[23] & SetFW & ~SetLim8M }; // RAM row/col + output [12:0] SA; + assign SA[12] = Amux[2:0]==3'h6 && Addr[22] && SetFW; + /*assign SA[12:0] = + Amux[2:0]==3'h0 ? 13'b0001000100000 : // mode register + Amux[2:0]==3'h1 ? 13'b0011000100000 : // "all" + Amux[2:0]==3'h2 ? { 9'b001100010, LS[16:13] } : // init row + Amux[2:0]==3'h3 ? { 4'b0011, LS[12:4] } : // init col Amux[2:0]==3'h4 ? { 9'b000000000, Bank[1:0], RAcur[11:10] } : // ROM row Amux[2:0]==3'h5 ? { 4'b0000, RAcur[9:1]} : // ROM col - Amux[2:0]==3'h6 ? { Addr[22] & SetFW, - Addr[21] & SetFW, + Amux[2:0]==3'h6 ? { Addr[22] & SetFW, + Addr[21] & SetFW, Addr[20] & SetFW, Addr[19:10] } : // RAM row - /* 3'h7 */ { 4'b0000, Addr[9:1] }; // RAM col + { 4'b0000, Addr[9:1] }; // RAM col + */ output DQML; assign DQML = Amux[2:0]==3'h0 ? 1'b1 : // mode register Amux[2:0]==3'h1 ? 1'b1 : // "all" @@ -362,7 +368,7 @@ module GR8RAM(C25M, PHI0, nRES, nRESout, Amux[2:0]==3'h5 ? RAcur[0]: // ROM col Amux[2:0]==3'h6 ? 1'b1 : // RAM row /* 3'h7 */ Addr[0]; // RAM col - output DQMH; assign DQMH = + output DQMH; assign DQMH = Amux[2:0]==3'h0 ? 1'b1 : // mode register Amux[2:0]==3'h1 ? 1'b1 : // "all" Amux[2:0]==3'h2 ? 1'b1 : // FIXME: init row diff --git a/cpld/db/GR8RAM.(0).cnf.cdb b/cpld/db/GR8RAM.(0).cnf.cdb index 8dab69a44df267f9eb519be346e6394965b32133..e16e27287e41dc112fe6ffadd9e0b25c5754c92f 100755 GIT binary patch literal 23628 zcmYJa1z6MH`vxo`BGS?zOawu?qy)CFAR?`_q)173!$yaclr)p>?(Rk=-Hh%UIoQ}< z{Qckedav!;bK-fR|D*@bVFvzhMmf)um>^~{g88maD8kO zjl(mU0Pd01udF|LY@U2%_6!PokQGAjRmgT>)p@a!Ml3@6(Qoyy1TCr^Ywy-BRpV@4 zpYB}lQsMhyL81ok+|bsh{P;vYZL`doVVesL>`i`*sAt>} z^ZJhZi^aJZuuq3OWU~ys@*T`TUNRipU-0iK^C7M?Bo>BF6d{b{qh%7Vm$>X%hC<|* z3*m*NDPgyN??qbJ?P+*nOmf)m;Ju)aNiEOT(GxPSq`OHTH{Ub~NsEo4v;P1z@4QbS zZ%C5txJ)3XxIj$8mW~TiBr$eAU+gzVlBZ1S8>H(x44i! zO!KoUKg|!XfTmAV@uR^%W9V$lbHaQ^9ZZTkT#u6x)Jv}r%>-dFDM23KQA@JAS@=$_ zQ(}cvg=RB5kmPOBEjNNWQ(`-aII|XND$1$+20K;({jQ`3*-w7AEethP(gTer*MqYK zZ13KiEXcI7|*q~L)ns|wSW#zRn0!6}_X zpuxk86v~6Q3JW<%VtU_WrbwE-W|c=s{#mc%>xs-XADfa^$8Y{( z@MmLpH=@g%EG@}3AhNYO+fl0z^s{&O4lgr07Im=xS?=S6ws1KAFNKc^5^`iX z>rlv!SRF`t+P7}H*PWL&QJA?Ex3HS{uAQt0(ggph8aX14oHa#W@*VA{o@*ZNNa2v0 zxO4290AHMx$MEB#vy}JThN5=X#K@wt9k1t!zy$)U!Qf~Pvjiu9#nv*J$6Qx@1Yj;P zk>87Qvg{aMy7prC#GlMdr~Wz}fiMM_T+*y;bj+a>M?y(KqO{K@69``oX|NTM|Gq`fY}Vq;z1Y{29h8k$gD z>}J1F(ddSl*M#z2^on9#wm?1b8idd}_85UB^a1U^1xi-1W1PL#v3ja#i>qFUmnyp8 zUMQ%d*)Mw`-&E0%{cvoI6aqR<0l#&f_0TIuj>g{RsXGD9qCMNT^)ea9bkk86wrJ(p$I$fRjrp7Jl>kO$&uD%nJ_Q$i=$2;nl z%J!+2tt^YeR2%-hU#x}}FokxT(4pEpv5@u3v#iqEJohT(`O`p1;grultxB+)-SRf$ z;!!9~W`UU?*Djfv$%=AyretjC8ZL+}RPxoqe?wmD@2f*%2@Aocz524F%xaCK zG)yZkDc7B=Gr7+Qyh^H)lyyPoV5q_~PoejCWInIW>XX{`+vK{nCOXRUW<7;HJv)(@>i zUI}el(~e8~17Zuz`v#)c7<3Nsz3ID@*Z4siseO~z?s>U95j=B(vs#=`A z>opmjBRiTq;##O$)UGvYsQ5l$XEYwY+@9@v)bdI|?JqtlgLAH!Y=(r?o|Ix7nC8Mg zM2dm4gPhp9;Y?s)^5zyVe0`0a(}3!e(5V3M=OVE&l|geB=9ft%7~N!cE{^*^^JPHK zlz;q(bV~MGLUnwVA27-S0T;E}e6cK<Q z#XV6i%dqyr_{T%shUDrJ5s-ZoFz_*Sf_y^nP3UcGr`?%<&3Ag=dLuNo&N5X@zOIcm&|wvw;rU! z=PAzZ&pP|s-x!X0lB&g@y`9#5lOLr!74`e|DUh-D=PMzq+l27P)^CJ;Z5wmCreJ1D zW>P+J;H3Pdo;S1%>T>14~g1^rcbZEY{1pkq>EhKYk(f9aac5KEhX<*|+G(t8<8n<0brXg#>Qm z1y@O@Xt*6vn6m9rGH&aP@%#YM-f##`ml;8(BPLOUj z@A)xFw~dRC$>)V5x$^OP%P6iT_}7;{(YX!s!^-`%2#;+~@ZAU7)ab_tIi}}} zSkE-0`eS?18puG#j}yw&d-Tk!FbP@SS}e7@@hM*hZ-# zYL_5CJ6p9^>MSa?e<&<>+ljI8oLQi!JU>)Sl{(

V!l@Cs<^O^5r{ec-eQ?pd*(h zt*uxF^3p6MQLQB1qcrB+cZ;@=g6G}|?+ezC=>8ZwCTV~7s)|m~{;lfS^Ht|L*Zwat zy|LuQv#?1`iFFEFdOSuG55;YHlQ8KmiXByh_;xjq6006l$2+6|>)KuXj*vHu-Mqgd z!{muz{KP>aEa_q&z7==4VR6O8T8?f3DEL&rM~8ieDtKWS0fn zfYIEG6}RW-GYX{1|EYNm0NM926dx75`Plb130U)F>=oHwL<3}=;Z%X`?htw`na3A+ zDSl#JMDM7{x*}E|#-;@d$`Xcw7-gQ-p6iW?BSu3Ri`ZvAB#H5j>bUOGRy#oZwHp)x z_?@foiizUsTu+k&OsA^2^{i`f9~#D0?fMDe4ZU=aG3kAo8#=_j;7#;yG~i%o8{o7} zx1}g1)6Ut!W-?heK(?jFAw{sl_sm9Q*;MJ>!A`WeBl2yc-^~qO1ja$>omJk&b1|Pk zY8=bl%`>zCONP?zi5MZ1$&-r14(_wEgv>`L6k>7N^A{rmcx5AX6AXgXci;cd40#bL z3ujRO>tlzg<1rZ*9iU{5m~iHy@iML!x!u2q``PQEk9&VMUnIvz>b#wc4uU_Q=ech; zX#(3>PVjgnOtv$J*Rpd#LKcSN$3k-yFs#}`s-oi$MM;H6awrrhB zLSrrWfaJY4UU$g>ODYzB6fv8`bPHxcu}D#`-mZHO;W6rqPT&19XLdx>cLk6V`FGLj_QklK?>S2)p=?<}!? zSI}IlD6n*n+spoDF!d>m)_jGH#!lRq%liS-M0b5Ld1s=}^1)Y(B0yq*atojuzrdok zEcMJzD?=i`&{b#aLtYr->uH{nx|9#mXB&yJGKr9a*B_LcBdjym0784C0-GAdTiem( z@ur`ZTf-M5!wWBZ(gP*@RIiHJYxr4q`lCGfB+ z*!${RV!DR3``l4vRl3;o<4_H#2qrqnL%~I;pAstV#&Z$sm}guhG=Fh+Os{x zo?MDN_N~d_w^vdPYlfCNcF&Ye%%{3fP)0D)D213H47<=a%!&hs6)4}rd#|%_(Z~>NOvPZ7c@GJ= z_Rx|-<^Aqc-uPo?j<+0#zn_&(mabOXRb~4`g)LP_^l&zG6#X4YKKY}XD;N3n8e*UnChKzncjaJ&J|(LM zCA=JWl~b2S(O^vWwUA?#KKgloc>PT=R9VM{f}I|*^yhBNbe0v-(^#vf`1IT#7IEu2 zAM+?;l}d{p$?Ek|k3rCjKvsG7mxzS78v@=iMtTQ>Wzct4BSy>;8I86 zKyLC#PJ7?VPvXXmySYR5la}LK1YNn_KDwkPo3lyUsA=mmxbP`fjby+q&Nbt1NsBc3 zNDJHAzzb_#n{jmoG|d#Xz3$mZ$RHm^k&WH{9pk|V;lmK)Dj(xW4+J)>#nDNEK5=56 zHP^VXq?ESdh~K5zlArRspMG8;XitKWb6|+}w2AELr_nx)eA*J+!ai+ny|Dv6B=mwj zD{L)|kGC4<+E{ZV8<>Z`I((JpMM7b#?sPlw*G;4=$_F<~gnp3Y%cL^d@AfozGU(s> z8#M(R%y!ofJ|w8UQBpI)F?3}snykMjlfrRBaAV?IdlWPq zXy!13rM{f3UdH=h2R63RBKMzzuGCBYhCG z&;nN};d98|nv`n?cpIC74Fob#N1U?0o?Wd$a!A#nQTEf_cD`VRx&~cap4kOo5lj*$ z)eud3XAg^iez5R$8#^pymh}Wyu!Bv9a#;#D)gbxq1n)}>-2!1?>mB=hW?5llN41Zk zeUQChTLA1#>lS9Sr{rK+za#kwv|6>-hy9Da((hGW0v?QF6D@|N$umPS*cP)UK7;y` zU0;6}tnM(XAtvJn`nR;tf~@5KZ%8DhjoT^7m?sJKJqwdBal?8WikicLy?k9F=8MJt z5xmA;|7yY*x2Qe?U5XWr{V3jiIvE2+{XxfA5bmsugUEeuF*_!9Y;NNHFsB&oAN8{i zLz;Usu1GEgR*I#j%OjXTBgWQiD8L zqbcweJ1r1_>gEXb{(&=i2hVk^sq$ytH)o;&uyp|GpY@K&_%G-r@DmL6J8|xrf4yrU z6anRbuir$?{?3*d7y+FSszDOG%;lb0XDux2vOuVNnXC52+>w0jus8gxhgk+s!dqJP zjc_{t+ktG(n$5P?>KEK8oO(a$KM83sxh^!QGP1-+a*p>u$3@)N@4zy?z4fO9tE>M3e512;zRVCul6n}CLxq_zsQ+LQl2~8g z3!#~3Vo6fL%<{o~Yg8DisCXpc52%6# z{qhChuUx2;&qsj@48IOf({QmwM{Cnnf{-e_+7U&M@2y$H@S3*>*3?c&x(ne2YJ6ft zRHOdi1ynk*+jpN2rLWX+5dGctyWOPy%?+bJ2L8PFcr}I~K>YRnTVh|!X4up2JLOQP zz2=lP!1-i3N=KXusb36%R5a?FF10-~e>8OxLn**=+B0(|(LEtt`P-+%f(CDU_yjGF zBcSuqbImu_VbXawxNbXGB3$+mj{#&NVvbw&$+t;+O9wAU&Sr`1^K*SRgf6uP_=!Q7 z$NR4AJ+k4|a`fj37g3!Kv-A4N1{nmq0~PXb-uoy97pYOQ*5_t(~nov-$HZ%8!x znSN7~1Uk|mFIO@wDcZZR^rimFTF;Rly>XAaj`n@C)QanTE#FLiQf_yC>>shZ>`UF% za{I`Ske_fR%YF8Z+({@ytGCQahVPpXPs*s<4u)YHLmk)pk7)L+JJmDR)$Y7^v+Mrw zdO?+7c&l4#l-q!F3@PqkUt3iY-Hs=?)!& zWCOny2s1RMX$ktAv1-}q-XlH^NmQ~KoYiapO|{XGA98jIi1kK zZ2sks?B>SF0QhgeHDRuaYVHD|jBqxGFa7{K&e-5%-=X*J&cu=X5)EI8PsjR-HKS05 z6NbaC08bhi_gO3R&L`W4^N20Ois*IX;^o5UD;rGw!6-{D9h_@l_D!n=+R5Q>55}Hw z|1t~wV`1mY9|->t$C0DH{LSU9s)lOvC(#XXDph(Ph|+TVZE8ji&9`#mZZa(MN)0-0Wt!>&TewSp*0sZLs?$%_PI$Z_Q!EgkT- z)Kw^dzVfHL9yWWR|1+JHAV&+qUv(UM-2CEajPSl)pHrm*v372m?kg@{!qWW>*&bp0 znMEr&K~w*d+~Pjfgzr@G-K%SbWq~hypc~sG8Omo-{h~?m&V!74g_?{A*mGCyMn^#1 zzJ@$)ot6DNzIaW1kCM@6u73jL+7~xhK6$JrFL~)LPt4XkT9$|&=V;}z{G{~^Ep46^ zv@NOCbpNRdOVWfm*IAc1n@&@hYR)D7a(}e)+13^E<J7Q4%8?NyyfgNzoabW=IcDL$== zZW1(=@(Z`)9Ew&5=GZEvkvQNlVIf_-m0pC ziqlE!t3|FeID1KSRB3g|fXK+zg{H{@XL&^?O9|8SFLmg}eSzxz@L0`}jff(LK9=Qnd)lJEG?V_}-D&o82y832v%Tc_;u==^ zvb#CjmpV$3?_nLPyTCKTeX!Wuv&5!PSRdw3btQHvP|ABCcDG7ulNa;8%yJAv>aoKF7GTtM?Jf{W2}TD>c7yeu(@w_2K;fF`g*;#+~<5^fMGU zi^KPhRjDWKZNvCtw+u0Xr7viGYWE#l4-*AeLr<+y+B-qbUF)4;qy;;}a#sqBr`mY8 zyGv@u!Ge6&A8xeSs4ECBUEV#A}q(hXGfwNq$4gh zy%9&X3ek2IZ*2QDG%4l-7Gvy*6(AP7%|$9PjLogvzT{ek@qwKk%ok{}8kc3WgR=tY zt@ZQHCq`1xmMX%Es8ig9N}V9~tz{$p@KvfABE#nF96-oj?b&axguBmu^w2gmSLZrL z$CwcG91_Wn)M6tY0F3-lKE`7osHzBE?%OF?sW8`8;oT4tv!6*;QR!wMO=B;C+Ff6o zDT^DSOZLBsy_xjOvG@V2UDbajrF;ven7{Pxa@(7u>xxB@5+IcyLE=^=o*_E{Ko4vb{YtRXKlzO zMU6CA8E?3S(dmHOg|rPJM(-Z*b@lHyZPWf92LrF#1+??qbxdLec5CY7_Tu1R*#1Nr zxuzFTWp}s_xHVDWy%WHzKsDK?Uqton_3HJ#3afkYq3YiXhedKHwEb!unf%t^(&m>U z^y?BPGTP*zo~HH$kKiA@|2liD_2-1p007h~VQfzV#l)?vLgc%geQcI>ZaeH-L>nry z^GuemqDuPuVK-S7ZQ?-jJ7=`BkAly1^PSRhli?(a{Cphs4Ez&mvuo4?ZuVX<_)OWS%3FR#`L79%D@m#(pq8oETA@cMxp$6n0~*dYNP1G*Q0_{(E>^m>#1xE4(ydGIX@Tr}X9F+I90<$5+TUWMl%RWsw@{7$q`}t<}(U7rn^QL>Bv*8)>fIeCJy4RZxe4y6nY6txcwUwhM!q+;01V;s?mBG zywi`h>~g*i%pZd8Aii}}#av%Kl4NfH8(FrxX>iFMrCDEZJ^cT;=*6f`Z`YvY{+xfd zTKbAk>Gyqg&qc?`J;#`|MQsgP*)M$DjviyA-oq>AzPobT*Eg@PQ91H!O*doPIcHu4 zPgeqN!fv=igaA0&Uk@PVZ6Q9zaa@N*jm5RE@3HbT-?Nx!$#>7nuHxJEOM;_J`p~SD z$C3k()Kk*Ia_?qjDSZ<%>#P1rFCubDBmF#tZ#x2s;YLpSO#;@07A*=FUUR>{6PWGb zjC%s9S~Kg&SLFvFycbJMKnj=cGoMdX=SC#EPms;G{+Psd(7f1RQI%iY$kJVdT#w@e zsO9~L15L)1mtV&?Ax3?scACu{Z$~P9Q({8y8tl{GG@PvWoqxg>Yw%HCPVU=Cdl+Fa z64TBtRP4h4kHy*GKXL}wReMIt9qjwVp%@aV>#)VFoaU0=J0O8NZzflIHs>@U<609~ zLqPE?>z+=7_!-xwYf}UE&>*1W2EjpXIRaD$c46G>@_Ew&(de_%d9wKN^d6W#WN)Mc z2ryuTs{biF*oW0?T45t)AtJw=?}cy)d<=#cgOO) zjZ-fJ93VWkQSMOzmSk;R=ijy&Qx___gsLG7HchoM3-!I@Pmci?)W6aqiCjo>!&*I5 zX(3(ny&SFcj)r}LjpU6KxFl>`{WZKW1$bAj&M9K|hoQc%D<{o58_$d?ebl7^GoeP* zXuDyqlfp>IoZOGKIpLrkw2|XePp$u`-gY}58CLBN7Vv?`%G+-@kr&hKp++(15mScO zrKtMfjOs8dB?^qdkQ67gXdPeZ(sMM+`gs8XkVDKdIiN;GMT*9MiBg|u>IrCSuf}`f zbD6#dj{)E4>KVRm-l%Vkyl3*#!ls24imcG-U5j^frPcoFl2<98pwyeYUojqZDL*UMW)NHgkT4DU({^n4qSo}&0xQqppN$-Wx z32m>Vn`k~cIU5DQ?6)hpoV~PkgCy}r_drwUG;u><#l9?V%8f{NRDzqf!jHs_b2tHJ z)OzrB-_`}U^_qI(e(>^B&>RH+Nc+c-ws!{7Fu!ld9`D+=!-2F*S#xzxf&2WA5DLiR zbIb#GFp!s=L+y95+hLXPXMZwd4)6kDGJSHhtiSZp58#SMK#><{P2TogK4x`yfdvj6 z_=|S^SqHn5gAd0HL8Otc7hM>MxYaaFZ^V3w=XadtE_PDHI3VQ$XJ0;_6u1tI#Pt&M zSaoir>aJjy^e8EQEZV+%`N_4|n%IQV;PL4?GIqCep`XrRpe6Stk~kVJ)_}+*zFujv z^4rqpMRJ<0?~C*|=83QUz4Cg7)gOff?3yYmaPCrEEdjV?DKKB@~Mfl zl(Lb1t3@H_)`Os$*vDISTTjdqaoz&bCHWBcloN^m_!oJjoVQ=e0HylhXm|dS=lPPx z5+8R1UU@8?%*7v=)~>Bqh{5A|F(@x&uhQmJGP(25hxnb z=FFsDP149?G`e)?t{y3#Hm7TUZBxUFX*BMHR4C#(dL?#Qo*iahs2%-*>)F1-_b1R$Z}|L4N{F8dYYKRE#ZE=oZ%)`_tvqW@_c70yw{K zLgiOW%^!MknO_P>h2zU;8TP>X!oTxSX}u6tF}SnOW*?}ye+W*{-ag+A|FUeLiZMOy z0~swK=eAV<4yYJhUtXrx9%|eu4IQ5Vy# z&QWP&t9tl+qtiv;{+P@zMycnaCa#;at<#1iB;(V1guN{z)CizWPkmZv8q%|~9rtH< zjNEXib^3rf$^gIL$=NFeZT}K;8g|oRqJVBe@=RHTs9Glo>?zy|ovZGJ^CBc806Qhw z7dkiYamY1!qK)Z3?8&j8J&H{~3E00O1f5%7D%XDHzWMeS-b)Jhjl?}X;6|eXDAeJU z7=yYSrZ0ybAh*PbmQEE%)q>W9+8=@n-}8OGnB7iMxUf_onwQUek*;e@xbi5N@zO@ej=QCw$trGKB=PY9YkPt)vt6( zW2jkdS3U2XDLP)CRn+NlMVAv8029%B@X5XEnsPry)gLej6{Nzh1v}HPgF5jUPsy-m zbm((IK1!qrp5U^f*K55b@wa@nIAuzq`0$PdxU0S0<@?jJBa}h{}?43rL~qWGZ4Q% zO@3YmkchSZRL1T}ga1c^_Q#?4L#qj~B?J4NCC_|?kFB>Y)>_^hNo|eZZ*DKO(=#1| zpSt{dJ=u;lVk~*Ev&^XuxVmfXRKJI$d7)h$1mYC znMnS_I~Nba!gpIm{!4<$ct<9_sfkLN^*(?{7EbI?W#%>9rz z_Uxj{!x}$_w3mxgZV>X)F--J`VK6w4nzs6Hpintplw^{#HJdj6b3*b(m#+weo1ETH zg*@-X@mcBF^$oJijo;L7QI)RXf@u{9i%%&>uEdTg{JakyuO**bhSIOOV6@e=ePqf(Zs_kh5BRNj8(2RYt0pnoUqi4jqeT{y6%MORB9&9!QOlgM?A*) z!~FDNR$yD>VHF98>_pi|1NNf$x4-4}YU+;NSmBoBN47T98ZWDWUX|`j1VxzY84fWM zvFf_kE~0~Lj5+VAuO!g5K0gA{6Jc_{KW|9Liwg<@=xCia1mwVE?a0;3xk6s2C;4>l zd=oFtA;Q9LBdC#^$WUuY{m-cTC8a)!!7fGd+rRgvn`fb7=6E5VUaVBw4Z9Ur=ccZMRaeESx)DY+U*7u1KTdl?i1CEhzM zWTuggwwY5Rdlw;la}qc^A(-Mv>E2r?)>SyA5Bw2Yuq%A;Q@Kh>sNpZp=TN-nqSqh) z2Y|@%t?71XE})qAQ)MlFAGP7DTL%c|k2b3|@}9kpzsGiBccQP{i@Mb}NN-Q<8tb&V zMe!_R&c}bmm#xgMWp1TCvVai->zPbqPMcC`m^ge^MLKXHgFoIGI~=m%u-lDYBG*<} z59l&qe%mSNEzjiTa5>(je-7lri$M4Xrgh8N0esLkOQ*J^$!QN8lCXe+bT@)KwC&AJ z6BE;|`gcWYJ8xJoyO)*y{Jhz$aIN=~m?J@SpC1J~2ZniR0Z;0r*zLw|7IZB4I0hBl zr3{%-?XBr5^PQe)*_8uapot-XwFx6+3O(Yh!-YRAl)~Wx`|LSmc7<2ReEee!OW(ubF|wc1YBdB;+GIey8Oahl8JN zcQQT~qAmUYdOm0A_lF$%=&!d}6^Hfs0)T%Sk+t0FV-`^l1xPIWqM2$})6Sr6AwN^H z9Bl=yeYeMIbA-o(=nQI7pBX<7{*KRb>$haIj-Sss)-K%Fam2{fB6^VgvNjR&y$swIlGa)y(9G?a(I3 zYe_8aKM8eNjcNOZ88{z!92m=hogW+b4Xvm0$B({b z+mxhaWIx_<%~V`3uYDYb$MPx^{Yd=K*8f?(W5$bF9}#Jcld9DO!=Nxxe*P((BjGq#@Qf(#UqXv$F6Gd|1xhsA8NN9@!7EN%y``2>dH(vJEfiAyVyMW z&aNuoAj=*RDv;YTu87r;@Km_flWe+U%_`UlckJFi-;js2v0FS~>baWrIwKh_uo2zj zqgTBxY2kv#f!oIFB2I zo~5Ccrrv`Vq~s_>C_%0;q<#J-+KWJDxt6-Onf~qhbkY^SU#g>A>Kdx+T#-*X&$v?|2wDhN>M!4YdXq2Jj<8taP^@)znAvix% zla%+>He#?Tn{8j`cin3&OI9DLZL#nYs_}(cZ(p6cX*TSDX{#267S5YZ(MIrxci!p2 zJ>Gm(+{hc;?^}%4hsOQ(xe@}O)we)W(wVveDQtj~A?8;O%)%U!6uNh` zAJYn17WuHd;n1w-FJ~C|pO~S#zGVpH84^rGj)(L#b~GK_T0*(5B|JDP2>=X2BmRp= z{PM0bj4uN;{g#2a;O6?^F3rRd4qTA_Vn;&Hqow*ECStD4k%Ih3HyS==OpW#RPbC`A zsbSFbMYVtm4ZXIeNQzl=YHce~8to2EhMIWl{%OnZj$r!@GHmbf9 zG``uXQF<5lfC!@~h=e3|K`b9*{prw2o<83sYei>O_d+k!MOP>857=j~QAT`n;>Cub?~tE@E`O}yEmCkdL3?Qj@JvAUHZ1SS0PUeyZ9<++_*O|w zI9_>cP`th;YMKv3^;G@iG4#dvmq|gT7bi+=89T3a6YS&0HlFirD%U$t&r7ecW|WLk zpRw)fyVm~{mG3CcXqA7fok8(&r?@7#RsJ^b=w8uiLgilX&ph>3TCVe}*?Xh5o;wNG zMw^%ehwI94G}qCvXe`82(CO+zPk)y6E+Re_Vk~$@($JcgfYont@wSN+ewY?3@_j=P z`eOvQQ8s)(;&exe8Hft_@O=H?=qR{L#%EDBi?^2)LQS=Py#*8gF+_ZGk}+Pu2f18g z#cHar!-7&KAW#m3#Rp{syn!9U#P|LZ!D|vy%;7InuZm_meH-MBi80m!iZIF@E z(@O%BY#{`hH%f-R^Uq|)H2-H8hRr0xgb-mQgpdOkr|{G+5JwChCb}Su~8lr8uo)0J~r-quq1FU!xKY6MV|9C+LW_s22Vr(wR`qkw%qlzDvD_| z2SW(GTi#j2hgQ6*YxNN0q{2q7HFfRcCKHt>Z_vf{LzN>T!ifx%+MA*72V`nE-&!Yd zsM$OkZfrx~&wCb9r*{&z|0s{XhUCk@o=p-FrLxGk^mNfAyHpEWg=(~rtG9|c(yA}~ zcJ0uvg@xz87r-y|o{K(z<5##j5l^L2&xhlu=i&H`R;ObPbIzbLB{Avq@)Pjxz}Uex zg;ynxJC>%OWlUgyb0gZwAnELPTy|L1QR{ONk$A>LyAl_#{s513#CvMrOdxa338 z5^*7C(W4VlWCZ-V62&x(ilc&tGeiT?jce);al6OE$lu|7whF?vBX|e54*%ZsO0@5P zFhy3UO_40^@$ z;M$E8aw2?Xf~`!(M?OVII{t{ToD*V*Rputg~$vy)a{Of~xqS24cu zc6k3q_yh$Hp5VGSe`jkU`fJwmUa96~AKafOdz9ufZyR;#Yo<;Xyl$%DN}Q#;@#hDJ zm}qe`A!t^b%IPI*clbscF}Sc|{`e&**{($TSNrIxwbA!FtK7S^=pNSxlfFyj6_XlI z7jK81Dmrr|{-b*pw&XrY6rD?Y@H6!3*98NZd~t=ZjC>Ks_~BrS7%WZwBnZC&X{W?| zc^vw)C0XR4$X5HMeI2l^CYdXwIJGr5d4C`d9seK9BNY4(PNP1_JGTcSeh2 zo)Yz%&j1)2UJUr(sv$$X8HnUh5&|HasGbs~%i;Kx(T3y#C0BxI*ay)}KQxUOoCEMO`ogB;wI}wK-K6BXTHkO; z#pyWyDU-<8b<^=q3H#8q?~hco)baTIO(>3&_&{n)x-2r%Cg_9TkJ6PyiTLTzlrhsw z=1QS2z8)c??L-s1ako>5>T=V|CLUHr&$#de{AQIX5#{E|X_FEO1F28<0hYY`fX2TR zyyhC+hqwQ`3SCSptvWq;ISEf%#G8rg-li3ik?ObeYCEH5YjhbA6IgGuU-^RPd+9?^ z)Anpxh@LJeq_QbbG(cvD1js!nb&URD%Z3rsmahDoyvpL>;; z4Gb%?9*`5;@+WAY5USEJUI#92MfKN?zhfv+SXsDr>GR!TYyL@k{obh94HZcmH^s!5 zvodskzGJg+4D=wqVSKeNX5iopk4G$^^Lu%cg%oHgR}tp^B0kazV*mbRLg?1C#w}zO(vXO?4>7_a+CcwjKktc{O5jZ|h@A zPAR?lUD@WtaxFd(V=7@3DXu$dJ7q{O@|4-BmaXa}Sk>sH2b$;#@L}@Y4Xn7Sq=76` z9QPTK9QHw~{+$C=VCNP0HX!R*isn|a;#NWVmEXjHr!!}u4F>ST6}Onoo$K4(^YP51 zmTGp$^2cK;(A3wU9BK<_DE zq-d;YIplWVIU@5)!R#8r>cnWE#^+;Teb^29HaebqVJ3!>G8zBD9fTWn0jXuv-P{0?rNpS!bKMFc5}nyZ3T*T}MU_|Fj>Y)Sf_!(b zMTbDD&2HC-_{&N-oFkQ`pcD@~-*u_W5QS)vVx6B%CNlbn7gmH1_tSfbt?6Pk3EVkL zhLFHkS0Rn;*p6S=aCMKccAh4r>GF8U?g1fIN2RN&zoq}u@6%J8xqMtS?=9Ll{NS|Z zG_)eG9r5wbtNx-|v4e~4Re-9ETa;>#D8?2sgd{t&pR~vuxQ}3RZQG8= z49A7@>A*SOy8t1GNaMZl=;NKj{ry#_-eY&mv@*s8>y3g#d!=nakNtbCEiojFs2|I_ zv4h!SA(2hvoyv)^^9URnPgR02QGdq4hC0kq%yGgYMXWfsF%>Fykz4K4@ z6*iVi47sF&LA~S6hIrS=2Y}T4_lpXl()R;Y{;1_3#(UM-zJL_6aL~{Uao2`mRZz20 zd*l;45nH&w@WO0Sc~CM8IJD>gRN6KXi7HZ#$&!F$;y+I^4MSTq#@F6FgTtB=TYCEO}{PPQ5&| z*dXPBVw0lyls6NbY*9G_D}y)YGy{13a?Y*?ttvW1+CRy!^w)?oJmTyK)PJBT^#*6@ zSxYx2iY~Y-)yYeIynC7BRE?Z^0$OG`G8Xa`@3g*94x0F?yeBlDBTH3mSY%CY;PtU9 z-+}{ihw6zR_S-s?*QgjjM?%h8Er`+=eN&r>B>e^SMS|{MD8a*HudQo5^;)w=w%+kk zS#G>01Ox7_X)t*2BpZYuxKH1n?hNSpu3G@suD`fe%S_<|3~qNjrcMzSfV+9ygTRid zLxbbn$^+0j1gx>Q*lr&SLY1nmVSB!!N3GRnE~Z19nqfY~Sm3QQ6{?Ntwg$XfE~v`> zmAqsl|3YOg_#kDfCyM#*AP8_g9O_ymXdTZe1tAKL>5a${_8-xE9i&tHGU-X*mK3t5 zK@}AMFX-TN_d#PR8RxQcgrg=6jgGpEo?htM`$3egDjW}wCIJHotZ+D}{)!HZ*da19 z94ttIoV90}|8sBVB<06kd?BrYOk4Pvw>@n)GSP%@7g2^iU4?5|537cUa02Nmv!+y_ zTuVK!J`)?WoD}Sbj4O%*?2_%7KdqF!0G;I)NsV}6w+M|K;M{P$hO{g4@0(YrC?thG z@F_>;ze()s`#;QtIA|a$Z(}!56}9U;qINxYd$3H`hP=L^dUAC(aSObU0(MuvKnxY( z_(p)3!#<-Ex7|K?$4yfWMi+Xq4(idiwwO?;vxQzVA;f!NV(P1oXiw}HNejjwAXKz{ z4JOld$B$3ra*PiC!Ds#U`5yaYtjBbVxVWh71A{-xtL|#RUn~4~c>K2Y zRlJ_m*9R7wM1bFCJrMJ6;!WKQzu7}G|IGXodZ2GS*z*j24}GBdqcOohynbnW>ik6- zcm7o4kC<|_rg7FwoBFQ@7}JVYWrTn}r!6x7&5O*RXE^%U+h_j5KU)@={~3$SpJz%I z(?5OvIm6HQ%(n62iv3Djo^0g}TAX=*x(dcS6>N>>VEkd7sWiWV;_F6-k;P9M*`7^AW7CteO>c8k{&yJ#pCF0EVF&KGQ z_+H*`@i_2%@1IYJzt~lNYs3F!2mJGd!;``!p7T&v^{=aZTmcL1viLbjaEk~3JRWS^ zxCc$#@51rW=$i2P5+E-n11C&;z0ULr+ui!Ra0~FwKj>!t9k`{?&%k7lOK%o`Vx5za zzmEmr991eZ{E2;&`*`uRFA(Dg5s&x>nBiB!EJ=l*A z2WR3WjOW`4r}WNn{Sfy@@J(*mAAut~#{WT}XNd3dM({r<{BT^b_@^KFw`@5Tt)GVa znC|2;+{VLqiGON*#wN2XRM&d4D&hPl*4(0AD6q!4LI3-{S-Oa-b()6E@#8;aePjN3^Lpgoo61GU0D=NFTB` z3HcMBA->8k+3x^j{ilVG4~awgb9k|2#Yztg;lv5PGanf~@oe!B;(tMKeb8hi&esTr z41D09J94Z(8}JVO6XTozpC)|szy0DCMqUTEKIg^YZT`1$37zQsP8t2dPV+;kPl)fI z`*I{*@WU_BKT!OkXWU|KU~+97BcA=dzgYPA#b}Jb^1|#2+bf2@PYPUF zb|ptDn!#b>i7!Mqc&*A*P$seewt3sbPTRSPv%#|km*^@{hSUzobQB=y=Qb{BNaXv? z;vmF8bjismO@Tah{AsF`Y}?W@*{JWoU94VFODXbLxF_c$#+rO;+T*xCld}Y5qOLBY zbTQ--q`|jp-=?f)@0e~|4`8*$5I&c8cJ(Ev@Sz;)yJF_0e2Q@=t07a z7Hv9MQ&>zRSr!+{s<_oSI5=s?d4~=NV3*LzV%FmJYhrP&AZ|mw-=-? ztgF8vJ=%wwncbT0?5jfJaK6s^+Rj`T+Ab*tDp;TOwja`L`=3j{obA?cjkD>FGs}-J zN%dFiq^s(yq%sa+X{XE`IL8D;s;_NUT^trfT%}yVJl{)2@_SY8;IuW9OTJkk70Ivc zCV6!i$@r{HbaA+Igq}1E<^}(SdqCR%Q)>?sXhmY)t*Fx)(peG5bSZ{U?FWSa81hbi4I&*wI^ZkqV2He=6sU58e*kF$DZ&V z-$7d{ZHP-Ogh%?vp)LL6Eh4rsr6fj!cgVQ+`@W$bUjWxeh)WC$dI8e$TNU8@tMhW} z*H;Y~T3BJDj5P|%*{XX~xkH;A5gB z9gUUP6+)?kvKj&pJG@y>UGcW+iBn)6>B+Ujg^`|^5b8$xAwj?~3Ul#I0Q!xAN@vC{ zeO>KPWj+B3$80N8eZIrNjb)OOgQLayW4`sxBVp^Wn>*&j6QuQ!YDjyOXf@%~rX{0g za9lSx|By4!I448R1CRbjVKqP)bs2Z1WV8$u=X{{pVm8(q$?Gf0uaMhf>br>=Dr~xw za_Y@KRw?D6zUC0G_!jD;z-RYO?NpmnO_7nnKjg^ffYQ2aWKR8tB7h~NMe7k>&oH3) zuAX$%Qw}5Z*0~}VX{~7zCaxAaX&?7@_y-?9O%hGInbyGK5Rnk7mMC8$Ej}L@!87*M zCyY>Qju`R1(g@e_J7}Q0StCt--+nCSrCe;pMPl*AzzEYMVMP2p16W-sa=LBo$^^N2 z7Z;Ic!#RyXog%)ejc|1~~2J&v9GF+Kktk+VrWCiw*-XAgKx@^^|{mr3K2zg^@S2gfCU zv&h*{@1Xo@wOn{D$8zf`k+V!W(6fL|%xYwIJZx?A?5I#4nH}-cW!>~VHlgP_k$+R< z{6^SxG(E5IL&2z?EwlKayOqW;p6M8SOOPOLrD2Q>gLR+ZOb2wn{?K*U*io93gnqwq3z;8zQMSQ7VFYkxTLzb>!$9fq+T5JI(Oba zI$~il&p1==NPknMFlM*po)WU;*ol&paO)6a@ zQ9fZOcM2eWU>mV+a@WEYVq1frk|C~b`@Td3@m>~^*G9x<-0-qz?V6pdM67FP`Oy{p zAI7sL7EvgM?FxsUq6u1kr5UeG^}m%D+VR?vIP}BsGjs%5+bTxl9MC1}CXoOCj-n+K9t37cL?3$!)|fBA-V;sip(!@0SM%OsEsHY{!-Sw`c|rOBd!=sd@=-x8 z+tHdtYaT`L%O!|sX0VFG8praKW!37cMV>AyB@Yf|vN`FWBs1h|7}1pWN(UdR%z{HK z3XUfAhK^s|yx^$rym|d_{PU#Sff5k=BGh-(@h!{DEeXdzUxKhiK5`E)nnjkU1Xhl3 z*)lKJGv?OeV&J*m9RG@h%QygxLc)Pk^CP|F=HBJNB? z!DR*g|8ZUnuGi@RjBV6XNzMX(@EIw=^Ev+{tRLy1k#4Hvrz#7HCvgX7Z#fI}iZj1H zgsX98^gn&mIh#O2$_f~>B?|%!2{CdFGF2oPa-|XgC*v7XCnfe9p1M)&pLmgovqMfV z*eNAP2s)06rQK6v*{Z`698f2%ofFbGg!Vt^@}Oj$>nf@6IL}oz#S1(SbNTMb%?m>x zq1FG{1Db@^npUH2^B2-=)Qg`B2B(MU1?VBK-BC7umx~vPwz?NNd!hH@s5R6+&imDB zN83gVzlbYy!;KW-zNRqR)2!g87Mmz&DXSReu4iW45VQHrtsuFi5rc<)3r^5+I1V8B zj36ouqb~VbvWXEUDH-N&b^`+`l29;!ZPqH8N(Q_t)x~pm3z;Ld0u;_qvw|CjeyEzH zSg_n!wx2Mds~dGs8Fg`4Q-E3LjM>)?I)Gt}y7M2B-1irEcALeuFxu0s;2P@#ow)Bk z!X0OQK-@P61D-J+N&xG!Kti*JgpH)>N9o3zV1?DDDWJtNve2KUoQ`QtzfN`1?Tnru-=xr6F+;5lU&g%3Ajoi0(;-2=q z9^sNY9*#^yJQ zyKY}seZ=VNo_TN}VI0rAsL?Z9#`Mgq#MQ@YF{-y}2B!pi8%EkQ)P~93#uR_SiV)sV zc4JH*{E(Ntx)7cx%0&X!1nPyqUUt4+2T=l3;;<=!cOAuza0>9+^auarC9cDVrYSl8 zJ@MIU5YHmVS4({!ciVpA{KL0wp5Jhy;UVjpfh`8l<={WOCw`l5p)B}wyGG{VUpEAY z^_1BeoZEO3mdvT`$^;>TzEB44pi3k2e50rfIE34SoW}|NdLqzW;Zvvc#svO8>ViVz z=oBREA{ah2O$q+j?TOEeRP9H0UHo%-se6GQ(v1MiCiJZy?f%TeS7sUE^6cvj@E>KR`$eS?(y~}zX-uOvcECmp9^)^7G(AF?3^Lxa0>8 zDwN_9@%g%+x$RX+K*N3g*JfBL7qAEFksv)4ix{J!ygnU5c2*sDZF{bm{rb*Ug_p@`1ybV7K0dugn&&wT3DiDjIiKYlhP zDlUO9sk2w)E=7CM=|(!rRq)U*vnk4p=-f^_!YdDD@rf%w0bz`N?&59eziH{&u8*}Z zHxmP$3e&}M)05}yDVO*|J=sj(945N1WrFu5RxP9(bTE79)@uJaoy-KeKqa2F61aqE^{+WHx6VJ%5XAqFy^I$r z|6=y~B`tTzran)F`dr#mpZ%rB?>tR@|Dm$12y_UG9pR;2qdU84{s#y930(i4D_x%> zT}@Bg>z9GO_KH?@ItR@H0B_RW5iG<3|CV z!MXNBw-|qSz-{jq*{07<=~LgwD)pbHKH9t0i zkX6D;{k_}(ttu8(sb1&^FZEeG{*_W4_1Uxd_<>i_R$BNSrp5Em(Es#ZlRK?_xoZdG zyzvh6QM&|Dis9jX2faY5a7gaY5TE-;!@a+uT|#sC*q5`*?o=`E^TQ9vT7SCS7YKDN zwQ46R%$eD1Say9ZU3rz89dB?*u1t+1tYP!5X`eCVGL$;$Az`J0!n zxX4%KsN5W%(ymc_lMw5O4 z7VdqmCD+cHCt^>fa5@>yubH&79i|U}4Oj5xgUyfh>u4n9P3343;w*jg0W>(}pmtVeC z&%Iv)lm|(aET|G?t`Imb_gbJ02=-%c9wiz6oLaSICjdn$ zUOF=&2mNk#LJo|{lUc!26_at`U0&mHpl1;e-8ejzh7J<(Ai0i%MHKx26pIIb!QAkA z0Ja~(fP`IwoYw<nfy-%-X&i0**dJ(;0A8GMvU1kV;67LRLCRU>JV^PRe(j<){Vuhb+m~iIVb;E@>VU(K z_Y(h1`Db*F-N!2bfDW#M57zN9l{YJ=ZXcB&q5M(G8I}}S?mZj$_>GeFR-HdmWrjue z`T6(ZN->?zv3L2)lXFZxZ>b!(d?}<{wTrpatHUBZ0xoJAKh5T4~56uMz*RvN&7&H zzT~vcmz5LC98VD(-(8^mt~wZt*XW!vnDbRCf6Lpxx60r4Wo-Q~U%rFN|Lx1SSNS`> zO#l3@FW**W<_L7SjmrPy%ePkf`@VcDl`r?@TdMrOzRa(+UaK6DO~+Fs8}1_f8w-Ar;P+JiHRbFOJy!?) z2;S&Kze0}_bSwx?nK!K97y1wRTa<%Kd=XEKv+PgYTL*SLU&qNRGd?~?$9^hbs{Ego zbARV=bo@V+`3MC$^t1&$S)(BHQ#u$2XgAsh*<7-qH+a^UuTYuaNqt)fbpIa!0RR7Z z0d!KoPZU8EeuF3+3LFQQ5JMt`u~3R5(TE6!+uga_P40Hi*(E|kG7t+3te~*9prgP9 z0wmB{QPB_}7YQL)SZM(T4OW75{sAU_Z#B2AQ|e%`Sfw71<>Hn0srsM9!)fW^Kii(laX8nLIL5n^Q5oJntPC#YpZf4A9_|v8ZC=RQtE(N(U+nU@Xg}vdKSp-iycGl zp*erKN9bQGy@fqn{rsf}>`ri11?h)Mi+0MvU|sxb2GHFGlBp7)ki>GZOp2#trM)4DDwUHmyd>UV@;eKd@OOBcyr(V z{ARTRli4Hng4CiX>d*G6y9P$x8@#pRCb=-|ZhXC5#hiE*;vfq1F0&u;44b1BUK)FL lu!lY-nO=>VLWi={2cN;SEgpJp+O;(`Rz8s84*&oF|NjQ6Rm}hZ literal 26314 zcmXVX1y~f{_qR$(E{K#MAV^Dht~8PY(y`Lr-O>%x4bmNgbS&MlNJ@8iFR=0Q{r%r( zo@YLDPu!Vv=iK|8d*%$mix)4dv0px)U7in#XVf%vcCm7>r{?5l<6z^YmTF zaBp-%3tcVVS87sp%^M_}is^}GMyd#%K_!{7K8BPlU8UaI>@mZ{`qR-b=TAulye*%m zkZ*5>YP0lQ3TTJu{DyO&b2hoAHynpb?3WC+z`>Dog#Z$QDv;OF2bG))euVOd>ex~odeE%8}K zT48+jHQH&qpSpCIj&6HH@`S;GE`GKL5ZRTccof?R4%X;EpVlavE6%>yd_?MPt(4u; zKRA0&{jN5M_sJcMLl|%1)~g*Dw$V5Qsdnl2LlfR|a~Tpgt|Wh9CoXP-d#yDNIsbkc zh|;elv`3|ApZ*JTfuO(gwUyz%RruT8Ug;L!?>xOs-Gq@zvSKOSfK4XfcPrmhK5Nkr zRQ;77lav31*KbP8^GcxpG4nGC7qh+{Q+EU^yX(jIn~`DguZpNf*&=`Ij1I(kYysZ* zxZ!-F5n;5Mlu~_xihzPmXfeiL$A8nomIAtm5>m7C3@3hNj{_kiMIvlOacq%Lei}*avenQ4KifPYY`@c5vxNp?TWXV8CW=%Wg+Cci;scn&9t$H$; zwq5r?S3HwhvU8w9N30~e0v;o#|3?9#{AFN-GR%O>cXdrwBFwQ5;|dN}KwRh?Jy?1h z>_CUv(j;1!s^4zW)^fDIzsNBNt0m$bqJm3`DwLz_=LvJIz#jTy0xtYUfqt7t4{Oba zU5!^!Vi(s^oY0N}3rx3nYKYHzD3RvuB%%ozfCtARWa^PX>-k?^JG(gW5%hJy z48s5wEFHYro~zI{EqkzI%7%)JmxiT5y$DY+5uAQ!{(grMQ^1MGw74dJ+`Pa5eyaU$ zNrZwQkc$aPhaqQD{57CP{Smvg2l+MSvLxvm2)%cl>UkmBIMKY3=sBKd@&*4-mZs;R)oy|;RcWn^lbh_10DTZ7jT!+o1AaMc~1|j1} z;UQ%0Hi<@CgNwvUxht38+Ya3ht-K@NNBBF?QBAdxTk9V!QUU*65&B zA{I}fyK#;j)`wW4>v%6Cgrtp0{fneXKha?=T}@=#b!dItj*jswx&pjnWbQrp9Km|X zNW|&mw-??26P?P$O$sagN?;V(@im&aFJU-B_B&NQUu}1$or1EeiFOX|*o#RHCY>0j z$O&buFS7Ddqjd519+>8Sva+LevN8FLfm?=^@;2W0ikt5jYsyIhJSpXLEKh}P2?1j2 zciW^9^@bt|Rhu%@ zNqvHJ#~%`JgCb3Fn*og@ODgrb!q1$NLOvZZwN3!f^R;F%pU!|(ny?TEO8l65ncf0z##42otMBbI01^VNr<$Vv^Jh^#Cbx>9nm0arDc71~B zKH{)+pv9KImxTD0Rrx6FJ?64dMxE=JQ!qMa)kvmqTa<%*`sX<#6cpj`&NK1cpN1Y2 zUd#O1oxYCj+r>^(8|}uX;q})57yj^xIyYia()iC>BPkR#LpGJ$C-%)kjnqat2+U~nrNo6t^W;w(L`Wt7^Rq(#uS6bNpAcXGUrm^&NPMT_~1w71`DO)%mv+sy9Tkne~q>L3U2{<8fSMu zGQ;BU0vnW|$!>>dk9tj<-b}97gV^XVDo~}fc z1J=ww#F(cxPkZjSa}MTg5I(EWXZxagx51sh(F-Ze{n0lDaInH9hRRo~nL=ZA(c}>S zZ`zd|JSDO6vyHzP zuU=S5Ve0gjr=$CgN(J*~X6BNgu{5=oTws%_lL2byo~$1&6@!0sx|voTTXS6Oa}P>5 zgcVPcK4Aij8l0sYSiOgC3+%EW0!5nTKZ|a<(OrNkoFwNaXKlQ=^Mm~fjWy~rfq4_! z*nXq(!A7UD>hni#8`HWivWF_G-;7@OD^XnseYc#;6e+KoXBbwTW)d3zt*`esfaglx zw1T|-M*ujcd@4()oO{Wa(d_6EUEOS6M1oZ(rL`)U!zGwZVb0|^VXV8BlC5D z%=FX8-8GXxo+qKXdY#JAC51hY%UE+%Xwwt$b4zzHxqHEqAJ+W_U*DXmj;*4&se2>ig+W06&o)44v!QM`MHOy;%wkFv}Ww4?4gnHc9sJCq0{y}*6 zA1LMETZh5Q2@!v%#Bv{(VW8M9Zm^M4RT=q~t(YHt@0#!MEJ6jrrM?If2xG*$>Tgv~ zE49EnpIhb7(MSFzO}s#Sami@hZ;TpA{MCMkpsAzBbcWQPeR2buy8oq1LPg`N2{nO511m!cAjpDI|ZQNnHfCeBKG8R+(3P z+~xfE_i)^jVbUHXkg8Nsi({*1>w7IUJFl$nslEYRTI*(#Ankq!1k;+W(HcG`Lf zqBX!gy&%}lERF=msQfH)>g zvzlb>228VzYx{TVKabSfz;lzKx?JK5aUUYs3iUI~e&3Mnn<+kSktX_nC>Fjeq&YO7 zwiv{h+ISeC+qISsN>;J3ef&pS(4ln5``OOCoUY;UiW9B1vFDv^z~$a}`jwvvmfA+K zEWS!qrdz|o`}e4qYFLj;iix~bPm`(5eaa3K|E`YR{?9 z^K~1<9k{X6%6`??JHJ0;5gwMGaDtdUEK zYWVCzx<$_0nOw9oi^2lt>14OECUOP&jxxo^=i%H&P#=@1tE^cy&CAamisp0NgKrz1 zXXwGwc12}z*t?7l1x;}uq6UU;S+stq85jMsO^S%*7JPQ6AzV5RCWEh7U74PQZc85v zrs3a|XKPhxm{-U4r9`dXs2+Y$Q=a{j6d@na;Zi`!A6a&DA;MsN`z#slE2s(DY|P0v0OZPPg3(PDrRpCWNs$iERQl~K z=K|i-?COcmbJBXtwRljo|LPKNJU~a+Fn+*#L~7LzN41YbkzWOwb9j9&684E6<9I=Y z&+e^?Q=h5KDk2G?{+O?N820mCN4?&kBeIIDzylszdc%LXlOwhxCS!MavRd&He5MqR({rV-iG(#zxw^BFccABg(re zWLj8J%~kbZk5Zj-Lrh;AGV#iXo;m0}g}V!j@4tULZ%AtA418$)DzBtyMTuXpzfT4A zG#2Omj>+R)+U38meT@|?z5hB6os9@VktfvS0IvDi$M7L|prmwyed<~b#0-7BJC+B2 za)*1!0ztcQMg0>tf4uD-t0*Gl{-clX_zXvztM);wBQ;;P!rc#tte@)m<)nXVHC4%u zN?l-868gRoO{i|9^204GvH9rXbl?Tf$Zd4a__Jbxs9I>x0M5N@;i)`t$=~*@N}nq{ zoG)DFA%|LtPOzK&g|h~A)Xzjh99Pu#K?Xz`lV*>jtotMd%(>(@wMj9@xpamx)szGm zN!El%Iyz*RWJdwg2D!A|Y=dq@jgS`$wrwOLLkt*@%LT2^iO$;^*x#ff12}mfm2diI z9yZf+zQ&*JfFq)Sey>|*5;w2QGxN^3j%zjJtf9J`*RF0*$#BK!`w_M*kiIZ`r2Ov{ z6hi0x2V-=wf^e;prLe#HSc0U6eoYxD?eR5n4@;Hug&!>Ksg5NEa_$W`K^WZB@|0Q7 z_>~kQTQ2d_O#X>MU>r+^6I=N>d#eKgBavfa#TwRpgQfk)`n6N5OR6Rl$i{PaGba&DV>74d1WCG+rH_lK@9{vS*N5rZx-*pYIqigv99!b7a$q4a8L zxWi#Dz?VBZk4wUR&ObN>CH-YPbmp7C1lHi z7Yv=SwrkhtpI^;fu@Ys-%tZ)_hP!JBrs>#jhs(Eb#rlgOJhjjT+ljOOkJBoBh|ECT zUPT`JxHXqKkB%QX9LDM(iDW{@NN9h)`5Fw_Ds>(G*90F5GpWh<eA+mlKmRorXC!AiEQUaZWm<+ynuewE)h{# zNA92OApmgh5tnYq_re=Gs9t8J=g6;TA0HQPt7@W{_qk>k0^s(P!1i|J!z~xf_9~~p zy9W~JwHMG^TSpo*N#Of<3MpZF2xzc{cFot^RCa6lC9-1IAkQd~+;D?_S*NY zcw`1LrSuYc2==G=^ko@n7!lymu|^3Uxh36oXST8)P!_*`3Z78Mcx$&p|G*28x$AGJzN`Gr+)jh} zoOM&3Js?`Uya<*m^SqrzplmZ5hE!0{`NKh4f2Es3QZ&TbSphcfG~7tFPh^(}bX?q2 zBSFyl=K%W;lBYqOQ_(=E*2DR2J^;Lgfhx%*R)So~X ztdmL*r^`NXqf3;4Xh?cMOuPzISq3lf>Em_QimqRw9r}HWE5XD5q__`FBNj`oUa&Vo zFO%f-$6aiA57x#-Dft66!)@rcWE9ydrS|fd-2R176+xSsJ-6l5_R<4}*9)r`0pIrZ zmtO!ZyI90lxV-)2zi-dGD7RaZP4##kk>J~meEZz-yRLZRBF%3#?Z?1&7flE2*B6&l zfBmSZEtOzmK@Xrjk^agH-uxlv*Q<>bf<(|BHe{@slOR^PI2Y}&E)likSY;a)wuE#FfK4*kDumNwsTF~JstN}o_f-QgjWTXB>kU_M5- zg?aAaxn`8#mbcJuxwz3g3>?N+GMW2TRON0M^n*II_z{a8c~1KP!y5eJ@}}n1*RKF< zOW-QUuE)TG1XGm0ZM|jFt9(%br|XCPJm0-ARu+A7rta_E;fZ}*zn#6jzY}{Jt;E_a zWf*ok9h$TyXCzlgx9_qB-jjXUdv$IKx}b`}Lf99S`=hGvf~1=^ia0#?L;&QhdKa2K z*WzBDoM@2EE>|@}++YhYp9@=^9~)jjwb=23oNCq0@q#TL>TK1k=NSx)`U(_{de)ao zU_-8ZHAEs}H$daEsaBOQ+HhRKl`)sE231blfAX)vnL28COnG7XE^zO@J@lN|I=1n_Sk3-JO$aaq7!LFB9KTH0`cACHJ zWjd=4-*mNf5%7~6-0eN|63Z4NXr%o%lCleMWBxTS1)t*4mwI7s%Gda}Jm|aWXKvTO zMiXppe|n^|I-_sYa~n&D_s%?kyT7*SUB51$JE#;k@d+PXm}7LZZ2!{eLY3T`&l-@- zPoj67R#lHl&6boDmRUZf&CI#ki%Ckob(~hGcU2p$Yg$)V{>#&ond55OkYt$QIDOi~ z&J;TCl2D{0Q5~G6>2q`YIi4QFwUuOv^W7I&Ug5(BOa=oIMiMTF;GvGX| z^ro1dQq`a#<-h644Vk#MWO~YlsDOXP&vcw;`tOBhe+c`aL%Tg&Pin#{k32{5_hrCL zv88jJIf|Z)*$i_Ji>`kHTd6OJXG0(>ZG~0JMx{qaAZua1RmykmH<0xD-xG8#gfd+D zPN{iW1qrd}lx;s~GYU&ALj>vF?D-sD%5vR<-lCs{Bq*NTI;;tG?oxU3r)?;cj`X8D z!xx#}E!u9piZ@*ol$KPBvu5u>qa5k*Oe6otmFQ({$0v}3QB$h2c(8|WM>ayih@#Fi z=VCbWvz65+WULNJ#Omb;u^hr~O-;az-{}qcOz0{x*pN>^YeN~{6!Uf~|0kvhA8l2m z+WYxwms*?&bp&N#s5#R<$m(nN#XDADl_Z8M@o2YMq)UfX&EG<*FCN&}(2~b5=5;HD z{grSOdQtpkCkCTq&QUx#wO3E7QceESi~8*`TBi2{dT#foIh&$qFV$P}%rod512#hR zmB>wWb#S`LoRq8Z-6k)WIC-paQ{ae$f`w6!?P{8&zpZWGrbS$747X32pe8 zdsM7mGw6khh<5Sc(M|~(5Nn#<6<#x@&x%<^z3Onh&6$VMLcI@~k`eu6~Tz^8h1)o8`S!N=APV2dsL1LCVSLRk|N4@3QM3>2z*2b=r;?3q9#+Z*Q zBN8~?Wf_}0HJv2Sk<}kH){j0iSN$_M(&(!4+`bzXt*N?8(?GTjrOerRPjRwW_C7ZF z$WohNuf1P)sBP2mZY-bji4~SmQ1{9b(u;S_G+R+i==x@Otq~Mm|3a)Azt00lm{JpYB$4A^(kS3$l6ZDbr6oc_q9!=#Mr4G^Eu+seL zk&a0hSeLC*jOu^NaML*tno4(M{bLv<#|m6|SFyjq?!M`WM57k*MN?Ew^KWLB#%B7| z_wws4iq&aQr;etmQ9Mf}$w>Cd)Hdt#3v=+%VUYg{9P#KQ0H~vRUkVpRvfkd((2k%bg=~% zv->I{uO@aTnmX-iN%D5SG(0_(UnRzS8iqP3yX(^Edc9NFU*(C4ce?K<80Y}1dl`oq z+|ExWz!5v5rC@)m$A_Zt=lW$Z%;FO3<~DyjbV>C!1qHoAz6=y=Yx*xLP4kd>(4r@H z0Nkh?Vp2U7D2xwv9lR3RZ{9B6zb|2)3yGBebo1-|@(*%P$Nsr6 zr()ebVG5WD;(Ep*jYIR&E+7iK(WJ^xm?Ad}VuheWFqCk&GhUGBpO615ya}ESLBvcD zcj_t|{I}qrW8xmZBTo%fS+=YOV*z-q*un2@GfdwN_P7nGARP%J`AZc5D(SiI%~Qo5 z7;D7$$B#cqWeni=@Qv+gr@0PP$(T}JRACD$>N{I}ZFq09J*^KUjWdarb*=G)Y~*89 zywRpc;vBC!&sjCG+RX?~{rc4f{`j)=r1T}^a(#94Px$YE3o`iI{T6utn$eR^%IBA7 z|M!G?0R;E7NLrsm5JJxVn_Bi>EFKmofJ#Zg-e&)C&Igba%uL5m01f&FbndfA&7j0xoHI&}kB%htW5ED}Ij=@eH=PzN4At&48y?Wo{E|%*}yeIt(O*qTw=-b1^g*6H)lXyr{IiYW& z|EUr4b-?sxi&S<8@MY%2*Kx-3}TbFiu*Z$@rJ9l;{S^vlc%W!{& zU-@y+h{z;otD-mc?0I9whA*-o-gUPJ{YLLP3uNVA0T9+Wd{f(P#;1&9APYa(S3A47 zjYFKFh{8B@;O|m}Py6Z&wdnX%MZT?gc>9%q^q2*P=Uqz*Az0XG|Lf*$LardfO3WyL zL`)0sKL3)q{si{-8s zE81T1UsTst_2X}bj?oHT+?h%^Yq zEO75xu0;6f)^nJk9_F``bM3RRXr~RcD^(H7?`!vGsL#)~HDjm0RJ-!jj68&v|B5aV z+k^eAWKThB^ycjJPD@?h7e(X28Oi`?o^XJLYtF8NpnoAtvEAj%Ge7pBD%i??Uk)?2 z^ZG10wZOQ7Tj3N`M_Tf1a9upaT2uhrnzK_%TsW2ObNx&Mj^naG|basb8>whvVYtNFJ_hf@{0balQ@ zRY-Bk=yK9fCDEwK)%kdlLx|+^W4=>kAf-aM#C!fzKPI?D8a)`9tu}{><#YslEf(E| z?!PW~0~{UJiS2EbV%?RkSlpIfDP}~%)K_CS{NUN!>W_IsoX7Fm@mNQyHDKu10!pPy zV1YmG?j7s8j%Wo<$N1a7jRrCohmRTF{Vr5%tmjK>65O9W)Ei)UpQ$|A>`T!gu|tnn zdFBDhSCA^8Gv_SlWa*s!&XHJ}VBh>k z=4iX@`(R}|Ni6Hk<^=*Hjd_BwRkYmeK8fY5q^xVba=>i_A_5-L;AG(4A>S!C$3AGH zMGqV7h}>5)fa`#U$ZIJyMx`~TZ^!MiAP+`bbA{%uvxVnn;}+M2dk992c*}s2-*K`5 zJXId8&~j$kZ|s?4THgr#;~>Vt`>?vo6TA6v??0vYvO^0d<-Y=qfTu+lD?(wnvb(+( zq0kX$2^wW4|&fim3HPDX4e0FRq^%n{hXE*L<@jzy0QySuX)PvTG_K( z;u|U`%6=gb+njvnLJq>YA$@p-91Scq zEbTV)Un#InekfNvUhOv7X;${l-E@2%u(Xn@48(*;YDDqdHg#%(M6IDk?ROzEG)1lN zxNj|0{QQ%nEglQSt}{c++54`Ff$!$myPT%=yRbQT18&%1X>lKlqH6{bZhC zE1lS_k20iRoge3o zM#v$v*B`HH?`3_q#55j5XJN%%d3FaDgIXu*vHP;)S!-$kO*=k3idqUY>9-7$?G^f> z4Ov_Fw<>0?rVi`g$$jh(3M|d*J}SP?MqcZS9zBUz)<1H60zDQQiJp=(Ozg5Sslsq} zHCw&S6Sn`;(>K)ufp>ROeamF~A@6?!qt^KyyeXx!@86%h8EBPuUBk+JL1J2lGg09b zd8=q^3qZm4$N55vyf@0CTkt~mgEEdWMezq`U>Hgky2wz#(nZoS-`k6Tv=h;C+U$qz zWgqCi#efIA4Dy1y5HrNN7BEqg|<(k%3_zypo}oM06AZDx$ka$94hT!Fz9mY z3I zpPvU3Vm`-@eF_RWH3RSHpfh3@!ioCJ=P&aiKA`~HyomVEZ2mtd{NDJE8ya_pq+Zy# z;j+2$hGZc)^##y6ev)rdmDwzXXX`hRzq{dV<)mo6Ady$1f@b07>wnir3iR?LIAJ|x zVxY@51!SiF#r9(aVuLo#$3pI~bNHbMO16O!7eGkqQ3aZ6=`PNjU*BG+1~t1+Y_sd~ z{gQIXx^H$()QFQ*wB!1<=6J`=FLDeklveTvKMBK7FkB_Wzjir&eel8?OY(+`n}!fosP> z{Bww{E@-NEcq6%i&(5&<6rV)G+Os}&C0#YxQM=XuY`j4k{5j0GiYtFSo%rjJZaTZK zI0eHMWL1+th~xDauWN!q^KQ#ehDCa>8%?pOVcrfwv9yXWSL&SM6@*MvdHfOqc!#yu zH}m$So&Fl$j?>u@MBLXO{NxmirR~HR@!|+*^%ERVmN&FUc7_m zQ^gHKdtm7PH53yBh5ltctiPJyZt00Q*Y>6cK=JQe{3jpsD-px2x8*#{ElqEaK!2!TgENQVmlDrBQW#`IIU)+fz=;Qt!_2& zBCKGJy>1ml773HC7Y6USva^G0*&n8YX4pQI&Xy-*XWyaz8**J=`mzC-<-JgGTm$cL zj|L2fQ;pUeb|0}r|Bw%-D5Ka6Z2!eB6*8xu$h zApYt6817sy`!6R+q1~ri#100S;`Q3m1`<7n`*0%j*X3o5yi#bDj2K^no1uDDMWZBn zdVudxC71>D!5uwMo#YCETKI`12a6~^o)E)*mp9ns8bJA^poHjs03S{L@b)N%$>Y{> zO6ZWUY~`@_QaJzR`hbHD2&9)=)v4kjE|tfgt7A@(#g1d?pl5ed<)rB3MuJ+cX85c) zEtb5{KRoOveBgsxobfcP^$UOOn`tHN*YrsMoO|BAV=aJ1eKlsFSr|59sK@8=!0G+M z<=78Z@i`jfTH<#5eF&}?lOxD{$w;M83(@cSoc9l20$y}X;vvv=z6xRQLuKa)pFdbc zLy3C;{@JkXF+qyo_Y8Zx(#^q3jYcAnbPNnoHm(j45$dW!i`4%rHpC=DP z1A$45y`n|4GmhzSze5a_G1Pd#rdw8h0OAi35E9C27@EwO4rd<8*jsDX6FgAiPOPqb z?Xr(9cTJ}EDlq+l=h!vn@wc8%`}g||^CAm#7ah6)l}`=_{uOOQyXNNZcdN@Cm*A}X zRb7*)?PQ7d&c+Fk<2PX@JR#ZYVKdWJ2K*kd`O{CX$gUc-5-{BxJ6(4^_(X1?gs_&G zGDpdD;M+_@iCh+II4`E(TT4#m$-SM(1Xp#DfUF1^7Ymw!dQ=@bj{tWYk zc?oIobo9kqt0ef}XSnOnx~`{MrGC<|s|T)8Kc(b)ni$>{zB2_)(?2EQ);|~CISh}* zNi8g;YI!>I{%kENkA&?eB_J0~cLIdS9tM&Uz_wh@l!_ZmoTA9Ykzu4M*A+=~Qr@RN z=bY(ik=E0zddgUYXG?TG(}m%IAjg_al+$;{2LB0@RkjEZ7g{-^X6P#wNd0?883^ND zI<8)>|6eZjoW{3_!1bh3EJzYJV5!b-dr>8Pge0^U7kA)Ida?&!PGhL>P%2^Qdj$vTnGVc8L39x>Iv#cEF9IxO;n2(J%Db4aCa1kqwlk!@wnCGldfaHrQzaL?9d3@I zC2SI{0&jhTR_0ANua7AwJMr^grp?Q(IxgnE{Gr0ogZ~aD{ABT_yS2%6qkDC)-ZK*~ z(|u=okNYC8f;JSQjVm-?B`F$TzDaKO5cDH6%D6nP64nr_O~pb2)l9xs{QbcXGT`=p z&Y*STdH-2<@@9QwPgq578_c~uL##VR`{{mv^xQS9yU*Ez!lvz9QM7Sm%RfYT{+g?n z_JTv%5;ii_| z4-V`bnh|d3;udFDNGm>YM}pfK5E6gF`6y>R?Ga4VLyz~4wZXB+EhJ)3U|qXrN}jih zkgD}?2yORFhP3!KhU1`7_j*Dgm_LY{7(Gw$OB4ja5JHap@{n$D!HHx9=54VHN8zuZ z9AN?A*;`bPUKH)bx&aLfu)aoXc_YW1oPZWe3`Ext0v-D4etZm{z_OIu>nmHX+IWI1 z>bw7yFs-@%tq&Yjzka2GNqJ!GyV27@jJn%=}m&kDWt-`m` z_<1@^kC$We!^4Cj3N6Fy);tbsX@7N(t9!Z?4-+YSB^}vAenlC7 zxarP<8w1j?_pa8JLB$I(mXrVzCVNP0OCr?KMXjiaTeGXGpuBe;+W#mKM&jP@=|M!b zReVUD2-u2$qwu{VD!dK*9wTCnaoet8sYgl4T{hRKFu_VZ;U;E2AUh_TwSZ6#sp#Qc z6hhaOXUjX1lntaNs^Ss6-yIl}Qz~BF&DHKF`29*dBa?>a=JmfZx0}ZA6u!s^dOg74 zCfPJB`{ZD&^^4<$);EAto{Ey8g`KBK>-=e5xrcv`!>=yux|fXIbbm08xUtdB@r1kZ zcLD=(=B!ekz5z&5%50JcKNKxRQLG=QDNJd}!X%lmw3}3qckqqBq^Oz0W4^t}w1e82&M&CwNJL|VsobrZ_Td9IBN6V^z!pdxkoSFqqIa!S0^v9Zd6De~{-wjI z-SX%iI1~V$+^nEq{k0jcBI@irNz#>Rf6w?y|8`>2fside#N z5Dg4>&(P033W0)idyqDrdyu|0ZK~5sH~)wSuqsqUFYYpcH5kd?>%k3`L#jlEKL{2B zSJlb_@Q{oToVzMbJta`8j2;VEVlS|Fdpn#%8K+r9D?xj9b8e0%9FkQq(5?~pZ`9~> zPEPz#X!z~HhbWBgEM^a(^}3bX(d4n74CkUT#mj{YYBC07Vo#|PL(ScZGrtP%SW!@N z22ESjnDnb!i9eocTX*OwBqn6)Y8F~yzH0q#P63b}uSAjn=LjyO$NOD|aAsvZ zuiS&Fwf!AsGkFQ*S?O$({{|B{=Ndbj#>wb-oThVX0kd*Ekn2uy=NQC2ysA1Jd$$gYG^NczGjC3G~(NZ`s8Snvo$FYhwgC_B;RPACx_t>~Cg&dm*(OS)XPX zd_cBzYrc5v4M?+R%V&5j-T2p0xp~JUySybQEVg+I$fF6pn5r>A8_FvwO~Ll3N8nNp zfqRq!a(y!p=-Z0pui}?_2|Ou~8{tkq(fd96j4_0}9{9*{FA3j4iGOA`4JAnf@vIMn zj(T7CfE@lbVz~C#)T3!oo|cqzrC!;Zo>=fhJ`06tip+DO(|(uen41+bvqSheGm3`j zFFkZ<#|1Ow{S_l$5o3BC4^|Vbw8Xx;BRRT}Ta#^_Ag0CGUNKu+ z|1TcC7N12^%XHF7P)pP;k_xiCVf6GxpKQg~XjhnRWl3d8d<#qLz`+SEVC%@+A?>XF zV~b7gk1V$AdXZ4}(8uOSoTuHJ`3Yd5jYHU83B}-C&Sl-+_ft znw^a%P>?S$ATZ2xl>^H5Oi3|*Z?T}cr6hQ0voy!Nv^ta%7WX3_$ePjV)at8;coP>L zIpwf%YAw)q%_argx|Q`MJD1N}1 zD&u{Y$b-#Naf1d;Q8)}J@_?~CqCRc+0-o!Bm3#y7IYiDX=O!Z9RFt__wjPpi0G`e= zDy%yzc!V`RNep0r5odQTwX>?mxDW8y-0T_?9Ot!{5<;8Y=z;(eYOt4xb7dV;h*EG2 zR}N_{{Lmai?6B8oMs-^hQ^lywvf-C}pY?FkCoqI5<)uf%?s`v2t{b!21x%jp^lSKS zGpy>XxQqNes`43eGBO+h7H&1yYLx2fTuTBKapxjUa>V6blNLs#SL#s(^?5r!YfyRmNM0Sw@!Vh zm1EP6BCNp~&*aTsfRhAmL<0RQuBB@VFFIij?ONgw*cQ?0Q10gVC0n1rU#S|{X33iA z-_eT(RndvC#k*Y!09lPHt&yMJRH9!rXz)6Dv=cf}PZW*3s__kEv=M4qK=c1`pvVRV zOj}d_x!6*C<=>?#yDEKwA;8l5a@Z`;rD#LMO0LHX$c_fGgk|SOzqH@&`quS<^4r;2 zFzp^gt%*r13#!eo&yUfC(Q#-rC?E2@Wp33oZ4W^PBjx>MhW5T$!oSK!#OGZGoM7C*5*!63d|}zWLy!v@nP+OXucV&@IAuQdZgN9-9iVC0PmTbU zT;FTN8MQL5Yu9eKye9$LQz>FhmN0Y(&I+DwL{6rye6GI9+R&&km3#G;VpdkjSMp%m zaQCDaXt1?t>V$V&+O<5x)|X&^-K2SO_XFs7Wby~)kN1(a(7S*OtJ=DSrB9|W_LpV5 zPcbukl-S$^W-+J&RXXuD2N}Z1^GMhFF}k`wWk*Z_qJ}c{w+Z`-3vg``q4oLb>ts-- zcEx~PY9W(b3?DaVfBF&-y2TAc5nZ|tel`i|HiBHI7i3jE4aXX zQ!E85K>~Gv!lCO~o9Xn2&M%*S+UKasoh=9}@B06gxFYA?nX}!I#*I6^&<@hd=zTld zB}OaknK@VV=tg#>ToFJgNil4%ma{pIY6#|&T>IiSPoZndU+%9Y{1Cv~Pi})pCG<-fak`__#4T*A+V$m;WO*d3$ip*e@gp2N>C3*Lb@VB-`@4JQ(3F%(uUF1{?1Vp; zqueD~7K(hs!@){BwoaL!y(oV?Pjf(0LO^}aN|Ws+^JI$9rx#zB81ALU*gna-f<3#? zeYy{$Z56WERyQaF=qzA8pyyB_2t7~$D-$0{OXjD2jh^=H4tyI(c0tz@ew(Y>w{IVb zwCGyCUBIgWHUd1u?ka*v!rnfX){61z{?Hmm5|JSkla=fvYC$}#IAW1v`({v}a9>_M zPiwx|CX11mpS-+h2lsP|k$XhtGC=VK;IdD;?rR=dz`pc7L2WWHKyX`yI9LrThzwv1 z1`G8er*ROMWH2yfBQL!N%ovQ6C4;SxYykO#1B}p-Xk@U{mt7AjBeSKmltkC44707Fn5ZDy2ujUCC`sN*7s8pPzr*Nj=Ca8qoGp4hTAg1meS6O z@Vn;Sf`}KayshFcnoq$unxVuwv|gB?q3`9u5Y0S~g|G1)DX)B!OvFCOh<0|@C&$gV zV@vHauQ_E`5}GAjEX6yHX7dJtZ0d$7aF2qq^O3z5rRfIDu*ICJ7xec?SLm|CgSDtP zLMWxs4uR?F4xuHT4x3fLiqF*CHJ zZLqLp=!lzF$`N*MMx+GgzwZZ^qjViXI+pBq@JPRg`KLm%{cou9I}akdpgjFUY*3C= zeqVr1zs1&f=$|S(*j(B-sQy8U?lYRF+XAHdl|RuP<>`O##UI?`9$3OFNT0Eb+4ubdy$5ij7pad+74oXUa2hASG%i)NFsl5al z7~gAJ4u|3-GuoEPt2oQl(o|V*ygrFLoDDe9BJq+DY0L@V|NkA3b`F&x?30d&I3D?M zI+;sAe{&^a7hTjuZKt1)X+yP^fZ0UiCJrp~v(EgB#8JGFmK=H+qqA6T?^jdQ8Cen| zoK76~$b1?OJP9PuJg>)eH3*+_?xbu1wIY%3HAA9@Yl8?4>s(RjS$?@J$#t~{V2toe zpGRol>~$umFg5Bi&sfXf)>M3h$_jzi9wUC)A#lvLZ1I#$7V4x7D6N3_SK-?zr*Bx% zxTk8A^Ey!)90U`YuU*5JBV-W{LXR7&aswTwI<=wvXVdjuchPZE>PX`$ zP1G}>W95vXqx#Lf!LL{>cF}B@;&XTVVM7p@UFB1?cU7E;c+NvJR%DMA!3mH0{G|#p zw;h$er`rGKhB)2E;UK&>i?=v@i%75bP5y4IEA1aH+NAD`75A1|L_M0h&0$hLUU!lq zvl)eX#cF(GQ4Q2T*GQUH;&Z6I9+IW|^B#|q^G@EzQY8O&6UXIkn*E=CZ}#Tuq>8Y~ zUh#6~(0)|Z{CIqPZvyUP(yVkQW!m2ZW$0AGVr3||at8Am57x<&XA$gaitni&syOX+ zz~;*96JSfS7jN=97av!z8U*yYd#&VocKDB`lJNIYQ*^3DOms)DzP%5lT92=_fc};} zTEM#Yf-gB5}<(~?LrZSlCWe$*8 zd)}G;zaqXmDvIxoTSY{2Q94&dq(KD)WZ6|xN{|i#DG}+eWmmdGQbFl%r5gm7?(Sx3 zSaR82c3-}~_dVzRW6s>?+&MF6Zp@wge8NK6=y`g4XpExAjF)9-tm4h)-%{}=nz%V# zK5-qbIhoj0d(RXSx*1*W_><9i`E{0py+PX-2Yt^W9Tui3ws8SaiZ(wHpL^(Hp>D9Y z+p}MmgTMZKDm2sMBivu)XRjm8l1>JEN|7#_s(8gQ;*@r|%Djy4K_J|saZcW|2)akb zS6>dS=Wz67cRfRZKDjuqUlw{cpH1?+`{dh-*Db7`KNDb4@_eu{qr*+X>1?wxt=;fI z%XWH@4U#+N;nRfACpKGmYp#vpt{M3`hHD*rrawaQ8_@|HOsoaBQlFsuS z9PrDG4}ySoaa z7%0I5PGsq77nim?VP7lRpWFG2>{ov^;4@dfZ+(~TB+zVql(?--rs>mqqFC{rr=+|Z z23l`BS-A_}8MI+0%xr$M()R6+QKv6zO34;|KCf<~B1?w(i_AMJub6TzGs9?vE-5mu zq^6CbDuR#4+8tGn+tertk-jTcz65ojl?;Ad%31p|A5Ps1mnV2HlTbmtX*K$dlZddl z$$3Neq50bZSm$oG_Ks}ynIBWoIlnlMAo;=cjm(**k7+ZVm2sJ?o=};WQZZ3{dDn09 zRc(PGLtPU{p9OZ^-&J; zYgRCk{AyMuhxg9suhe+^EJtU!UzcN+G(@I!qf&nH59%`B9Td`J1AP`o25#t2I!WeC z?)+I}e-(Ojb7&hSVzppSRA!lyC7M+EJrB}{!w1>+oMR*KO+jwDQGf8z0E^*0UjoiTXdsvUOY?f^P^ZvT%(N#AYkgJ>psNz%P%oXn|(KI1OG z0tV%ZJb_9k+O1|b4|RYBe)8EbeM;7*)xPb?Z?cdds1F+I0C9c|@`+d3;0frWdI(vJ zgv_m+V%wRd;={i$i#5O;t0gwKaa;Ew6Ww;xBryGZHi?W?I&DIZm(-UNMo3Rq-CEZ` zZBi}Wh>ISx{V&j)?#j^N4F4xyGw1SZAv)rCT1hJd3 ziEfLISE~_wF+#j`jS@k7yqyp)OY~9nX_6C@QE*PO6?#h1$#{Re@m6wnanR(i`Zs@LPAttu z;vb#z^LhYm3f$rlp-PX((*+gNck+5=Im4Z&F-awVT-D1IV(W=0e-$>GUFro6uv1zJ zY^o>n{qea~CEeBYn9S4Q+hmEp^&g+}BUN3;)K>|UX@f(S=TF)G2reZwP&*TA9J!iI zC6z7-hgcTf$ut#+Y%)=78lPOxl`@vrXdIv9%)2RQ&u!ly_#c8^yR>xumhwb!_u4sc z(4@zRxv`4f{AeNq>4=pn&_#qJ+^23}JL~PAwJQlD9SH!Q5H)sash_MNR%>Vc71GL{h7Ywsf1t|l-O!0o}-XY7Z56KTQ zTF?D{uWB)1tMx!++kq9s@UyjwV1fzmygrWsT=DE|G$Tb3yRuxx4Nn%v93M%+w&zWo z!W#*tS>yf!d2$n_}D6V}ajL~x(U)B>B7CT0tdLA#|fb0%tM`wU5 z&kneXI=*1pTLUfm@MKQY*&pX!x&K-A?2_EXyV+Edf0l zp&F*$iF9LZC-Px%L;nUlLo0;cSo&nbzYZVmcYwgy9D*yoKA{q-HoV}?dTot!T@t{K|A1fE z5peFaFB!b~Lp=*k#S`t#tZ|S7Np=e=&hTGXm*K;HXI*$=X@ttQ|Df@?neZBn~?>rnh2_I)L!q@wdT!NjYLDe#Q#Ej77Sej;m+)omAlIA5E>SQOAxm zz-`p=T}Tn%TI8^hy`W(<-5m^}2AXSUjRWJeS_#hT*H}@Puo1&7!2}|k#*4Z0Okxb1lIB z0)ghJ&}R2*U8<7KqI@VEGbQI+B7Hm2el$YhE)YxiC5;fQuif(_>WmG9nyKl&TW335 zxDoj6?jR;Xy?Atm-(RvZ*Ra9;(agbd4SkI1wA~Hfxz*mf<|~NG75|kbWivk|Qfoib z+RRrI6UBI4VbbQa=p^27D`xkw^#iRVCn;aAktZIplI*KzG)-JNu_eEfoJ=NM8hmf~ zS9oHYVKenuK%08(`xyxguD$@={6l~(Qe*f7`*n&?CEo8E{>yNy9CLY&q<}DxazQz8 zTCFl|9)}BqEb0c1Yd@CYt%@HjG&C-45b%_CA^taJFVcpF9}o!BM1;TTxC~*uU*qzD z^wrHQV3O%qh?#POspAtFWbZuR`mAP~J3PjY-VKcaqk;Rq8AV<|e8z5X2GC)zlK}KN zK~_olq2UeOgqx2L0hNveO0O^LThq@$%5(IX-jc>lRga*dS@r55;c9S`6ddNI9h*r` zC!lD%Yby-AhWZjVJBNrQ&qiN6zd>DV=X`dLq4o#?`yKch#QOhM<-tr;{PHI(TiMaa zPjb=uAy~}oGcO(jQqD$z(B$TklE~juLKuEn2{PWq}^*tGK6 zPs~^+#qdl2_oFux;~WvA?hCa?epYRMhnjm>ck6wH;-L5g^hMjWSLqSDSr}~eA=h;@ zw{B6E0KS&0_nQ*wIFd3sZ`+?SfcuS*EexT>FV2Slq~j`fdI|06I4q*myz_`9gFL)V zyEO$~xc+P1Iz-sfYD@x;`xtx0d7!lHrI9hwAT37#XUH-tX0&21JFIP|S^D*bUdU?` zbE+hf3i-T9Q1NaQd zRqjVEzoR*{R!Jk78=CvxZcV<21;OUMDEyAUeRrX77!^Z)xYIW5V>bZlo?a1wp2}fB zr|NUU_l)iEW>UrA)O}dT1Gf3v?$=3WFj;xY&KQf(Ri~j zd|%3J+-oEL@RjETfdU*zuIqqL@4}3Hhe~+o)~FnA-xR4#3A?}kbG-WPIWTW1x;iVp zx}k0bXCR8UvxwS(3IRJUzH&I+P$YtcW=wq~esY>+_jYn1`1P z_UFN9JKJT^3@1}oa72NdG)XKlwDzBiiruB{qac_zb~aId{*a+CjMVazWRNVHu41+&##@>RZ||rtFFBMW}q7je4Ag9 z>jT`@r3@O*Pe_`YV|l0Bis-J#RiPc|gv&cm$nwn((|44T>d^iO%|b|JD24?`UGUGk zXBk^h&8(Q@wLXf|xSd4We+}q50yE;tVPs&co3Zc-ZRMMG zB3lmiHmW7ZceJ*clZpfrh*6~Tw`=`W63iPsTi0=K!DFr1$H2p>xsH>==$S>t4SVjPP!) z@x0`P`u!W(j+0xBt(52auz6=nw9o@UtolqaKoho2KAa?M6~CswpSvR2a3;?S%@5)A z`j6W!Vr)2onYT=b5g2stfqxc{o1a<>z1yA!C~pHmlMYct>NPCKJ4AqFaz0?fdu&1! z4Z2`0)GC7ZC(4P8y{_&lsJo4S0Tb!B=}XXw?SLzjU}NH?m4i)rU6+1si);BHu5)&- zRw-cLY{a+QG;#iUD}dqlAL=GW-ayj{3hb=#o?&BycV9w(vliX;&Sj{UiISEK{1+>} zNXQhk`aIzI5zCs?*_$4M_#HgpL3vGsq+ffTH~p!UZKN!eMURMRdCOodLo)dEeb`Yq z^E-kDu(rftxsnQ6KJ70G7U0QK@36E2Mv)(cN6=j~>MY`|T4RdNG6I)iK*LvgZDw@MdW z?n#kLQ0R;#TSuKsmuZnpE>sq}X>1No(ho=Q@d- zyZs~P&RxIPLtHw+9ShI-|Gf$G-;PgspL)l8R|Eu+a{pGgW&2FLe4FWagCX)qT|e2w zZsgs#sIALhnCbZgCPO4lbD2V^Jb~<@~r!M^IV6%;x=t9{=M zGmN;GPxW2)QDJeP@M3{`!`sW_s%?L_&(DOE;8r5nSqg+C)HXl_yQLn!}r70S}m z*!kd^iM{Outdqb*U^6>Qq=Quj;i}}0nl4zczbUjCob~*sMc{}yS^mQIXLMW{1X)0e zxmmH!#U`lQ6KRD@NER~ux%26=;?a(W`YXd zpIIsaLmuzrP`CCfH_{TS`?R=FiB#H#@r-=$oO6bc=e2rLf7m;fjVntIfzl*;laA|W znm2ih|G7DZ_dE9ZqBIyHXxtyIGNq@2!X=jfKX;q&h$6C_I!ax@@b`B~&s-7ks6T%( zMrHK>*#H1Pr|UlL<9BE=`BHu%5j@oN*Hc)RRWSKQ7gsTJef9~rVC^Z=tUrwn^SUsg zOM4I8x~OrRHp}&aC4b%2F%>b}sK7Qox1~OG%&Stz1SBW(^@TBsys4QpDT05x;uY%d zWG=9Oa-Zb1ZXxl7b`)+aQ!b2kl;KNp(ScN^NbnBVdD>j)ZGM`#XF~$Riy1Ct(>1!W z8l}gE>yM9BZ4JLB{a+_0@?3GBAkwtim(G?%=erSx3VQUN#Jj^`hQ(5`JB_y-{-9>Q z9R1Ehd`zqkpv$0_zU(2Y7%vCIBCBD=3kYeIo`0Qu#EQO|1!7DSiys3BY&oaaJ%m!) zy$OWh!V4FlKYvC)zzTDTmN;y(j(WzoPHIV0zn<=;N7pQT?r_ZWrEe^LxM646!uNW=dAcnS}C(xIZA@(=ZluBG-l6 zS>h?g)Eju3MMNfQ@)E@R(Go7x%D8?ghZtjQkF8tRobAeCH5{q{CbsC;9YL(MEGM)A z_+p}!Wl?KeIEaNm_or5#77cu!$sw-(;}W&4a|B%eWyL9p>I=WaS7ejwl}0c zW+q#X&nhhGccj&Hs)!`j{i}~MKiwM3SdtlKS!@%G>EUXMpr${Mp&vTinUB zvda5Xa?#up?P?LyI&Xbq^k}Xhcc3LhKiTG~YVNbh4@$?B>g!T(C>5{_iA73U0D{cp zDqrf=3hz9=u#v&o03SW|Fzs+iek-rX5l(6TzUN4_hK4h382w%1>E8?MG!YARU zUNsPJ)py+|H1ec+Dv_y-V>td(jtNdqV|DmaM{=WX#CzP+6O|2O^!}EN`Ua*iYg|WW z$$x|zxoxRbc~?hy<;%PNL6r(VYLIIWopjMBUs37abLV>vOoE0hCt-r!It!n4F4P=+ z$&A~7W>fmoVG-t^LZSTQqX`3q8^zp1S9oiv5X~Qu`65agbZa`sMDHZ5o?ts$DDGrB z6^?CHI?Qm`gvoIqmeNQ2Y{lsgLmO#4qs<;JK<0|Eh<6LX#0`9{?jg75n#23mh*^Re z(^=*V+DPi9xH^++5m=9k83bs;ePVpYY$FWiU}#3$uitN(Sp2TN6ERGTAHBEt;QGg_ zGE-!$ZY8Y7IWX?qs(Mmax$b<+1QRs<-_FhY;WYYVPr`pV;73~)k3La!bgV`*Vd?H;qV`X)7I4?^J9QY z)t(+Ay?FK#RwOihsViu_{`is`LOKpib3)o!KBygLzS_ zoE!%pIx#m`;EOCcV`uHDO`VE*LjK=SM=o_);Dxlgh}JGtx~y4KThdB~P)YcP87d!F zLLblP3lwl573%Dacj^0TKnL-gou@J#HU9>~JM>teicFW!g?1~PD|LsX#v9hy+I!wn zmfxh+ya;8r;JDj_FE;_hCQg1ZRN7Eoy_*D9ULIQad za`pL7$w`@Q8<#Y6M)Jt%!<~dNAh-w++nX*EiHqzj{|Q0C3FirJ3+($4kR7bG1os-e zK^SZoe%~;kH)MmqE%RU_NpO9+pVDCyorsnZxMOLhf*a90$3BPs=O-qlbrK42?3-&@ zXkOZg*@bLafd0BN`nGqTPm(CV1pE|A!r8VoJSR|_ZX6mh9yd%?2cVVU*G$eAf#ILe5{Lgq8C1Y492@?OeWZ0`XpDvZGcvlS3k-u7 z5qO#tOKQ5VSlE_3KBj#x10p+~gQ<-LZz~osYcNEzISl)ah(N*S9s)0~4b~Ugt{0{= zF8r;RJi3?ku=>>!6LrcT+NezDCtl;>Dxm2jC;MwK>gP$CM#P@HZ0WLm=z(nJ!t4+4 zGOD@Z(7&qTudMyvzH_LjI>IVm3h;F?z$a(_z-fOrtH7d=cH}4~J@SrOy0sh@r<=gu zh@+A^<3c*v5o!*-VUJ#d6wiL6JfdQU9;?z{M} zDNgnB>7r0qXJS*(?PgDdq(yuD+dO}9+jPb2mHYo17M4C(9+3k*#*=NGM;E+PJ^y%NXI;4i2^h>WN}zxG17fyQ81xC1M`BqHdAEa(G8nC-uCuX?OYI9v|C7Skqs7$QO@?@A-6e>*}aNV(eSPc}is!h;jl=G)t< z?j%2AaH1V%CS%r}H(B^{ckNkZqDj}5J*HqO{>n*SD^9Gq>=!KN`=Wabm&m5v3!@ny znsIR%)u!dN??VjcwyRy4Z}0UgzgdrcP2HdEuDQvo7KiNaazTRwB2VO+9y47&xwT}!u@JqVYTbx9mtA4O zY7p{0Zfxnjmr~8?s^oP+o^=d-XbH8UnIO~F?Tu+}{$?CC-Q)JRC;!9MnadA3MGEx8uFx=u;QAIhe@LamF$g15!bllrN2|aqpL4@rA0kG9{qgP3olH+^ zGLs}x+!M`PI7d`lsEIjkn1$sOv4HA~3L8ySj@aPwo>2Tzv78YvL4V9GAU}cI(}8%o zye812y+f?KwGT3mW^7pz+A;aD;!+N4j<{1PQ;8ED(riZ!qR8Mg+`;QC#svaXeqDM| zw1?(_W=&cj;4xzy*O6kcgiPShpCd$rOqu z6r)Y=xEt~N-3f72fJ0O=nqC}qq&ah0McLyUu76%miN?S zBc_4}F)%$71<>nZkc6&~S=H6{i5DKQyo~+wjmTIZyipbCi_;!jl4TF0DeuZmI zz4fOFEX@g#a8CD%HS=i6I1F~~zx0GDk^H_lG`#&W!U0|6N&|;2`JY?u(pBjUZC&QO zD!(JUFhn)gS?a55DNx*J2SU9c>E$N|FLG7@B*YL=t8+}LNMaDhk_TSU6v-n6rOeoK z`|h`wi+vtYh4+YyDcCk_F+|C1X6)NA^^CAP2chI(WyioAY_&n?_6k3R0=#%ze0OR7 zZeVx6n;&rXL%|+)`_%+n%ThMhwXxfCtaf|OZQr%lBjL|%?nUx>c3C=uy;L)Mg-B$X zp^>mYJ;ytV$}9Tb>Fx`UV=gLlR6`>^sBOzaRQSP9{a1Qdk$xr__XJGJLCqQEpk=~i zg6Jk;0XeL>1h*}b(7Mv|K{NHW^&!>u@RQ&+gR5yY?&;|d!BdSBGuO; z=r|wOZ^dvfXZp{j`MATDn7ltvkl>r0rM}L@V;{`6rBx2sA@R|0)D|GNx)DExIP&_M z_nPnPZW_F6Gw4pU6b7zblWf{Fz1+q$HQr+Y^^I;X72?SMrO4Zz!Q!2+;^wX0@%826 zUO%81#<}kMu*#2T-ECz=%osZ<8Yw7+ z1abG~x84^atmT0hw{Utp(BK$`FeD)yXp!Z(>-F{H^7I?L>D__@*^sM9MlLX#Euu}E zUHbc7&Gfq6RP6NLh<**TdRFXW(>w3|HnnEKA)XWh;MOey!Rxl0rnD;U8(LT(Pgpw} zNeZLv8eNbKZ;YHd?eqQNg@dP_QhWOkW4bJ#aQyzNOm0LjMXn|)^SCT2iIQV0;JE@h zOXWzwJwEwY`%1W_FAlR!mAz?>TP;TiSi$&AgU5z&!kW-mvbaL#@2?SJkcems^Op8| zy8#rVjgJiZB_+ZU9G+{bzma#k6Us>w`5h(8?X!#N`Zv6-%>R679klFwL#F-L``R#z zWMp;tw)&-YtWawE6)If3lQin}m{YoNO&*)@;7fJvM*#;h_?`GZ%?ko%CQkdMs`tXn ze1){q1`4HynBq{~{dqB)>e9zbMVz0wmc5H_A3=;w`0q6M6{z`^4 z(^kXwRSLb}w-8-J3Js=v*y;D;UxnP`R142~8|(r{rXV zh^Z|1TOVH2%D9WbK_Yi8m28jclncXLNv*CLyesdzz6>xb3VY*2>Su9|MGo~k5wyRm zJ(O&`Kef8F%JSOCe&9<*v}ZndIOqbsvMXv*s+15ID=0+mc$l-iyl?XHrEjjuH^ZnW z*WQ<%M#MP>z~-7Y0q|5P$ zTvrj_6etDCmna_s9yW!L7NKbGy}RpmAJAQUH;swyb?-V!MX;=`cjLHC*6DiP4}|&% zFFYWgTK)ipL?wja4G>Zv_ECp}(%X&(rdG7$06Jql+#QDn+yTNkbynl7ylJ}A&9*ahUj*9u?e&E5% zc!+G!(FrRt!lU!YFHyL((CsME&-_xSyP!nw1zzioHqJeyRPW2)sJ}IgJdBC&jdsqS z@$uk=`iH9m{P)zz}6=*0hqfS6wftmtU1u_Lv1rh}+YqvS$Ddcz@ zoGFFU03<#DBt8HnJ^&;>03<#j zNPIwmlMw?Le?Vo86V<6UM?rIb^m;aOV|Rr94N_UdsEQ^yvj%P$c*ekW1J?{(HE?F& z)WC^>D;x+^X{ciaLeZqM!FF|)x)%l;MGI@PXfi_eOiXqxgPba4s*281dzlrr?{z>+ zo!C+*w$zC&bz)1M*it99)QK%}BBu6K0f<$jw834?e|~>-I+bAxEW;F7hAFVPm*GU* zE3mj%U~#X&;$DHpy#kAS1vdRsRC#-7UIQ#^faPa-IWX7=;7kDP0jveE8o(@oX#kS| zR@SgdLKnv{cQjp!>+~tU==hvwsEi^?{p~oMOm(!q3^wW{{EvI5jaddnOPZNfSs~pl z<`<8Hf7dL!XSdFbL2H2~Kx<(LW=oiG4`s$SsvM$=;1wxi=%-b*I+8Dl-r3oJhAyQ$ zo_Yc#2D*ds(Nj=Fz~a{V#uAHZsC6~e2$1zkfUH*nWW5p~>y-ef+=iNDR|H*s4I}Ng zI&Ji^(sj)UkY)tPG_Q2Kx-$0e)%@NVxMlc?B4wE@$p`5XaC ze?FgCdxF;ZV1w%hw@ly?lj)hreKM4xcZRASsudH`nv*a%?gEe*Y;p|>>jmWJNa&|4aMOG9sI=q(Ms zrJ=Vh^p=I*vd~)=ddos@89SWAf_E}EfAuB>L1#A{6k6@YXzzir5Gulat%D;T3j;Y`>_mA?90PPg~5W4ocOOtj4J>)LaRiK>iigN`bf1Ld$bEhnxaJSDuD|@yCj#3O0aNolL3@*mW<)%D6 zVOsfQ!hr7bcyHz??o_6`JYu<1neOt4;!b7JF#^M$zC&X!ImPYY2y(kmJu1>hPL7HM z$Wf61IVuw1ww`)aB#PU5>QRv>ZtIDoqRiU#Z5{Y_zX%-LLAZc6v9ABCf8Y9Y|KSt> z6i?3hPFsH7K=MAY;2omh6!O-v1u-ODedhB{!u4VvEd|AY#Vki6jFylx?VZQ&d&5}tLMb5^ zDf*X*zPi3I=+}$Y`C;kPe=%^Oj#8is+D7P=s?{9209?@1CcubZIZY`hCn}X2(p&>Z zmT+empyG6eiY6fMI!|tSGENgf&@}=;VN9xucex`T9XE8NQT7V6K_rhUvC9x^rO*Kt z0#wBTf{ftwFa@Z>I2r}G8DKghc{&}F6vmn|@G*t4wg5{2(0Rloe|Sg&1P0Nw6M&p* z<$U5%*UrF-7NdT6qLzmQ`vm1tsiv6;^LUs+ z){m5%R%eZYVc5dse}Q32um^%1M_|7n8&jQ86}*j7yWRFmQPIdl_O?{xK~^X9@*puP z+3Urcc*t-%Zw_M~j~G1OSjN0~_!$r18g1Ii-<>Nd7eC4JKK9M$Wd^C*uu$jakU zXFO`Q2MyFzW=jr=YOgz3D(cRbK;aOai&>{bWF!ueaX3Uq;Sd>vLu3RF5&In? z);mOOhk@u+LR~SjK`}8xF|k51F+(x2LoqQ#F|h=gJO)tra7e^!GUIjN78eJ02*G$w zWbwk!SlBh3f6zIB#&Mr=+`~9FCl32`0_9cUW&N7qmTA1A(|ARvvGu86(cE^TX*}>W zwm*&SPvUi(#140kin3?34d&XLDB?$|2ue;D&TRu~6?mt-D~fRAPT@?Nw8 z*B3MB-<=ozxz*78fz{Cb5!BH9S<}$`dDPJS8Pw4HITW<$4U#9&zU7J0V3WrU32cZa z2+ausZH;0H+8=pB@PH&2cmSDF-!h+ppI#qz6Li&2b3+c-! z5aJh7e_llN6ui9*21|MhxFoNDOKJm7dt-hhp?1)L(LaR-Ewqv37;s6Q0hh!YzuAa2 zNQ|Qe7aV$Gr+mSKM!`j6ke_Hzue74}iA)pZ-IC@|@a>b}71mFO{0L(Le!^Z?cucU00H&MV?!PNiCA2H>u?HFVe+a|VU>LRI$8*|7ADt=Qau25Z&`gb!5St#DZS+$gNavrioO4#ef3ueJe#^0sQ{q)ZO@iM{lTsdWerm<=4?ijz zi$!gs@f^_xbk9F$6-415sg8VL+V43muer_h*3jQthlxY+BBzEyq5ojm?QNQj~k6oEW+4sO^Wk3EugXMWT9& z9fvl{aS+ifk1A7ys0i-)Ck>W=*=jS4QvM6eiFN_AMlLt-e<5vr+jhE0D_s}+RrT{# zINNE=KVU4)5361x=3gN(W#&;ifBcne3~s!Y`nly8WfQxaXtJ~q))pa88Z3Xxs*Vb> zk(%)JQ-+mqS_OAoj)5l>?2+>wtGZztSEGLSe?8^x2OgwP zhsp)sOq6$b2L18_1Plj{3`XVN&d%26aL}KduIx~HaOa@caws8enwGbb7U{-XP$p{W z!4H;7*w~*sSscB8{bajXSWlBmef`Apd}o=^)pqNS7t+PYoS$$*IlYDM2e7}oZ7+{7CQI?!Y){ zH0bqLUtWFes5{wHFRK?Wg8^aAU|gA~rSDOC#%54lwwSKdaMn9>A8F68t~a~gg?pFV zt@Y*3>Uz;`+M30&wg=~-4|jcx?t67!np)@4!EIN zbYE66v4@wFH`aY@fpH(1cfH-WPSds5=*zO2bss(~?e!nOVF8HD%r7Fzh~PvRdA*&u zHQ_|b?B@r=@ntJRS|vA*N4vv~-k2(aq`XJB#%IerTOS{kv$QHymO6Oqsss z-5iX|cTs*iyQj_$e`Q=bruRZAvVU-TN0dchk>jNUkgG z`&Z~0IDMYIyuMmceQ8o{Szqm}A6-Bi^4A_qjF+bxMzD?3mvM1Y!AhS#`j_%CjqrN< z7J#qfEl+#j6j?VcOQ?DFBt;g4zux|bi9xu#vKW-4qO z2M@oUw99F!e-eC2CpLCvgN`=uA^~?^YR#>;iUlffi&WXxm)qA^$sW*w;j^RKkt3D0 z*n3$*8^>y`6io%DBh#~0`JgI@V}?UTGkCDT2}M__uzFKC`qGTrmr z$pXdmUn&GnS&{z$00960cmY(Bze^lJ6vyBC+nmO8(Zu{9U{a`!Kbj~Al5rjPCU`r` z%u4RCe;5!?u(Q-o3&AFZ=_1$#QYi#d2uYz3NTGEg`3tP9>_Q;lH^=Rr#hW+tzMuDI z-`fc(pf^PHd4H=0Ml9(+NlS-SHHt^jx=s4OKU|eTi7|Ue;qUeW^(=LFSEliz9BYxHFa4vZN zKJfVK@cmggPLE-W!pe#h#)ztmbb=2ODyerc54o56u+~N=X2$L%tms%pEeXLx|IxEz z`zJUWgw-oIYX&_ujqpw3kK$T|97(llUN}JG5O&w5zQ9p`4(Y|WGRlH(-@sPsYLn$+ ze=c*4=IlEW@AB%uq3cs7pXOvqNh3^Dsyd&el4Z?+|6gsyBR~Ik_k%C)f|YIT-oX>` z_=;YS506hc(hFlf)iw(TX%EMRk!Kfhxx_OF`e_NFv&&;&l`C^r|0xp zTt^We2LcHY!d2WPbZoE^qbQm=XLffs5^!esjN^^>mNOe~gjub|J7aqidp4PwbuL1A zgBK7FJR|r4@PLF6PvMpq6!C(@3-A^m5JHIi{bK5?>gui@dw=a+C$X()kF@T(b#--h zb#?VQIqx07azbogg*bmTVmnywyBCixd4Dfi;)!TB7^s{-=_eknjHk#3 z9iOlgGdw|M}gNm!>tQXD%Jb4Gwk*Uk%zJHo#E*GSsxEx zxO-I}ggM8Xacz}bq#)qPI`A>tVSs9$mO=^{2Nbl!D(4zNAT72}*Jd^pn)c9? zhw2{6Jd}E<=Ar5a6qCML1sV#>C@`(Slmc}HGD8JY1!@XZH|}!AQ^@f=I8!oFk|TqX z5AP;XAr7k`I~XAM5-$k|S?MLR4#p5=^K?yo07!fQNPGZDd;my%07!g5kdqt(7=M8H zfPm@-C#v-pM?rIb_%=3jYiEe@4N~2}tjZ=hvj%P$c*elf2A(o--N2cFQv=rwT;)KZ zlZFOHAe2oyHrTF}rS?<3t+K$LESrqbc_t=1mO<7_S*mhnskO|CS`Ry*P$w4Z#6q1| zs1plyVxdkf)QJT-5lg#X0%FxT+JE4#X16;$oys%?mT3ws(-c_T%WzHHE3mj%U~#X& z;$DHpy#kAS1vcYSRC#-7T>~t8faPa-J22P?;7kCg12`4HdH}NkrU9%4u)2XF2}7K~ z+R<_;uFI$RqT^%H<~oBX$=M#PZlK-+)d_JfqO%sE?3oT zAlmoLq~jOT&2oP6BzVoTeZGHo3|ax20L8)p%tDy(A*Ih2jzWYW!K-r8VVt5YRwStq zePy(TNNo4Ui`$)UuM2gA9AAeHQ2Q4fOH9;IY-=bIAp4mB+0O*XekMTnGXb)n2?(Jm z*%v`My@5%#ihl8giuk^$O8l0*f_ zaS4+6Cz>QG0whrpAc=|qNmK;5iD{xDikp}wDx$cFAyHuxsL@<|f*0!I&a*Tf54u@;> zUooc%xh9zbw@n4ET){@6wVl~`fpSf6i_b6a zS%WjoiNi!5lc5ZwGgSA`6k8NhEHa~KPJ3v^Lk(wm&9z(&kb8piSzZ#PKbe7;#wVwM zY^H!IWChFuSP$S-0Dq?gI1|7|0C`|mmWI*NFj^W$OT%bs7%dH>rD3!*jFyJc(lA;U zM$5uzSr{z~qh(>VER2@1r#V=-&bqBjD1@RdhbZ7$i&15SU=b>Uq`WOl3xv(W3YP?! z5TAVq$2Gii<(caaS7by!RUzfhP|$WgJ0a(eOvqhv?t#zyHGi9tAx>f`=+xvlIXE{L zd!y0X`Octfk(x!)=y(kp!%oe@POVotczavh-JaZ9=&+WfeZAl9tw<#w3I#TBJZ$!Q*zEPN+3O*-)I(~iH?V7PW=q*6x@KLjuWGJ$cujHbBqwWp zz&qJgWgVVP;D7ZAd};!pR-8BU9u8Hw2M6G;r?XiE_U+QnvsEakR&hR72xn)?+9->i z>WUQfvKLq2IA37`+CALv;6YrAZp*6~!i%px3}}hSg`K0gE1#B##Bx_YEfI<0u6)rQ z1=GHr%DZb-X;@WT{sBjI2NzJ`>&nX;ubVk)!tDVlUVqDROIHQ12f4T_x$N_mo>YPm z!||anls-VXKFp)7p!jdys~+IsA=fI8So|6YI5aP|mGdqI9B0*Y{y5R6Y^o?WyFL;{ zbc^%>wghkw}HR_giwH+0j7fA)2ZlJ7$Ifg6AB}=6dq7m0EQ8C_9+?R;b969h3V{? zQ@ErTYk;xSwYf0elE zCq*lz#5O~$i$WGu1Q8kQKdykrsZ}l+9+h$sD1Rm^UmZMC(lAh2q?TU{6ue4D7S}E= zxhso~fx5EXQD9h^fl~w549uhWW2w3UmPczbnlcKn^DP;o4iOG!C|7IM;*M&d%AD~! zh1rN@XJT|ZMyF!b&)t<5;5v)KOoZp>k17RnE-)rkuxntdR607GD}hu$mqyAH{oap^ zW`DC0H;X5k@g!j=*L^DL>cKDwy<<_Hd-tL|t}B)2>P_Ww9STG?CWjPOl#AEk7FWxv z60Y*idgGERtIE*;H1jLR!u1%PiqYv94Xe(58!>m*qwd}TtFS=0AOXgT$hArBb=NFK z-AxN9{EAy5>vTwnT;j+)97ks15SfERWPb(@5&K=t@V;^knTbPW9xxULh_4kBdlU;B z29jct^28*?#3seWD8=^2jb80LpC4RSoy?4?^Gdf z2;yV((2p<>2)Jy|fXj{vxa_BZ%YSYQxa`G%%T5ir?BjrofdLQpaY)c>6tz$A&|mP- zU+{_k^c5uPUkmeNdHT8-^TYgvALb``n4jPi_R;I?Sl@(wF2`ZM`o>=6=@@!p*gNM} z(7&&MfPGEDF=+`Lhaf;De;QDog?l{#++ErI_21C^D*!|DSA9eC*L_3tSATv(^Vfbu z^H+b+I3TGsjwXzndxFrMAW-!kOHc{!32>^Luy+x?l#|bU7&ZRH=k1V>ekIqoY?u(% zOSK*=tzVifO}{o-ntpKtZQ}b9NU)KrVE)_#JpSZkB>1(J;%?`2Xt-tcZ|orhntMgz zz3~^Kr$ncQ?`JtK1p4G3Q-6rsJkjeW5CdNe`HfQxJvnBjPYxIAlizK{_~$2%mE)|? zC&w?uJ#tzhYGbSaV8tSB+qTco=szhap5q5x`o!7}E1BAO+L3J^v0^{8NaU($+V8ev zk+zRo&IOu}D#_;v!2^NZNKGL60~Qv;;;U$`zx`m2QW=@kK?utc6o2~U3ZT6qL@mCK zf*)H$A*)ZWKlA}1KdNLPzqEZmZaLAQ5u!h|fpi{mA|wi=Xyxo%mHeG{Q2RQA7fYG> zC515PPiY{<^T!kdCt9os`L^xzfbH`u%fbJ>QRX!!_o5Yxc2=Yy3M5sFHvhF%5OH2d zBpUR0)`CAkPO<9U#h$kcqI8Q>P!;C50q7HF%iU9F zwDh0S-qhv>uH@gh<5*UHhmp&FZpB`<2##=l@;Qa5jZda;*?&HdS~0x5&?o5{P#`-dh+*JZDY$ZjMu;+_mGv|&>K;W&VF()QASZEarEjK;KrN}X@@H~QJ+X` zeb+wkwVamqTYqjj-ysgGM>TwI*q|RPKX_?@;8VR}|HAgU!-@&k8hr8l?$6`tvbE=*njf;$}*vAt>W`vn0w?|=S4I) zobRCa5UQw0cj$LtJELS_;Sj>`a}Spd`bniOB~d<0e|84j!{pR%Zb{Qd@XHZ=4H+Ks zu1Gq`rOt(&p7GMK*XbgMT}OZHsUz&F>*{?U>17MTs=>U3$d#9=Fho)mr!A(NG@;Fv zxkp;_Yk!-~c6;IBjui}6@d5<%%8Hzh~PvRd9$6^pKziir;EM8_^Qb* zt&=HtjS0@%d!bf4-MwX)@kUE`N5$TaK`OHlZJnp6iX1`)iG3=l3+& z(TtPd?xWBq?;hTLAW8|{!^e;p$(&jv_?L`l_x^}js380n=hWDGe3iaO(hq@`H`hu^ zkxfdEn`DvLvb3=LrIAoLwnfm`0u~$+Mr&`bRr$$vYBdtxOh*_cSgxzJLwMlm#A8&nzlda zkNceqa@svWg*cb|Nw;^lvvXk_vy=O(_kVpx2heJCobZ|UYBkfrwsrWv_mg%h_^ZK} zbm>TlUTIKu{y}P8$zRNE7UcpRZ;N!YZ7#Rg?C-_)@3}LinLUSJxlBFyV09z*URI+5 z<~Da9J|KAW6XQZQo|ybx%ZDn1cK<%Si+X8Us&9=Cz43@N%lsjD$UXU&6{0rB6@OEo zJbIz^6Z?K(QDvyjqc>uATFx&laxd)w#q(Db`ab{w0RR7Z0aTK|OC3QF$LIX5&!30J z9|?hIVQiu{Nk9l$&-LDl@AlX|(TBxC(14w#c3KEFDPpIPPOyp)NFivEfMB7uko*N{ zVr3^nzO#>a@1>ZV-T8iIc4uxw3V-N55uNOAtiw?VvvJ}UbtE#Su~0T+ff``V)P(QN z^Y1A~p23(+rLmx7k~y0hmBbE9Q)Yy21ENN1!)okTc*c?H2_R3Fg_tRdMR~TIjzTgAa;2Cb@=JD6}v&$tgv#$31dXrMLNNSgi0zM%zr>`r%rs= zUrbDo|Cg|+V-?jU1l|79Z^Y&mIO>B{D%WZTZ8U}OpTcj&wF)_sYEwI~hh9S1UY+~~ zM_oCjXBT9Y1+Bh;jpFr3mV0xVYt&}njChMzANy~8+4RBsT=+9FB19SA>0B{S-3ji8rQ6$uC6@+QVslS2G?|%^HejNf3j8(f2&9^a@ zG)rTGc>5_fcmw;9ceRDTw-;_{`PkCj8I%7w*HlgR>LNiVL3x5pY2)kbF&P}XF?m%iSrt-qb{Rm>#+NRJ^^F^emoJ+Q?q@NKc({|-6 z45Q3-f$nD^HK?xw8VE(L@2Pcf=g#)d9f#UZyJfe3h+&A!(zqx3Nkr1J-^O5-Tly0W z<0Nf_@>GV@i%no=muzS8zKDXbC<#mtIzQ9FfrVq*x_`*hA7JPm588K~am#VK+sj?n zgO5D8h^hAVNVLTiASWD8VNrv1cN`p{vAXq7OwhYCa{zQ9r{HIHU6gqLD8r%A4HNe{=_FG~4?j^bIQD~Sh6H37FV7pRoZpCp z*hqyVzRuyZ)N0?LTS#BY7nzLR86`fM6L|?Rd%xw-;K5UdsMQwq%U3!5IlgldwkSavG3_BFR(rysrM_>-BCf5OdSO$F{&x9x4AQB;Ma5#nySQ!bk zNqT~24Cs06?j31y}e$SM&r)$!xi{v zBDw~A#dQLSVk;Eh_CpaBdUj_2GB`dN1A?CVzIPUD{b(PC1+1>A9aLyd3b#J%5`>o~ik-I0-eXg^JEbDy?#Rj(R LcAx$RlYIo+23G|i delta 1041 zcmV+s1n&FT3fKyee}8XKkpO{sm%?h7O;X#fP+!pcZqK>)zPh^? z;=b^I110?C_H5s|c2M#H53IDeGrK##ncex=yS%)-{0qHStKCJf)$6slF?6(+(KwWf z=ayUj7G_>T=+9FB12pR>LNiVLHGc+hgxxH5XC_u7w=cC86S+2WKZKaIwkdSfd>-iu=aOwp>1Tw=v|afM z!!UDQp!-=!4eF_Y`a)6b4mscLZEx?jcB$>OTXy>g7zVg3jeDY>L?rF>n;5Ke%YTAl zl%$PNp39I9ViTC@728?7C!!!MN&@r!&d+poWZ{^%E`PH8`xp*R`|TZP)N-8e?qZkq zpyl7fFtqt@C)oIX>5D8h^jL&hVLTiANK4YFNrv1cN`p{vAXq7OwhYCa{zQ9r{HIHU6gqLD8r%A4HNen=_FG~4?j^bIQD}{h6H37FV7pRoZpC} z*hqyVzBx&3|DxlrB7nXdVX!EL!EBD-72y4y^Te zRz@$=A49Uc_NH%PmF>oWR^`6L%qmF|D-K$*w!-WHW>#ovB*s~Yk}#4NCNH|Q(KN>2 zq#godB-BJ2DyL@!slv3&umkZc-3wy;2+Tm$_$Hta%AohsL?WaO4#%(oD3<}z-!olj@&W~YGY{%(oq^M$tfdUA4VMt%d7Nk1hsN>dmC34HLRgE(693klMCgA^~wj7 zU)17P$x5t#h`FvFk2;5WUFEV$cK5p&oD0WkeY?|Z73G+Z+&z=-Go3}nw)f`Sb_Tbd LJM=dIlYIo+^9%~e diff --git a/cpld/db/GR8RAM.asm_labs.ddb b/cpld/db/GR8RAM.asm_labs.ddb index 3c1e72519a98c9263856a3c7366184e444c57a69..02c3aeb5aa9ff1389420f50f4210a0b9160776c0 100755 GIT binary patch delta 2793 zcmV?UO28e=;aMYD_TH7KnjKB_8eqp_g`Xafr+39VZ6)-sD5r6|e=ax7SlS0*)SRUQ!{ zB#NqsO9@0(KKfNfYPD(ksQ4mMDz*LEB7$g@<`Y>cF+Hs8)yLaynpeswP3s(OhOG(@w`+7cKTdpr)fwg6cSt`KwYaA%z)+v}qldl980Rz~u z2QCXt1C!5d^9hh-VcALx$~F*Mj`eHskpW9!E6}cJENS2|HVtBJ4}_}dvQ^1>u>nGT zPiL*7-EFq|1%D3AVjIVYd>#;w{hoB|9(%5XIf_{&cCPLCuG{ipyu^GIs=i8z`EVq$ z`<xqOEQKY@fg&ayx}X zA73hstH^zrfqR-ql>xSRZIspR-t9xolGa?7m?#wN{nmf?`4)eM{V^X_eL?76e8Fcc zfw2~@oPSrh#aA7%{HJYcSi{1Luk7hacOMI^yDXBK9<*~3pR0TP z8%4C~HLabfq8VYze6Mr^&P^CBM!H?j;8U(q5twe`y*0oqM#a=5JDNKMtHj<~gJdZ| z>y!?r1^!Xdb|%E!DFg#RF$;IwVE!4HX^B=rqkmC^u83&Su{DN9S;irB{H2@;dSqB{ zVzQh@lNJL*FxHy1j`Tpz*c$B=avuYqhjE0A|D$o<4D#D$<80pJIiwmA}^|;!gdKeqG3xxd#&30RNg{5 zQGXh5b}Z7hb=Nre?Vk2t!gqlwfstN%3p=hyXbYsr027jp5;3e^k76n!TsQirK<*fF z=_KqfVkgpYs7$*>ER{eMwCV2h~o!|#;@ZaOp*<3^kN+w~s%ReD2L zCV>Hk-UsYXl6i~&3D`d(QP0EWspUL|IANj$SBOxH^p`?`wV7Ncc(rJUgX(W>Px zFQvFrW+2}jwED=RG2OwvI^X9i+8oH^Uj+vLVecz8QfT+XzG};k#jTiex|V)!;eSSY zu&7i_{}ODGqKuKBtP}WAbA|6DdXxQ>t-!|>$fa$as67=j02<76y7+hb3~ftRx;>1v zWn8OREDcFl0dCD>GBw_7=!t%Xj;wC?Ya0#j>^QT~FI^)~zjvDmEzQ=@5cmr>)TjlU z`!9(`V9#bPb%~1==!Sdz8r9v=Nq@@wr;#7BT!G8^0Ld`vh1hSSS)sKfVIINOWT z1yPMA$siH$BHuTMB{3-He$4sn$#8;-%hfiE;|S9Ik($gwcEj?E}~U}*q~i0gqr}me5dDHF=%Ex;JfyC za32e7bZ?`oc^CRZ-0Jac^mPbg6M-w6>!zja}DUZqZt%`YwcBGbO@84rh^cn z$Gtk=Pn-ovX67FDScv&7%uLa=_eA59%^mL4q`ghsk~+tR$@i*V>_z)s+I==hrhc3B zeKA1r9JfII#O=iwd}#M8KA%xY`$aV-`wI$<@GbD=qQM!4fhCu};D5cQn7=}HEg7h= z>NS1*EO{uyw7?r=jM^vXm^_cscg#942JP=byhi7}jvf>ZMWG!M4 zk=W1!Re-`t(<+=!mVYb^<5LTHgHcfzc8(^iMc=39hhpEwa2~1A?S8BTFI< zA=jf>dL(sEGU{Z2Z*lQZgzt1R6tX`^XUQ4iIb$E-Or$$@?_#f3v^W@A^lbb}KGykx@&47hL{IF~S6co`09s?QR}X*g{@ppudU7 zdE@w->JZ2Brn)?9Fd;|VY#sBSds@GZ=tX+hda`(ys|rkn;AySgtM{;zGY*D}PK;x& zKPN8}SkIaCGX+=KNhqSlL)xH&W$b^;`r8P&SfwvuOjI3REZvr17Y~uEKZNg$;kZK< zS73j*9nHh?^?$!}Cx^*?PFn{%dJ;zYSYbA;jlok$GnO_I=@9G=5`rgm1amRgxZFR4qq!ls$A2(Js`i@-|K_}&fZrv_&8)z2 zsbbFbvzH52iQR+>GcuX$&&!lKFpbpM0wUEC(*#R(5yH-Kc*bDc9#Z+0u z^)vVYRoB0r8}6cB9Xm$Su$`d&D}`Z6M9599%N^p`t9*cUjA!dg_2?2?wBbI`J71*v z4>?5n5Pxl$k+nkKHY+&+1H0dXVlF1|QAO~ZiaNP6W1dQ?e>A*hlj^2juoZ)YWDk=8 zvoE>I4zm+;d0Nw$B5-hDaH7-{=iF?X z)As2iuKg4^2l-wh(sz?yH^LkHq)GXeG67`?_w{%?UjE)#JONu7MH^2hdwm4s7dAK|5^n zeYxd6W7eX}_fAY$7=qhjt0{*Z!Rnl8tx-6bCrsse(XMqE4o;Xr+Rk=cBQn143r-EC zD}Q`v&}9@M9xuzq1N8-852d<;sC_u)jRPEx_Zgq(bv}O-H+2TT0p5O-7*sVa10#FA`MjiA*hW5lnbiyl%R=($>3px=;Jv@0Y`d)V zeT>?mX2TI}qWPuqo+}4B^WIoi$Xu+(j4+IR9x0~bIQU`M6CNHJ*DgrWz&N$Lm|m?t zlF=gw_tGjDzWkWRk(_kTAv{2|k7;`(hrbp?G|kMGN$kPWJ-b^jUb$iGz#^hrX2B*}P9od9Yk8rY+g>{}ATVn@Dge7Zwh1^@}XoNJI zo#`=&?HTstN!VU_KEi%3VF^7mBslXCL~z0kWJ5F9!n15b*nf{;%#NJ%x@F@J&63{~ zG*z$b)xD=qoqKP+r%#_g9YVKlb1XRg|JY07jj$L56(geGC6Cd!+uj52(Kenb$7N;6V|(zG{3A@Ny8(cd>Uz&&DzK zN~FcNWch>rHu&eJTFPFqFA;lD-2J7O_w7OR@6geWs;f(UHfpg@Ra?b=T~7^dUeoa| zH&WWvv(K@YfkxK{u_J((op4bSvIew@_O;$+@Bhf(MSoVdZ=m@rhgR++u1fJqlGqX6 zVi&(TcoW+SQQqs)YuS!D68yY%$1!$)eM2os)9%yw(ny#KC<%ncO6t2a@Wm`1`PV~} zxt++X_mf7{F!dlO57d$3skoZJdQz;`)m%_EsA86LcqV4u7djg9D-}SC;xJT4-Aygg<*ai1y!v zw_S~V$#|vtPJ4m(q!4ZRb`iLG6ux_EmZU9f>wkDAdIGd_nE2V!DmO!~GU|hyJ#pAi zOI?%Z7e#?@H{#89K<{*AO-E8?K_ zEzyiRriv9}^V=t$K|eK{^;$j`m|OmYrwc;hm;& z>>9jOswB+j*iE@jMVkJ#T4w4|&S$?fBiH)I%2y>G^cgunrjbMRcrV&Nfc`sYT7RP+ ziBsG)+wR!SOzhD{`_JH5+Qw=h%H#G8s-K#^0}-h=zjy;EG|F12K-$HQ20e{K0q$%El(XnPK+{i4S1|<#x_k^_pDz`H6DI`8k+Uzj1dS0PDD&6lO@2B~cL3JwCW zG&xhk-vYN7#~Uhj{~e8OgeoWSSmD7Nij^QhRw3*rtqy*==(Kn*=+vh1QGczD!aVAM zeFcd^UxBrtTC8d3_*NZgWpKV~&4)c$Jcd(KJGfXk=YQT3s$biGG&bxu)O1EZV z=N7M-H20=#^~Y%Zk3D&WmU_sMCjFKZGUokXvT$>(5_$gr7B+K8ZLeUGQ5Yh%dCrJC zb}H0bmPQcvHLF*axmzEuVJ4i0IExehw8x@3*8#jcb{kw%KVrFnNq--LD(q>R-}Y$t z#7vJ_CiO$2atW4~2^9${RoXV~EC8iIm>-e)B>Z_)LVA!LFE40jf+sYKrX56d(7*{K z$Y#V;`-$JlI&gy`Vl12z(1Wlo7Sq#-N?c_b3zOqy)^<7HUGK3&syX4*KSu+al^j2H^QltV<#%wc!EhqO>7$#+m8zL0xn?b}fT z{lx*S$K`<+=9G%4l9;*Q<=P&Fqg)iXo$iHktQjT+>MB-vQ{g>c3f`hcJ~>4O`+1y; zy8Tzz(w8N!U59_<6PHFpQMS$-sC!M*J}q%s1V?DShr~BXKY#u3a&epr044%Bx`uuKA+I;e2=T?${F~W`Vr_ zev?-#8w_d{W)8GhB>odto5a}XkdJ;KU-}v1Yk&;1lk2I3uZ#TB3@@0vxwWR`L!8`+ zRY%})ZL%HgXMgsH#NS&iWp%>l5n9XyI_;C5c}9FxRE>Ioser+So2|arQ*}l)Rr0uy zp6ZkKY#O#;uvuUPvF(oCF59U?lDr#gx)6yOJOCaFPfZIOG*2+IT!QDEh)7A8H@^@T zSD{PGFo#5}HJnHAEYFZ|LI+RsW2&SV?`@I94DXQ!pMMs$84jArzYDvF>MY7nd_qnM ze^|un2E(f2f=Wn{?daXcdN;pG?*-=3p%G{4krn%{K+x78OlGjSmSBi_@65q=^&a)G zT6Xk)l6v3Z@;NMpd?g0G({Xs53GkbWSsNeqDSwfbfOjrR8>~-NYPzd;jLY)}nJMUx zv9P36oPQPn&wBSls(E4BDbrl=3j1zdXSECyz!`MVKF>N#&c^?N(xC{^&s4n#UzPEF z2I?#FOp6)4uCXTJHdNHgi||FTLV(?N4`AJg}gg<%#5h2=ntFyk&*?Z2XJ2KFWzxj zD1VF1(aPT}RIy&X>v{J69jMET16wy_f*(wqE4(i(;`Rlpx6$wDf?_ zt5GYf@7gTxX%xOT(*__yr}mQh$D) z)Bd4?A5^#uJQ`R1mpkR*8R0SS{E_#KggWqq=fx8$HBioQ%}F4EbCn4n>L#QnS4~xe zHHn**|KOVg4z+W_=>|@+q>n&U)>b>6);uzC<)E!$&z}YR2(4TCK-n7q>9nDX7OGegfb5?<=sQ8PDD!lzO_N0%3V-4~wg~ zDtO6YsvbnRSG)U4CiZ#s4~j6Q-IB6Ro_*~cBBr)GE6?(~qotU4()%%0!ZboP%ydM@ zLx@clRQ}gS%5)f(0jd za{J!(u~H>ns6zwmXWEYs^W*T+886z*zgqX!L#1+KCV9enn@_Ea0jg zC4HYDYB!R?PikX`3OR-$vVu?CetB(l4mn_T^trwPudO#Rb9T%<8Z1z+KCQWZ8gK8R zo?vVKd-EbLJA9e{+S}QsbZppdv45)wj&37pivB{NGOlB!hp4!@Zj9NWd>`L8W@sL2 z?FDv4M#s83dFfRPh|@KdOYc~V(R~pruM|au9omWj%~2&Z{mbj%ll`PKVH4>5adYYD z&5x$|Z&!s-Wgs;TLj2dd3wpWxk1TNlDACIH}V8@eYN0F@F1DVNfQrub2&L% z-nhBb#m$>e528E~0J=KpZBR(XPmk$5=)0BG1?erP!+*SFQ$NkLL#cQtmo0Rt&m-KM2ptZ+%>K^}~Hw|A9o@{63- zOnN!r+=$qUCv^p;>%+IEL<*K;lV+EtUCgt|J%%t&sNZW-=B~C!72`2r*Zo-6w$&k} zj-W1NMTV`A&O&omQLV2(dSUB|ENk=D17czatM7QLPWgq)uj~|G1Clj{8MTi8u#&FY z9!j0nK%LxPv;$QVl+w6Om<^zSKJvPpj0Z^&^GX~O@+_yn;CQbAr!VrgMFjQn=2`M- z4AUD2&35oM>j^!-_Iz+J69Kh)H@BCZ5T2|~sJMfj@3PhwPS0I@B}HKPjh!UBGJk|V zu%LkPBg494o7zQ*CGMRU_-{M?Pe%%=2K8jW#JkwEcTude zr`i9{4)6d}JZY%;ZC}>m40wVMQo72MdXdatw}t2JE|rRK#-wg(1o%D(1c0|sb-;S4 zOH_JTbi*%c7fVTwaT_DJDcd%sL6EBG(6T|mD~QoUH13ssQ7=ytr+*lLquNf(CwxELHK3C8bb^3$F78mkL=b=r~Ts$aXdJS%ews=s? z_OYmm3O%nLI{*={&c`G!=w4||hc{-d{i>;@FgYVDBLa2y)5%DkNq3BM~vBvq`!tC`NxRCdD)Va5Ytz94Ozy?@`O%eJ<1NLhm z3w{!4GGe;5`?9LLcmP}_Pa)uyUFdmzq)$Bjv}Abu#?N0Grqw~75j2I`c5!j1aOrul z<{R4D%Bi~3hRv8|nQ;WM)w!f!et`Wx|NQD=3i-fOfA8{mwMf#H2gyUtz0IO4El2#%^alB#?N$g7G` z(`|8<Na4HyjO-IW5__d4Wcwd$#)_1)Xu|G>frOOtER*RdSv!4!hQb)d2=Oi zHk~Ozx;CtE8-zJ}+5URWtOSi*nwDNgN9&=>e`TG}mqt?;F?H&w&$k@==nb zbRr6jkPGa?2x4zP@K(#Xz2x<6G;;c0SQ_?UHvL*mayInlRwYsDBP*Gct2jS62$B~V z$Y@?&c9A@L;U984z0CkYDddfNkU@@-pU_8w`ae$|_1*%)G|(anEYV26Ae#rpwSKxe z9RRGu25mzdwWu(*?f>Od28GlV;;tk!KI=O9c7BO;%?-b73hu^UML(|X7oY-d13J)~ zLH#jqL8~|a(M16?9tG`tf4$tHj78xd#60fe)E1o}*76ba)B$DLlX>k^hqKA|>}wy{ z=yz_JYlsnL{m`opSaeKVht&SqNSGauS!c%b3af@kN4l=p_kg2+SMg!OxuN_^-&3C zq1=E1e`x$(ZP$G0A}&7r(%q=q=U^@S37p_8Krovx@I3o9;6%d}Fh!kwuLSDI16NeC zaX`(hn9!{eXpr<5FGv$7Sk-*U-dc(%56~Xi9z)z{oAZ!Sl@3tKM)&ZLE!E8i{@jXh zuzfOhAzmFMj$tO|YEPU$)JW6!J(@opTprdD0gt_;$x}e@KT6YAFqxZ!-==7op%ZIN5OWX2yXAO_K!oB<$2|X>#V$_+#x*(MBK%Pm(IP-uS`&R zpNH1z>-!QCBDXcU^3&EKHpL~=H*rCbnN5B%WU$?JUlQlK>XPS8~pFI|ND{u z_elN!??5uSJcNI*drlzM{m~mxgy~ICq&51F=Di?J9jLyH=Z6&MAEsC7S*~zTjRafE z2#&hX&kwO<{yqLyov`?=2|?vzsC>IC@fYmTZlyI9DNw>JZx2BN$LYltrtPMAf}KAT-=GSw z@88l{NJ-iKDGHdnEEswcoycKTeu*d7Xi+YCEcp>sGMK}2Ff5dV%dMC8@C{e)mS2k9 z=nHf7CW^l(VzC}Jhe?T3gb5KLts*MNYpwMvg_4S&lvB|~faBV(V)~czg9XDUKVv3= zR;!#B6ShmsW#?1diiF&(!bH}rzgM_B7-iDc^yr;>mw1KdI)d|w#fbUm2D~7noqBQT zekI2#5R`M9BYp{0vaZ+u-Pc@!5}>cN$o0i1ZtD0W!3n6UIPz{fzSy2RzFC=bT_}+= zokVMorr2evZz(}5&*hCoOhdqLCTkE2xsz>8y?T0^*D17I)EZkGx%OzJ0|2bWv&kMI&4bKgl>6!>@f@8Q6G80`-y9K z6}mik!4c~oFW+X6=u5>V`Vmhz^xiXilOh~f%T6Tcic+PgUUd42bdqWjw4r@pO>BAj z#tyNcCDx(4KMK6%V?^l;C2c7Fmzofecg&$r+jI~U$FEx;mS(mY=tB7=NyKk!fJk;H zL#w?{Pf6g?`!}vglO4AziOY40RZ)!_hvyGS4`r#Cbyq+8f%8SC)bjRAz?znRS3VUz zofUWB8+_i%n$8h$hyPD1huG(k*|x69H^nQQYPipy#P(QRSGKdKq@TO8OcpaWDOC z9SX5;JX_BuA=b7^Fo`#r5y6|uKZ=YWMPq+A^v>6|s1mPXCWF$xZ)sPKk63mP2+GA@ zh`9#-Wjk=wo+%3A9(#M1Wzaqil%y5;9i9BAO>vmZ-)?c6O4LW=@Ix5g;gPmT7&!OS zu7ZevDxgO+s{*A*XIE}UN&ST|Qt~uoeddfxW#GT{oXXgLtb=`j+ZEcENtH~ii)a_! z=zYxbiRb zbU#n3rFiK#wW-_7ZMS;U^*wul!>xkvDFiVz-dAFCnwmEIwN7xxvUxI^@ zDtJ1bDPn!*Xk<7>!ivg-K-~ORFBO#P|LQF!`gyD@d~IRZU~<$si6JKbLjK_sivyRf z%AWJZr)hgd#)yrtu9JtBdT>2)Qb^aro-lk+Cx`i$z};$3S?pj0_-}`83V;Ymd(Wjd2u6*x|P&}&S3OYHKBnWAwr(gzvXMx5}T+wsIyK1d7k{{t<^uQ z#^?h}^a68j5SKYE-G80M24s?an=X|HRT?!T#3>vw^%mTxw&^AjK(kY%X#tRL4kOqb{*GZbQ@CGC^p5^#}Sh*BF(p zV|4oWmEUqSAq`6ga_!n5WjsaJl5CoRvk_O?;77tYnYc+02zxW1xoQaNMxLLc5AXsE6(}wgcj^kSfd^q@Z8_ z@s8Vpp75UYe*e!TuM@{5rDAK_bQp=&(x)%IJn`Pdw()nNQ&R#-bsX<6gcfU#Bk}8k zd#cMLLgQoPMavh>D?qcnA3K^zZac*#b=;G`wGCk2CGze7w2NjloVJ^g(7=ki)Y~E3#rcqB40Ht+I4N`{+4((-QcJfk(H?4+;8_W(ow!$;< zY~xwV2e2E4;V)C-bH+j==PmV3VC=8A>~b_~ybt`Ko9;ks!_}Tt1q>y2Q(1ohU@;}R z#C65+Ki>%byIou(#nvr7MYRyY()(VdtQ#UpU#foCg|CSp73$sh30RaHo|->hs#A;~ zmHgKV=xF3RYG(^%yf-e5K-g&eR@%OiEPu@lF_$}cqiFF@ zmvjTQq+XG<{#$+XBROyd9>GM($EC{m2pORZy%S8o@TgXI!=8}4Vt8O=+**rcX?i zgzTuxz{^b$>-G)t38U;Exo9ehD$eh757xcG7}psOj<@6YEB9?pkGZAx(c6m+cBAId0}Tv#Cs7IV@h@$ zZ|bdl)U6ZsI*M8j)Z#?X3)f%hJMaA^;(cpK-MT=ksrR_ID!phMFpGkpDA?;S*nWyh z&Rbrv{f7XWhaUeAfNpE`{K@@iChFCnEs{_1TlXK@@O-_^pQiFU*bys$en-oTgl_tY zG?)RNv9b^4)}whUm{V&}2*;D+TtI}`T7F*FZ5onS-zoO{oAjP1eCqF14uVaAG?9CL z-(aZJQ<689mVX`ay(f~TuIjjOm+Pc1PVoPmD01Ye+?U$ozNL$u6#rYk6$f2k&=j2; zmWqahstm#t&EA{7vA*_Y`7}GqW&)65_k8k(X!bc*I^`Mu%;T&bbI7nqBZs6ZmlJx?(17S1`omS>BLxej?crB53EZDT0knHb6Kx z#9*P{CD7eS=DcGq@91{?v-dh@frc}?i z<1WHn6Or>oa2xPA7ud(aphXb3rGm*%FZaGhiMMRUZVHeIvQk?0k`NbJnWW{2Gt zG*vOL_a8OipC(Vk&U`+4C~qcjguuXse4mqYl{uwo_mO?`OlqG$$+b7PmWv>|!=_Q- zxI!!k_8Z76p#H;88J*(AT?nmm>Qjo#E9g8M94u%3x~K;k8XN|l8xt-=Gu7>+v=`w! zZ+3lw%x=hxP!fL9eo|+2822~R8-pnKkgLzaRYlex_MXiFa;oi+DPQGp{KCH6K?c}Y&UTz^ViR%>Wg zw$4{OQzcL5E!RrHe@==S;V&ZDh~*D$3^&AI(fzp2BM&bzmB(2Q=(@~ONm}I0PN1An z;gzD?S&(_Qea8>4IgyW88%4NoT3Y8$@EGA-my1$!wC%)tE8UY*Pw*)8CncAfw&6ub zA(^bw)e`X*j!FkUXB`i3iB#JOUCv3r_0}XrVa4M50r-fcok>%}>mx>3{9mUYDQxBh zanMEj?QvaA$dcM)ub=+*|0%1`%oV-p7TR@3SZ(R|!pP5MCcyHVqoBj*vG+I{12l8I zjK}PAdnn1WD*rTg*2PpeA7rB953j}sw8W26^POGYZ36NZ9oIOsm^GaP)#jZ6@Ss%l zTqh5j-?CK)(|*{kbJNSgLw%3H8J~>1=ZoLP-PAHavTAv~TBN&HHma&!2A7^|y+{hL zU{1V7IX~DyaS&hpvzYfSkGkjlr9PebD=}EK0mi61xGyW^h!52}8^p5QUtoSeH)`zW z?&AK&hUt+QK!wW%Tp>BXZ;$xMFE0R}-iRe@#lQcFyeMf>zfK<*4K6v<$GXeBv@_ePfY}{V!+UoR{R#Ge__HJ-1oSql!I!hgi-Hn%^rGF8pD7o;L|j>zbm?RSi-iA9U8&~E+0fN zv!EgGU9G8F>+a=5{Z%O*k-6Y8K__J?Df>Kulu7=8yABTbA1|odojynw#AQ*lbqASp zsc({bsaM8pZD#f9kpAqv>&7?x%&+d*B!%#WMa8!+^+rfxCyiC@DrE4{kM3^%U^y6>we<3^p{5Vrs~Cug}yxteQ;uCP}&MAuVq*6mGhp zMwxFQda%LQZMsrTyTQICY)&uRow~wr3pFznru_b4`qfCH*!Q+0$O0cbdpY(bevV0BwU;9PimyoHl+!sZ5G=I8o>uOQ*@h$Q(N`fcm94vJCOs#^k2c*M@QT_=yq z%lSp}{aN?EAKljb>|*OBC@MJrBcXjO*zEygiisbx`QcUC#*lTy2>XXs6T-d3iS>y*?V(w$Q`^goO@?GFOe(5_YD0J#`89 zpOTQ-L6rM*R#;1|Ny2&B%-rQ3OQxFk>;o?A*)d8EQI~J3tYIDo;d9mDp=$o8mhUjFki+ zvb7(6A#6;VMU|1L3b6=7mzTq0eg~Is5=8oIk<(gQR=x5G>5mT5n$kx1gR4~b>8)qa zYhEed0@r~6ITLudRCB0xz0{a>5+>Y^*^Kt!t@Xxn^NN(lR`k|~25F5KaaF$QESkcr zyFvGIFPWdfdyeGE?aMxrD5Dc~`-J(*J)dStV@V=SyRx2D{2`Lo4WN0Od+9tbqhd*Z zde#g4*s7@!b6ZC@oWhr4y1dY$>Cmnhk`(Jl9ITNvcw^euu|d&?| z6_tAFn62b``q6?d%8RSWi6f~ATy~1b0vYi^>5?)FV$VMB|!{pX{Y3%Lnd(@~*C0kxlx#9M1HOv$SoIMQ{vDbC$@4YYwJ?dJ6Jf?rv z1C16T|Gp?wY8K*u)&=?COu*7AOO%nICb#q$zSo8^|<*2%3R#W zlf?Qns9{II?*cnni6MFLq0fZ26^^j%?t8Ci`IfHv!fgfR;R23o(<@xu4PlNrG3Q?i z_l!oki>^>REJjw|6Ya9^Yuv5yYd3Vf(+le06sL6d0vZI+?jZ-pc#L z!^}gA?s6V^-$5IS0-sEx2{I|&aPgD6#<|_5;%U4sm%+QRi;)S81B*-N#k#U;k*Gx<-9^iud>EsAEB#v^nq_YFjOA z`ga!Pfk)PFO-Nt>-@niz_O)>s%ipW2+axjS$gGWPb-+06U(UqDbxHlBl}AGTS17C) z{6`Q)xdhirZArRr2pwTG8ebmIT6Up&FIXa!zkA5>(hgqlz@3lIbx5%!qvn2cOIhJs z)zZu-1Egj#T%e?<<|vQzDYZ@FdonvT#&^VMC+7(_E+cBSA;{sxCpb= z)o;qrGNaShNYfGEacgrU_azC&DG0Y2o5pPHv$C$qF&EcHrN`d84K3w1>ZC&%Pxs88wpoTpV3X+u#@<;L|Rv$P9Y+JR~;%Gx--6ZPYEN;!^&akDfMZT)p~ zV7b{|Mg8=XsAXXk^5yQeB&r}$9SD)@l2N~8f?kJsEgrTAIGMD0eWPMw;ECE8NOi`) zKzRRECb`WW@r-nw6FvaD&b+WgfHl>zEPF8Gsz|7B%SbL?EF|k^ z{9($?rp?zK@>Fz>PFFG!IWVCs2!uV6GLU3mSst*WlKMNT#|VQ|GTP2XTYyu-wkYxU*#mD%)9{<6ia zuh1xUVg)*pyZ9xKwdnnROb&iqQ%Z26OUiuC9rpQL7DMH_Yy^Dmk?VJY0PyP*;rUNo-U(Ptgj_P zv)r`FoY!Lckdh%1vzf4?rmsnUpZd1~#p=E&xl>D#=xrV=z5&tqj8Ai~Zg*dAp)Csd zS{kW#TlHkODB@$tcnW1`@Kj*rxCpZ$W;$*~7g z-(WYd-6t#`D|GR)g1OQqD@;^l0r&Lh6OXWIPnY=W8MfY)@VD$4SAKVZOxe8?r{scS z%!1*8>M86wzoiD?7e=Zw3#<@d&GUuLioVMGx8uw|HKUkmEcf7Iva`0q^oCdZ19p8i z*$-CfptMH&$FL>o7!H3Yzuv0~CDqrlBwG>i{FU@6&O$}L;X*xu`rg?wk{MjR4aSD2 z@73_%NaeAahp~uisTg1Ch+_mFyzfzQOAkK}XPe1q9(nRvW(_wyzCKug z>|Y@mnAo$Iv||vB!u?ji+Bju+UKaK#taBCUtSZn9ct*zKEb6gZ5w7pMvOuMCQL$Ce< zuV1+7CZEbs-!INvXy1?K+#xs5N8FO(WYhj-g@L~H-0N9t36=z-Iw(`~p|Xx`sd#SQh$F4pT*rD_)@TTn#bJb47l|*+Wf#=5)}uw3wELN1rc8 zSLVoCVg2dsb8DVAUNGufr!k_#`u{M*&OhVqvS;0i3D-~C1bzoz?$cT&JF8TzEwA*5 z4-SsAoh_iLoPk4k#GMf3fJtY9!Jco?cN=$tP27apr5@i#Ys;^Up?|3?C~cK*`N5Q zu`R9=w1eQ_ldYlk3P}#mza=x?WNBSS9q&j&^L|a>t4R5^??ymZ>ZsU2%&*L|iwKh) zvecKa4b)uc6n__xO`vELSoQDL{z$@TxIyFllcWz7Rax(X=<)0O=hkBOJCt?=Rno4S zn+^$wmMiOPnX_``zx|%nj^;2Lhrh9xrl7Tw;$UF$tb3_IM$Kb#nI2dEj1!Y z5E8(-%RH9b06M^2YJpPE!SfRrkS!?x+3Bx)j+g2)c7Yxw*2PMBdl{xns_QD3hLUAe z(s~6caBx{3#V0PydV8K0y}V?w&gyd&WS*~vLPVqYfeb{+b z#IjX$+lTE^6HY{~)t=wWd@W-O-!}&k)~w1b9!02*XRi@er*s}M53w2Ooxk*afC_cj zDg}qDj8nu1{L$**W<{2HmY^YF=(WyOn8BmMCm2KwT`vWJI%QjCbJk&qER<7$Lr1VI zUExKH*U0&+s1+YRU;{T+b~;++bBB*6%p=;skE9HbFK~dtntwo?-tSZC zKpmGCQ$u+1?VZY^*z>s!;TZZzOD4$;$6-F|?=hiwMR!_r92RfpraM+)Hz-Mi`R)dF zK`Irew1z_E|9bd&&K@@hW#eGm!ji?!v5|Z;7>cp$!7Gc&E=W!GA0;9I*~f!2nwX ze-$@J$UiDI2rM#t614Z_t|G^y)l>dd*vgJ@qE%19dF(lzEWnT4MF}D>1HkvsZsEdw z^IiJorGpi|MPqv#jg>(6FmxvgupH2yW5Xi4{)9hQgv!RdtE1EC>hI3Dh#YPiIV{iC zyw`Pv9ohyymneZy(w8yfsg~bi19r>T{@k#~W0H6_#ud3QvfD+o&rh^(Ar%jl05DC_ z!^72dhSFUD|kn&pP2(s+f*@wZ?ddwo=0djjvbJQU5ye8JxyZmP@5ohSP zUnh>I_wuEi-qJH=rgajQfXf)S=EkOsjR|YBGlaKu`^xpDzLa6*(W$pN_vM@ap^j#( zH%P8@i7jlisxkU$MnjDI*bU4~wDVioHnoNp{D}e!RLS!RUfVmumaX~)iG}CyTRc9- zy`eCo|8}QJ6@U5CV;CoGMvTaC3};pn@wYFGeOq?_pXz`G_u(0z@;B;+3O-D+f3#F- zcp6*x$#J_?k4DW9Xl+Z7kXAr~qP68XxHbLL&HK!b>{dQ+eQp(7u(w zl8M=3DNo=scXMnx+6!d-FEzzh2O*99l82CpJE>O}c*PIQwb(axu`tT@tFm`%ugfQD zX%6%%%d=(W#7vhxgd=_WU-;-%&=-D~Rfycsl_S_d37XthInpFbWSuAKK8W`1LBp7* z6?=bpt($3crPpB5961Gnyc>M*!W_MAvww#xMY%d!NX5f9h>{ASj!%}3!cq6`+dKsAQU{D$%IDK|4YF)uoZ#NQe`r{@`_E}lsn+`Q zLIn1Gb?X|0(2lE3joZ;jy<>l~%Xgl23^lTW@}gL|vDTyZW>r zGRBm6R6{i3HM}O?;&^^0`*vicjYfJ&v=JAg$(cgdZ+FeO9D>BhDvHhxz4O%P#Wgaa z{{0IU`v1~16IR)K|SaBQgMvs|w3Ncx)zOxj#et35A4cG<|c|srirT95pF<44WXOe$D?op`h}t!H}QsX*7C+ujL7zf zO;!u?)w$N#e^6IX_T7F>Yq)6d(WBa737GlyaUbb5oyU?j;sd}`Lq==VQj;<)Gy$Rv zA?wB4r_a5t!hKBsWRA4+dDX-1MxZ-9g+jeFFb-Lv0;YhTZA#;dYk&f;=lR2ZXq&eF z>LahoPZi{mW_tTN(f0L__Vf3I=L=;w*AYs9#|Iz8kuzeZZUBaGr&pSr)k3&N^TJe;jwm>iv} z7@})iS4)D%wC^jCM|D9;=s%zBPeAt{CczQ|f|572aioX|&~mZ(~1sPXfP!$v6b$ zV&1ogX44#k817vYZ1(kQpm-&*W=KJEOpP^e%=4^0a zr0@%n5GHf8A8&!NIySV{f*iN?;U4fG2X#mX=4z_OO~(8IpDz>~vsn_+?;YtYi9WtLAE66>89Q_41Q5k^P~D{my%CjMvJ0dv zKQDR+XMYT(!5q$XjE4X^sy`ccXT}2NEPEicfPPGu$irDhBv2b2!1ahdl)Xir9r;hu zd1m266CU*Ura$n@Rci@mTn}S#+q9x%8~*uql5Pi>Q3Y)dUP-jrNh{ZM{7ZOl@Z-gk zRyFsj48yNTD)OP#$+14 z)i?$EEB0R=Z-tmrY50dc^^xaLhyI0ZBTkce4%|je2@vTADbgQ=V{ zsf&%!qg*Gg(6Ig&A3agrb;5~m$((QsFAEewh^bwjVAswQpZ5mngtzj~!?*jCA@uWC zA|XMeVdS~sr#Ij1W}pv?)?KV*9gp8bswrnThT8g zCkV|Wx1L5#WF0WIKsiq?3CHAqiZRh~n&T~BTzEX4fw6Ht`ssCQ{jN106kZYWALXOE zDuHx~92Hz~1l>We5G@%6hniUSi-py~)_WeKhL_o<)4!F#3j5F52jixSj&OMck z@Oyk)52q2QDYJgR>>4A2nF70bkNP<941et zQceyXAZ(r~FS*jsft@sh>*JD;eeCP6T#}Uo+Q&Q~ax7Y<`KB@jY6UHy3qC0J?PUs4 zJvZch38Nrjz{z?MTcAu8XwK&tuY8fI+>_8XB>@t0PRp+m4QX^>dYSc-Cvg{?Xa|#4 z3h^{O;0W4cDqoOK+u_Xj`&dwOedBLW-I5D`)BsK^lmt1sO^Zyu&>e!c77EuIuqYV9Hc>UC)T8WZYjcx%>~Xr}uE;6CuVq_(2HJux;KGWp-+>X;*&+F?!D zwJn3}1Oxtjs~pHj?+a;(O8{Jrd*TScHRL{Mrp@Sj_=@Or>HQez%Pe4YfzOm~49j@& zF^c|GsieDmsE|Z#BHL=^&nbj%n$L^~QsDVyph?#*_PdUnS1M@?mDB9>Ib%vywDpGj zvGZ?Gf=|8GjICKm?5x|oUqzkRrZE;es|BarnKyT9r%Cx^aZNwHKDSa5PBlb+8Fxr$ zmHpy#Wo_i!#;K;LA=G6$3{0VHVPGEJvj zPgs1TQQRN5A&+U&R#5-)HEnTwX9LJl9;hfgr4ppH(M z*Kkcf{rf#mCC$8`VMJ;uH~wl_E*~))H*=}$Q_;yr68!3T#(C}EP&ITxT4B-yP`IXO zL6wx=T`M>)2DIUB-1j4TEuDj>5FFL7E$Wl+>Wd|nUhF=!H2fqIXB_}f@?Zz`9|n*+5@u!^o*%@lgwuP#3L zzz+R0PV&oGpUX}Wkk_}Yc~#rx)N+Se5V!8B{$!WbN;Pks9Fpyc!!i0htJH~XF_g-da^xw)zKqk*0IkWb27QQnWo>)Hxk z@T#Tps}2@dPMgEBY^R5Or2CFi8=vg5hh&p$ZB08mJM7`!!X5|p&3rb_ow58`m_D8E zsw7@Zu&L&?jWpO0R=yyRf@b!BwoU=58m(UZWt)z@c}g#nlkU>~c*?B6tw-tSQ=##A z-+VWpHNo(@O*jEgpQTEK6{_JS`#Z?)P5NtLV@-p%=97k4I|u_?!|NwUy+t*aOV#|? z>{2(DNai78!|(mdotS`FmPF!990<@f+7_#^0|Me9Chei%F16eE5;FSPugP*KWpa#s zyLqRsaBev|O~6ShCg$0A^$GTN4v8bZf^>x~Q)Dx+(YNV|)UA@ucV6KozO5I0+Cb9m zawe4GL$&9t_ez9Hpb_|^dHUEr5CeYzu$2_N zBCF}36|RjC-1#}$`tE`8U)lGA#Vh@)W9D61M$?cS;MnR&b#+A-*$_ z#?RXr6K;aGFCY1R^}lfRunMEAOo#fc^X$L{1)7{C5d|;qxn#Q_rX9B?{r!B3@G^Mt zPqGymq2be@qK^mj2flE2s{JKMDN=NbU3r;!1~u<+|H;AidTL;cbfQ1=>iFVpwHFTfaQky?H{$?l4-g|s~)h;Oj>JIoE&mXkgE{y2?3x@fxT~^@(CF^QS*Q$Kf`t?~Xg(&(%4Y(CynqLDHS?f(!8J}oWnRd7fc(L2h<{?k4y{+_Mcly`p#4w3eJ z^nxAa)n*wjZMoVyyb*F3a481@w*yR{c*&4S{g4tz5QWXTf3qzcm-O2A0W&=7#sw%6 zZ^v)4T}*W6IuX3%hJPS6`hoCdDJ^vc=Lf~l1kqs8ETEy~A1!+mXJNU>>BSon@jvcg zd%7pR*hE`_pQ`MRV2(w3(`5rM*C|>12&uR$()E~t$yIk{hL~cvvxmTY(^@myr3W~%a-IB^xJ>5EPuPvo+3g&*`-cIL@@Pg$l zn>R#gho|}XO=wM#D}LuUnXMwN%7;6YhG+e)r=4R0@L`_WJ3&v?BNcPzDt-6E3sMF9 zRA2hCtl+t4DhsArQl6^H7t>#<4A4@$^M6w=|LcGJ?$V!cIzUmtS$Q&fCq%+J|KOV$ zbx18&sOZWYb4dGF?|9rK-=ylwT=wW(@uME_lR{(ytX)A!X2%*fg`i;S8~2<8n{6uj zD;nw_k3`WWqxgxJR-aomMhWP0T(j6Mxigx66^!j;+b_BEw1OWI?UniQn&k0MhxiK} z_w$gg8l1Hd14KGI`K>KBdbR|QIsUQUeh4hJ(`{yqaZk5vOB5pZ z1OY4o>w1ZrJ;~Qs2YXyWsN|%lWfoUJmKdjZs7H}ls4e9+I3^+iqd1y!%>k)oJs%#f zR^I+*_YF?C_p=kVt297z^C%hIExbercb9fSj!)h(_2>Hhm*f`@=kB1t_HY?f~@{rFRUC3b8_4KvncZa#6*A^(N`A^`LD;`iX9tf%|<)N z9^*J08esBcpEt|@v}JBI!?Yx#7soo9ZHQ&Tuj_>!du&~foP3r_kM5}n!s3?ZYq#Va z%@4+p?lbJ*`$7R7**0)`CWL0=O)R#JLkILRP5yxAZBj3e-p9IA#W-4}vdsFqY)P{+ zX%CzHYm5IcJ_Lu1D4o=kyOxkuPjMcNX`{;sfOZ{`~_C(moVC##b@qG z#^e;4(yhNb#do(7o#mACUL&hxyhcA;>Fl*=0tJInLdtKtEviVLOYtE!^DA_qkm(JK2A^ABFa(pgn0*0E@XJ zb?%yGzgx8ZPm-8oTOTVt?@ejtsbY3ZW6G|U52)x}_+L~35`>R5rr5s6Wv8n&d(7YF zYWseehJ})PORB}faIH@*)nBuNy&*{co4V|KlJZ}^LVjy+*^%wfa@lb`%}(!VcJHZ> z@3D$V{ZQq5Q{^XppIxVr@if?*Fje%czPfdy+W%OM6!wHAHOe4rcClXNJnu#)%wGfR zSpEiG8P%(4c4tqp(T%qMN+Z$cmZLzQ^L4gid*Wah@N5BUn&%sDmPLsgT$g?@wErNTEfV)o?MYjI&w&}sI%>PwuOqZ+u7I8fp z&rWvR`^ukc{g>*0n`e+$Sx+I{7vgw4-;-<=&G2Q|LxZRZqNbq9RN51^*GQ_e^bx8F zIh9%B1rkO{BW(-ygyjrI(ng|v#Q%-*pFtz3ivQVd_}f>)Q~rGW6O6)n+MfLN>oAf3 z?Hb8{s2Ec|(Drw`8LQ1crgxEYaGRcRpk{(pzF9RACUcSU93o{J(8g#UUe#e)A=guuZj)2Ds^I^S^CxR6N(^WYh-3SX4cA zj{?#@{e)_IxO$of@uLCyLoAFf-YtDPTHNkIwZdjIY0akuS$c>4p=#0&TapxzV}$n( z8$)y-u;&o5+sZAHOxq`Hq>w&H@^YWBXYZ3Ful9-d5`ChL?AZq`-vxHRFUNFW;^H@c zX&sNIY^J?m*<)vsDR)Z%2>3rI*nvnlc3OS_e~z(5=%^?a{1$$2S&i2PY}SP_ZEeiz zeuiGWcBCsF-T-}R55T|1Z$#uGGF%V%q?!>#F6PG~N3jDr-mX`nct$qJe`Uzx9rvwd z9+^!M;nJ)B0Xq6k1l5Oeo@IDv)agjb*HLmD1pU7cnS5hU{&PIn!419Y+c-vO!;4FLq8r$Sri~Vl|9en>f{^xaRA@;uk@ON`- z(6_WkQSyfUgHq*x@G|=|CdrLoA(pv{NQkd}b-!4UTKlI zR!TfBJtBI%Ag$P89muc*q+KoAk}?SVXxI&3c?kSMF|@Y9z7uHYf}RRrYUzi)Y^g{PKc?(#JOeeah7+jXwSw=p2Bb(2*GH0i78^d*vK1 zF*Y1<`!*Mc5Dy~%FhL$_$t z*t3_19nOjx3C9V6e?$r80|CcZR>E|^KgZ$Yzejc7oO2}QwE-z+g9gVl5n~)fu8I^! zM@e3Qj?01a1Ni+S+FPyzo(Ek2`#8y>h=fOhzMR{(5o65U97BY@#2CK=gsaQIF+|`J zW6uD7MlLyN^ZBrY3LeMmCdy&G@;GCrsCSyxe-+1D8ONDLxyrJxjKSa{nTqfeU?pC+ zpNKu*WuXj{Va1PqpI?^dxvVbZn_0l$xZp48iC4C*bLQ&q-*#Xi&M;}ZarHt};9#?0p>HklGO!S{;zf8{}$ML6CH{H1e`6aFZ4 z#QzZrJeDFccFj0=99GI_ZgPKAJj-hzX7xYjSzYF(gpYwYWEM;*#GbsPw;8mM>_xa} zaU9^Y1CIY65ePLnS1$3Tpa1}VUD)xz&HVt%p8!+FF5!4OD9omTt}fyIIl<8ma_!Yk-EtE^ct-Z_*#e-90k^6 zwGf9E5rU?zS+AuTA{oV7u^Fcet9oHY84Vq*6; zyo~=l5(tqZ{8j>--?9V@zso_JkV7=C_#abjd|U-{Ewl-L2aJ1*D_$eK7|*k8)+mio zo(lL|C>{AquE3|1yR=|vr4etWi`i5V@3KFcWsi-L$cV6?_BDYSl`PvA@Xrg8Dfwhd z#NnHOp9){%JIbKm6&sz!zNYy6{~i`^X8``CzyTwTDL~;Mw48DhWSj)@~gu17oNBY`$nAv|7QHRZZr&A1OG$p&F?n>u2v!u6w#6YnN-eg z+wkuVu{~*U&b%(9*c==`0%gh4)G*6_3CeF4tST9d|0kF0JrOBx1b=r}4^Qqk!8r;v zaCy{_q~Tw}86jp>d5=bJ>}{u!h9~y|@vIQOAtXN-@R3m`iHEfzx#7rOA`r*nbVvxQ zLEzg$Hs-p~Ib~V4Uj`BNy66H-wjKaX^{%!xNre)<$3A1w3v-Hc_nyAKAcy@lHsW3V zhAagA4^(KMG2Qyyau9zKg8zmY)L~N3+;zTra^%kbd@M zK`*v84Wt3}YF_UZF0{w`km@eT$_;ltXn z{O^Ecmgne1%4T-@R=hWfiDAmwr-S$zm8DdSC73)<}gbEA1yVa*@_-0dO>;wv34w2PqO7d zVLiN`7TA_wn~J6MmOPpF;zT1-C%Ee-D}0hP>ZJS)?!HY0_won`3KYig)mx z*Y3ZUcwrBCfnHuM>P>v%xH0fA1AO8Hz9HbmYLtd|4u%|q^4u-nSs(bcJMi%%ZJsqC z<+;Lg;ElN!;z8cc#k@0c1#no5Nm*;!yh$ptGr98GlzSPc`2S0L%N@_NvL%X)AnSn( zpH|!x-0`pm>>xJRXUzmPZX4Wn4ikj?G>DUS+?!{KGMcd62H?*3Gd7PoO?1cCjT4VF zxWAuADaUBOw`fr+!dA^UFtX%hkYG0M%`FER)Zpi;!hiwsT@M zOCIC5qVODLAl+*tTzbmnfd5Y6D?v}|o4zH+)S@i)tR@J-J|U zMGuZfJn0viP%GJENxJQWdBTMBes>PV1LdTn0us!$h$)Y|5uP@1Lhz7wi941AAssFRkq`!Y;w)Q48}WN)X#HV8t_#V|LBM>k}!MA zy}c~k&%vqt#)2Or!;CB2%L8&$#3D>Mt_V!rx9`Rq4S{wrnb!b(W#Au{;|oWQU-*_< z*fW9CCYu&GUIuFHjkLXJFC2dkOgvYha#0fYt}Oc)___`gB7pDGb}tIQ9E$i_F1C=2 zF#{-UQt+3=-sSMuT7a(;ATr3d(T{); z0K<>C7L&9dPEn-i;w$CdixqSf%1=R~Q%X4Q29Ek9bC5WF8kogwBRVSo$V(!fkb$7N z3bcDK^5hfzS#}M^U(O79+%L)}6s`uGS%~miBD{--*;Rlq4ER961~@C>$+XI>qS6HM zA;;LF%vBkZ?eLCGm>r(t`aixM7iAv6D3bgWWwP=2Qs7X>8G$eT1{6?^hHO78;0qkj z9oxF1(h>NTk(YwvuFSKH$L4XQl`hjkHfBf8d`*{|A=~VL?+ZAdUIAR}|10Rol~*v) zBC<`47+AkVmun&OIwf$XySOpEhJp`*^_ zRFtl`zy3#(4V^AL2HE7f5{a3YAj5)c&oLO?&CV|ZJ}=-?K<3q>E@J0n0iPc5!Js2g zatQndz$Y%T^S3{OF3j!4p3;~hEGsfV58Cn}aKCBNEXef+J-jI7`~>Z1g#1`>1K#M^ zcZQ<>;yLLsJkLcEZ_~neAmoayMFZgOezSVJh;usV>=Nx5Pq7jEoCY|K!c_RlH{kG0 zT{qvEm@7iQf)t+u$MkwC%5hPYZ4hMONXq{^D^z8SCVWV2jW=2HI(&@{aJ%pJ59nz! zd=2=jihpv%?~3F&rtn%4C-`x`$^RyNm|}*gjc{B65*`S6;~8twj$`yHfd36t{n$MR z;QjJBFbHR3F#9qcd2WTa*+V(56FJIww;DJepm4zznU91$<}FYj<|q$y*5H$^oE3N& zDa`};{-CU1PX|PFnG0~PTY*m?9`YotVRzgFBC<^c_7MPUXW@V(n3@&R+#DtV6^8${Gy?{ghQ1Io_+|GAJ zYsma<6=42ZvE)4v@$40_v)mby_9olO;J&@$9}?*Z$De_Yz0oBtjsxcF!2CV1WPT^} z4UUZH8*WWQde)qvV7z4C=vgvDMI=Yg-^E_Qo;WH>V6AqF2a^E)Z2>M`m>6*KZFqq9 zf5!-B$g;W7dIHKIy%i9)BV-s_?G3zhqvg+u+@}T{;sVQxXrb?_7!do07WaV00JITb zixzx0kam+R?O~uz3i$6+0Zs9vRNum4_AaVOMaXNYpAr;>nsBG?MX6*4)hv}{NbT9D(e(D(QVVNT|gA^2}iVK%h?I*u12Grp6T$SfXdmbnoRcY=n@zbKx_%qab^ zAe+cMJ>Xo=Rz{56M*W$-QSZqBgzRFL4q?kKMU&K}3( zi&1Bq9t@cYYn0*)jdM(yIa9Nuc2%=Ya7?so%p6{f-ZgH(L|Fi{$}t5vtqBPMp8z`d zi!u=Rd*s`PaP|{uFfz7~?6)T32hkkZN{U#6-_TuAGIr+gYAuMkvS$MXUpU?a`a$tM z{BFRNu^sn_>)z(U~f1HOT3O&$j4Ov%gP*J>ye>hObivMwB*i65UCKvV!Klu3ac zfucon&Lyy?-DekR1fQ$roU7joDf|pa-=sGp*ca^&K#)pGayovzv+pILO{N3Kh$q(18tyU;s;0Zvu~zu3!J z*BB9qFQY2qT%%r~Fskwkrwir~(t8yUqc~%%kr<#yIDeZ#uz?BRp%Bhzx%DePfXJNc zboANc9ZZpycQA|hkp<4XgkOOU2;leH7`*2VNDxTYFXq7S0mb?uI5IZybpT+p2!%TV z=acCX6gORQJGF8ImevtM9dC$Ki6k^PP!JiTQ%kF#t2xPOKcZgaW3(bTt9+DlCJHxSvR0SCCdn(0~8vR#e;7Ecc}urE28iTaGjZJ z6WS%qjs=|86gb3>Gzi(CKtZC8D~FSmMAs-p!lVVbQ2qw+$pA;bC3Tdq0J#8X`@lB~ zM5x5fUC_l=l?7A!JKn@BH^ll(+iTl z2qVYU1v5x2@xK`M7wBv+-X*U;UCNFvTOI0e`JvpDxtlN)y(=8>}Io z#EnSB?~uGMaMUpm5Yspgin0i0e#w%tv3NnZEF+xxp+Q-$<~{K0Rv<99 ziL^w*M*yD@bbzI_-UkJaQuE~;B{m)hCS!Tw94?ffXp%%IQxcs)Ddm3Xl^l_|mOfA9 zC$T}Fa^~^NoU+8m-GDdr<543vc&}hLw2`=$*w_*H4XKGQvB9s3&!5vKTqNiBv#7U3 zFJWBKpB9*_N9lMKz*S%K%aW0Xtjy3F5>xnQR)mZFDRW~iA}>%!;XMLbHKUz@ku467 zbId&#nusUG8jMDIP`I(JSmOb}dDbWmYfu8-IhSh;vBu57r)CZ9nDN$%vQmb*LFgvn zterz>0YXkjt1BRtzux43gw=b&Du75O_vjTAcMYkQ0cG}if4w;rbXF0L+DLrF9=B=% zEN3YT{d#I~1%<86g3w3f?4MuU5D z9IQ5w{x2Ml1BKy2IS4+Vd)W$@%VBmQJ`+oBkJ$yO$f_i9WfcAc;L_5zjZv8R{HZl? zL~Cphp9(IliE<9CbW_0^XoEssPAh@$0{Cl?d52{F!x8Fc;0s6U_gl5b`Z-7GGpM86{;9__ct)P_WW3U?ubH;1~r$nVFEQegY!}@gU=E8Bm^AA_j1= z|Al~4l9h9~aAX|rm3x+SPJk{PN7(m7L*YoRmj(sm2z8-w5#Tmn3w41rKk$hQp!0{q z^+smGl|Y&K)9#S6N0WlYJTW=)Qfu}oo||_3%IhLZPu8MDHjYhu7d$Ar^f++bry#?L z>M7Ec_Y;KzWuVr@%p&9aM&P(2Jo{AijAX6zFrS}KC6U>fWI5XNCh=f)c$B`2tg;8N zg0YRlBS1ZVKKv`^wrxZ;@x=qQC@}|uBs!LHF-AO#8Sx!$+Y!Bo%hPQx2wWSAaf(`NJp&N zJm+uZ`CUQd2+-Ii(vdvRUO?$3lTiv%!2kXNC;sNfj(rgSkwGEE`8OceyK#y#Mck57 zQ7W-KY0A1lM8k$uvJC%nr~3-=X*{=W}WG=y{ zi;EoF+hGULcpJ2Yj@aP>&?$~DIV&k{S%n>1jx5>{FA3lIV;;Xmzi1iTu8yp$?GDCO&M`G zvnoFGBa-l$A1{YJw+UD%!m&CiCGfc>zJD(CMH0?Qc0e1oATZB@6dV(2C&?lr$)&*5 z|8%MZMG}6+X!`a?4Z3N)p+ZVj537vNn{ z#!U*m2x`cDtm-&Cu51eaKS2Mt0*53lmqU^h3f90CEy|uv)@a{5jsYFMBOD2+$Ik)B zO$!|PJPc#X>bU@)`w>(x+|(e5C?hB)JE0-nn2~(MsTFfHGl?(y;9!kWlQ(T9NR0;17g^VpqaN zLS7TC48C&RP57PQC^p4xIYx}wmK69b$cQ|Oh>ffc_`QXmf_XFwze*Jk@=Zg+H5FgJ zMhU;7>^2gTPU)%0-gBfyt*kVzamr8}b{<>nNO&VcuK^_;(Z}#GMh8 zRUzR};7^ikB)NAj;75df(~vv|#e+uf9Sr)*xfBCJ+-xTo0zgGZX+S|5aLxae^;a@%l{VQlBYhU8uEg{b)LO;lud3k{{dn$hM z?$;U6L!LGfYj2LWClq889rpx<%>ds%>P;!6zpo1XS4wmoI39Ie74$cOjv}Y%xDohn zUeNL1r8@Fz=YmAX4bhf8T34%~i|F_#z^$KBL(`G{U7lSKXqJf|Cxea}!&)JJ?Eup{I|j|t+(GYXW=k6aPB5;{r@h##3NR!2Lo9*PVy;xO~;f4~#4 z>T(Knxjgd8vgaX(y@_@x@D)*a?R8lhy6}Fnk4e6@x~I?wZOV88q~044Mxt1nPe5^8 zzY#K@ixJS~d;h_e%cm-v2qFIZDR7S~z$GU42K>&Fm|O)qYQM-4S0i!$ex9J!Li{- z{8xAWL(lFosWds3DuqQ8W404(y1sDCqnXvWZm* z*#T1Vt)Az=QQlk-$rb^oeffcSwqAO53v2M{g}ve|kNCoIDbV3;96kwzW*Oh&;mX2G z;OMXH<_G3!iY3?j;>S4wFJ5a1WzPSru33bWZ5uY3L;b?%p==&C0Q6^6zd!8esB;5; z65x%|Z8vb_n$a*wem?fr%T5F)ugDrJ6e9UtfS;w5MHZ2q-)woh0G9|{w1sW?L^|&| z`8n!rpg#lX+?~u(Ie(+YWZi(A*9GNMAR+H?A#$KQ>QEK{rau1}&&_N7fIm;k-1Rl| z5k=Hp!?v?QLa{5Wq%X`1`qM)au`A)y7v=$c^1>YT8&KxVVGJZBp4qkwn4G(Q3G8p2 z&87$ZNN9rp9iwo`($fJxk;Ai*Xf!mMpaedqh0QtZegths#df06)Sy45(vfcmibhib z{u<`J6fi|{dTsg=n|}z)EK~x2u7xl7K1kz@RFQmU z(4PX556gR~NInzba|``Ix#f$FoWXts$>oh7$q5qz^BPEghw5*mKfeHfcMc;3iDx`O z-2Ffae0jjXErI*5?vjenW|nFBWV1!r4_Gbl2Th3yBVsQaeGhnq#f@cJK1bciw0we^ zbLKD64*&B#@5{_KcR@!sy*kP$efbpV_;!iRe7ms9Ywwic_XmCyE8jGF5Z{K-wLH{{ z*CvBJU4VZT^=2c{aZGW$ei>Gk}-S2kl28se`_V295O z_yV62_W{*vk%~pB%yRmffqBz^zhEbF)GA6{AS=S z1=++4{9m8{DzWyqX!~pld||-PFM)3pc~N334KECUE??xmMk4S%>H_%8Q9rhk2z<9x z$LEA&kO-s<%oxuie{L0PM91gRb|7@TEYGW=<6_XAd7tmr%Ui?ZM}B(;>w}K|hRvm6#`ijUV-x2sD{78sD0fRgR;f9W%yl(D*PX<9)Ekpn`1T$0vZhZ~-n> z!v8q!KD*#AxwhRNlB`z17aea0KE!gRVO0mjVPlPAb)geP$J+`NCKMVAEuFRn!hoNEzse_GL_W%1t&A}@n+-_V8cdp2si;^8a6 z9}HP#Y$E7JNX?9~CA`2WviTnW&*VP}6y!=r?#DIgdX7ppNG++dpDqT<@Ccxy3%^3S zn)A0^(eN90p020-NFGtd;7T3)*Uynv*2CT?9}mj&fD_-=-5|on+Q$IS-YIYEl7d*{ zC3uHd6@2I5k|>c#cr7B3SdG%KD)E68q>eg*R(!8hAkyz{0EOav$`X@&PdT3{#Rd|S z-?#9i(6wzNCV3?y>yhktFMxv1&4SqDRY2Ik^oyJz@Coqbo1C*k=2a1^JU5SD0GXL5 z~*o}BbVRP8I7DvH%tBbBozL@+Ea5l{+Rj?w$a`(f zAq~F>p*umDx^rYWHA*776V6rU#+8~x?^l4op&Yl3HWBz8fWIy9Ae3hVRatAm-PLGS zvECN2>b=0k^^jt24@|Sav=td>FZ40RVvX<`4gC2^;4cF%YidHp@cE1=N5;m8#%ymK z7tSL!%l->3Xr+Z5jI;>nmG!xKEQ!CK1l)8Ko+2TAw=D2q&+!G$nTf7S1;Jkjn0ykF zXJ>HgL;!6PcFoTS6JDo+^171>7Vi}ENe|Yp3(7Ya^xKKx zY)!y#DZqu!V8E4Ih=}|zN!ru!RmM2OzBp3mHz%#X2&P!+6mVZmCEPILi!KKPpDUce z;(=#?sU|N1?#^^9q1NgAhf|hB=w}-93TgNlRc-X1?KWFzyLI6Rr2lV?%WO z1n_MEADY93}T+8IB^MwR&MbZ}oaZ_O5>%N>B93wXohe*{GCp9x*taz*w4mF+zo zn=TwLb|}a!x|{|0js>{r^3Nt+IDaqd(s;twzN>mml>N)tk2VB_YYUWxSkqEd8;HvRR=A!TZ)^k z=FH5!yD#;b>gulg)syO}(A9l<6i!!N`%WWY;5AKG3m@OV3@{y{rY}|gk>lP;S=96; z%D-<%(=Uqh(}j$q8|ypvLc6^<`T)I{Zvy{P{Np}(wDw`bAKl>e57O{JV9GM&Y>PT_VqknDnzf?U3Fh4srYSMlqz}!&n1uVaF0^) zWcH1Q7ZIJEvp=)qD^1bk&2w|bM`0|&)HuqsoEX(C>1T0v`Ac#Keb)r zLaQCy;WDQjNvU!o7VGv8<_bnHW|j{GpA|B_`MHPgaX`ObtF5?hiZjc>T5)|S(gds! z?ZDj)KKCxsiEr+&3Z;Bk|05CK#Br1_pnjr5A4xs!^6CaW_2X+Gu>G z@E43JQ>OpX8Dut`J+r_D8+ABF*?3;vV$B+6BfhI)Z|Cy=fMSm_e6$8nr9R%FykP+B zs{Ev*Xuxeh<+N=9$oOy`znOuZTMV`O80NC|3B!)wG^8QmdZXuWL_#> zwEOE6@q-v*k9eMZ=JV>&SE#T5E|ka7fDw}SWeja|3S-xCoqSnTf%kv1^T{ z#V=t`9p_N*iOM;)BUK#FUm&SY6PKGRy)2Z+@tpCw%RP>qaPN+&Q7T5%Rw^5v(le+e z72l@gO?io@MFOoF8*Nt?7DMYFC;!S}IUpo;*k@0yKVbWJzlmi#|Zr_>9 z;w*o$TH>3k`;Ddmo`onC-yYQewsiSWAC36-;7_7vDnqK<$eO2& zWk9MYh$ip&=v0wf}RRzdR~R^+d_=?7)?Kc7AoWcSnXeL!BwU{L+7WrQZzYvDLmNn%i}FMHwzp ze)~InxJMZ-R{lRn`c0gnelCg+kPLB+h73O!=8%F6M+?8MBX!$ zM=LGHs}GL!o9NZ-|8FnK@wY?BaFXOau_Hs&cYh%tDCEyn7T*?#J?rt3`8~>)LMgb|LU7jK`e#TKeO7#ih)0ZwfQhiMM4=J?H zN0l%68i5tff3A-5D+mACP?E>@b-B`m1twEZFLElBT;Fj~?sRb*fE(%4o#;xzBy$F)wU# zr7$-d=TMJtR@|n;J=%o#Vfd;NGeexCqD{Um3f<8!+QhB25k?_O#r@90rTT+vb)|}4 zjZ_;-Dt5%8RMCInzEjjIGEbx8XO+)4Y^fhfnjfn_Mu}h)Tk5}xT;f+GO!upV zxn740y6@2864x+a5SPBpc!(G(V#%vTt;z8gz+}Z8JB1I>(-tcxAlh8{QUYH@3anNG_3k%cP$y%s?)L|g$jz@^bx?^j&$bSb62Su97`ho-1CmvRri|IL{J) zWx4Juj=C+M)~=!7E^W`zk5#5|m2CezMhS+ym2FoUcU}*y)`5lLyz;v0(-sA;7tUX} zOK_s`!F-rer4%+)=Cqlvopb7AQQouFS2q_3-leFuKB#bY*qLx_TLQg)K~fWkY!7bzQsSx{Bev9=xpsUA3jZ!tIK# ze_K(mjQ;E9=9Ih$}n4qNl}`)s<1Ac6YTrPd8^d{SD64|gGBlY`Dn$5*cFGtMl#}qvu)+6T8+ID3+Ucs>QgD;fAhRU4ImD;aV z)N6;u5z%oKqP>5eohuadD%c8h)vGA;dY!UoVRTzwUD;18`S9{`vroz*V=B#^7^n|s96U-%>DYx zdeig8k)5uU<;q%^A9AcbS6(+*d9Gr*!d&&K;Q5=cxP{pr`00x4%AWsbE3PXmHN7&p z!tJWpspomdyp%p{>wkJBp?k-{3jFqpvy6xvx#!)CarU%fQYu(@WzU`oQVwq`u5c|&RpfjCKQ45250!3fF@DN5&oJi3oq zG=}RKB2@^6fPq3z!@7m{1oMI%Te_}wio?-g863$^i~t%pQch&i)FZV2sWZZc{I zNo)qxj}H)1R`?#FNL9xNaFk;RQrId4{3XF7qan7#EfivLdmQ2sExLa;%n(S00J$Lo zz19$10!>N;x5f)}jbcn9RgW-?;gk}BA&yQLh;RsQrx1(VqaPnEcT!g{jHT8!?7E*K z#C>$oPsWEBLf_cwj1Q{a7*Q2XG=#@-PB%)EMQDe;MSx&9OT9OcxAfftU;nb2V0)zl zyy3NieU3T`D1n=EE;9y~uZ<6sdmOe`2;LBXY!@B!AH!%}HUt&C0hY%bE>bfLFvRl( z+e!Y9P-Y0(C3FeWnjusz45)M+a8`dcCkk|lG)7MJhQ%0S*x2w`Nx;kL1B|e#nlbkV zTH3~lni0TwoX!csXdCVoFEGT_XX>C3bK0Zt7RzS{HP7f~iUSM*G$|3hK{C$~Z5F5* z0uS&wZ-@>4Gb)TB=5NTMZU~Up9n2uH_T=L*k3c*9|H~oWA~%E?z{IE-Lex9=Al?u+ zVrxnPL;RktXN8#49#_sI@vRCan;v_?`kTRS%#At0)7(R$-cd6I7>`Rd!WePpCDe`{vG+a2 zKq2O|M+`y84G?puA8YG}mJznBJuO=m+uxf@efRtD>0$xBJ!zFJD zM!Wv*l*C!$FZv=sA?CD4ZwT`QOIuX^Y?vWFB+mL|$&fAqG$|1bfvf8}+*~ch8@wUT za2vGyOxd7CRWpF4b@khlVTQQ9D(D-cLks~lH-vBPnn#HG_{NHJZ-``vFg_frLPD!T zJX;x$P*aZdk28iC0;o5HYK8v@rM!k%GX!PkJqe430iSwWFoedD5GeG)3_+fGf~6i; zJ0Io|k}SLcJYb*%N+j4AfiZ}d7Yf}09A4vbiAFHQ8D~WBv}w~6&r`>$dOFMyYO3&? zwE>0z>J8yrne#~=p?bk;(yR@X6}p8kL!Qb)H{hB8F3+Prbt&6_e;rcTd~-YNrb9i#yu?zYk{iPE+Z2g- zP5&-R3x*iqU$C%FP^u77qI7?u>`nkjcOa7~mX!Jm4TszE6D9?^#$)%>K_TX}M>9m; z;-zadf-lPrVII+PKq64ms5Wc(8!e#I43R5cGlY4>e*3lJ{DVo{W`(n*G=#t7Y}ud{ zO+Z@k2pTOIBGoV`@R~;qHH2sdFWHrkAEYqpj?-G&u%y1#?K;mR-|TBfD0_ryw+KTF zQF@X`Amr|8i5&zsiMeoLDLn$ROKynL7%{{+coba&p@w<{Sn~=?$r}=|uX%&Vw}TN9 zy1m7JfKOk#-Tapw!A|EKv z7fk;sF4WJuK$sS@yday;qicvn@FEI7=(+`uSqY(%JJ_^ImRH`NTN!y4jYQ2G@PQaO z+f8k}r_zEE@@Z3FWgh9j=dF`L(v-Fo1^l^P=XvDU3_)287y<+EX^?^;@@@fyD#W2< zK?z5F#s?*XSCgiorPTH3c1@l|@(9BWp^EF`M#~Jbkoyai^2+;jD>Ebbvi}i=Sby#> zE|8;MTJ9S8K>2CG9@8Bt!@x)Dib~@LMOuhJo`#hUE)?i+mAk(XjS#HN(xn_I{j5Rv zjDfRV#a?gE4jCvPAlR8pG*C+8u;?1PnlyKhg2$tGeR2$AsbPn83m#6Wg@+83K(QT> zR$hwr!}`-L&X-!>EgK^57M~DoKi4g2Rn=bfvicg=EqL%wkbOIWZb7hCwlwBWKjIC( zJM?Q<(=PJSKVyRA4toz6qc%3=I0OmU)lnqwEk>(#AkBgw8?0y{hRC~w(P&eAT>rao z{^?39YlpQhdh~mppRTcifD)29%>N-H<=MHV$uNywlVW>?V6-#cYe9SO73@$o1j^~xYASx0Lf2cSub*qSO+)||zfH$X!63$q1SZ-`6yIg|n(vE65N zP>4D0(LBN%z|LD#{cM;aG}6sqrZ~VOfOSz^-1+Sv11xIZRMCLf-Obj95D!bBvWu z?-mS{50PlE5%&Q`c!c0*xq;Fv1=E@lRMb#z!F$6(2TC)5rA9NqwtQ0ahWgZrQcQhi zTwBlcb+H1)iWHaPP_)Hei#rsGlP~V>?xkq)BEg|J#Wh&*;t}=`KQ0<&GYsq zpS^cy=AJoocJC~EK1LfYuTRZ*P#&6x^8wDIL85NdPX#_cnO{m5$aR~Yv~rc@RAT>u z%iS-jLuF-!gPbs`S^0k?*ng2tLPqlAXMV%dHA9V0dSdp*Q0bXB@s&0Vg9lYLmm(}{ z;;j)`&XvK5_Pz`zI#px>dgOr4UkH^l&+GgW9@OeFLDvaxnOCZ~ztyk-)#utVL}7bN zP3mQd_`LBB8mn1sarlx?&%4rt7{Be_szv4a#&N6H5w*`^BbBc6+58ufk->0Pqe)61 z0!%R~+=a?q5wGy6=;tXFzh$4S2^9WI&$~S?p*8O&LnMlPc!0NmI29T(G7ak?vOGGF6M%)AwRmbC}`sU34qkca91>YkO zoNFAzQLPIrGxV?7Tsc`U`Fs8`VEbcn>w(HS{2s0{i6f(h5dYwjk8=qYy3I}7mt*-$l&JP46_xSg)d_L^q^xt#TMw+`9^Hc8 zw?_dNDgbJH7HrW>+GCgE9tzM0K7{`}w@$+Z%rG=<%s!1!h)&eS-hU(ZwC8Y^gYNOs ze?|FIx_SJ5U=?HZ!#Gh-42#Oxhpl#61QSy)Sape_64nmkVpVu<)Zmf!E`8;x)k~Nv z7L>dvh{Vhg*^FnktD>}dvYUl;!iq0Ri#C7=+J5GI2Yx@k740$cRyU)K&=a2$mb38Rk1>a7)# z(b7;D5RKQ%5wmm=>3)?9R=b!>mWnCpnB^`uR9ILiUJda36Hm*(D2a}CA-=1<&rlL5 zCL$oS=qd5JlKI3XWM-A(&DbFoV=;n?#&;oY^Eqqe;!f1bNc6v1Pi;xUzq>L=Z0(>P!+Ie!H2+~_)LjdrW%PHfoZMWoR4bPuGGj% zQw#l{g=-O9|DttQ73Yqx{FRI-J{t=uSWAL4lUYS;^;q97s_rD{yR67jh*z5c(O@Kn z@^G=NhwT?_-LH~>)GS)FDnFd*ICL))PHBvAdh~zMGmf$TO4%8;aeKpdR}RBXg_=a; zTYCB_V79~xH5DePfKLBtZblG)QDzoii0_87=vgIp|Bd-AlXpy>Qkt_;2$Eduk47Qf zT1^ZkhP~=Yd$|iXdF<%5chVVmX&nQg`v^k^ZBcdl@)A-C@Gyo*tdY~VzQtG9`c7mV zTtIDIQW}kBD~t$BZob-!s6|0r=|lxc*cUP6Lnv8T-pqS_CyZ7rk(t*e!>P?6H9Ox_ z!#dG+G~YIPBZX4rsQh63|J3}icTn1_;)p-qJ1oAx=c<<;c^fGOwIcY`wBKs~KCHf9 z5TNA$d$?$IN09mDA&12b74%;HAHX79BDqY*l!wEEY;gHwELq?e(t?#}C%z7mT+m)* zqg6yeKnTO4xu9kb2A2*4qHJn)lau`ZnUuE8JM%Sj_4|*>pIv@^L-f=X_!6zsT8<3q zGCL9r_4P*rzRC$9V37^Zz-0D{rWB}|d?C`!$KijB!+rCyOw3Q+#yvXk{(Q4cHJBbx_-Kfv}-wupEU(Hk%rM zUn{N)veM$|`%k@VDfrTDR7!$uA}6$$*y@5O4|ly5>R*-UGqGKd*n_E`zN|7+0F2ND zk@j@#I|KGRtx(&sqxx(%tHd28doYOqnGYygLss}%MZD5i+7OHN8=fAe4Jx51E9D>x z{a2o_V-J_j-WnP>mKEyEXHuzZfoB$hYGWSh1RnZ0U3X$Jz)Z)C*))hdi|lghh}FGu@qs{MXukbCbn9H;B4c8WDouD2YRB8BZv| zlu445fTXP!<&W%a!(J$|cp8#is6j=_Dx=%)n$QcbwY&@pp%U@$sg7jfBU+Cjd+}NKzaISN zfUeL1Ovi=bLT3kj!tD5%5Zrv3iaUA8H35HSUFjl%_GQNM<9Y}_M$ibQ`>8?$btO<$ zIA0_{rmmkmGYp107^T>NvPatIQm2ivW=(5KIT6p@Xo$`8rDY zqR=aW+fn5%S`%nxQ+gKb66yYxYlBhA`03!cjTb-uDJOFj(tXkCa+?2*zrA1F#Bmh> zRg{F#f(9k9{>K%ur}rD9My?*4a`CP(q7Jp+q5rFkQBBPLD%eM9Xv(yqIb(FB{CS%q z9%JRHI4%;fUhIyoNkj81Mpj~as~!*~C|B3=%eVadOglDpFJFPxH%jZp0vLfW2@4tB z5NfCg0!~}>vYptjUpf1pK>SG_<5u?o=b|AU z$g2@Xn86*DdC9%I;a$LxfV0r1kxGOJp}#|~5e)JDldmBU5a%jNi~)RK!QDf#j_5Ko zLE#qF#Q9_AFy>AC5D)*K(`VOjv=2?oIe;h|olq-MGYkZAzFf?&a=rMjZ#8Ovnl(r9 z-{Je8ErJWaz=N&HXtHhPLIrnhZq%ul+^Eg1^7YxDFU%zf`+1b(e7s(GXuNof$E|+3 z7?5xAhB1rta_EQ#yk|SgLHggLuERs~X%VW3CJG~}i!ZSP#;=p8R8gtYeKM~@@4Ozu zPPdO`{zl*Mm$Pib%UkccNRiea(Ob(g0$Af-RYwN!5Inx654kGX$K)7{yGW~NR^jPO z(&h4v#;l{1<96nz?vL-0i2FJz2FN1G_rT;?#ejeBql>Fc2AXj=ls8>B@(P4)t$hCO zi7rlO%-wgVdVjq!`TmtAveSf}hJE>Ka}@)r@2Welp&_{FV!XhQ+8g$Lh8$5`O^sjDv5Am&t)GtGEg;QL`8WnlUs zx9@xPsFO|XhJ6PzQzZ38v%Xl9&5UIXCYhBgUgrW4h1=<6VycM{g1>}|ekr)_h=cJE zFH&Xq6p3WHwTT(4Z%UY_>BhTuA6{XNu6s-+bkZ$>u(MJo=6vqa$XJhGWKsk1LyR)7 zC&~P105@IoU)LKO!Hmm(3fKHp>vUxoFFJFziJ<6#!)mSgg(T$E32V(i2Dfr(CjZp zda?imHV-oA^QRc0DU5P?RL0ieBu(;IKKfn32y_t-L+VaeXI5XCK5qJ{M)Ee|9n1v@YJhQxvtsrffGtJw^Sn0M00P+_ZAJQ%*S zecb9j-E7^p#nWIbqY%Qs7^Kp^@d$xkqfb-q`FK938qXYAbu4%@yO;0+ISxTi-{aA5 zVMd|IJbSk6vy*Rq2DJ6>s^+3jv=%mh&o~>?r|^B?n-o= zL@gzC;>1nNA*r*Vs>j;*HR*p%5l?YdNUo`+-DSkM&aHFU08*{1!VqJe5%$v6VN(Q|_!YQR0jv0(xG|h1g zXcI2(yWX08StR;R%YQ$2;SU>&t7j74eD(|51=1JVjALLxT#dpJYxG+RF|8Q%o9hUn z44scY6A9Z|j$01{#oP^TG~fbgW+Hj~F=ZkeC(Z+1c$Y3NKdT_GXqQ2~?y|=PBffXy z@tyT=k<&n)w0|1rzhqp9NJyWeDHse$Yk&EMk@dR#!tg?rHZnsIdp8l7FZH&LZyUrm z1yNJY+Ia>v8m&;VcU^U9ItaOu>E8bTnU7+fYRUm0m~Q}>%Q(fZ?&U5PHzUoa!j>1h z7w90fp2p~Ye#i8S0%^7JcfJmgA{35U$Y(_fY_lW6lFMvH<1&!)?UW=6`%ean?Z0fB zGr)aBgA&fdnpu&MLQrZI;aXA$MQR#GZ7%2W+UGE`yU_w-*1e_I7;34Tz9{z%9rC4D zxs8Cw=m1pmE4dEfZ?Haw>HTFppDZIoa#$mLM)yG11`{=%*p|ak6CDr!Ye(r$yi29* z*u*TcPU*p1A8)fVLa1#IdcO89%(~$LZ3`p(h@AWe37bu zLURXxa^H?8@{Y_n274+?i_J}R(E1cYSUpTS(4Gk7rcWJ0NwV>X8uXb#NC?sug$$&< z;s%U{uNm#-%m%)dk#drK@|l?8g7>DFDGgOVPg9I4jlVVWH)jw^jTJa8L)oC?B&9Ru z&ZQ$wi(wHP<5hf03o06?kUG_tq)=%ZMw?}qlMK5#v8?grmBHo<^F)E1i{pha^Bss! z4Mx}H*&*Wp)Z_n#!GP__`H+R(|ISh*=*2|L6?&milor<=`%V7j}YFSCEwn+ z_w25P7)D*Vok&~N^Fp)&%WhIVp? zUPx?=B_f@2+efv$f-`9gVai)EO4@nUlLRc5%DWb%;nAt0+|<@P$d+tfezGhl1f|MG zVxX^%Hw0<8q$FSkiVuXEVZ^$9o-%ey?&7SIb!EAO`q;BxS(5VxqCXBjD8_i4>kvY- zvAm;XbbcF!{|fSv5Sx+ ztT(OCT2&iG7}*K0m*{-$TKKdbnSMIzxdsmE&3hefRq6WK|*c zU|r*!KpqD|z^ssMSX;yyDh-C_Wb|#vhm?b_^pPXG!e-;Pa8mul(r$(#9d&dL31$b; zR*lZZg1iA*85e?tyCNI0)+a%jPuSqeH)!;`JAEk(?#Hu$3X3ANy>C@?_HzGQ2kdLD zOJcl!i+4dyxHLBu$q;QuP9Vp8s6qDrsvMeIPlAa0c&JjVlfnq0g&h!}=Z8WJ+Uxz1 z(2$TmB?F_z2Xc>75N~7tpp(z?pu$a%i8mXit;?bESsFta8-Tcub9}wRvE>J#-3fysU%g7rB92l|OXIp$$^Jk_Nk(cp zSSMUHPQYF(Hi1g>(D0vjy9I`+l}Dh#3BgD?+Ma_%xwNoZML@fmsZx_;R2^9;0#A98 zE27C5eqdO73@D43C>w22T!GghtchQ}C&U%0<-5)}x`X`dGiSj#;aoe8 z^cIc`w1*&Sg1}69E%ecr7QvI?%7+J)zor;V zz^x(IX!KJ(_NxDtsOzc(3O5QX+ZdQoRrJ37pDMygj?|sR$Xl3d1bkGag}we?j7#S+ z^MN=%GE>ma3F&jIeN#Ld#Ny^n6gE4HHaCL1*KjPNtkAx`SQ|WEh%?*Mq|iuXY^0zZ z@(jtw&-}nTWK6rYw_4!mBclB8ZBY(IFBGo5XZ%Hnl+xWf<5eK#N1sUx<<)XXWO5GD`zfj=IpL+@AI46K7wpJun@q zCBG|pIUb1bt)h2^CV8XJGIAE^7wL*S{G>oW%+kr$1$wUFkYka(AIGTB#bH!g=NLuV z{p_~bWSKaOKD>Z=Tg*uLexcT}<;-GLy5;NnZvgFz6L=I%^~hHPSZK>ufe^F`nD-Ma zVaW}5{0R-q-EBv0h{&N|mHYvIt?*hN;iYuPakHpT;dh)r67lfEWiu32p3<;?cw>Ux zn!8U38dNe!9chh`L+v@#$a=9iT@kIN|HZRsqt!*r!iyOwwwUuyEGjt}*FbbU-Yow) zYm4*42ag!roiMw|=%#&WN;|5Fxgep%(~?(5$)A;Chll_pp9-^bb#E{>OWEVpq^gwi zN9@=SgJ}Ktu7Cpj*N6MmfOF^a%jUTpb{ayJj9>4>z1#n=`^Y$9#Q_ z%^)^vM0>_U%5$B{?NG2U4G@P6+nHo$(q5ptdqbgS)LX7*!`+8}znQ>V7F)h#r$4Xx zC)JWw$Gb)CND0>{J4=3x^pSdN5WPw<$}^xommYuus27NsxY*ytF)B`Xjw3so677GW zvI#!7T~?7==cT`MGUtsVL(;Kq_ppCmM8=Lt?+*E|yqO0u>SyOf>rf?(Yv9!_=!%75 z%qrQOKam_%Hbs4H>h0sF`*wB<(YEr1~$; zQIzo4QF_D@M0R_jku(eB{iBS;CL#9eSnECv9I1kK_3|bj%?8xlP%oGH4x44w z9?h<5^Clk8ih6L57d`NLzZ*Ay;Kid*xf&K94{oyxQlocqn#{m0z5gz*`qSq;B~Zvz zJab?BfwwEV)oWw^Ao?mlW>46(zA9BI9d~B>^g-kf7iy3N=;`O=eC&3b^fnfg@P>Su z*3RMj_{BqJhl?3L^SSW;N6?1F@ln*Esaom1&&~K6+^wSje%kPwSn`T;#CJ%1+{D`y zV|?th`VNl0*^*DbO{jK8HQFz2Gt<#dCoi-{aSoM@D9BhW1Gd z!8j-bwPu^T&qC;iZ$yh?oZ&!q%xyx}J=-$>e%^BGiP%y|-_QHsJ<6Ed#otLN>3FGR(y@ODE-Kgd_>ju#r@~V zF{gFFC{8XGVUj+Ds-&BH>l|;idpEIA^HT)>!-h3u^E;!=eE;Ks*Cqgl6{;S9FL*)@xaJd3m1B--KrAtvN9xGQSpA1_ zK*4Y}toy3`K+ru;0cKR5YVk!+EeUTnS0@W!=FB6crsH)v%?;luo!@!y6%_9q z6H0tN&NC->#5v$UO#Q(fX_v;bBsY1oOe?v+<5ow_U1GX3MGNDRQ5dagVJk38okVr# z{5Ghg=ly|ZO?-Z~G*Wq$T!}2rhvCWxF*S-`)yzZSP9U$Je%^kx=%?kYG)p0@ow9BL zkFevmSUejZBl_1!+h<9JU`85nN@A}}l)!335C zT3D;Bf)_lkUs}6%TLyDZU?<9T&wnjbzGG2#2($UtBK01RO9O2w#OCI5@(tIeRKHO=XgZWL#fpxd2amRl1f#Dpoou~%_nNQM-L$y( z3+L|-h0`E4HS0--Mx>GNd)KnFuECOd@a}Zmpbzs((sK{R+UI9xeX!#80N_x}oG2 zR4l>SRj+>4b}S!Lvv6n`nAPpwjsP932VVW^;w}UAE7Nvf&v~120c!=GA2XcXUls9a z2YD7Oirek!QKzH(9&3i)#lM%O`BeNpOU!Z%E`O5vOr9NCMpqfov>2Pm;ZL2+4!M2B zlXW;TG17h0Mq&f%Y3kVdT1NmsJarKwDnr}t#VWjCl;YiB%z9|te0AO_Rg9B{G%PPt zE0ad_h8!>p;H^#1NsRXx=baL1hKz6#LRFupt+Wa0OI=FWu!g1WpFa66|QBT znHjdq=Qg1vXL@`B1iu&3Y8eoMnF?j8(ZdDR*<{@-u1Yxm6ITSwjoK!q@#eB&CO}i2 z1YQpulcW}QhnviH#iCvg=(ly}PZ|^A<-`EtC-BwoPi!#x+qMqx7Ezcxe04TK#4Sqw zw$$R?Las)`qgUX$pN={3v@N)4QtYgj*JYWmo}O&t>386{K|)4r-t=BV^sqS&neR~1 z_xh;--Rk?EZ@^DuIXgCLKuc;)3qa#YEB(Rb+Hlf$Mch*u%p0rgCmpnd8rG~6>wsp= z_aHd;YE9~sdpW^$bXC0M@hsavC$;}Yf$^ZbZ>V4MpUkqmNO*CpKFKr+S&>Jm^!=cm zZYf*j{XqM0(f9mSPwM-4XC*8DxH+$LC-1a}qlb*93(_v+wf*ixM-gCDpO}|V1<%1- z*WPx(3RU5ED%Mn$D1iOFDaSdN7LI(#EV7%Rs_G<)UImHV1P;6LaBF4pRtraQJ~1EX zTDb&g;IF-N`2ppQ9Red7J~mkH!z$aPC1~m(;k@HBW@(%!p51}qOKb(FyUOC7C$#X? z*R$I@;J3r%i@Gr`(9!0c*>NrhM?VFEe=V^ggkXvR@7?38#ZR zbX91SbXOKk^hy1-?PvWg+AqtUvgAv+79W^|x+b575;`b)?_l58-mVwk%jP7OeFc)~ zrp0KpPiEs~;1!mm|D2*%D;RM;$8KYJc^vzY8HbY2{2}}>k2|Op{)jOL;+UCSM)L>K z3U!lBYoGd((9V(`=WTX&ZDcuraF@uh#Lp6S*JZ0dhCiklp06JW9FAhbw5|4nKI_r5 z=iLU%Aoju68G5#rrKi5_$}eO`Bx`GjdmR<%vG_QwsU7YW?5d|W?+6sBJnuf7kuBOW zIA4dhoFfJ~_&fU4jmR2b_k*6qVF%-*Plkc#wmJ62xsdG0mO$Y0s8C6~8t{vn_}MYC zWAXD0OYGswA+0f6sKt8Sb3zAe(nW1W-)GT~fWYE~q#Zd(QTytbMNxm<_@KoSOmA!T z+Uay-p{?f${kGuF$-oR<@5$WS1oH%$p#|B~*!g}m6jxqnY+1x#H3 zq^~AGL$%sOP_1anb?yCJ8+E0g#KmRr;g=zD2J+J#%iAcygatdc`PZ&gvoWu3@UX#J zZrdPV_a`KFN2+!?pUQQHrQMTv*{@;fH@3R_FoztHr~P*g$ImsObNN)yU@bkhR(EvO ziq_VSH9S~bEvNfN*JPm8n(4xU$r0oL28RQyb3olB%ZDfp21oZPdmc|uIL2(MhmZi& z94lp{-CoOh(BfXrn{f*3d6w+9&F72s4hRI@3o%9cKTpR}Fjp9b2Ms#RB1%+Klelk9 zwt&QWEsZcsq>pq&g2>#Hd9$IorW6vupfBEL9n}6BNgAlVo5I1{} zBh@PBhuY@S9z`+w5Zrc$3NuKr#=iCqQIBU|)Ah6U(j>Vv`1YwaKT}y zL$WQhki5?)&*iJ@4II;Bp5Jod@-F;bWn8#7QlqT1+D^nhOJd%Jsdyz=MGG_Kd1-qm zJGBEhj?xoui;OV;>KVVkTPp|EIqa#fWC|H$p(outLOO{f&^3-ODw5)fe3L}I5|JKk z0#30S4z95lgXY)nu^&xq`~a)eWoo3+o7oEiyRRwYsLR9H7lBKH{wViSG+-DCH{)ui zhVYj67Gn;SJki(>B(ul1b4~?W8lp?T{v2Ia@pR*!*%r+Zs5Ya=dF|is8{Z(#i>Gy8 zJ#Ph35WGM8cITa_wRA@GQ~oq#k`YufQ|wRse4>sLL!R)7#wR$0BrcHJPgMMV)=Icc z#e3(-T_yL{Ubh6=7QuBHN$q{LfNuqh=uo1pCD=F_?xwujkC5dOVw^VVaR?T{;=~}KaZi~hvLD-yO+;o9wX9My|O2b45pRNZNUKoTZTr; zn!W(4L5My_3s~Z-dsv(0@qr`U>t390#PZqzwDx@a9a*iLbX>;$>$W}G6kMHbtVVm; zVgUeQr!kE<+TtCI|0Zrm;zjIh>d~NcK(c)o8@6#mD2?M8oVx39w#_;i*e8MM|Af%S zcX$7tKk3BqLWRlo|o$*vrM6p8;~k-{;?NVUIg=2pac#JB@y7y(8AH0lvjc zq(p;a8KSLE5f?9m7Bigt9K?{&aR!@AKdiHE*?B$7edyNtdIvtOqA~hwo0Hkm ziwde(U*$`aiPf0R@I>8HgA^L~+-aO-c&9no!S>Y^@piId%7Y{>k6G01e1R>Bdk=s7 zd&tC&Ti=3^VaNjO3oh60vuO`}IYm@z%tvFH1$fGlUOawnpg5UpJ}~etuf+HQpt%-5 z^Y}eQq|E}WWBrNUOKXFVNlmNowuqv58lNGfQMFhdjj3XXsT@}d^YxYUn+&g;N-vOm zVMxJ?lGQ!4s!Uu1r0@a-eb0ry>wVA~IZz!2S6*G~eU7%q7XTJQ zR%A~ezB3(E`8j+5J{)lGT+SWtoi%s+fD{(>nAV%T{Cz(R$qn0FtE{IcteiO)3SnBq zsAdllEysKpFxD?gP1sb)~3BzX`XhFlU-s~ZgBSKY_0sz^{*4@ zor(VPYWMm_YBlj`!;C4bzn?SM0)w_|)1+L3p=64Q>ZKmUa7C~bG&H44_yngqo8OFz zDKNms>F2b?IPX~d(Lg=AKNpRfm+#P{%{A`j_|tLEAAzU;YW;$bBKKCo!TLcd#)(R2 zOe*g3161!+L0QI&=(oJqO<3+ia6JJc=R@#m^7=F7(zDb!q{|^^(k`Q#fdu|uuEi>2 zjPmtqm6wpoH7Uf=wAL!anz>`is7uQWATF&AUjBFnqaQ@Mt$nWWnPey%$$+&Slt9M_ z#rjn#)U6#&AN42m2BGuIdQkpDTLAcWfWK;hI^$f=9;R7kxL$hi_QDElS`DUTUTrAd zv{LktlVEvA7ki%tZ6)bUbfGotmwUm>@3yX(Osm(b0?L=qEVnxfw&fHd z2Hh6GQfG1DEvug!Hh7?oXQ6@Xy}HW);3Q2nW6-4Pky2Ci(t_4WhOb16XUrDvl$N~f zG#pDb;Q@vkW2&%8J^8yHm*z;wzRld zALjHKNYulBLf+sxl`Nlq|Kps^U|LR7Fl5{JQTN#pfe@f*X5s9TMlXr*1o6N1=R~=$ zI~*(LcG-@QoT3>rE(c*&mlEqkQ&*2nfh3ClfW0T~!2|VCyz{(AC3pYJdoX@qF8k_a zDxLYbX@ZKMC`SpKr8QXkfHf2ZVz-66GPfD_I^QWID}YgKu5{->PI(^mD4Y&|)$#Ic zys}pL)@Sw23R>_jbpEu^8Wr&obei$vhR-*jGRT155Xt$wpdwfLr#H5p;@m=70@ z@a1C3pq!QLzhn!G!wJS_^mbU5fBHaW4Wcto#ZEFIBGdYuaZ1o2K+k7wM8O>#d4 zzZ!m0cYFujnzHhG!6}Vs2t=uiAW-w&2~>lt9nWV?&XahYMqB`UqM&e@vMR#qg$4G@ zFTU=TNP@fmQ4WQZoRfi^TlP<9BxGXoCp>5B>skS1gqbu`L)XI)iS-(&JQfTTQF+gE z;_3oXZ~aoJ_*dE|sIkn&nmOlk+;z#*GdSZwK5m-dl%gw{`m|^Tq=QJRsX`l%V~3Vd z-cdYI*44P{Y09eUC8A?2j5^tIB`kn3Fr2+F*=`O0KXKe?r{EtB%1s*y#+iDP3J>HP z@0I;A;E(V(-upxyk`ULvE_-BLY{*t3(|>|z~^&+B2xmf zhlg>(wd4(YnlrEb8N}&7GKu&K&5$#aJo>*0o3nyCSK1vAut-WM(1&P9@d5pjJ!Dq2 zbZQ zux+I{k@e)T$|I&biuZN$=_~ZF$UKj^Kjbf z4?FfPN=IV-!;}H0#G;%Z^bxwQxUm{EOs^kCQ>W)H-8X*!tPIG(UY9NO{y z_R2kM>pzK4xU`PqKbL#m4B`4}e>`hErW0Vf$))Zku>qnblpS?mOD66f4^F8;9U9M1 z(m^y+%OxT-)uX4;)PLA{WnANKKu+<%A(wT;Wyox_32RnWe6M1{TcMT^V^RUNX%V7> z<27`s{j=NmI#I5M_G)8x=HmEtWOHmGJ~3Sqml9VP#^vV;NwI0em}f8MOHsog2j~|y zHv-^^)D_`S&%oy9GM`86Y_Wh(N@qwDr`EP3L5tz{*XG9=N8R|6^+bIyN9~ed*&cTu zZHhnshJnx~o3UktPoBqAWfT2%vz_hWT+GVNcp~dff#qew*ib-5a(51!U%g|4fo4*O zA!hJaVA>U9(V4*9W8|}3-XpA7y}Fx>%HzF@>7Y?=t;o;BOp^14z6U3cp!$=Xcuc;) z+P6_+L*dB*P0yj~G+d{ln0iqE@{Pr(%|1(OU-zUv{(SCjC&fluRl4Ij^N+D;3`{eC*OzwaXzqLt4`{mm z62vmJziH`R|5ci@``EgM6i4brGD`@h4aViglLlV88Ja*=AOO5RhYVr))O87dAI6;s!YIPM4 zdoKW`@aNWrGFXzmS6jj1rHIybRLg^u0khZ5ozn;JTiig-4f(!We(eCWzLp2+K+3-0 z1dE)`epE`w2L(V-QLAy^{Jzxg%Xb(4n)zkN7TF<&@{yLg=SS6%X5CA#nBeNQbKq!; z+L@t!%j7p=VNtOX$Bv&?v^bMHFf~#)-4arz6&V}6(?H#A)B4yZ^b;Ka$s#ayo|;G8 zXGco%dTN6Beb9D!u$?dv>ql)P=Y4A(mscR}s#2A*6FsQ$qdSxE0+_L?cFFtEtUmFc z)zb(AX6TQBfMXfFLcI3#s$P~#v5dzk8>z$_x>f=)%jga74W0(~36fR7_o@L8YUvni z=to>J(=)(R4O8B@JaqDP7^B~)QxF$bD;EN-`j@VRZ19x?cUSZq=Z5;6hkE88$vV!; z?pQv%fSvB$xq)pOPDr3>bq?m@E&HC;q7`9cqw87D8pJ!k+?ljv2UmT({dPHb3hTI^ zR)DA-En?y{1GxMfit(WM4R3)S)ZMALR(&$PNf>=AHLRTKA8?-9II60jYYmfhr%{SMOC!2o|Xy+UTP!L#>2 z$t^0jqE4;*Qx2r67S=Nl=XcFT_oxE=O$>LiRI_)OMxuctsy~AZn%cGxjQr*?ybXXq-q*~*7?sV#Op|;VJmCXVOBBJ9_t^dICbW_ zQ=NL|cXxuebnC|^nHSaPDfYEpx^u(Ksa)x|92_b~h6F8N%KeiA{^|?7BgQU}RbLu+ zC)oeR3I$Lcer1_Paj&?8N}O&WOmVwlvtf-XF!J(;Ee_H5Vt*ngI35zNC3J=I3A}vv zv+%}xoF@ewa}n6JJyd#uR_3WxLw3`FZu4j)>2g+9^W38vj=b` zJEOUBy=t}~aT)e*KfJ^)j*_jV`34?oWC=dLxLeW-AwG?EdIjVJ5;Wm^nW{wH3OC%eBYBn=c-xf$;aN*GXY zo1AT^2&p{s&l%NscF36yZ^p{~of@!n!krTZBMdabIrx~faNQ+$_Ss)$7UsV_-oc2c z>^FBkaL~5lH$xPt4-r>?_P$Pq@HqTD%oJ}phHuQk;*cJepGfVMeC&-(ul>g>$j`Y7 z%wY-8CH!ghBe1AKeW2p>L+u(mqQOMA>bsw1%z@U}ezgQq2w0sc&^+mGu6Bf*!ZNTMy~#QEGC8;W~9@l z^in3Z;|J-|bAX`^cW_KDS3mx)gq&LW_NccuYM!A^KM zG|R5P0!@%i_gkt5yenA)1l0HB+^s;if&Ic*SxwJT#jY1H{x0dV|!z*u5;>PfFWJ)G_CEFN6(N zL4R{SlrvCV+d-FtX8V%^m7oP&Cu-oqTXw@IciF#ZFj2tmmri55ZUV6{STJ9uA%Je6 zCA^Jo7giR_FKa*9k(V^;oV?4102PqpHFdGjQ5R-y5Y6+3M-4I4Lo5W1G z{G7EP9cIeF)PI9vUY*JhN~Qa?eNzi@COF=DTVCul_Id6VPFKnU+7a+bEe|IMN&8u^ z*g*C7>tB4h0FLq?F<6IeEz?H0cH2DrR+6*K^mYrW2y=iVwME_uhf`;sC(yU#?&))& z%-P2K9XVFFbLqRMQS!?mrM|T5x8kR?u9ME))BNU~#gwj(9^~EWK6sR);jT z5Fi36f7~E=y;?ye)beU$h3&ke^(WmuA1FEoO!mEl!6=^Vgci88d{sc6;3)=q{0dKZ z8O7@6*?Go8SUnvydv;RJ!BOT%>$fST-yomkI{Ts26y`5?-yR2f! zQ|fd6Ahjs28bd8wJC!qObVZsQ-V&H)glEsije0JY4u6(m&+;9BDT@0LKw)^TFBpCp z{XDVXpb+?1HHB=FH|~WV%|`P$j@AeT4ag3PF6JZj<;yuGU)SEdx5bGL_)GOt(aN-C z_k?k}r-nC8gUFEQGD|$okI4a3e(~EJ#au8gGy8ynqBf%-;WLpmeq5F9%K8a%bO%*& zV8`jn^{G*y$yn{>yyynynF}8XT$6$TL{SuT8Yl8|!#O6T3 zG@_W^QKR}OVYI1-z0NcM-YC8nHN;B=$gox`VCDzVNSk}3s7uGx)>Et^=VJPGGM1FUSq?9aU`O8<$@iP! za)#X?j_Jy^=z58+;6lC_YWoQhL4k>yH=N9Zu(YuHpEsrW0`Zs$*BLfLmsvFUgQgd^yv<8=DgXYb`|jj|~00Guytw`o=l4Vq;%ScNQ5o1R|qbmIiheY*UOI z5_xN%64u3;AhSMIkG`c45vVwd|FV~<=E_j<`1!GeF$EsKC+TiZQ}@U9{*`};U(mxD z;3!%#(sDvD<{cUk;u*0P4U(a{rm_m!oJn@qv2>sghmLq<`4zRYMTE-WB|${4wC@@^7*HI3zD^MDNOf-PvHT4xtU! z^uOPz3i1Sc+=cn9Jk)}n4!%PcA{s77uZM@40@gTlb~lTgQSXxd9?>(T znQCUDI6`2!-oX)qZmdjhCM3rdSJPY{Jn4Pk*YC)|KDV(H znzi|y=Y#a$Y4SZjwZ~G)cbfVo&vvaJO_~=jmW+n0^^ZFV^B%=xlAP+NBO*Nj-%g>? z{|ImFg0%JfZa?=J&TD>uvUqA8GM2CA_sr)P3TOSJ=J&5k@*98C*RE6AaQ`X&rnZ}{ zGpzose)S5q8-3X5wc~Er&R>;mM+o*Pj915tCKJ_1aa@f<^So4UIVGI(noA|$7e&vWPuKi@ z+id)^@g-kxaIb1SNlSc{-Mm4#ixh4BQp24vKo96Cc0#Rxyi7b9drjY3|6r{0&+Tjd z;}ePZ*M&!bTL0(>rwjFu`M(tQ55%WqKlP89_783<`G)KtU=yBYv&KT!TK~v@=P+yk zy^(kh>A&3jGNw(F?+{^--@>f&y;l8$HIa>{v#vL`ksiEjbX1bFofo?Ep#8qa^l1A> zcRa9iOKX1rYVl;eH$7^8ANCJ~ds>pO=J)n|$@toMVAm;ao+Mh*u{lX8c{RT}CGoTR zv$oqa)NcN{P;K1ZBOBLhcAk^`dX_PM7SZBN;*f^t9&Z=c=FJjLd11Z5`!BX{NQnEeei;WZ=aWS$Ac#g^wXD0 zU-ncUm*~~nmp;juZ}*QS9P+Uraj~NLbIniLk6^FH{J_S8e0^|alFQno#8+j_HUn{& zi>J{dt?`3=AN zsO01Rck4v2HeNBWaxZ#^gsaV~=cICzq}9W6LOOnb)^ck&E4Q>Z4l(ZH`=&?Dub5ZQ z6VB{h^Q+e+J+2TI-qw#yj+8d|6z7cPq_uhL8kKXu0EW=cTk$#_gMa!pN6k+k>u6DT zRzKZV^1Wa29*J&kyyAD2@H1T7Zr$y^RMoKmC}n zjHNaoTD#@*>f1B>mH3ML`1eyzmM{j|bxOy-ce4B%JuA1g=Fg9i4(B+1YyQl<>g`hV z=N*J&Uv#Uax6PN?-c&{Ki2nC#x@gGpp=OtT z#Pb3tU(GJeAH17k_unfBpWi+_H9{X z(`Gym16P`ll-)?4hH?wD&L6g3H+r@CgKvU;_V4j&Nb@gI_pcL9uEi|h6e z?9AM1<9&;>n$hoBA6%;VmGoPJ+Wf(N*?#VK1m*|%{PB^@ekHz=pxI;~?k7%HB(KqH zwTfr8{_!}`GhYT-8z&j7#H)$a>hDo7fAAb}spgNbI-c-WJBDa-q_o*f{_<(@w)Z$% zyL9~}tLMgzuD|?QeAyo|J!*Dg{$QLmy0vkG`!e4z+xep_-+ z2=5kWl7uwuoAKVmBpmJfP+@+qc-phHJeD%qxoonMRdzhZi ztmEz{MDLc8@m5jR>OR9Agj?%)x9!&TQ=Y4Bq-g%q;<|qN&BV`iX>pbl?RThbf?r)S zW!%lzi8cTGo6`YKCBDjTZYSLKZXB=ST5021&Hw&cG}X5on?EM`-*%2~&Hvt<*{>Zp zIuZpvWQ)dfYkJ&X`u@P#rp0yJ_lKgjWszRnzW=`f00030|9Am}R8L40VHE#nT}{o^ z{F7$cW~mjn!d3`oi?BQU?fBX3%V1qzIrT5-Cv%9*`2aoyYz2E!ydvB(P9b%n~v765OQ}AG2AZbk>988!N zx19*xGa`QeT&QpzLL{AP=7;BK_k@A3ArLhllj^WYNqBO@v9gk1cDQ9kb(x4Jg`Sn8 zf{#g&OzG&td>}OxMVp+NKu!55!uVg5O17ym7Mb-oVU#wIy|t92t!D!Os@Q(_6a3iW z9f#DIB^{x2X>-RBh9-rPFu|5T`>53AX<3c$NZH;byq^xe5?-c_S^9@s6rO^ z^o9l+St|vvwb#>e(6oafHtLv3;!8Sq#(;54k*96|7;a*701Mkgt4Mciv5Sk&7^6wh zGluv^b`NepsyZ)ViWU$rg^L%a(#*btW$niLtuOFwE=FZFOX@1ub!9(nIb&P%ALcN% z%bCTc!5v-L4k+`dohbOmH@5KIr6AuH>>o6Twd7A7>xN6;?BZ+?q8@-d)(1f~5ho@H zR#T6w)d~0Z>a8@YkmMaC237(T$6lCd@%b48xNF5YLRF5C_tO^vO6~Fhd1y^k(=fQ| zC>=DAjg8>fT{#Rh&XuFIb&DU@ub{Q4)|hY-g6k9-O<+T+9M<`B}wKC7a@X6v{Em zcc*uH3NWQXh{%KVdm6jlJB{?Xn?y;7AoOCNz|_J{!|lP$)blWKR01TH2zarIK~YG4 z;8pH1s$5_^k%CpSGkRe+kFziUo>Mz>eCDk)dGHPE!6`dZ6a&~0_$KsLb@h4+Zn=AhS00960l#`st literal 59502 zcmeEt_g7QF*0!R8*iaCV8Uz6W>Czzqk=~_ukq%M<(jif4QX{<+q)P9-M5Kg{^bSJk zErd=&lFxhJzv5f#uCvzJ^TRo3W}frxS+k$L=gEy5H_Gqd{CD^KcfI*%4QxF;9o<}6 z1cmto_yk$rcsYKwVG$A*Vi6GK7Zl{lKDN z{n|jK3{(a`g4c3kSnj8A0|7Tsd|Ihl~+;1mebkV&cgP5IU{?NWFM#pM%H3vb_>^p2TAZ@ltylBlvdyaTc&*3sJEG{|_ zL?Ur+Z~0=s9e!4356P}ScRx=Pu=*WRY*IeGFyGO`d(-VhkqD{Oa__ApQi26?#re|o z2~(vL?p{Nzm_9RbYN(t-bH=GXB$l?zQu-X{S0l>{@@h68>CE#URq`~Uc z*a=nco zYPgzIb=*{^vk$|?8vY)zUeoUk3|vh1mG(|`V}mU6;|8tQC=Gs-F)1;F5sX`>Y$M&j zSvCF*qsWxZ$HL2c&3EO(MWRqT)^`NqwCB61NFU39W7C`iASErx8E#jis8`uFK<6&q zG1nznrrvV2VGOM5+ZGPri>%hiX$A*@S73V%DNXuyxK7rkCIIrS?;=c`ZEnkG?MgJ( zkMmQhgQUzBHEb{lU>UxL@8Ct^1aYN=OxOL=uRV}MDf5+Ne1gB(I77rMyziCo%6S)) zqa~NML zTYEf8#P$nQ`h(jhq8DmF%t(A6&!JML9l{^T6k*QMTSyfwMY;T>2yg%iitANAR~aO- zc64!Y@{jwp#2MCFB0BKUJQofhb#)b{Qk(Lx%@4eSyxaj|4tuB|1`LydYpMHP2Ci(F z&vl9QImWF-*xlLMaj7Pi+LYjz@ldMm&YFw&{*f>J&2Lgg=gr_ifbjkI{GTK89u#mPJ-pj!tfV@8iLf#*JC#D#KRP-O!Adx{ZbYmvsznc^T&cJ55ufBn*1~1Zl zhcFlFB+y2(E8aJ6oV?CI1s z*hR27VOgLfu~Cyb1%CWzb6FXUk%ghMXu&1xX%y~7NK6|_$*dtFDg1J5g&Cj;Kju^X z?bHp!7#CHdCcHiWj-oP7SzJvm2A~1rd>cM~pOa1LD)TSo&cIz5elmZyIR4{&=~r*^ zduVXJW=f+6J&@g9V1A%QMpeen65aO97#knMLE~*gCd3gmaAB0Qb?yPaN7c9>j7rb z{^Zh|aU6B>sF@0oPm2opPOuGPf#|n;;J&Y{U7jdHF31SMmpX({%+{$mA*)+#gKJMg z!cu}i$6!7|=&aTrai&G5RUpF!@H*|qt6&=UeqkEVs(s9ZFqlNnY3Mi){-8nDu%P`z zhv)S~0t@Wq?pkJB7z(6BB6JC8n|4{e z1kty2V#W3aq|7b&XbuFULQbPZ#sl0b_qR}VTKCDYiTof}P9rY($;I<%t!!wIuWv3) z8n}Fw0KfxaD;wR_yjKCzir0{n0+f`>@z$*P@@Z}5FZhn+-_NaOpFdD90S;BmJVrhQeOytt4^C_k{P@!K|y;6DuC%EIjTa;;e zoif7l&wv6THb`Q`^>Y8UGNCSM3j~uOzQrY-=nNqw@v^Lq+|U|e3owxgl_N$JC_ zgs4;ImzQx37quNpGtPwjfooMs*Glg|be5WMY~r3Znhl?NUz!gUbL|J*wSI|Sd#lHW zkLU+vQ+NcS|Kl%^OLV&m|{z#~jPwO4+iG|>l=59_KxH3733u}4?8+}6do_Ba7r zK?D9u#1Mws*c?a@vv+lf z@>7Rm1viS+mpQ_(G5+y`=uB=TDTm?HwKEgr5Z;RjE^GfBr+ zzTt7iTb+Ocf^;@5G*%0XffOzP{w|iB!e(l-prF7tUxpAZzvT$%zkewW@5BfqcCUA^ zdUN(q(B0nOJBDO{fK37mjW08~y_Gpcl}(LuXD4&rJ^z!6Vuf~5M<)eco^%sItl!vV z?pULr?q0gd%w)@Q+yA=#AISfs)c*$#WTi8sx~Lh0*y2=A{RLu%b*>PrMiGMB=AVB} z>#0r^R4X90N!L)>Gn@~G|2P#;49(BOs;vI_>f?n;2$JV=f-aFv=UOmC<0Qq1irK`I zr?*_ZubW?taH^)nk;DRU-X;gy{^4LLFX!ax8ae@(zr`D3`FG}f>|GA*s{Zo~q8>Ci zN?*u0x2SWd4alU#nj*!b3Gl?a#Bn9Y1RrmV{6{zP_yM3JspFfBY7KIgzovB{QfCX( z=lwf!l&W7uUvMqFi_;9jG=211W?Qb5t()?ffS$wV8#&ruulmrTH3d^COmBpJnr&g0 zd=Jtn|H(i$Pz?v)5G%U#WB?czH-vY*0bMws2phQ9tIEhPGjUPmUM5hYfgG&q;Mkiw zek_vn;yQzxwN`AC7FHaAI-1RRebjZ{01p=(6$+Gr(sMpyW6#%PPZ6Dq%z|8jReQrz z<_{>h)khz*%uP7&dS#gxy|WL;8FbBOKqMX&4j@J?KL$Asvh+^WH!`H!|$@2d$; zzkAT9gM1#XIz6eRuZGMcQlE|9zI1MY7s}C5MrC92F#%rF`c1k@&z%5$Li-5^V@~al z{P?veYi@a~&*oQl7*~?xBDxjT5|c^9nF=vpSAI=q3_LG)1SofNp9{YNVtYz#H^JkH zw?-eNN2SMc)3o-BAmH6|;B0bdR zPp_Q+vw&~7>NgO5>bj9z_L^1{Rc{D!Z|Z5{LnY8eF5l1NLOdgM0%#(S@@}p-3mEY>jsEw8jW6Cm3T5N~7zob8fYaM<07lG2Sc95AR|em?G~7w|6&L zwaI+RRWn**yc&*_@bH)qtv-Hw#{n_b7F6){f&+wduZQOLqaY{MV*Q28QIfq@ee`}p^xN(#IPQ@hs$<)|^@*K)BC=#!|1XM7;V$Ll(9AH1Xv(3j+Im�nA-UzxBcse26ZOakeh(A>p;C{9VS^G{S z+V&`cfxUe9V)tQs65j2dKwb4^6w0=~?)#;4!neUfD~UtjLx^)j*l>UObkLtGDe5Oj zLaKsF;dlR9t%c(vaKR?#uY^FLR9ti%iT=jNn0!uilya@QUsxS^wNT_OmB@tb|Rsp@-=b@qmFFY|d;tmBt&`tcn%v<{!VV7I8N-x6O9PFFJt zZ45{Ti+X9Opx=COaE6x~nAOKg9Ks*Ujhs?Hi$OjY^qqav`)SIb=_a{mos~uim zp9EbPt8>sdG`*pT%d4tJnB`@~sJ?Z!WD{KE>$df@z^`=)9Mtmd8mrh1m0XLM+E*=C zZrsDMKjG)u=cKk3D?yZ16_x(xd*#}Oz=S?dvq*_m{1Dge8`x4IeNIT4w4`p9RpSZi z<8^uK&mLV@Y65B<+bT~s_o|)RoOn*sk$cxjxAsiHz4SHaWLk&>!lf3Z@kHPyvr5~P zWEAULAjbi zJE6O!uTN;KJ#ZcSggNbk()|vuq1E#Jq6ZABK*eWo=~yc)abHmr{`X?4KO;r{32y1v zXwsTS-sNp+jp~qfva65Zq>lUo{=lUlw>fG}+1cIj7KYB|26;E}E-GPdNoC6elWX69 zAKkED0mi${v#b!}Vfb2Q;C|!6NhI?*C_qJb=j@9?`T^y^-;(M{UZf=1Kw z40#%Fj=YRRBP`6k7p=$a!NKFK$HbPH*r@lkv0G_dKecS-wH0wi#+Rol2FBy0|?cC^Q zuL78%KhvY-rV;(rRCLY(X7>X-y+m^#z|{F?J`l}Cp~ zSn0239mMpK&Im}=+ry|1mSMSaI6KW?Fo;gMOz$#e z@%+))>d%k>cJOSD3rK~pU;2<+JWlPJp}P}@1DnXOU8Oaf&NNpg;4~foGypjL8+4oH zUA=amHY;$cj*Jv@N1^*EQEY=U>=JV%Q}Qe2V$rGIb9ummM;q09dcXaKI@->MBr#_0 z=Z`anUf7N4t(sMFM_Ekyce2O4lZ4p4{+kES*RpAaT1;;>ceV!S4>>;8f;nvb`gvpb zyKrLrcpg8Nk+H?@p{S20D5+3?c_t9>auR)> zu--d_Y5->1r1&5IC;}Au*h>oxESHWudVuLOBy?yRxcd920r*c?w?68GB0??vjCsgA zDs$0c$3y!4Fqp=J^&RLJZ``O{MU+CJKiJCM~m}eAU zn*m2O!r$>omNVZWgDC_gIk$t@I<0@Te}l%VAO7%t`nwCT7K!w`O>b>_;K z`#c0!vbE-(yta@FXMCWOe$LuXiMsoT>;9W~apS|e=w&CcsQ+_4P3*%N5~`7x3&5Kf zAB8PXzQ|$0$)#AohuOi54{p_tZRy*O24};A8b4ZOo42wb8mc#IZIhu<(@hkFef#2z z%~gG8MQ`!OPU#1E08Ue0?46r45#FAB32L0B;gICSv%AZ*DW^8*W;G8P&XgD8x?fTV ze{HIROyUIyX6UI&v?y4-F~NB`L*%3iSEuV8Tb0w}ryD!Uo#l=Rc$u1yc13&>()6${ z^Z=9%KG^Kk`59RE_psT1VE3b;_kLz`234hT6NtU(Gd_XK-Ob*(h|==c z{5tVXl6O4ERW6EqllP9`k;DP|QLk%eD~ns=I$^=D(KU*OV^Gu5tr}7Q3AU*ti*IcA zSxsHX(`};qwU2dpIw_2Thy&}WV|)*ARLjr5I^qVPPZg?CO;x`&8R^XqykUywn*XL(prepobr z_Eade3f`cw!};+UG?I+CpyTV_phE-z_MGzRg=1y~KgBKPGmmx( zs;F_kiVMbfvoh*f2QKJzV3R?0YqV{}K#*;Rq2jeqgW1+jNw}WAfRN67&opq_TmM_&5eoug~ccKR#Q zwQ44A_6Do!6H{JOB?;~%r^j-!pX&`P4W)rrp>8aI+3Rbuj)VUj3fdh#6bgv*%YvVf)kB(XxO+?L zjQR@Hl6WY1Qkcn>e)c16B9wjzF?c?i&ky`eF=Jz;Pwn*P88MJ)6$y^+mH652r7I(auJo0di=UI3okXxfDVf1b(_K?uCFPmWm zPcm@Vh%xc`bRrRBFc{=5T9++y`4L(RHBl)oJotl-8wJDTv<&sKDQ?k-D7<~~dHj(` z@)2RjHln)6_ll3VQtj3ow&6tqpjf3_sSONotPOk2+@cY{0WK6bne8vsZkk`v6VZ0EGPlr=O)$U2*07ZVEuSO{91owK-@S|Tpw@psk*fW z>WC>S)JFkmh#nj*I@!k;?b<}QHw1>dl9N<3naPz`Awl_7E45ON*+=?xc#k(fRoiMG zYWo`nhE`1GI0OSQAm%vXMqACe`g+3q-pz9K%V zu`z$~u}Jx)C&{_;W1eAk+T55P%XM`2@s)}VC3wz3OSCeb=e@hyievp;uP_Ie_qX^w ztQn9Iy_{sbzg2QHL5J~7v-Fu_q%GO})Z(gVp8{3>GS2spe=4l%{UujjW&O?L-KCpZ zKb%OO<4ZHNXZbBqkWXyo-deg9`WiF$3Qa24V<;UZV^2~C6jay?K-J2_Rr(~``V?uT5Fajy8$(m5}ogg!scf2{+d-! ztsD+o8Kh|}l5?cJo11JGC*F*yEn3msN&pN zOp15B|0FuE6zKC|fCYuBC4d!wCq=W&8pO3z?}9D@FK@7A)? zc&il%btMnpf1nrz1(r*A%jyH$wT9eDXH#yEIdIvUMbVt=;}s&w*BEJDu@Cz%2b0yk zU4#ttu1`O&K!(7gFx8f%4G0Pn_*i(OBS#pF^6_}!>a_y+J5q9*fi69B=kDXA$kU-t5==4N|a%h-&+sEH0wB7s9n1! zdzx6)0ujZv>?8dHt*>iKehc&}y7CR5#upB;H{wh`NL8QB*c=2-D?BzVXtaMlf%MqX zckoEDBZ*d*Rd##$jpaYfZCAK{I^+q*^Ebv`h3|sQjZw|Nf4dHJA7Ki2i4AK+@%M2A zJ9=o1=7ayJINc95Wt4fc3?}VI`Cai8zUZIab5ieF9H#Xu*e+;hE{4>8jYr+i(Md3Z zFKt05B9d^6>;=;uaPkM2FN2uJpy?F*DnbU)hxN}sfON*$*M+gKRk?9R_niV;;sQN> z1ghHE>rEneY`JFiC^=ks#12axB7Qm=>klyip71KtvdA}<06jY17)lzCiA3LW3~vvt zNUc(_&Q~$d<}34f@_MzYgV*WrHyx_q9|{_=4x{VF*?iB|uBA8np9hUJ%L+U+L3Eg= zN8jf$5bSQ)ImjWN<)qL~tSKgZuD&3lDjIJ=?`{QQTxl&oO{-kC8 zb8D6o5BXrpiQE_{m{iG{bn)SQ=9%CAsr{ERKeLpZWHUCugmck89m>`tvMc2hE)DNy zL=OK^A;ua%irHNYJ7c=g{R8CGEQ1+_>a)Ss<$NSXGHIKs#SicGOzouaxc)L&wr-pm ztdr4*E<94Xx&iQz#kJXCULh?fhXdnykEhQf1#+>~VfA7jmZS7>{!kg~;TP>GO1E>_ zAp$rB!60g>kkFwGt2JbIog~HgUb(dIre967^Hy@{yNytDXfm5st5fGYgGrX}HZ&?# z=UV8_S(ef`O->(Q{&O@*4-Mn4Y`rpUnuw>>;p5W8FR0OXWhtnocw7{r+bG@|Pa*A* zcU>Kcq*q?>I-IHE?k;_PV&tV<`7(L1q^4@K09?X76uKw>u;4{s!Vd~3(WC8r5p&fQ zJGrAy>lOaS3YE!TFyA05cEVvdv@zjv?m!v|;2 zSpBVjI51ynF9CnO&gH)qI5=eOHOIbe-16v(l_7s+YKVbwuwqF{0SKo43ldD0;BaFn zdLMUpbKl`E@5?%0}IcTzQj2g?d+ZVT*HJAzsT{!5pUfiCoQBD1#!O69T2a(PiJZt>PwO$|VeU3tehha=gA z2QApi@(pvV!S4La>;-%%c(OTe_AL5B;hp|##Y^;8k(6YS(LYzJOMZv&7aESd4scmf zyc*B6q$AR);R#)Af6TP?iGyg}*@M&q88PKSx9mKgVF}rJ7gA-MN|=~QR$Zw^VqH_n zgZmjSS`_1a9F8kuf6Eptds8H~8qAgFCslda?(zPMXq*;+)cH$%$~YGl+~CayeDLg~ z!taN-SglqgY7STnRRbO!MLuhMv(u_mQ;!;oYxYS{$18cW!RpuNf$M>XV+mCWe3cTkXAmOL z$NUqYFbVYJUdr4*te4NlC>$wPupNJ##DWiMEMpLEiRKM}?_>x==I^>mOKp%D+j`4~ z6}1ZkRJLLP{PtUr8l?8(G(FF!2Mr6$BHpbQ-V1@BmqwViK@|+zpybS#t&A_0#L?|X zcAPE9RZVU--{Gp7i8L(>91Fl{E9H$-w+Bt@P{%1)*<2)3(`4 zsi@w&k?A$iy|O$@Ce*+HyVW#rDQ6ZSNh?PoDt_sGTv9#B*{O@d4UsQ8VajxJQx+~O zl~YZ)A@(gAS|cGK@`2NsJv(2=NsPDsG_qr+PXrXCNZ!Maw*a!S&m^MO;dQkhKgyoK z`Z%{r;ewr>HctJRx_hFI<%Z=#$)43X8qFa8DhvBS6d64_ac94_MA zpKF3NoBrOA`WyBDC}pVK7dq3zS)_S>Eof_%ks9ru<&qyH$uvsp8U#g^4PS%+t20%z zaNwGJo!vSwR@6(+#T4y>+CQ^mn9Q~9_`Q6O56kOC?Z?yQ$lyNSL;JU9RLrA}xL7V4b^Vzi#$=T9d9;LSP@{W%{4R+v=(ZtzPw%9kdm)KzY}>jW2|Xubu?^`bbQ3(R}{9@IPX39aSBQ37i1W7 zJueL@>T}H|J4|Zw`p42e>25(OTjthCsu}u!G1KJIR=a|iOCjHJavi^%=d_*L6%}BJ zLDUW~NkG_1B-rO#KV^C3Cw4rIsX_ECCO84b8qnqE~ zB&&Rb!ZV4Mk6s3c>zxnjjW;{v3ZX4x>o>J)HTv#&E6?U9EMF?q)hrJ8vg>{Pb0Xk&`N&ne|~n#&tR~i$)Q1&W`b|*D|?^6P0QcC-*ee z4t8IA4hNZHCr?80n-iX2wVqEt4uFvGitH5};H0x|vnQ-rTZbWQ7XTX8_G+BBHIz+x z!BX#dzo`jX(E2eh2wwgD`n}V4!v?pXZ04?DwXL*!vE6A^A;PGh8KPOR7+4#hCAj}<09bJ+QtK83{Q5P_#FWm9C19vs0% zR2KzS*y3DR@_WzWJcY6%qCE&c`x{-$`uCr-quD4Odo*h}FWrk7nVVOm$@G23)s2r= z+uuWyOWcI<6|A0C*bg069d{mAKmnB5Hj{-*Uwv+>DjBcokC2@bdKkYM*d^wxU#NC( z%UqaEcK@7&#;cnv;yEay5DIO&3XDC`9>B!UP*=L}e{w)Vw?VLv?Vyj{iSn?7;7BQ zt6$5U`SXD~A;d9xt%Y;dp_#+bQEpzp-13w$ootc3W^q-df;V_rN0I86qu9T`#Z^CR zxRUj4Dh=4Xp1f;0P7NkM0p(4%{*DwSLXyWypS2UUzrST1$4l;|#W%arIzl-znnx<+ zCwYJGp35wjau0i^h3ik9Uv{@w{#N50#NNxJK}Cbls87c{pQ&rHLp##I9M8^8%G;|+ zIyvxfXPnZ;)HGu(B@Hs;NrlgG0}inl;+%k?T)*qiNL|HIp{A1&L0Ok4WEpj#6~B_l zYJaT5m1+fpA}==G)%T40szR}bNm_FJmR>T7$8kWv1D0Ef$E$oV9Sg|v0UM?rZ#pEL zb8(u{$g`2>(0ftGH@)t@HsuK(Nnrt?4u5HbXSZ~Q%eO>UgG~_9cys1!tGFEYqq>dn z9kl6{ZMPDq??|V+1#0n zP8S$Sehc``vXGI!Zh74XcTZu~ zC&{zJeD=9JWORna4E_kOt5dvB*aQfKTXO28ja9QA$yhQX5@|&k1$0vjW{1I*Z zQv5N~?MPUxU!V3TgPxycw}&1=bgT~{%HXEgFMihwk)KZFK7S;~%ge4N+i7O%eGmLh zM5cGmeLGIu)LX&aLqj6_)V`va7lHI`!+Cy_8&$lTP6 zPJDB|8XzkA8^c_(6i^C%G8c}X`}JBbyqxSOYFvoeezkUL zOZ|OjnU>}VyU%uTmG4jxGAd}jn`Xw7)Up1f`Ia+d_oslE8m?1PY2^BoNR&scJ)^a< zq(GTmM=Y2bnUjJ8>D7`-7=Y`lk8=hJb*PSZ7qD}ujehH7ua_ls8&4P zxBo&Ug}US3zje$a$#6f<&^QYbMPb5f=w_JEofx|sI%G~EhwBX4$dm1@q=G(xw+ejR zw@-f2gb@A>vOX%NlR)6h!93mMX+uijm9L9eD&4QMz7jSlb9VPLt{YLZbsoT@`UcRG zL4V>y%ocyCpA4{Vbl`@~0^-ZNal^%YwB9-$UYiZB)0)s* z-PO6Nf;RCqF1vmsW=UJ)#&2HEJoMcaw^B~mIJtugv}bhtTs>rX(H~V~Y|d=1((6#5 zrAdV!wta=YT{iEvu6_p9JXK%=;~e|k8?bqh#3+$4@%I@s1>vOlwU$r%F>sNga6{`? ze-dEGWJL7Ce*cY&t5Y92$nguljOF0(hZ7PKR%UJ<0pyqJMb7u0B`|EYiGVd$KlHD} z2a4V6oRNIv_GEu~bIE>hnf=`tG+aUe1_Uu<^XTpUC*YN-N1L0 zu$V`a=ldmH_ehj9HOCskHXzOJ(($H#BmGto#zy?7bRb)cmpLa1o5G<~ z&t0EXw}1PwS0|+;BmKoa<+`4!0Hg~@v+yCefgz1}eUn$@qZ3rq0kVHH_gTOU+ewzm zVmvDhCF-#X0cm>ck2v40UV%*6QFR2t6X89&0y^;$UT85@9o4_cd|FeGia@dK{XwE; z3|I4{POMpzmgYx&<0HaiaL@Y&dW!5XBfj4_J0BU_dqha0+#`*MC9k?XjI%o>TMz%E zoEE~pstHP6s^WXnFV!-G-4IV_r#RbV zYh&*VfD}RR;3c3~FY_QZqmTGckmn0ikS{&GX7^NlN4oM35(NuhggL_b^h2f&E}{;S zj&l@VPvRN5H25@sQaG5j>5Z`OSJraiKI|1G$lUtt^TM2ShRv0&rv(qmEc+cG5}m0$ z?1gb*A`7S3)Z5JSEFLcg7qo}z`b$>)ZHmDXOv1R{&6`x58M6)uD3p>)71)2nkf&v|>X1rHW__M2Ucqt>6P z{uL?m4mOiS;C-CD5S7@mC6fn2U(DAC=|5@fZqMv|v}@<_)uvVz^n8C3R= z{l7_=4{Dh2DW3~S#DoN+9&D}`e4+CsYq~b2J2AezdNNrO)J3iSm*brstjn1 z@Q@`K$sbM;qzWEty%G+aN72Qg3HC7q;$~#m$Y9ViBAub~TKZnL&lfR1EJsh^{72ay zaZ6O`@n@m7J8x`c)pkl8JKp5_YB&$d+V&U+khXC?I5t}&?Y@MDN#je8ZM^)V&+#0m zKMwlb*rVYzD-~wChIYOi8@$60PdkU`p-)0=P)v;qH(QNSTvB>!O14hHp5roMtv*mbk7@p#KBp>PW>YJXkiC_d6jbI&MOBVx_2Q#+xtde! zCnwPq)l6ia8Edm0vbY^Ek$pQSWg)~z%q}BEkSA!O)_|{YJJ9K3bvphcjfrOv7pQ3| ztUB-g>x@YD)VzShnN_lQXT$D$pgu(Z&&X`rdN+>*yAK>t*3+4_JO2bjs^yZ2kLo zeH){qpG?kla?BH;3HoXF=Zgi8V_%-GoF>@BNq?f7%M?7TJzC0#?J#_)jFih7CURy_ zmUG)3CH-974Lq)=hX=luz*wCLZvpAorA&@x@*+o)%-0doz5F==$&3iqXVBB zd!X3$QvrK}^YaAU=3fau^E=JyjiJJUW+8h>PNsypM3=IJk}yq=1npJV3DKM#)N@^d z)p{#)M2Aaxt5`aLW}a)*BoHSrk!(W6D{M(^4xH#MjIs$rS)n}m-0)kpCo9?J$ohJn z?WUY*&Ddri>^Zd1Lu+c4tcz}wUtV3~4*ckPmxdwRB{p89TfQP!APx-bvBdr;4_PL$ zOc&02b;Bihe#Wao=Rz%|?)DB&YGvu2CLHhJ0(n%qaDLJckbQ~piDW#f9Wzq`#|&8O zNw`i__}7F~^`)g#&K%xc$PyjS^FKt37vOXhNT^Y@}Y?Plh0k}e%=vv3}F7L ze}=>94@k1|QbI-r8h;i$?<9k<_y+vzB>{>>wJu|Dm{(G2;K(VpiZOUflnqIWF5W7`3!Q^&_x!!w2eT z$!3C*L!}=!be3EF?S*KoZL2bbJcz&#s-t*v#^iz8vv|?OzzyFvBo~NDr07);WiRyUvQEs1R>kp7IifG&X&3y~Up)7&78Z_9 z@Yz;fxr;R%HVOEA*L25mhKP~G|GO2K64)KgGa_L(@!cqtumm5i3T4Xq)`P>)!#L zUV5x_>#fyK6p!bW;VZXMo(HLk^GP=lpy+I@Pb0PxkaX;JZ{gKl$(bk+KG;jCgI3nR z?5XuHG{+T^hkVr`!3*yzb$4Ehv~NzL`DsF_T^utj#Y3-`3(=x})FRH3rnL3n@5^uf z_5ZtNli=)5-@tP{Bxw1S_cDYqy$!l2Mnj(GtceVe$8#AmZY6CaL<}G1A-IcX#!7Pe zHm?tM3Pyd)!H

6a+idPe}qdMPmFE=pa-czYV#xi?ci-3VHb;nbQS2(IcN(!A;0l z>mn_BZLTaCmd(Nxyed{qju0*Y;qsK}rm@QYga0;)n} zpoww}{SK&28%iUr4!~OVLA&e3sP&>${VQ-9b`YzGe@XO<)SADz*qj)G%3oqxx8c91 z=q!aPZ=xmpvHT0jjv*LXaF7h>hHBs%lg+s5IH;0bHsLG=^{?!xa;-IyXcHA$2VXBz zw+tQ19}XhYgn+s!_p`un)_&@Y zvzeZc{r7hhr_-)7?o1~6KOnm@gKkz-su*m&uahJ)w)G~))oM;)1*6Ig#XOEq#uOtVB-7Jl)+)DzK@$2I)0E3rA+Usy1r-Ci{Yf#Q5 z%4gCzYAlIQ_gdx=0fLBZK&-e=to+9R4%M?1*KE+dd|1B^m&Cd9SiHg6YR^xAQ#^9UA`-s!7JgO#ayv-p z%*Q2qg#nnYa3ZyFnLkx0{lRWZYv^Y4)KnvJ0AzdZdyU|@dWKLU#X=Q$Z>)Zr|BP*` zf1o!GBEwp3|MC1n0DmEDJfchV19z-@zK z!R!2c2^Vk{V$$9yoTr0u|E=gToEEd@#`)Pq0_6SRdhq&J)jGQHCkkZ$keJd5m5U}u zVVgT3C4Cg*Td+W#juPxIf|xwSU~WtHs%R4Gb%Z<%InBLvmN#e~dxbHfyS^#mF2dgk z{Q&Z?Ue95>qE1If5k~vwDU?m@?!${Ho2ScZ=5~rAH?2Os#U2iu=4&_}jQ*sD^N1)z zsY_+c;qs!H`5R)gE_4RMW^xG#%O9P8&Z$}L@&Yp2wzM0hX=*m;{@8|rGi@|1;~ED( zTBsanm1D|!XTqG+>GQqJja<4vE?J^hIPotpfX_w+7Xh%TA*0zNS>~KLLi-Q6B;^H2 zP`WG*qJ{7>>~!ml>NXa30$f_-ldJs{zj2JLRHM z${mOS$SHd02o0q1;RWgpVSGa8Bzs3;8;GvgrnM##=yusI`~`0ef9Vvm6AJnRWzpjS z`ZaL&vc6a-Ek>7`xYs|vn($6U-^1|NVqWZA2t1xMieV-R8tAniNv<24A=P8MK7QwF z3lS%7DInGnbeAZ)i*Y$V2rMItd?@ z|El3iQ3@<$!|&NSgBE@!jE*X*U9~~>pA!UuFK--*zxX(QfA!<-nZmn+!yBzPMR>bG-5*wr>J-u)-eVze3I58Mceow)znUwoHgp* zyyfnqZ2w=e3ij%W*Hx<5!Wu`f)j;CNI_v97j5^DJ`&5uxcY`>$??hWpnI zqpA_8t?%`!E-Cfm>Ik|Y4TWLtfPBM+73Bpw(#y>bdKU~1YHUi>c;pmiIn4N#w0Vic z1^vu@`BQlvS9xyroHiK*!hR_u4u zP90K56VjTKn(>?pII0>ub65D2X?C4?e&zJJ7o_E#^_jEvd?_N2 zv|mq7Ey=&mmZRQj6)`9@aj+GA#qVa3gNg3-ds8>`P3p$8;@{`lEHq_3b2YYW?31ZEnvBjP?>=8FR&y64Q4t#< zdjtpFuex=te(&4EH#47|xrI89YB@jhH3fIC%P}c4c6m3f?Z0{Qb8rB`y*EJL?JUNU zu+~l$82GkMp1+&p+{{pEXBZ6mE+9?-#`4q8WyMl8bfw*AjiL=Gti<`?o^ z%%?|m6Y2+FI%7V5a4iFuhL_2_nP=v9rnq&VZ4=~BcxthmSS=GcLsB^B1;6`X=Yb; z+n1ucfu*2qIjYi$Zuc5G-`C>CpEbxeZSgys-O;{-6+Yv2<$2U`Vh@aNUDZzrt%<%< zeBUvo!65a^K8=X-XxpzK2oYfhgvyf3ib3Lr7iW&^bl}rL651c!P&?g8%AyjY^h3T< zE`&%#MO_A6y^Os6{{vw_p1Ai|D&R{qp8)7H)Z(p7E>lw63)C8fB zKs(`%Qf`buw*>pvlcxUbU_`nQJUNE@A5es9cz!zgZk#!=pK^&;Iz=&6`ut4Q^ow*B z@;da}0QymO(nrMSC$BcvoWz*KZkK-K^_XIk^rQUSLH-XR3zrP^w+DTfpE_blnp5M; z4E(R;TPUR7Tw(lM=-&vdfQ=PNoU?EvC(HMD*l9-a{~#*D=fetQHsJrw?i#kppL5u9 z5QuFUe}3NJ{-dn^#9-;4!z7SKJtlv#iJ&i#L>>{r_NS@AzS*mn-`CrQ5^{S2JSW5x%sN4x)MBl5pO z@j;v_{^!d-CI3@pzuyG@UkUb`AM%|5ef;h?>92x*kI#=w{s%>$`FXpo3W?f!LzU#% zno6>t_+O$*!uNyHZh0_1{9D6MYdr6+-xm5G68&3bpJ9xV%`*ww20Ljs`M1;`-(t-D zOG|&s4^+Zh7a&GOE1gku72xB#Uj2mR=lD5buk#e&)P=s9CybBz$v10&ReAy!{@Li? zX))IQJ4WN*AM!JfpIiKYEBP6}|AkHBc#uuwL;P0R=Zeg|_X;AOAWt0sciE5meKY(o z^wzrQWB*v)y(Rog^C@>*YZxwVkQ?;LbyB&-{NscG$*)f0_RPC+LYDTWOZ# z*?)!n!|_%9+ti$i-A~e=zUl`xWysG0;e# z?|Jn2Vf+G|PF4I=*@yl6NWU__{7uk)&cXcPJuZyNZ-kbt>6!uOO#hYsC4IPsv{K#u zk~w8RzhA=p6&C}41?4C0$9(^BxL@Jx2l`#PmTs1QrTv`$&dQJSe$D5_e;**S@$T^% zvM>2M{o`w{B0GJzUrGOyqJK-E&ovQcCqHgUW79ytDn1sJekFak-`48q6UWa~#K*#_ zPv!VUARpgU^0MUPRbH&0hbSA{$CUr~V@YU`?)s0X))L@c$K`&K!HSTu-28sd?^Ixh z0j26nepdbE83^x0qpSVfC*|FDcrmg6 zW=X?rnKsO(d}BHL|Ek%r?t0B(LCh*^>G#zE z=X=M?nkD^Bv>I^wQ|i2eXFzs^;XK6^=aqHBm9u-~_Zu{?(a#q`b8K>(U!K@{K-|5n zM$=_v$!p~)T9WlZs@u7wc#X18uOx@*Ek0p z67oW|sztdj6a7WSpYsOaW$W_>aiB}Wd+O2CJMX-rNFP>RC(iU?UCZYr07|&#l^gBz zflxOd*Oi5R2Fx2b*326lDboC#8l>;Cli}G~w=Akm8wRW@lm1ylpXWFjl715W8=u;B z`8ORvf8QGVGl~9Oq8}0-YzT-npO1iT>e zcPY?*p8?OAR9i7Dk^g%_+*k3(-SgZ^{jL=Kcs*hb*vxbHA@#RsVDRVjDn_l<`l9UX z>&?0u^cS)p)vU6=|C(bd-|MpfFE!pHb)-@1a{8A1V}$xzgZ<`$a}=o)%c);Zkb2~V znF39vxP4ucut9n`mxx#DL^|Hg?aqnO^K9_mg7V(QVOFg2&Qdke^L17Ic?$24dz8?n ziQB6FQj=&iOiYm8t>uS#hV8~X=cb;m?V{{Q3`F|i>Yr!>6%8~Yv{Yl1UC;o|A(_odI+vE6* z_B~hhR|?m%rVjK!EBRMe?XHvGR}mC5(w8i08|hcpPW)X!O~ox_o_iYd1l))l$c+59`QDUnK> zGxqPc;d##RoZ0ibqo_dj^C zem?S3`H%jsIv=RJK7NMc>tX3fF*XYEi|;WcfYS5qP~-37P<9@A{k>fFWqsaS_33Wl z;hkY=Cg`wOsLyL*>|GW&tuaO?{SisRR_C8D$$q7M@Z?j%u;f?7%J{eywqpMpicjpf z^yDvhTX&nR?dzl4K>mX?zx)E%NOnHee4 zC~RA!`&kX2uw(q#+l^%+@Ov&HgSBMjNj#d`HoS>_`}v{#dh` z(R)eKgR(Zqf5pa-U2A2dllB`h8^zyFkMi#%`5q0`UOKgY1KOT#7b+X-?Bh>JmHJO3 z|4gfDPXBBN{eLa}zbyW^Lz(T*YUKXGljB2wKtIp&@OH}F&ulpx$A3m2cZojr`xG+tELG$$%YLpO?Zc~M)QwFuPg+U#p?rAXdSV~jRVHV8-40u& zd>es2--Ywjup0bASWTRPYQq@4F8Ciejx&GG#fFK za(e_??1>q`z4c$oza^Lwf!_FsWaIJ1nw#bwQ0-n5eU6FzsUJY;3U;8C(ew&De**fC z2+{C>jmh}G)ZDw3vSAp|D*AhAd>IWhL%-jF-eQuE)kf=$OdsDG$@V`mtQ{i#jl|#8 zE5FP766ELG>MpQHl=F8@H3Me)u;NLSmfH11czbGrYmGbW)`TrE;$6NWcZ8+dntDI@? zu(q5!^y@q$Eur|S^Z!~fG#krIm3;H5zs#>?#NXe7O8YJq*1k(B{-0L<1~X)SB_+to?y-%h1QaXf}4fRa)V_x`K<5s&DxRyE$w1j^X@x3tAI<=jT5EliuamD>Js zgxY>r>&`lD@GZbhzb+CVkTuIl{HL^eQ~e{;MvKIr?@^y2ZO#<6I^W%2bCz_qr184M zdG)A}mpJ&|mI>R>F$ zY3+PO0P;KW$k&W2qOC#H49~Ie0?ji6HR=bmrlqx}Vx(g?%Z`(RBs(Opsip6!H`g$J zKVU4z`x#Eu{f|@0c@hBKBDuCi?vQ#5#zTE<9F8h^|eka$K%b32@0f(G+vgbQn^vrn6I`@u;&Bu zM2<<`_8Y$yfC1@Gvh?Fc|9o0i`TO!%J@3+rlkDcS7)VD*+j3RN-=b#qnhuYPu?>>e zJoWEvVZ4u~kmh1ZySV!6=9)We<{CZ4m2NgRUC(})d=vM{dPG~7qdMP7n@YW$)zDJ@ z#K&KxiJuKT4j52}y#F|@77x&@Lc1wWGl~?*+<7JQlI%Y}H|~ec;Wip4Iuj0i5!bPT zTzEq@5vJNC$U=aR!A`z|#%-=DT~hOInw>R9U3iZa`1#t|!&vdY9}&E6J~MbD!})|a z&Z-ihj{ZoFH&gn6mpb;~c-DT~w$i6;)@@ClSJ0*p=b=w&wzWv(wS#U+Sl3JvU7Zub zw=!jXFKy@uP`=iv7$!bX0%blst^3Dq_?CeG*(6EyAKuXn3f&y7M6uS~EtxSK%{cOR zCeJos8<b z3#W}=#akOK+YP;CRz1+p`vl{r;{|+AEiKX>V{hZw2z2=C3F~Cf5dW#n_qX8tE`y~k zUF&(oz>ztQh{a~V9LsC4cI=-f364VSsRjp3>dl#>+x`|~wEs`q8k4Ts|9h|=&s+(y zW_ErX@CPg4?+5&0gD1-gLYyPs+6<_*YoRZaa%hz{%<2T;eC`l$FinqT?M=clfbTC~ z9hL25S@1aEPSds>`>zT~9&E>|S?N*Wf2ExT)aDP!0-RMRj{ZR2VkLmK3^0%G($Oe< z3mQGw1vi`UO5Xq4x>K7ugYKDR&H;-(dtZP%+9(LGvL#s+hsZydp`mlUdJLXe*Xzui@hHLJ`HRF{Q#pj z<1y-pe1wDCEcUj42eHR_&LhESc4F^!Nc#pN!LBk{?0p|ny&`E1-|RdSthyiIGeU!( zXL$_%6!2d){A95=TOa##2EjKEfXQaD$7fl70SeC+F>@f`hqiHvZyfn1_LB{s2*p(( zK)Q7_IPlZoA@5D__`%uZR`Z_(<-d#ardf8YHV>gn%x}6F0daf;8VR6{(ZKOZ&wC-!ZJEB= z|L2g(ui!I3d{+Gh;JVu);mrQ8!y3{~xZ`^~ZUbolD?pJ~2`|kYO_F^;c@f#iFwOq= zgAU#Sn(#g>P-ZiZdjsE&{j+2LP2@+rl4bVi74kO)|9Pfm8OPWj3;Q!CjP~8If48kH z_bK`KE(y(6(?UYrhsS7dWAe@%h(J9DpbnG#SG0`LNfz&80NE?^cM4Yb4jhrkIi_1) z&M#O$)O!3f=#OufrTsEb%l*w^hf4taC?q>Q!%gdNB5s%H(%LHCosik3wQZ;3?EpGl zBmE%T+3f!f(7_e)^fADUui2lOzG^%EN&DI!`@Cv zhxDdGih*VvUj%*sW{SZH!FSh_9r4q2#BWU(RyE2%NYtNO{Gs*A+qRvQGFHYuY%}6JcB2-yarLdb=!Nyw5opW zh&)b@b?eOtMa&dAZqm~J8&=r=99ZvWS%VUId@cw3&s*W~C*WT{ueKO}y2s<4O!)(B z@GDTc0h;n%{iOdkzL|)3EF|t*v-6s7gX0G)B)kU_I;L$q_WxuT9kV~*BF@wQie_F`2JhP{&bgKH!pukz~#*iIPz=NAhLVKs_hWaNX~DT`MVh{!}uN9 zFLZg_a{P=Dd7R8f;_=SPjqi|tw!Mb8O#BDVOz{gE>Dab+E#vk=HQvUmZq~{p;kU5T z4yIi)j~@jsTn=2`1=Jc>usmznw+*vMAp96|Yt(~PY`(FwPm+i8r z%`gA%6A7OJofX;|StR@j8eIptt)?lXMFKN@D`*PuCDZa)W@1}9Vwxn!B4+qb6+*0; zt^aWVV-uHnB0et-~j%K9`K_9<`mdM>2HEgx62mFu<_;&y| zJpb%iiS$i!(jetEA<6F`$y2!w7{?Xim(>723Gin!+&HcP_(uUh4e;kO+%&?kq741q zBuQ3nz6;83)uXfiHp_1Z9qAi`Q9jGlZ2;d3l=(Xiw)V9=y%{qau{zD@;5gx(vx!^t z_-^3H72cAFJzgj9So$$!n?D}|H#f_#M-XGI7>7YRvxw=k6C_!q!m3yGvFbR>nq>4p zhx?tDOgyCN|gYQh<9nW@JYLDP6=O)%VTW|YcV_1Iv^Z$VDVe@r{N?4CB^ zHqRIhUTNhXpZS3t3A^~CuDlDx`o!F}Z?)UihFw4b-Jx}C9)Q^89opQ|*V}qAQhwj1 z7f1K%1uqnNXIy9xev|kqSdZr{{B1_^I1EyK!KzJbYV>)X{1YN%-HK{<398vTU2y7* zE69N7o%Tm3JKCVU)6tG+ZYyM->@azb1K;(GelmMr49e>RVjiU($g5j(n{$b=FLYdC z24545&pNITn(s#+hN@XU;=M{k%Sw0aFkk;0_JNeiv3aeV`;P;^1LNLc9Tze>$?|s? z6h6|1P?wtl*#|Oj01a^k9Q2>ayw-$Y{tP%!TJOpBu>9h+DQ5Ed?f4`Wyk7zE1BL(b zjBjv$fA?0w|Ce<&_-cUXf$fiuF4M^-SLChDydMKTMkb*14~?tdZZB9y5R2bjSsz~5 z7CGyy#M@CZ@H=WL56&M(`4B|)DU#6o%-a0rg$^$FIudrnI}yb-9{TXds6P~b+_ep% z-h{Z!r)CS9%y@%KeyRy5ExxtKug9(nxYf;83%|MmxLJ>0uvw8K*bI)F>O*=$Jedr* zZ8n7ON9DPDO!kXagUt|=wA8t6Kbn8}9eBpW;u*I?nG&81_yqaJIercB8vq|yz*XN zR-MRo>vpZ<+VHp$)H-^`y@?@c_Gm7p{sy>T4;>G~*4Im)z)X?R+8-V6pXlQLw-Ipf zjenbuoIAgr_uU-BraNuYW}J6A+_~}r2!GW59&qp0Yf@HOpN8gZBL_JncnsQWJ9 z^8*e>Xcl!l0ltLEU|{{K0)MMYzdF+TcphW^{R;4fs7)ujy5XJNye@l!e8&Lgqp*yw z_5lK$Wyt!5(^@XalU2e4;kBy-2O65myap)jBslf-jNp|Yo~h%ljLDwPAoW)30MOaG zi;lsM?NevyOFpZR3FK!r+%K1A3C-hpB0sOb3`SgAeX%Bgw>{cu@bjn0n7zB;k`VCy zyWq4GesL1;0}P(5s_X;Ehoy@>4Q5w?c-Mxj7tRip-EGCH@);bLvj!F`LkF}g0eRUB zxHo6OF1Thuz=_pqgZ=)Zmg#T0Oac7B4#x31aQv7!;uoGE z_n1uR-N65(!2x5t3{)AV*8t&f;1ASxC^1=>Gn zhh^diK$+(T{{cr^5tzrv0=_b2{x#ry{@i7zRrQpGm|FC11)6#$P;iUh^|HPK<;~#e z#S@r`sQ3m2widk$fbXSQ4%c>VP`ZBcC}1g03IKYKF)Mp=XkaG zIB4Ey6fm02tU4Ar-A@;oj(ob{qrkWGFyfnzOM?!NI&H9y_e0J%;5q&(wCgwz-`9H_OF10_|Ojxt}*-fa5M_!I4|t;Q|M<|V6n>g z_Dp5@BBrMM1jIIV+!H$5xrx!)4EXQMHgXxwkB=fB9b#LX!LgsZ;hj}Mz9I{|+bnA_ z`B~7Pwkv;me|-8jgi^c(NViox=YWfL@iUpn!6ta`R z(ZG@S&LKo@GecTt!8C0@8vHHz@i=9iAfSS8S75!dMuj9-fd=a;ao!s$`CmY1EraL2 zR_k(L?%hS%D)RxLd{hPHZGq1^sdub^zUj!>pLH0&{+i4-2A>-6-*#~%1>DIoz&H&0w z0RC@KV10Ft^uo>+91jIY;!OZP9tv#Z&k6jFf5Er)2sqlj&LcMCxD`en(UyyFlbO$E z{JH|Z6X3@iJP{in2ZYzk@Lj32bJo(%2p+&nb(t#v7EynPS5RaT`6EoorrX^nR|5MV`j-Y~X(0;G#f?eBJs+mQSlPP?)nq zwr3&R6BU&428yAlx+u>Bh+Bygcr;SZ9lI#-j1kxIfWK20g~I~{u8=YsKK>^=AJ?|0 z_3+#rziisZbB;TJ&ar~;p2wIqNaw^Ze1mTe{6BO_@)bZX>%ufEtp)fofPV*6t^ON@ zr$PCGE_{Q38u;|rOwi`H*t%c%RKwL>99Ki{ja})L=g$=qWSPY*B+{-12{TjCE{%hD|-7fqwB-y$I_^K@_ z16mgZjt1$hWbBxqH<{P&GmjiDd_M7mx1(ip=Ysxog4>pkwxzlkQhioZ{W4Q!6n~;ehTmt6D8uriIZM#= zD&RlUriplO%>|mghlySMQPV4dvt}DYS^m+(#a7_tf^M~Yz?qOM5i@_q_`hfWTFj6y zzK4_?H@~?E2QQ*R#_ywf2E79Km5}pb{JoxYpK_RNd8hr+$z{AZEfIWA-dfD?-sppZ z+tWi9GnYah%E~9&EN1emEnA8-*et4v^*prUcRkk3*cLN?1%+wNN`@|Pa{bV~rZCC* z>ltIr0?A_RZRohRQ2>ONr&Q~-Ask1$b;nr8zs`M)LMo&H=<$y+gJtDFE|>Rk=|u85 zu>Rp#Tl_40!S1Rr3;YwnVVSo6W~=1@zg^Y_XS6xgjsz1_aIghxt z=9zU0e6R`T(>=1BmRY|9g;Ss@pD)HYc#~%qe}TR81T^WGoUcMEzvE`q4gLn;cI=-W zUu+Ee%&PV9=hgTpp#KjXuLiy*k7d@60SCnqR^vNh4OZfHg5@s;h3*V8%QJ(fudw{< zfKSMhQ94?FD){p8$yklzulJS?{AyspTbN$FC;RlUdeD$@QfoK-Y1$r z`{nyvZK;@vQ$wnb43z3Z(EN0lRCu3hAyp^e-vlOWxt$?dl=5tS7Nd}*aj9MceAX_w zdHF@aU+a=;21vDTTLwyX32@eHLpbj5fOOlcUz8q>D4h=(#U}&pS4i+3BGloRjj!ai zmDSAP{y3C=Tmb4;*U`}4`kT9ZD(5kMBr@EhH%`;fOK2F6A!$) zyauSP8>Bh|`0wiCZc_2=X^t+qN%b7y|7}afD18s4`eIuKO7&;pY}|%$-1&giN%a6Q zKLlGX9;Esg@VjkdQqd-}S4j0d;2m30D%xuKwhWYtt1msxk*v1e4oJ6Dby14SpSm$S zPmA3GF6%>nut2jc<5^N??jqdee-QA6D&!vqTvxx7UCUn>^7G!iopm#2x9^d*Q-5|t z2K@nbm7MsUZGQ5bO$%k4@`%kcXc)5I+7;opHd`7THv#;n_FQRm?l{n~@&4J#Acxqt zGv_V{I(8MGJvQgw4?2I(YXZv2mE>xeL9b~;7$7w^CCDLG z4Hn^?4%@<_$p|qzx+A1>mc%*LQt$QoZG`nS}%ChM}ljmdI zn4K(}0W^6J?V@Z$%QDWex3sy_!^@1oCR|gkj~L^3XFrm!9#||fX4V0<6Y+O#wu`|( z5BQzNg^HtDEG+?E-Faj-VICQte|BQ*HSpyCLg zB=2^1+s87Rk;u7nCV1$PY#%%KUjTF2ufcIY*lzB;TAMnH#OF8O-L>-kA9}kf8wFB6 z2viTsR5{oz&(*@j&KftG4O14OwF0cP@JzTepDEKq*Y(6nr@s01K|2$*3~E8UtJ^eL zPx$_%sX!CE_+!nV0Geak5c+3ojOy03?#z@|_q#FesyJ=(C~N}yY5B~_&zkVwc8zDRMbTr3frU*T0?|y)2Ot&RW05i_e;E9sKI{M6#P|EiF<<|44dB+J{&-3iP4Y|gi29xJPot9xy0R4}~@&A@s><}KjQm9@g}AY|{`Y`!1p z3sIX+b?Sz9W^=nIL{Cz?Lvzh^TRbA!jjRy=zqeb&h`{Vx> zlk&Wdzir_CTSGMROkpM*^XX6%)#?wQ`Kb9)_Br?+C)u3Q{FKyuD#(IXC#T}*teyHqhw&GSQiT__suOX2kCkRg_KXl|mj1S<8qv z$C)`RB-rxv;8So)OQq99=Q}exWK(**Bs@}QGm^kPqglO?bl9urf-_3^fNFbbqDSdb zXQCo+tc#IN>5-EBL!DhK9sWzD>^Uqe;jgH<;EX;1dYm<>@qzoMw!TLwcYSCto^BvV zy}XM3NbmpJz0vAE((2AC z6Xis$n6GY;w2gA&Xv>qAszr~u@pO!OW9xAr_kLN?%v!OcKWl|@s!H+9TF*o({o){C z@_EtU7|vteHV=Gn58K$6#Z_%8t-v+PiB=pZISIEUC|cpxauYpT!5TaDHdeexHSQ4Y ztrXkDeXMw%S5qhl<@+l2j*b;aiOxG4U#anmZE-^H$$Qfln}~PAjul5pPMYl}ZKD-m z6n(o|HkYCmCy34)XvE0Th_>LX>o2Tz#tPPHUsO{l2jzPzvA?X?G0c8rTWqf}^f1xm zb9FJMJE^`EOW>0w5D=@vLA|5xPto>t;ShiO7{}$itFE7m{&>+(b1N#F2%q1J z&bY@r=hg}vi~eiT8Q(S!5oK|x;}VU1b!Fk8G%uq4+SZlEYf0{KM$ED0kujR3Dq~#z zAH}p?G+rNE;~qWd7P9eC;*Xf4?+?Uzf}~t6PSy(S_q^MQGx}E&oZ=60{>75;toj}# zN`8j;^|euzw-o80k^G%A^dm$!&X~7RiW(vVgodHi$1_Tnlqw$C9?F-rJwhYe0b$&a zKJZTYr2Y?5wT9^We>f@?d$j(y(9C}%D?S!R!D!vTWc7b0>GA1kq`yOQ)=l)6hBk0+u9V~Zd985w`rtmO~eL?KQ>k~)?W+sfD5FSS?- zh*}-5jVBZFy`+O~6nR9e@l5wGqH}Joe<<{p&PW-J_LI)9N%X&mJl4natiVw3npVb( zuBc^2IS02|`8erNhzOAJp{AhT%Hr^0^Z*SL6eib`klnOY-eoJ`ijC`xxZm z$L44YZzY)~QMOj|mEhPU*%C*ZIQncZ+uQ41M6S_@^{&k%S@l=3l};Dsy*m57+U`Tr z)8^j18^3;%9Ezs4yCCpHO58UytG!~2q>HAx zb`RMVYT4Vh=x8$<{X=x>jde2*l6h$DtCU0Awr0(`ob;}c98>JkJg-?l z<3AUu?Mf@^tjom_98W&4=RZ+CdTYj0rRtPL|G7dnw#O{=W(~O>gQ`Fn@w<|DN&-*y zw9+eTM0j`E*p?7I774MW?VGswSE0nca=b5zEpjW#d3VcyVvD><^!K#rQNw*i$0}k{ z^efI(Wre@oB~YW!yeM&+9@bmiEyLBuXY6h0=z~$iog|0%xEeW8!#~M4?$)A54KHc= z45jdyWziN%St81gl6h23q1+2aX=~Q_49|qcl2Y`U!zJ^1E&Aec{j;Lqw&hDvtE=Q8 zYl!v-H?DNQ%Fr+C-W>Sm#GX{UkL;_4|u%I>fw*3_Rnb4WgWg+lJyl zpq4?2h}#~bf3!u98opZe%_O5~S-vT8UgWGIZX1Z_{F*{JYANBS6?T=g6mdIJGPi2c zBW@>&e%}^7YITr^8)P#d#- zTVbO|$X6<)M!N7)dXAXIQD$K!xreV#;rwmg6qIC}@t7Y7+cN}i|V)v>jyEXELG0==NoB3k!~Bw36V+=@k# zu({4&EFFlrHd}rgoI3xw=^ zR$jRjaigbDugrZGaOnckissu8Zzz8gm`Un z$oS%1V*#Y76?0m~ED^LZQv3dUV!Y4L#nEUR#gI5=D2@es9D}wL{iGPXB!R^L<=jN_ z-Xu#R@)1d5OS?bH)0O)Dv6)+*teQ@ftOo`CqCe1gWK|mRumcGv)wnGYWh?P~yQaVc zWn-0kpQs;@hSu(U?Pso9{kOET=aJ?QwEI_MjjD|l{ej;Z@y2o>gh!RUsiuP)I2W>(tLA_C0-XmCgh^YFun8vC09e2c^J8ohE zJTXNLd2j6ttx+k`pA}>pFL^UJJ5~JAFBQ|nSAB?bch|h)h)_e6K;KMX1WIC}CVUs@ z=b0KG+^aFp9#yzXbFWyhchM(U@;}1WqIiu$H73WzFebfBDdcty1w4LHgQS*CC#ttoh&BvAWKaA{` zo}>6>-uQ;toz-fAq24u`*Q9XJydm~2)l17T)3^7%H zy)d@RuN52HwoGo9Z%EF1(ld^q(Z)MT&)HDQQ$v;t8*PQ!ZYJwtP%F zzpiCVr0*}f{gTx4e@OIrZu`cTk4TFL*6kbP`4-aucP)M5yNLIaJ|-dBqO)r6s7V!V zVYWbIhVP4t&c?T$W^$L@kjbM#LxHo;EXBKzdFXo z0|U~=A8mY@bo_J1#=B%~e1>XNJyq21OR9@Vj(z(E{^*mxP`vtTOSfp_HsZIrLHx31 z)cKjhq#gMqoyc!rH1#$Dl76R=B7k+5M-88));YPwAL;yR=A;aLs}`!%*m&T_gzJscXWw8!Y@NSI&r6e> z`votG9{6X`DIHTpU2g)2b8+1|Tc|Et=N7U%uGU7ru$r1_olAt3^}by~UD1~7RM)yK z{z$)2{CuOvv@nnT{g~GIsI=p^eKGn)8-FMHf0E|wtIkM|Hu4{K8rf#!da5zrQJ@9i zG+;!gZI_06Bj4Wg!1S%2QT+Z*iu`IXex20FjkX-Evd_-YKQH56#ejI>wg6WJ@DI+OmuAzNl4iwB_C{-?sL8xN6i)K~m7-hpLPI$d_am1^&p# z{{X*BOJ;1ZKZ}Q7=rlS+TW&4-bs73CMZY3LUn|trNb&83Pc~PgjjxuD=e6WS8#idl zF&nRsoRWfeYl?@t`j&NUiF_x^Mr?nKZ2y;&{Zsis`pr~QBd%ui%c({$j+$6;a%{&c zUf)uj^z;X*7hL6{(|J0+M?I#&8zoZpkDV%=?d2`=!sN~4*D$aL^6~{)&qJYQqL}}{~zo(53QI_qSx}rR@8o!_)tx>O1 zM(eIo%x7)ny`fc+67henTK1)~`kcBaMEZ9`FU=nL8o2~$qwl_7x>2JjX)YaCvCbBr zaji=8^MG}fe5UC5C=p)awI4E zQKIkGs*$fH6GN~Mo6JUfE^YZFp>&-?>6@bOSnJ!=xJ+f+cc4)d?m(%rLG>WjXuaf- z0jU&$CHZA7-99Hy!+(n!Q@yVuPEevRC-XuE{lf{3F9!dMlK)%u4~hO|(XI|-L~NHI z>dx*Xts3`Kjc|TGltyUurSD3@2Sw-It2m>K622q)VJ!)}I-R3#u?4Z8yO`$5V8rK6 ziUy@;C5L5jrq2>R6s4*tAA}60NQrxDY$7zYXv;O?$Cn}(`=|+{VqEdDYee;uOVby#E6ixX0hShlg(7I9bS;CnXzh9@tp}!&3 z_zgE+(3kavoeG&TMH~6*DN!11BK`NGyj~KTb@A9GPWT_p#jV3|` zbu{`*&l4h@y5CZBL5p7cjwIQhkc~$Bhyofs?}%k1=LhAtkCD!-9bT(iOv1;RKTGo3 zHGkCkL*jo;tH!AFM-?H{kx{>(}G)PQ`oRi>7cB=Zd_b6kx>)anAY>1##j%NIWucGh<1 ziT)hPiE|||`yWZL8gJ$Nkh`rNB!M^B=|_hAYC`xqqDP(CBSp_u#j~C0pYLe0So}MQ zPJ|7NqeI1shc8lXT(#bc7TYJTXiv07j}~Uclu9Eb(s{dYspw67z{4jYe1DZ_c+lOf zM{?8!iIaGUE0cIMho8tp+?pf@9-yUM%N6v{#Q zK}M^`s4U~;b)ogBC~C#8W0GAEm7-QhiN0f$9LY(mWukw%rPa2Q%-cYWpz)54x7wtO z&e-Hr8PA9Nv5`mDNfEtMC2g1FIafPRL(hlSG8c>gr{a8GZ98c2E>n8Hsfv9UN_(Ck zzY)hgpL+_qdXMaiFxuw++;(1|Bp&XQh zGPdugvh*_Sj{Y3A`n33)_qM1#YK5OCFNLTSwZbz~f2rcrPkPF(wGLo)h_FxibQ*9VGb`EvXnJv_ZvO5^|u-tQqnyv7_!}ID}Z&g zxt8438rywB)r&%wE?=OnRj!>JIjJ9GAL4%US-m|XE9@FI(*64BD~gkA9+O}FPnmp- zl4B)9-D3*&b(+!uTFahnej+*g0)Eat++H!m25L~w6)fJTMLyQz)UI z;xvqhKKE>!#FICg%#<~=$!@w-;X=womWa~cs3KM;tgW8+sm|xrP>X3W%P@uar_A<2 zvOTjb$-MB3#u}HqN*#L9vVt}I#EVIzx8Xy=89`=vx+1a*s=(_rvzc>PW$Qb#w^8s# z?FHQpUyA&@-@eBAvJ6z$Hm)yhZqZWs&jJ_5rdm^IBVUag_H)#tybZ)zC)hmL4&OK! zXgwWJ-gViCT~!sp8@fJd6SM7fCOW>^IU+j=jHffxL8zp^^vfZF17K2fwj*+V`87gC zJ7L>I@+Z>W=7O;e#L`dYi!psa5{LjEv(eRs;s}*Qcq!*!1K2W6|N02@m_I7(n-IKX zXLwe0{pF%u3O;r-OD72Xp1)G8qeLO1?`_B~cJ|Xj!@dG7S6RQ5mw9Oi7_%M9zt&>d zX|;fsEL!$VQF%2IAJ9~%SV=cRXlN*-CSqX4k*M1!%roQC0sKsU(bV~!sA03*V8Ldp zBWxev)~>&d!9h?yhPjc$EEr6q5a~qW+I6&a3r&x4k9_MiUnX;;p!fva!!AQx!wa1z z>Sp(yGH$n37_Gwf{N*KUpS+Ubc01R=e_faFHNtmGy5Eptawn`SSmJ$4XrqN~>;xIB z)|)i}kg1f#e6w=^>J(9Uzi!yHv-(;cDNt`~m98`!KQ<%~r_9NG@OQsgW2UsouRsTv zQSkOU)>{CpE*wHMdb|v7&nd^s<0TW{ksviz(U9KWVJ3+TUhewh3WwGeq+$ZE>sYW|DyqIcI7W;X}v2zdFW z>%2rVa0g6zpMvjTzCjBl3)h@U6n%)G$7I)fE> zj4Q9aM~I6_ngn|sPH2BkkOAuKV=gk9318 z>mPcS%Z$Qw)Q@^-p~g;SZ)J&_O>*CPG*IwjZA`i7IZhhz!G6j_C^SY}$_z3*XL|-O z>);dGTn}fXRp}5-91_lz12)X8oy4qeQrV>3=SAQaP>%Fn{$0-49ommZH;IM;SoKHo z)faWjjEB*Iy7LYTW|3YHO9!!za1@RuO3QM!d&8u>{jh%{=#duX5$_LdVK1&vq@^V44*ywLud=vXr2wRz#DRg$>O^md)^ zhmani8ugPF{<~^CtX17F$39ZoAGiD-)<8tfz+J)h~QT*AJV>?_%+(^HlKR#5KCUI9}Y?CK|9$4k`rX^I1dB zz9}y{Q4A_BI&nhO^S!;(m)2n8Npt=Cd@DCQzSoQkiU&nYS1j_x7JB7^-$jNIp0vjW z8?zc}XjV$57gt&I(t7ELwsGCCycnTElPW)^p72?~y|#ZRd^b{$J+Q4DO!pke5eRqMR&V{~DNiJ{mcy zE+5c6t-2!HL2hDIL)SS#UWoq3eQToc@^Ma%Ba6MJGFLOs*TOw)-X8u%G;OwH%Y33f ziU0Ec9kkUCHZG_Vm%?8g=YwZIiP|dk(ASp)ZsC0t-DygG?aQ3(m#erO$ryXVR0XUm z<{*modOT>Ct)8_%_8m|8;^U$!K>L{o`QI0suDWjv$M@e?ol9MnBGN6}11XHFqdW@V zEHKi~Cn%f%)~u%6yP*%eHX`O)xc$MKch zfo8L_i0!M`H2a#h&CjZ3&0YsZ8~Xpu?7eQPyr)%}HNT5V=yQXP{pIn1CcvegscnAE zn86!TP>o9qYi;Es0v>-Ycr$0`MBzBq$~=gHe{~CGbVKO@yUhOAxE=BpY2Ce9@D`%Q zO_c_v;S0|YY)$+5WPA?*cChk4j|kAkPW89}g1?!vH8?|;jyHJJI6J-xP>@5u3OM59 zU}JnJq$lfd#ekqxgug>SROJHD81|!AX5|eB8~Q8A9ut>J0Nx$Uq*<)?_=P|u(*?Xu z4~gTBN=fAw9B8T6UmM9!s~9(_myVDdAR{M==8l9pU-0bODh8bc173pPFpmdnHU+s; zFriBcf!8-dL@iRA(XzWTCM%WM1b|FdZZ?V;pw@H-#0ifOsChTA417RtfpU%;BY2=OJ z!+t1N>_GI$osRc|^e*yoGyRLQ)aIK9S%BjJe}X@1+#2`AvnZE@TQ(A zfvUDwxJT|nra`f2%FLl)p&@%wk6y_3A$@eoy9Xu9PL5+^aBGiymIUWS0Rs@xN z=N#tl$jTAOo93xsR=x*-Zr++cFurdP(Em)AJ6caB0XWfEaC_6fAy>YI4RMJy9{*$~ zzaj>e7v)VuZI1(e!VEA@{}f*Wgnr3h7nh0wj>S#&GB&$i4BhxGko z=~1FG0dZ^D>rZ-1CQnQHVr&g5Q3W^ofbsj(6S_EgU~ab3$&e4}O_CIGIh7`-uy?6t zvZE#k_(NpR;??R@g-AWIbR0<2JJNJeciiMuBMlIUl|iDW@(4G$5Eq$lQGrAf`v|(3 zt&N;NY6BIB1C{QQQ|42R@<5S5P-DmPGNpB-pgnhyaMi;cIeUok>L~$~yV!OCJ6Te3 znfz(lvtG0cOzk31MbtW_o*+Sqb8V#V+Ew+2{Ck1z)%%lA(?HM-;WEL+yDk)qi~LzO z5_-VcUB#2}uRp05ApIk6_d9 zQ|9wE57}4FRTE^pxYWl!UmLi?XJiy%U7pM9C}=)=+?x93TJzNIV0PRif*I#4S0@x` z@Q691MJQ*co@33W^i~L|%BjHiokOMh$by)-!~59V~L!4Fh<@dkJ+E? z)bnhO>4tvg>p{*Jfd=&*lHVAK=7eCBmLJS5ji>R1t$z@K*mK5n3vtB_auYDV0C5N2wb5=QR?;d zn+^|Pp{8(?QhcOoRxB0!9)i3Oi8YWORIyGi47nV5wEUDDOFX&c2M@pO!G6x3OKoC0 zL)OsuxzMu1M@6bZMTMD5{G3KpK>H^EIwGiyUa;>#q6-s?(;# zO_gNeBP8iq$|v2FSENER@GWTnQiH)qnx(>Ar0pwz@^IaXZmxffcfv-PwUs}(!pL87 zbrUyv>N*@$O2+0*VD`&$jm_2|g!cWG#QOI=#ETSD;a=E>oLg)W{B&v1d`a9m)2h|! z5e$H9-udOKR8fyVK>9C21sY~4k*P;|A9E}PqfI{M?kO}QEQKE&oe4c?M;0zL2*!nn z_s*({Ya&dBPux#Gm-AXlg6P^{2V!zTVy2%$kgue$@xl^xkjQNdq<0&1k4bcd%mtEv zaOT3p?BrfW`)HfU3*elJA3j;xqj=;UqrFmbc#)Q!q~(?*nBR%zRBYyxDESnLzg^@U zEa1~|?}O^;3&26$Px)_KRv&z|y<#~r&4V7j&e!HMq`GVtk)OYNd=*<4r^|t4A-a7| zgA4nsBouvNF`eN}hYRpISq$3WJ-_1}BgfP57c@P@1z6xK+``Vk>~$c&{c`ZxWoqHh z2$na~y;|9z1Pj6-!56ATJDXYrblxJv0sASMrAoXzfMDnR8u31ICQK1#(iLv!D$t5Y z_!!C@y-%)DHiTL1N6e#e+`NFxBe#@6CiIlsA=6W@Rh7iE1eKpk+ zv`HA`x(autd{8Mi@|R+P$&umi6IT-~%TyV?--dRtbYv+NCB{1MfvI6^fhiZ^Qcd~` zp4dToMWt_=io@Elz9W=$z0J-G8@8JEODti?Bc{5dz!@oMe)F3&dGZ+f2((#H#XY+b zX%$(rlwNu*ho66JWJ|t6=>4YH`yzR}n-#0%F`rRd&&xnT%ud}CI6#s`na|}e9c4qe zoC5W`Hx(#@5wnN1QPX&xthW2kR@^7uEiaVu{hTbqLv?=6IhW88#s_M%y#K|?mHZ+D zY{oMmh=_7W>TZ<~kem4V!5iessG2lFh3^wGyO(8-BGDXi_eG9EqX!e`mb~6MWVapg z@<(Gh1wCo-m+3W2te}i`%C-(ybkuBXXfT0N!WurtyC!bRPkMzrRq+JC0nJOyzr;b@ z2tUwy(WXuxbVvTrT>8Io3dv&N5xT)bjf?RyhsuJ@QEP_)xGOZU7tHw+>0`ZVm&H3^ zhNJmn?WE96De8wA=?aR<%8}a{{yc{+`!KEt;f8wX&l!uzxAY+GivGP|65n(MN};WM zHAO%Yb}H`X-NS3mBXfkuHmC8UY(XNj#{seW!)A5C*HniABwac6+B*=v)RcrhSVXqBADq{;+m0!ZTJgA6(VsT?DOn79u z7hCfQUH>#VXt$e_s_@ZWgbE$?dtNu9XTE-}rJy`11*Ul7dA?W<#Bt+IZh@%Qtx$zG zJ7Sn7`1?7%YGml4Pa;oSWk?cTtp&juN()^~JoA8H>*1=uEjztIUEJ>}0H_sIm>)xR z7<_FlM4DDUh9WL^eEJaB^uj5u>dQo0z0={~WBJSlU3F(&LklV8%lb=D>08BQHp@>H zsgACTx7cd(RWbj-kiL;D51|zvtX1u?Z!0tnfA_^NwICtQksl?Tknt`nD`H``QL%0E z$Y({RBK54Ag>u*Uf~u6z6WY?d^x+g+JRqR&0|&i!)Rxirf$Zk(U{1FM{e9WG6(5y~ z&f(tO8`-n^>}YXP33k9cJSz0FvP0WYgx; zO`qo5P~8V;TfMW_UN1jeF{fGPX;R{Q*qxt~#>C6Iv>6tqW=Jc4S2iGZbMRDSrSxvk zpOmWu>z+vEAR$efoGOYL4|W<-U@{xd$M&IND{>7cAm)KOl%#R& z^tSfvS zm0XN13hyW&A3e6$n#H05y{~>1xt8D2P1HGHCbp3x@QdRfdPwI@CvxP;ObAXmP87b7 zG_wdhZVY~LNdV%?{)Ep50dO{5BSza@-XGxG&+hST#6CrNr2;x-^nDn2#}BXB!Sb^5 zUgMW70~jbHBk542>iDR_!IB37rpchGIQ)dS%N)l38I4AODXGDTl~49*0~qk=mOhNN zy)X+d@aOU3WI0|@AJhr?oQp_>;NKzy+p-Z9afkbgOx(O+kJTT)h0J3o&5RBtl$ZH9 ztxM!GHiV>G_}Ou>Q;W+3_|r0Cd%6|GMJSiz?$y z=Tl@oGD1AUHe|*MK`|vIluJm&GD~J41-1rXMt%G@{0c8s`iy^^bCj!#dRd5fIWGy} zZ~saNrQZcT(RK_vEGdLN*toiIr*ZWC8 z^6Ma+baEpCF-~Un9+v?lXKscadf<1rpsru*dY9olt=ojhbg?L?;smJ@>X{>OgZpXC z_)SDL20K(bg!@q`Cs#y?EKZAWGbxxX-r1V|%e`|n!jmK6sDG+ht9p+D(E3drZ{uo) zEUCweh`Vv5k84k(=J5z~Y%|{`(oVW@h%tO-#9)^5#WmPVv_nF z;_M^9+{KcX%mYen%f^5>kEix6mvB)x*)%Vwe@r9my@jAdimJLjCvBig>27Z%^@VBK z{|i8*q1|0}ihOeDQlyv?=6_$p%G#INW_7-Kzr=LA_vaY?=GU(z0pu+aU>a+c^_aHN z(RvhWsYYo-^OvMV=(p-tpxv!lyUt<1J_%tB2kP1^=@Wh(!H` zruu`-OOdc<4>`j)wJ5-gLMb6vO&J}z94{ID$)V7>DGz;tO)zW@#dTEz#56h-q6ef+ zjuk=*#z{t!pkhwBMgNdV7#CQ!$}rL<@u?Nf<(8hdz-W{y$$GKm=k{x2P~48JkP9+>>eFBtvBw8%Ho`{XA&ojv%p{ zJfksjpSVZtCqjUM^-M?>SHHB~Kf%=F@ooDa(Oc3LcrK81zkDqcKnp3?qGvNj4mkqL z`haF!0q=UIyuHcU5-riw4XJaSxk0U0yA*79$^Ewto?`F*uywGg+!qiaa~c-&IA$lH z6pUhs@2vF(6ToT``2SnweArZ~Ej1~ol}q9-t^ez_aL}aR*IUdlIJs&m!Cg$wZjpp0pu|~HHggq0RFF9r zXb7n|D;6Eo_$$JHN@OEzV1Xi=|C`>b^R)2+^$vgw6iYs7&SO-dlQ7TR>9>zeqt?>y zv8QV^&yh@1C{o;m*(LD<-DL_=^rxG5%cL>3FoQvC{lqs!V6iImeJ(jBqNBVxzO2%K zd2JY)RgnU% zOnHTk+IF}Lu|F`#Us4DnB9AUXh{8U6n;rxhhUWvJ;$GOC2_$s@6!Bp{iJ$ei=!tu9 zkWT`q$j9{ccYvt(LLodqf^FBTq6L5A)w8b9Favo}M}WGTDEB{ckpw&*W8 zCKaHnv8|86esblD?5Ic7UP;Xvfr<`I7g1a2-XB-A$n9h216cCbjy8cuZa7{h48{MR z0EmlEcCZWbc#0M9kb9+{@;aYSuMgF}p0})5p6e97T*KG@$PX;S4?2eCTF&(^iK#>0 zMk+h5m*!$f6|p2q2}lT5!BnQSfAu3c$NaV2ur=c~1YI)239KnQN5{!&gKDK<1TRXg zqhMYVV48qG^pL-#hr5YdOs6d|yN)v+5lrC#M&lCPMNW6or3CnS{b&5I*!sh@(!9lJ zcw(Ni7DX{N!6YuoN}xsCj|i#};B+t}j~3~wI|KBc+^QX@<1=t^cDgD<3 zNK)b+VoJiTBtepJfe`VsS+B-b_?9!<#(yB;0$pj#kTPfGnjO;zEz3gz%VpiMrNjjI zA&1wvOgj>bgz;v77sYl!oq_m>Km^f22T7S z1lhIsE(CA_?#F0N-N$R9g7fqb&7t?$rXc34A|zqHtZg(vXuJM@HXS9{a#|{#DHtG; zlVIu7)EQkkOF0iD_-|BuOO&lSGidp1lsKV(bo|gm6;>^l{%)z1ix_{$u(0xu&~qX* z%08_rIHe_{j+W~c+c03^#8*o^ZOGE1X9tH`L)|Y>N45*ZJ(T8&M9r{JSqb0juM3Nu zVfBU9bWEjU1}B+@cK`9f_<$F%ieZ{8z^=LUcpsdXNLfJ!7r)SDz{H@OCE;>TLSuJX zr{g3morbQ&)<$*lGUuq@QOrzbm}_m#>`T10h~x!pU8eSKPA6t?_I<`rozpnP%b-e$ z!&~8)q-9Y4LkV#F`}=3aZ=EBor^fShf8`+v^6}5vLkm(B{cz|uR^y7bzebO3{doE2 z>zvOkkM&x=9lmj_h;Ag6xe@vFs91XBiA>q)YM4V+%X#J>%5U`ef@;)Ygl?-yUIbNY z%3X6-LpVmIBA>_MXh=(lA{WVMa^)xi&->~*{P4!V|C&~VA;?M2cq#OY2Qs@@!Z-rz z+f%ll@mL|-LS!B@Qfl9zavyLPjg&!?KFD4bW5YAXuo&4@1$VTgS2>g35u%tr$i}IL zXrQrvLTE5dzKb+n6f>uqG-jMIhzX_VXP0dZ3qK*nTavI_75{$r)TRpTCkPq(Lun*G8=Gez;c6H9yf7`OFnr~- zQqCX_Z9yGKqb9t=l>Q~69F4_q@Q#0|v`kZZy|wd8jhFHMa56RJJ}Q@M-#`|O6!DIt za>i)8^u%1@>v5Q0j0U4O1|Y~Ghs)x-kzH!`Q9Fv&?stZ-=3K#VQ@L~2Tcu3|q`KK# z0(HzXP{v1$e+1f&v;>UyR~bxH*1K4IK^#!7b4OUY4%W}@Vrqg0C-)a)`%{64TZNnO zanjIa0Fqjb%`4PlP30i7E**Y7z=xvf)%)e&36oSe1}2)R?~49%jD7E!*W|dx_4Q0S z9W@?QP>AJp$@rxNSTf#yg&-6JIOD{*UH0AhY%Ot(@u&DZlxD3EH?0spvw}u(cJXx& zJX6Z%2VsJ~iJ=SSNh%`lb-zYswSl}v&EmB1Ri>z|EMh~*{k4?vBnT5QWVcGgyG413 zHUF?mAvEPbQLBdDbJu)sBE-j`_(|e(H<$L6rowHGdG+bk8dt}gw~p)<{-s1LsR3P9 z$Ck!-)1l3YC^X-wIt4%@#VyCnpSY4%%SWXWTgtCw`$y>Cv#8atc^?~GV~Od3B~!m90m+}V&nJIT z>ulo25Ze`_cB&DB#(hS`CYvo$3dX+5rrS0pU9tl+^}PAh5r8sw0b<2&hTPK{@}FsA zeVC)%_R@MAddYNwZLokwBVWE1@|Ox4obu`O4lAW%p)!Cb;5h;AS|?YPg`tnfOV&L5lTe~$v`dxy>p1y&JN!6@oJo>-B@B-UqU$QDw?El2t<=^XbV$`Q zc+I*vkGtgEaK4EV+6{fFEiggcCb>t zCe)o33q`W>^pIp440VUET38K+=9ep5>kAC3+kyqQ*aU#BgufaY{be_t#E3}OvD%`? z{g8vF<(`unJ>)Pb)Js2l-!T5gZ;J?;jNKJX7ghIK4O(CMnsfzBD_ng;V-pVPrTJKh zNiK^L@*9!>lx`0eMhL4bXe}9|+jiT@CFp2V6jORL1cUt2a$vR}=MwDPOJ>MyFL*y5m`j``G$l1j#Q9MsBnX;OgIVI- zkwk#$e_$bz4iH+S*Jh~@COmI8U{T2k^=x+bAEH^UcmC-XQ>FQPnNr-)u>>!-H;j}wkdT_v;b9#79|EjPLH`p zb_GVbha)Mg!} z*pI|2nIx|Bfhvr_f>cH8CEZ|*58frB%8uN0g0E#QK|NgY6yIB(1ixQQSfxdA7ZLA~ z*|CHA2##wJBFqtb70Lt8nQG4@uqjts#{!?HwW7i{?h~aANqGjDTK?i6;ra9~EpfZ% zFH-_>MV8eUCNF3(9;Qy$S68TM2U{a|ru?~q0~mugC1Mya8Ba3F@#+M!obKDO?o-@6 zPeR_{`PPX4SzTl6czWq1%TjCt50oCC_iDcTsfE?7f-tjtK^J*?aUPH$!@Mv`q;uEy zyQ}}CI!QC|j6XUwR}cN+4Q~mWN<~A>Lr9iOK#I3#YdRXan_RGuRXHJW3tk-!3ev!_K6c(*PM zl6)?G?~xS^t;2L^KB{Rb+8rubkJ z2&kLnxpDfllsQ=DtQIv|hHWU6imh-l8K73vUoy22ni$qv_`rS17%+}QCs*k4N57-4Cz#D0Ep zJsjgh(C46^c2XJdPf(B*5sCJ%<^U2DZjJ@YxaO3q-7zj5+wcigE(D{5up`R(_9^KZ}FT+@nZg%3l~5gIvPB;rhN$ zfh0hjCHz_b|D9SOCbV^RPGx|EUO+(LTt|>R*od4t>2M6088zq}GS0hez9@geTY8JF zKn>_)>u*e{C{{(B9|&2|&APBIhlKq|7>$7RHqFL&CS@Rj)?cmOtrIapzWT6C?zMNH z(p`ja#m}h@!`&QV=H_E)s3Z7r^p4)1JTX+v+LL2wu;M;ha~nHR8=Akd0C)l^ZrOtX zR3aqx-ysH&1Q!4&F}&QL7YFq_x>I-%sBtzK44Oy!94nw+wJUAqL+v3Z_d3+9qOnZU zC;JT|-QYKq>yExfz2Dy_T|y55h+xr@km3ZnA~sRQ zQ_-nVp9!OAgnkQ1{&5=izwgWqz9jD|tJ6h;j{p|_x-V}qq#8yfK`i4asA*+32_*Xe zVepdS zo*O<+mHgS#R+ToA5DQMJ}niPy+@be{I||O}tdhRHcR_M2x>&W5?Bf8E*MB%?S+c-hV#_ z?jkS2^GZgO2vR@b6e7b2*{i$s#!h~R`Gif5*nfX}f`SCH%a<_z6Zuy=9jcBDxm1bu zR>Z%W!0CmUJq_fjIJ&Pfc~1fwa8bMckU>6r9KlmNz2{wRsff?bdud?w#o8SWDTug` z;7o;ohV^>%pYNMrY~0Xd)tAFwoLEvNrmjbPhEgJ5z2tXK$1>awnpRDV#cf$gKxsT9 zkYE4@?t)VS-O~MTPLU=kHi+_WH^{v+~9WOTaCILCS?Fu z)c%GKM+Dd8FEQMTpGe2e*+Pn~7ZqA`sT7aCo%#02lzp{VTppYSf<0Aj)U*v^BjRz~ zL?L=kE9&s2pq(P&s@IbzeWRX7Xc+q$gM=<~4Mig@H;R<$pOg#EN-yv7on|3MKg=qh zaz@09{AqU#-{nC1uIqTHjYP{l$cWK2(X}_-P#o8TVmvIN0D#LpJ3+7M4$Cux`7XW! zgD_K4J9)cN>7|Y8E;t}FA$83CfqP9vf0z7$yD4>y=aKuC{Jr~G$5^rauG$0lE%kf% z>W(o+`CXR>ZgD~VU57{RrsOfR8>>K{nz4l|E3gXp!s)1}dCi#9BX@g*pqX!Uam3b$ zRyGIZcYKjj%%5S$N0A-F?0>~puYA^G{&oHiDD&z}@ehnM`k?08(WgGGnkG(prnF!z zAmT35NzHXtueGB#IBKlt3oEGJ(>@9OdIhy;$T?|01*Zqrw+4=^Tz% z#gPPx1-T!dH5t9Bc*VB$$eaH-n6n;MgL|ZT08^K6V1^Ye(F-JG5M$D5VjmB3-kKb z9rCwmgz{0SlJQ7C?_!aAPf2-a`M>TT8Ql6Q72n7A5B;*IMQg~;(duta%ilADJM~qn zi|D*~i00f*Yz=pFS_QVUA-{ygK;V&3(TweuIJ?ZaqOZ zopn)>e~$5z;HD*2 zMadeWg`!p@&2RR2oh^Vh;Zp8ZDN|UkW;>-iM_v$5wC$03?DCshQ*j8Y=nLbD|1HZP z-dVk8##h}Ym&;>0P2CSE2Jd||ldOLxbgtS@{9?OIcjced==m`8r$F_6c6!?*9;16i zhjI=zxP}8h8jxAMXZ4HS>Xmw1V7cO>wyYAQ?Q-h$47kAXyp(Xd@UrZG!m*E;2F-1| z*KAe1IqevWcy%AAb)veUvRaF$(=04t_E@*v&|A6|f?NN*wP`xj^c>ld^R{{Ehx5~d zM0$xy!fTeKMSpQ$T{AW7&ZBFG$-CqZH4P(PSh^RPZPXVgetEJMP|#k z@DfG6ztEHATPHp5A1zv)H?a%Pt8=H0XFile;ubY0#ZiyCh_M|ncld(2k5?Kov%E(i zpF|$G@xP7P+-UeZ7%>nBC=gf9FTk||GRe0!d?9AL{mFg-(a{Gie=Pzsy+@W`HXD^4 zzZk-9iUKmtlEyq!WLWGDs=R>ibLu8lo}52))Q#IZ%S=SF$+XLtZ#9H}nu!!D%kC;Y za@+hku}##>;_53}9`W*vPh?M_T&#YM_CIO!4juXKNe@3A_G09LUmdbSGJh27C2Oo2 zar3yEO0QKI6jMyZTymTJUC^TL9X_zD*5=F8F&i26H#1^rs4E3!sW}bg?WsiYFw6Y{ z&91lDX6MATLtfv9$Y{Abm-GATbq-dZTxa9@cIY|%EM6e;ZK3l1@SD9fCO(~&-DKv> zwc7bH`IRu&J=I)EZ#aH^L0u)pp+)ploBkv7 zo{af~*0|iOU*SoGik+3^VtsQjm2{mO>~k-1fhP;4H^o;DYt<$qzBv_3)PLlL!4aGG zo&42%0vJd4Egd-zqCRNoOR7Qw$nI6@zEv=e*TV$9FX``>1l{5_<31%g-F_6b+8T>^ zAhr{Nrj&VJ5(m%^yDTaVQLi-Zd(N0=g+l9Wn>u8C$6a<;71V5|wU&t$q7F|WVx3(2 zlV2ZvH);N*U3t7fjF+WLp2hB$PTJg3G+1U|<^xX#uN=f_%pQmTELN%A>o5+K?6;p< zJ@XrCX=sQ8syJsFrY#?wu6{ss#K|i5HtzXHt~L{{H6E($ZHiFDR)?-ryiSD6S)7dM zVti1{qK+*BVgj?9_HCRpS&R-TBX-z)9XnUW5yKq6U-}}Xa?-b*plEh*y!_n%?A58B z{Y7ERx-9AVbwKL8=hiMkEmP7De*H=OJ&lKeOSJ_7{IkOPT6^*0wNt~Ha|N5U)G~Hu z;ufzj>T3lmaN-}ZZ_M{aUjNKt1#35K4<2g;x!Z`V1%GB=W)^qTJZ?@p^g34;l27)E zGSj4Mw1j+MYCNn)<;v;96V6*$#epBy11dP+K{ukP6M9P4;XHMRFT4k(7O!Ib6>%Nt zJ~`87-grf4F@C|Z%0k^EOKz#W3@3|UicMtE^#KcTvAly@T#hd=W>(!$H-`1xckK7; zl+^ugAkEhs@7GnHSm}MW;cLBv$ei?cjNJQ|C07slj;>-(^f*5X$uPxk3({d;D>7YHxEA zvh=0I%M9jn`~27-uEA8w8hSA_*WtZZ(ALcF#`Ijm0oSPAeMR|WOzzeSEYTVr8p95fO+BH`2mWe(%#p{aNj8RKe$N5pOMXnNIGIfx6ULt)+16GaHIY zamVo>?7oc|1FPTJvEOCCQ>Jja>WH6PRuaUx$k6&msnPPIBBiQE`PY8PXvfLg@ew5N zVHZDHc`w(fDNOV6TXNK~cDa?4Y>5}6hATIRnl9#f)Y8BFr9XdI&xXnTTVL+23_Nx| zh$Y>RMXK){&A1M{gOC|J!t3^(0%BB?Ip{NC1>QGoWUz#s(}HnmqIga9-tDDtM?ody zH%C6Fle5!>K&vfJs-mVVhXs79^3c7frTvEICAIP8K#4Xle>)#?F;~M>SndMcbUH9$ z1{@&vZ+4xz<}mdFBJSn{BpoJRusY7;rE0IOE;d~oyMKCI_Er4M=jm`dQ~hjnKQCHf zB2uaFQwE!dYlk#-Cct7n`>mqO!4X8)bn>?TI#qS9{nvF-`mMu1qmG-e4-N2C1p!nL zpT#Up>?5f$v-xTh@&259-_#?Af9{<(w4s{TbNgAo zvB6Tz)3Uw*Ejbx+z2g>n&wqAoOZ6^ER3G$DU$_8{r)+J z*urBMRbQNMlN;6TZ;4wD|5LzkwzLcUov>Q#&bU|ZOAbp;p*d0+-~alL?6>UHbJ1O*sW~ zzEXCRpC0e-lV4I2H-s!Yc>UkQhF2vgu_u?WFP^0T$Wl#~KlmJ8H%B)jKSJgLCCSyE z_Gh3APK(a8_Rmdr4xLc5M{#(=kz7$7NhX0Wo(t`n5?qRzP+Wy@rz1}ILQAila0i|# z;Bq~qxbSXG;KyWVdmI6Gi<~@9EEZ4K8LvXt7de>JHFJLw6W`I-HxX$ zbCcb1#gbp7+DG~l2)@FYzB z@yY9CU&v85E=OxkGIwD?%Z&|^%I|!{{LQ{}Nemv7R@^95J2W|O+y+0(HVOHYzg;y; z-J{4W`24EHQbin{`&D+YEW2Q(HsjgQ?3{TUSdm1rgf2>%I_e?`Df7GIxId?>@bbM& zC42Whfort35O;(ER0HuC_-JYDw@VyGt`B@@6CRndU*Zp5(Q3&WR>K2Q{!tweWVgwy zwcqLf|G)p_J7KPwhG(PYy6CAA>6Ep&T?&qUejwRwUM7@a*H)XYxU3x!A{8%I=@fgF z&CYUYrItHI*hc;RQ>z1Ms;a|gvgO#uut*VcJEemxL#=a!WCJzFgon%Vhh6(GX-ff} z+r#mET5V<+Kf;B^Q~<^mBCbt94Ger_Z|&3Ttm1K0bJwhHI(p z5oY6SkIUWoas9jQp%>&k9;aAKz-c8qIvhSbIn7uak_oSbb4OeY&T(^wQ&4zTWIs?e zvf?vMpSEhc{-vMt##**3^v1gc?&5r)U<~S2J`s;G4(8EOXmqEZtlo86Bj{FpoT>ACHueWY(jpd(Cupis$8M(;RE|`e>JM3T&7osj zt1gbK^pAOF@18X^Bss*#vl(N)F_wE2x5^v+n2)iP)*+lh@@zbcV`Fy9s4Vg#yP(I2 z`viMKr+w_-Y5@Dk{#0@D%V{mWWUo%xP3E$b{5q`P;)Ppe>Ywws;>NOy_V;F@WC^(! zD$7(KXj5cNFW-hml2$Ee)fV}He9>}?l0~g29e4GFAQeCN<$mSEutyao+QL+CRNAfnsWZrwiAUA~+A;aTz%G=|HK}G%hRlhhpVT z`L+wutWwI__Mr@w1CM|0#(30OuA5(_4pzFs^IYkJU9!!E-i7dc8826e!_4G-tJ`&% zZPA22jS2rD=bH1ol}Ya?*>F|#<5=HM2xpSnki6u-t*## z*DNcOKmFOfHT?Tow+9vS+d-pPO{%IR(Pv({+#rq;(z)=vPruVQ{F7;Z;DO#rQfV<) z!`cy4Si`O4aijE$F>B|HqFZ%9?)P)dclE3dE{2(;Ke7iZlPTYNY$09 zrF}|Ya;mL5>Li)0fOVt&We>ZaI{Nf=qu7nMQMF8XPeufmd1c19GcLH83_J|`$TfHD zojadAZs0sF7?i?rjKqi=gp1`9oH z!!{%F<0&~sf)ix8ziWONTbiHcrn-*rDm~;|ulME(gJmub#R)qOP9~QS1*|mp_rAw8S?lYa%%Qw5~XO_g`v-N>7;4XgmIYl{@F?){=WO8&Jeoh{&&p= zuby7aILZ@2=LI&7268pUn`CDWT|Af4)jM3S1v~Of&9+PmANhVSCAXQTkgstQ6Z-n1 zF6pLE(cN=r7bW-FYW>6Lmb)DL&JRZ2E5dPAVJ<%Y($`?o;Ucz0f2nz_nKPRus&99S z&$mk+t0U=~cT<51Pxo<+S5KXhxpOvjt`fGGqzS<|9R$dfn8qHyzp^a@Y zY#Lzdu#0ohB)Q3PQJGn_29fg54^T^j%Fzcp2voOUM?6EEa?WmH=-!vS~{p06exEyyO zu5c3PBGeg@;4<^982A99EL4fdf6mx@QeU}`R1Is zCdG^;^c4ixtZlVn+Kk>C~CUy1gn3}D2KN^?8-Lcq(X zax37L{WoN_&ur83_?+I|zaZ>JOxkm)O-}$bXrdetS$fPyno{al|2j);=&}A&a7?90 z+LL)@a9~#(@=zA<|Lf>F!?4ENjGMX4MB(Dc02*ZZFE|6SYJ%Kl>x$+rf^8^nxeCT>cw$l7{7 zeHHWU8l^FlKgKWfB{!J5?CS^b$|MB5H=?>!?AJv=d|x31X>tq@v@j)2wx{ zhEz7EiEMCprg;ALP4RXl-tzI&i3EyT99m^l2opBo?LKvT_^?=Riq$YfRR$meHgh2` z{y)tEOS(tcdw#lpJX%h?dAnz%n<`C|rH%mz{s8>MxnO$1S_h9eKwHo6Q&6IZh5N7g z^l=mNOIk-BLY_iW2*Ai$=rD34b0M4mD6(VGrc@wj`m_;5AO~E6Tr~#M{a87q>w*`R zHMDFb7Z{?zCoQCH?}v(^GLiA;hHMkEB%t$~q0)Rz3hPh`@0ezBP_H`W9`m`!+{J1y zMq@V>+<|N%Pxmb}?e*WBg~m$YlC)f{*e=zXfMvg0W^W*~VYTUZ+4=say_BnmXi=Av z7-|M)X4?vHY7HF2X2Sb zekW&UNKxne*AA=mP6u^Xxwt1wKr#bFRn3EGb}c8QNsM)k?zsG}xVFp*4XHa##+QksQyy{& zMq_=$qcVsjhU@sZ+Vv@~qe}GMmKXUx`Mnx*B9n((XTYQktu2Syn3D;g-&%3C8Xs2q z&$dbm&iZ^H&qTOwl@A)m+m*+(p&0LQNs0qmcgw1VbKma3O3&qOa`zN9YNEwhI z)4`}IYKAy!d`l<3Tgt=;&6IHWf;>mKnx5H2D{J0T&$$Wvr=B3Fa!-!Hk&zD2#00(O z-B|is3{gXE!6+eJjX@n>7YMRNG!;E)LStsx;KlyY;H`hkNgCMgF-0Y-U~r6$(Hywd z#s&_=A)K27LYLRZ>rb0V$*3fi5+=>&sC;3qH9jat+NAeF79Yja>(R%amxlB)D$#RK zZngkE?3kaC?lNyWG?OfZsFb5Tp6}IG;-8v$^ZtOV(vV)MoU;AN+~X znzykPRYp7WLi!zw4|@*7eEK!w6>Z>QsW8vT@dSTQj>$AZ4b`$beAq~7B~J;i+kaY{ zh$1xC`K{B4GzlT~IowjNaRecKc`dwZP4IG!PFC`8yffy@k|XO2suVw=P5j-I1$&-> z!;5ROBaFb+l9)3bjCn&eo39lI_a)#IPnGy@ zz+C8^`Iq3+yB!D#|DWL97?4|I4Nws99v}*Ac+dGfdi92P5@D^j)r&!@LDnn7$^Eox z*vE(fbwyNvr<0shWi&-u!`emPH_S2QY`PVf4ir?4H|UT1?S`=mjUyz+_;q87BbNp` zd*&o83fFR{e?I3)3RW!3w%ej0FXGZ--iMY3<0)Uf7@a_d+w=sjSN#6$h}{#K)nM+m z-3EpP}t>@)v=E zeRq9FAOnhcakb#%57Nm9T6~z{g+2=V?5P1F7{be{!a0RjzvF-LX<9-va0SV!fy=MAO;UJ)sdrJ}cTd445|4lT%(&E{@D#HA+<) zSchqouW~76?9DfTN4+0QSp9iMeTP`ulUkA2O}vO9s}i)cq!Cy|h3S#W353B7?@NwG zdKWgPx0$YOSM2ewFa9n3(2vyWp#p-fW^#Wn5c2hHzxwzIXwFCRyfW8=cMei|9{JAJMn=YyO1;2W? z;#BSX&`YWgv!EDlRuIQ3Y=w+)auvBS*vIeD9C)#|74C*Mk%JN@Kds zOnSrdbK_V5=k(-gqkS@W&X9=#8JymGF!M`~2rJ^2e06%}xNCTKDSRcT(>c_kwR0QB zoPyW9P!ee{WjsyFjzt1pg>S1mZ~Yio4-So6gJT-@UwVVQkvOx+KNVS4Vg)IYoc$Ix z=)U1dk9^QaN>53c;*S+Ud`XclWA3IIP}`u=xdF(_U{B6^^CETql|+mEP>{L@BkdWa zt=ff*My?y*Xq|ahyQ~j{Ri7f1TqI!WnVrs74jQ+`Im~{*-tTXj%L_Sxfdnbb8gLj~ z)y*gGmUo{`BqNK~A20oF?T|cP({V#zVLF5<)rP-h7`3gs{(YHcbC3>OQX#$*v@Mim z046|S`tWdJOaQq+dc@{QhXKHk2(jTjb#F|Jyg;9~1G=h*n>@DG8*JU^D@)hibAOh8 z%iCO~a-2=Vnd*=8^2Qi-sJ|Y!kkm(qQ^7!ypX?Dqsh|3v6vPPegLFas5r$&m+(=#1 zx@u(gJ;5&4MN*a~Yh?OqqfXSK3oQi(WvuX)k|~ zY|s18$y_fvfz6FTvl1m^HV@W!Hp~}7?Y=BXm}6*BW+ymj%8WIwV}fu02ocEOqS~r- zChH@=u1>ZWbDxipCm5+vyV+}|zo{UvgeyonLUcE@ZCYkmk+rveUyDrX%h%o5%6r3H zxzV>_H*0L-=2Q8xS@z0?`zP)uY_vMQzu6LZ`qdGW&Jbp*o#--4Z(fa%u6VUr$+Bcq zKJv8>s7Ik7dzV9g80UT=&d+|OT!v*%(YoY#;8;qOFQ4vd?7I@{M#uRg|L!gLVY zM~hiLG0VxOGrSK#gfO_Hn{(!{>_#6yWJpFchA#cQxO?$jM|@EGJVX*%t?GK;X748D zKef9nvOAa72PrSfwHtR8OtqLfF?0GtRuQg}c8>fbjcNqi<}R+kjm+Aq{4zRHNAKt2 zCo;Z0z1i9!5l{e2mmiJ3ttNG%B%+$3>pB1Sg)~hbpA4PdemL!K*w~x_RHaCBj05|))8gP#r%MKfRtl}N znRiqAv&%v(TDjI8-6$oEQ<`V0BM!bCypCvRgnFKtZ?{b%@SO$Zk~Lmm8nOgluzucM z3#Tmz4zn1x;@)?s_|71s8Z+;}2=H0{nr5<-5Vw4y)<6wTetwcE2)pU(33rCTWz#5y zbMc5pT9b#a$ndU9!d3Sd{i}Fa3-r4xo_GaPO2pQOrj!^$2B2bFba~Y|xkM~fdKc%* zAVfNyJ4zS!uCI{L+X~53yO<*MUUWDgqoh?VkM7fnws)r>2&c%BmhMT__ElI2>%}JQ zgV%64y$zT-Sx^0o>8@_Fu@++$>kddDvjU3vbWgrC7H2u3+tFMOadKZa zY7r*1Nh4bk&sx&c)I7PNbj)0YnJ3+)MmDGSTjPt1PQ3V3&;Q5app7xW`4=8~4E1)Q zO7oz&@^i3Zk>~4|$Gpvv(l~BXgE>(A+h03?EX-n5Dx52Z$Rc@`jVWH`ZH-PHi<6Sl zqY|z=0R?uvws(IA8?KE<$L`YEW(r{aG1z;Gyec4yY`+^TI!|j-;vQI27@OmzfcRv)K9)`v(M0*3Fx)GEnd1mek(*P&W6l^jZF@*GeXe)CSVq#xo>hq?#_8#7AVO`gwhiYWnj166IDTC8CZV+m zJqS*1?9y{U^?hyiZ4Bd~GIn4wYnL)iA8%VW$1^SmK?7pWUO@j%@A@w}CV;T=cKFvk zFs*Dce^-M@BU5ht4*!a76y!vG6aAa;2l*yPhxtt1UsssTCr(cWcLFcZtd}7#%C9R{ z#rGFTnr?S0pr4LMcbP-9#qradv;$xQKJZC9v>{QVUuyFc1WBt;%YCQ6dl{I~*aBx{ z9#KH&nE#xdw245@>oB6{Zo?tg+&)p~!zfu~NM@epu6Fu0#o$2aMCQufvT!qk#H1yY zQ9~TIAf(nqF>B3Uc!7OPmVT8CI$e@8jvVtQza0q4G+qLsY%xl5Luu6gk|XRb#cytA)jC{?DD5Fw`Jdz z4+5m&EhTg_>yHk$;UOQ{`y)Q+>EOucnaBw|Xe5-RqLYIWwiHlfokG0?KNcRC(4)UQ zkEHKyoAsq-h{vR|TM(*a;eB&?RE5=&T|6j>wtVM36k7kRmk$l2oi2^4ZxG2aqG4Nd$A+P9%vQ_mR8uD_s&KR`#O-5Br%%%h z>b9mOD8gbTTb__a5I?Jw2RNdIt<2qwaN1hM4W*eO2H!v{Mg!6CZ=;}uZ9qorqvthx z_>wE$Sk=f@LkWeX>n=keAC9Dx zPgGb4KA%KGG6L21`j2fP`@Qfs8GyUI2Eh{-%AGe2k@lUFyGR{_u)pA`p>-fS(;PqZ zChizItt{M+daj-r#9Dt^^tB}vvJY)+-Y_z{s|O>jTlJZFOPX>QgE}@N)r3pyj?A^p zn)aTv3t5~6>bTVO!coU0X+8-U2@Mw%j*&TL!iUdhj%BuHh}x#>53sCLlo(m_PGhO9 zcA_SSC}se;_~Z_6{_q6!+w>ce`DShrWEAU1b3Qg*gb7$hw(m^1(|BanHqMSmGUqTg9BF8v2v)d|6RhE&nFa&5kJtDt#hl#PF&f3Bod3uPw&i50}I(mLfjMmllyN)gPF`bLwA*+T)&c z&Bs*e<+talB3psB#}p@|MakGvpM1BKcIkh#l@c+kaC~I*9B?-sf&9~yd^uGK>JYt? z&a!L6FgurW@AuaU*^kFp8R=GZnAb=@3HPJE`syJ@|F+5GwT=@2@b<1sh7oVba>#u_ zaHpmT2M?czF4WJ^54wrIa@J!CeAB~PjPJs`$|c0>p_S#fCPX*mGN`w3bRcbVdfo6f zhSP|QKwL2?2PUAa9Hqfg=g4(*FnmdI6<`@qiclz{`6M%vl0cJ+D?WsM_ut11R3{O3 z`PwB)`e;?th>D#S$OxmExVaI`*c*7&EY$ShHNw`J6n!HerG3a%H65%6?V8*$@>ahN z|HgWNniSqQSc9OPdK!<@ZaG=uPC77x%Ft0@njc{@l>eS*%Q-5W=5`oIXtjXbSc&Ph zw!6&%PfA$!E;#MT>!v^JxsjhM=d!2i<~6b3*7sQwWXOzH00u-bQZL_H_hP_P0Z~vD z2WcvD8zsyzU$JB(21LOe%YabSn(N)Y(?2MUyAK8|Gt2H~xSMHXH@K&3hQ@T&s)z=0 zed?5s=5*#;B*#b^KNvDKk(GSbi_s8**@=ED`{Ur|*O6w^0U;Sg@;5NcO-;ZoA2Cl( zxISQO>D?zq(lav89e0_Zu{WCZ-kTP7G_Rk)e;b9YHo)Vp6!Hg{M{oPWwq&Z83i zT3rnXJ#+QrcRu{$1(=({P>+q&s=qHTPouIpA*|%a$D|h@If`1b>DOLE#bvwiLOl;p z$)GY=Vwy-meZ(vvr@c7O!_h83T`yi?FTAE9=(~n#xCIeS{)(Gn_HUpta{cP8&D=WDZVmWYsA%N zTQFQHOGL+n(GcYS=m=OjT42liDE9DJt=xKOpNK#8dcaqZ&Qt7p(WAcr(9RXP2~E3d za~h7xm|*m=(amXp+DV#DYg{dIOG@{tzx6-EVfNZ%GbCPnGn)3=afa9t{;#Bra3_za z1k0nyG!w_=ywDWqIdQpx?*q6N)0O&*$V915JJB!E-n6lHvo3v@Q`>u*{DhO6Ik{O+ zUCl@X9D~HgKONr>R98aFo2&_nbSG}_8J~8t5_E3NRP59V_RnGGryJw{*B4L|?mhU8 zQAdaAt%kQ<{mO9_lV8mdF{SjN`4VxHN*5iM@Rvpm>{5yaLU)Hd-yaNaxxYHYgu2&Nhca=nrv&nXJ*ZB z@9*vuQNAz|&uVJ;b#F(G^Gf2iCanZi$$8e35`VIxg(BhoB^E^7lfW>kprM1D;#5$v zY>fp*$U|@0i>$q=pt}fr=33Pn^wQ-~mWGpaBB>m^C@(KGl&mD>$=7D&BQ7Wp+&V`tgj({Q@ipc`@?XkUtI)@;~%+ z$`VFR_-Eem4!}e}d_s)Pco=51dd$@Nc)BsQBuzog_PT`a3nxyq?Ei8XC%h4R%^C&9S|OZ1_N)j?ywIoN^BB)^qiwm=tT4$eK7-?9)YrJ zFizCN2~-X9&kS+W)<4mgU7nPnEfenArk|*yFQ@l#$9F0&a$=gKCVXO4tOs-C)#(Wu z1pHp&2gwL|8wvhd%xi&(-^pFsQ}UYV^xd8)!_cDYtawivvHN{QQ#owJ&vsIpKt{bo zO`%p@w)G~GUvKmMTdo?hsTe5)wfTh5J2*<{9R%x4r9oGc388!PljpNf?inFkZq(h; zO{4y31n;sfI>HyA{-)CxObs+idgekEm@n@{knu#4D)o>LkTNyk6ZJmu$`MA5hiKRU z#!BBbp}fEv!F(Uz#5r|`1V5xUkjtOpIy0ayW5isL&sdCa#q>5LMek!WCd}52W*Ym* zcy^H_9SrH$d?N4jOenwjOg~Bpv#6^|_m>#T1%VEA3b(xK4%m?K4ulp;brS8QbR%RZ zF*Mq_pd_U8q5qAYM0pkRZQ}UtYgfpbWB<;n&u%2jf6mCmY+{p;*W3s3%(9D0by8Nk zOOFi6R9^N~eAi?kFskgxmhtf?`)QOfwpEtnE6U{5j#gD{g34V+6!qm7UJ;|#7DCua zLfm2hq`nXA-SrS_ee%Qp95i1k;AUg}9R41%+iu#4w%huejT!R8-KJz?s`DWo?Z~F_ z`O}5bg_F2P-_k4m5PO#I`zJhs*o;z4d5MHkQlSn?uI(e-_2kt&JTi1Wgp2mBbbBk! zb4hNn%S^9dJ>fC#bgbzkeEHRZTXJ0rFA12*D7e0K8YF~SC768z%B2t&@m;Em&F>!loF>z3m z5-_?w-)SP~gHc}b=by5m`#XPQY4L^^_Zn{JO~!PsksPsxTOeXe{4-$^t6}I$p9Ewn z{p9@2IFu8B7M@oXrLNp{+PRI@u{H7fbi#P=%p$Zp5j8kUinwa{G2!<%yO!^MZ9AMB zIcI-|w}qKO9v^+J-d8f($*q=_if;E$g@bqALhU}VPhwG6_92*~b{dRJ0zG6rjZtw= z#FDt*QT<QX#T$^bvbD#b;4*XkN)pzr3-q1jIltxLvU64(fCfwX^>X3^{RWCg*&K zrM6zkNbH09IV6QB*jL}bJ-k#<5UIOhgvuOQZYjan&-WRw>*9r1TYd~lZ_7{m5y7@j zBe%LeOt=pERm=aXvn05vG**S@_eg4w+kf4n?}KFU&Wot+SK5SoQHBj6k`iQ{|6b9_ z<&10CF1NHp32iUchwXzB3382s_ei>m0T%rSK?SF%Ksbq-} zU;RUu9k;#Ea=Y%6hSvO9SJC z0S>gnis<0*hyD_7XlNFi52|khzwakKwOHolTB_ z;#0e}ewnOxxjy`dX&^c^vlPAwxGX9NjqffP&S)4~R(T$&O=-CgKWE>4(i{)0$<{gj45_!j89)N5pb3hE>%|v@dy#7>^HR#fr`G z>w|e)jE>6kUvH%cCV%9r3}s3a)6{c~fYB6K=2rfXH_nfY6~aW062=RiD4z=&E0J4T z8`{}%%8~GP*12%RM(izYzMSZbMlDQUI@r`l@scJ+tNMnCOLb^v?iu{h;%N@V6_A977pJdD%mgMhcJTx^RpjMnGO8mvb$brCwuA10j$asSmr6e z53OG(gAYH#2Jl{$&F@QQtsS`c)E!u#qwFyL^3egq=SKoy-|b#sBPj{?{&3V(ihJqe z0S4S@(ex!0#5;D*#pp#HbnKl+k$(QI;`iS#$K5FH!ynHa?|Kc;v4&gXtn+|rlq z^-}Nrz||ZSTI%N5{y;qU{Abnwy8dXt;ulyyO(EHR&HCqbMr&Nx_hj_^RETFP0{&*- zZ2e<}f-B~|yghYOOjzWWRd_ z$1iE8-qM;RnCp@3UV0HfJ>S^0x@@ zxroHSO%DZ2{Ap3P{>QO^ufFa7)XtcEEWL_}d+nWlAUkZPR1uRo@pzHl1*vNRmzCs2 fOkv}%7`UBUT;Ebi>sA@2+L(3dItlmv&zJrWNNd{l diff --git a/cpld/db/GR8RAM.cmp.hdb b/cpld/db/GR8RAM.cmp.hdb index b8180eb3b64d75b2e6ab7c69d25ab459442ad595..7f2a9fc3a93171b08a2d351f9010dd54570042ef 100755 GIT binary patch literal 18530 zcmZU41yCMA(CN;O?%$-Q9w_yS}&tcL?sm-CcugaCdiySB~%h|GTQYtF7&x z>YeTFd8TJ(cj{RTC@83EWatm+`f>dD(3<8huGWqYq^#^rEKIDVKisYD%t+bT*+^Nq zm|5AF*;rWFN!834)nrMv%uPro%&gr$?wkYu#~l<@tLT3zs28;V z)+W;bt-YWx43GkeB~q{8u-gwXzoOweC?mNZ-SiPB$*{3WWn{u(IFG`Ien;Yk6G-x^ zdiE!YX3C`}jzrx0<#k@ucGl5RgG@|ML+k}jPVw%)_}F3OspalIW0nLBmhITCthny> zc|1EgI|KWAT&&ca^2dGJ4dTIxog_LX+CbY7l(u(K9yI2$*l8nZV1@@t74kZ$?C++L z=z@a2S1+P{dkX0cJidkExxUUHh8>$D=4rscP|VM0aN8wa6~pxKdzHxI%JaQ_S#!75 zif^qoWd0+M>bk2*9}&gyG|FmwZIx%G^d~h?wdE>Ink|Ga6$cBN0FQEqOs2^Su@PP& z7uwZPbXz_}*N9}UFe0Fl%!2RIQlUH++j_16Rkzpeq^V$+J^a%aw+{=_fRXW=_~{_& zN=v}&II>o)jb4xO;N65$o7L3XqP+ppCbeocb7yBGpKsh)z#l#D=dOy4c0sE2>Mr9) zZ$JvETthXZHxgxHv?F9; zgA=#Ls3#UH2nFqHJ*9&272u5%Jqv&$}pf@u@+u0;A!v-rQ+xjymQ>&rR>>Yk`kI4D&3HH5mWI(4|2Zv1^juceBj9&Rk$qBAIo*O5 zbG@-;`ZfKGhT=px5V(7vNWYm%1-4s5__z3(5v@sJ_O8$ER^&ForekHXq3AFu4bh_- z@z;5#ra@E_NTII+TQ(f7fY>lNAa_WhZ^JgwxHtvq<vg^?{O!k_? z`Tr3_?m=C2If(W-KS7WA9{`I1*tD$f^ZIzz+rw&-WpW!5)X5`kOdq3dI zXuXkw=~c&Y$NdcRWGEH>6yv&oT1u^15Rc!%$2GKKRha1Xn&Z`>J4ALrO%^DS(36n7 z3*+jKif@j&DU5F##ogDHyb+?)Rz;|$msx$aR*f@6mR(a%J*`+)Pc>SM8}@0jlh*AT zN5i^37B?%tZnm0$T^NV;?J`;T!(Glz7M*b%YE;Q(V$l}Y;-<+tzK5*}fdL*y3#`5m}C9pqWdnSiw{L3YVU72Z@&G3BwHJJGbxio{xr|cKbL?r?9 zTG1u*QTv`m*HF|~f~M?g=2GMyX?W^}AMQRXrF2X#jBEc_2>&M*Mzjqh!JGCI`3u+^ zJ((3U?M~p{BKzmO+&!sOCWF@9ACI)OG8HeyDd7jg=9Iix%a&X$KNlI>+{+wB+H*v6 z`|a#0s(5Wt#3vE!BMab*aYzW9PKP$K$XcGC`?Rf)D3Dk)uR1;L4v%Xn5>uLUF+ksZ znm+4V2$xADe6Bxy4PzjNDdITqQ<9*3D_+7L6#@=xlMTfHEaPdeLj7Nre4{kUF{^JB zIvDp}ZSeZT(AiPVZy};xd;32b(5fwV_r7Xa$iw}};@vO4H*}hvgk3%AmF>2kLt1IG zJvUs3WOy08|MjDrtSFW@jN3+BU&85kaJg${f#rT08dWsAbBQsqT+7nuMT_F<4%m89 zE6fA}DJrZ8@g>l>buVZUHggv=X`V%(YI=n__!=fUkd^aQ@v0cV+^s~TXW zRN=+fKbQZSdx?9)R6SAwWmN&guMv5K62_QUkB<{;^!vpw`PFYF(`|s4h&-TXe^W2< z_6hj86P#Biob<%}5Ip}Py<||1ND0WA?DZ|v36E+#*BGIdmLZnwkpv&-C}3j7<+-F| zE6<^|C^y2X(+lhMrY$>WF2$^`9#X&QzNH$4RWAl4Kaw~!w#q@0j?>59 z02PPFzu%eTYB%uco9sbd7jY^2IATL5F^+4_#;Te+$8y9Y{^1RbuDBBGTWLJxK4k@% z?1?KWcjnXn2X{Wz@aulh6SuMa4(_SeO@#Q}{i&7~_gOSjOx&&1u05;IG{^XQF(xic zY)+p>xR;4`nV2gv+8L-^)OQ-NOu2u6b{t&<=6{aMsdFx5re3ApH2Sn1U=lHdLsk~gti zJDu2=A=7H1#xQ@I9@~GUDo1SJSfs8W#@4=K_iK*N3dI2XiMimBDn)Ie7%q&v$cyIn z6RJ;7NP2tgH?{}?EQ@Lc5ZZ0zMv&X>IHlY(5huV0eqQ7vC}mlVL-G`*kK4ee&K#Nv zzwl7mNs7&5vsK-(E;WbNs-yn|$*OlAhPLmg@6cM&lIh@G7m#(n#)8jZuN2Ljzrmc> zO!I3CwU_ny(E`Yt7M`JRNY@CX(|rHBv2U$z(S#pfmO(u?sySgog?XN0)`Y}2wLN0k z_wVnw_j`Ysv32o1;n>KJ7>+V&b!(d8tV6LWmc|BXxRs#ss%|)m(8f4 zp#jpW0)ggvi}45FC0FXuZl4@m-ZJI;#0LoZ`iY4E?(Al(^Mw0+CBg#0{kh06Z?9Dx z=hal0a-ZeGc-}0_fe8==&?|~-k&4dQ_DFBU_iIjQQ1&I!Apz*Z7dH!-6tI8Gz_||< zPdo6P-MJp^f#X}SA9C~NFo_+z}4vKe?Cvjh$2^dpJ1q%KF{*HEO z%ILrXQND*fsRZ}PJdtnSF&tm^@x1lU%>eJcWKF3s@8zS*AFCyMtROM=ll!-vW#EHN z#5GS!7IP4Li^})+r8YId)720b%~hS!IQJ^nT@k~( z2b)Wh4x9`MD{Au0t#ep?Z?;mqI8Myo$7MY4v^UY#uEczZ5kibyZbRlGe#8Nu6eFZQ zVC;oyECOhHN7l1n#?wUE0#p~eog8{-yu{Og^S(6ois6-;6gSB|eXsggMVUaj&$1tU z`RrdLj8FN|g&)rqr%H8Bn(;AzQm6varv7u>yHgHgVfB8h9gM8M6L^EY<=1AoA#i0j{s_cpr_iq+pLQ5S8*V$2GXpES^i1Cr-lg%2>-0iSd zIo0>P*ov5t@egR;c>^$K|mCW?1(3d&&5(63Yy9 zD$PF{XDwV)upKM*9V5#5Uj@VrBz*g3c@JwRVRZ%>0_gj;fzq4kw{85>=ZqeV1R*zI zA_@66|K9UvW;c++2(^|*vi0^@%goSuuj|_Lxgmt|(szhr{aGd1Zu`9ZY0=iG=a?<}ZByXf)sRSE0c_KHKcw@VvR!@EtWDNbk&%mzVq=67M^qbQFP=@6C2k z0E#B366iI!Y-T>lQx2F1cX4kjJhE#Qqbbz|Abaz6+Vd~rAn&dZG#~d_fuh)pB7Ms) z^4JTpT0^8G$4J-VL`a!gldmP~aO8cr!4+%KPD<(Pc z8EmqF%)Usfk;xmqmzDT)U$&_Tc+~s_uMF#?;N{{^jA+$AT04kOOeAJ}{S{s0qz zsUwF0prf)FYe$8(<1o^wZFvE~!zOW+F1o2Pym4MTHmI&=2}{X2X2!!QjDQ}29*fHn zg$*b+_IgvpdmJ~QM2)4$5iQsI-jvglpH*96kKcE*DP*B!xKyF zIByg5FY!>A0qA(LxHuR6~4QbuT?hTg3 zJ;gGd3%cjmdiDn=_RP&C_DB%>+iNEBgeBWuS7;Edh^|5xowQjYTxS=Z*b&Nay&`Ve zt&U^bMTU*xyWbv7G^ntD|DE`7lMbDQ7wSqEIh2A+IfNEtL1!V!p495^lh8jYJ|%uC zuR)PA%p*TL%&an8#<>E6*DVw>SUUfO!=;$J5?cKR_fX|QmJq9z*v8bRo(xBl;n+1c zaVs@TAy1l3#pRAlLU`{{4uMS(JHvHcPNp;%+2yM|)#7R&NzkByI#F}cxTBT#)uH53 zbwKNQ6wdH5dQn+L!w&5ON+`Y4WaJGB{mM`g6Pg{@o=~H*9ZF!RHs0mRoZqor)qpy| z;Y{HA`|!Pp0{(MQHj@6e$ZUw8f%CX79+07$OEkN!X{2OC*seu z(Xb5G8Z~it_=5J3lOb|)yGR+{sIPO4-5mxexsoSWk|#BiC)1KAagtVCaqHV_)Gd_9 zoX|7&Fi+f_gE2mrR`xZ^F*y3QUm4pBzw0`6b2a@0V4aDIY{}1By1&3Ty{CwDTO6OU z(H0m^Sibng=Ix$01Hx;U;o1DU4HI_H-9u4~YMISmd^X7jmu+`Yt{sg$Sdk8-aI!Tn zCt=#wlHr}236OVeNO1ZVQ5ga&yS1R+4gN9 z&aVFmtH*+f9wTgmYlYRZ{M@hU7F&xtLQ-!R{i|YXuPn^{+?D9}30fSbx}Oe%S6&(o z?@Mods2V-5&NwS7I7|? ztJX(?&w1B_&tKPq&)e66LAf3)`hcN6_eUv|zW;rGkwlq$T$_EM%m>AeZs(1=hn!GG zzpryQy?ey2oXeg}cbqhP*{CRS6Kv-Da~nyBy)mtJ0Sk34?qMVsIrF5Ql~xB@?X>+3 ztI54umxKlQxLj!n?!0_+}*iv2W^LKa!FvZQatr%dLW%Cgjf8_6tR2Yh|3FZo3oM+CASb z+!}|&WaTGUw5Vr(z^-KWWBC4b|p*8a9c+)|JCkzbK`3yYCP-Xjd-=xeUcU)aa_6-&yt{+XS!D*y1h`#FUyo z#G`8Iptw`b&gsuPiemMwl$KB^@=g?=j1QMcmsq*+LpZf(vwuvE*Z300T9eK$Xeb3f zIaxdwJzh*IhIxv1OmFRVXOAJrRQ;&vYO#%>ZPqt+XMQ56>6RF*$KMFx+P&Jn3iQnI zIYU{Z`{g}fuIYQcs@GM@zjH&*6u=ka)4kR?vo*g7wdMMRv$3$aB=mm4xTR5`X;R5| ze8K4Iw|1>cVA3+!m-vUy*Oh4~=9X9sW?9&8`BQGF&*nV}`H_y^cds_gi8!aud6=^Z zX3(>22NXsiH@`BhCsJ$qXUp#`o7?QCUy)iqJ6T0M6KCg{)9maPptg3;gw7zIh%{y{XHN=2gpW za2Xv{uyC%J4&~!kwk$kG?Um$11M#&KHKADfHaQ%5`_{P9b25LDZ(xXaicx-XtEg#$ zTs|Py>k%e8d{m`K+w)g=k*>|!q|^BD8cPVeR>~iyxnk0gnrg9vy_K@jzQgD7=npy%(3-_5}aQua9*J( zyjDr_$n;+E1&8Osab-U0$ECQhYkS#!s-6Z~V~3~&-^S(C54XD1mAVpo`eHZsiTE!Q zxNKOv*{!p8l!@B7KZK}v4PdOwvqL?<<_nf0cvt5RW6m4yrQWm@dX^X17lwGBA3LBL z7~RpX*~X?x&oz(0Ay#gt-g@d(8zOVmmzjuLJ(fQdESNh0VWtR|JjG5 zyqUwgUD7vZYB@3fJ<8Pc=Xy#SmT+-hyz0%tn2=eOCs*$L9x1}O-3ZrNq}O{KDN>!A z9JleCJEcLtvP8dcDtp1$V)o8YF8y5OcqK=d?-)HtN{ETd3VMa4XMF8yb(o*}t{wf@ zB(m7b@%bMn&Zf-fRnMvT^8D005_{DP3qzGaNKwIn;n+^I{LGGm-Cwx*A&1^8A3snyJYFMy)q(mg#&cX z@6+1F?`&C|RpncwJwRtH$|IWzI09og7DGOA+`8an{r2UQm1 z7!8`KNcA-Ovb@8wtnAnMTiv+kP)&co5Z&koXmQiK@>)&Y1F}3Qyw0<~w9%O7Tt~s9 zGqDe+$SZDQr}>mW--Q}(7J~zqD*$Vi?O{vBm%pv9R|XJInww#_sw~~O>xtH~R<>5{ zCZV<_pK>j7Pn~TX>D^kYYTYgUA0lD?G{oPbrLQbXBnyq>=XW8hF|7PvUbI69w9RT_ z-%(g=H~Z03ISfvmGo#qni(rhZXR>>9`E`t+Jt)ekw2+pjwcO`dj{3suik&QC(|m$O^{ z-L+r;SzcB-9HTM@0$W|%o+Wb#9_*hdbs1)K7wgR(KHR(e{L?$0eyWP+I$h5p%G6Kp znQ}J{>DfH_7LjH(FAU}Rga(k~n2QUyE%i5S^vGSV%US%^AhUh6SS-5jVWiMSoU=Sx zbe~Q9_-x+{w*S|P%?;{+pC4Sc>BdGsHZrRT z-)+A5WY@UKVRj7^gI0Dbd+i_Xc3nMZ&FL;WrF@x{!+0EN!Iouz@yyPrmPdq=l`}_-?R&VapwA^8r zko)*l=J!Ky|Fe`V#zW_b;Y-yreJ#4<$3JQ{Viy-Rhv}0AMAkvggvm%PWt3d^llrs? z_iC^+lWBKno9M-i+sSEK6YS;sTDpdee~VpQ)!HorGQWGg9aCFQJWG2&;v|>nY+8Fy z0h2s3R-1t?q%mAskom^*OO0ZaoED$QH+L!v+vUwk>2iI~>Xv;YT2A*yuBG$2CCM7= zq;_+M>H$^OOkFQTY+~W^j2PJGoP;ag12xuDs(YKqBz1XRUqdX&eUQsul6SMd$vfM% z{3XMt;RN-EH@aoBmP6Fi6s-w*YwuHr&8c4ecmxpkE|*P_J+k>W1X zE)DI*f_n~6|BaLfnXt4YX~`sM0JCOF;&qKHLo1 zWZkd?ojr{0g!af$2?sO>4fx}UV%p+m;0yOG_>ygo?JkVkacV@cC)XD#AN|{7<8r@r z5%qb-BZ0So$AVYb<%_l0>}OEEgMgH*juyr{&-fS-K!oqW+#Rt!Y$}k^!pi>4|l#!l8Sy}*WDUO$c4jE#jA}^;Zyf)r{V8TQ1E{@#$z6kOKdQ^$fOrp=s^Y$+A z`gl?au?11Hq5Wf90?AC*HWdJi+R1U^KOugD$fp;v6~6S~7$c^mBa88UA*ZJEEe+xw z|9!fXlg(SJUf)$YJYQN>2yD4iDC6-+J7W+fzLE`BT5B_>%tjQsiE8I$nWzl42k zk)}NtWXZBy!GkGCl9GG%zlVxm6a{A`7z=K%-BEK*i$$;TBw`D z^6LZAa?{7YzM0rVLvHdz{rro;lT*hBHa;EFSZVBtO04tf05RP0@hG)D>hI*{hZ7i| znh!KchA9Z{*d{3OIi}r(ns;$B`DH~%&@kfIOg=A|G}ESXL}i$Py^2K?CQ5j_4vKlZ zOp19=YIRTzQjb<*4(3PxaYW=TScvFiSNiVJSo{iok$4>WQBz7T|LvH(ohhyJgs9r- z7Ktw@j(4jnuhsY}{1A7>hssg@)|1y#5Q_s$OXtpyw{-dbXC4o4%XtCoMf7*UAk8J# z3YD2I_Y0&+V8Z-c5=u8>qW`XS>8&F*vDNE(VqNc{clsDGZ}*?|Lck}m*#rOqh&chk z0jf?I!3GT7Il}bL-8muvG7pX50~!yxg8|8htBn}3BhDS;rPWXn=!y7XVa)Ro=zlri zBUTjZAk{6omO+rT!#p^!Z`v^vcRis`F?%BQBaj~xwfW5vZrkCNBq-1JbtZ(Q8Thw9 z&-}GDxcA>(_5*q>)1Wn#-N80!-^pZ6)_h{W53;y69L;<0*l!)Tc+YvG)ClbCtL^7@ zMhJYRdYDRN{mJLvz3@FBws5XCUo9e@ERiVYa?+2Oh0&+0D27>=0gy0h6pi5DKqd74UH<4>9*pAO6jv*dN0>4;G?&^C2*99N{6>H;~U@gB@Wc@cquQ*p;sGSqFl3 zUOiCCPG~{+6If6h!t(-vhXmXxH6I#;G(D4E4oZIqPBVz`jx zQykjP%jXvWEYXjihiyw(S1vQ_ce+`6k@OHS@`+11_b95Do-)Vx7Mn{1npg1<&Y{PgirI#}ZO6B6t~UUKlZ`p;kY5v{E@e zHvghFOVkKqJYa%z{V>1FP>|puEPn>x0Nw|l)~$;Wv35f#tcGjWJ%7~3t?QEuu1+R* zAcXeCJzrxtderZ;6XNP?xPQognE7^O`!VO}ad52ZFEtEYCgj9&`~Bf-wLi$mo^kO; z!4UM9%zR(^3*Lk>!rBuO(K0^Rd-#ps{cksAA(m^j`9pOKs!Ts~Exk)c597kDT0NqR zYP85M=cIk9*)U?AS%!|XvqN#m)px`A7V^0|+&06JGsm2;#>q?K517)0uyVPSD4YyO znrz%$u|(YJ>?!c6*qRy+|4gFaN1E3`x2%~E|6fmxUFA}N7TSamJ! z51w>HqpDu|PW4I!_0-rc0Kd=~^t)EID6B)x{q%SJC4f;awM;Zf{^jJ!TBjpUmkS;j zuUc(c;*DrmS4j=j!DFyw=2v3Bqn(of9&|f7Gw|sjA*a?29$3sbZjUD)R z5L6Y^CKOr1Noqpp{AW;KDXYN%b${gaaS)>4fK+_y;c!`bZv2mM13v;(rhxWOLRcxt z&SyTDcOYfBdZV@-ZU9B5kHl_E8C#`AKvt+Ool(hZ{cypuVH7Rk_cSVgO_JG z68?P|RH^FIhDpmu=!{NVNx?Kb?o2vOWfB)M1%1buQ0D3N!F0rR6WnKJb`^RQ9G^Nrqriztkl zganJKx}mUv|K90yG)}bzwYW#U-oH52;F^Bww-%)QKko`wFYLbNzbC(-u z)|xy6jgWJ-lxdE%*nm)Ojc*XOwHK#0%@wG)dYl(y;! zV!s@pxncZ^ZgDRvHbr5rH`Y4Z8*SFeD|luH4JtKDrRLH>?Ayn*VdY#F1flS9s0bJ+ zb#4q&@?LSUV@Jo@f(v<)%=T~w_8|PF+%d9e4cQS!4fyn6$`#8!+zUSw_ULH$Ezj3k z-+2(c%w>-U^{&ERJArHl_Cw$fX~k2`}WXhw5Q~{C014 zH`@p^@8OQCO_hBM2yc)qo}(a0_|!YP*V(HjVP${sW~neVaJkQQA6UtCIk;0Ef%tyC z?v#xPuI7SZ-zz*QENfgzff!>J|Klv7}49a!I^1Sg4|3=GjTc2&+qM<^8kO zX9p(*>{O-V056FBz%>gi3W4bmKDo?)4+ImrG0$G+vx~m|%;Yxl4c_|FfqSwo`V0%A zr+NMkf%9QGArT=#Yp)fH^9|gF_9r|+6OBgz;h*&91E4l>9~|>pX|99v;KmWBs6)Vr zC(ikhFKVga{vSW)Xw7klq+(af1v$zp2hDkuu%FxljphV$*Y6ED3-jsc^*2e*BHJ~GT(?{zP#K;bJ+_$@7*?^FVzq`8g&zwx9h5i zBRW7R0Lq+l65DIz4+rsO7NoH>_vQuT(VKfW3)kq#nUrjoAUg1JyDQ$`GN&p0$ z>6vC@+oDsJ1qC;}FgcPWa|TDu1s3>rDlMh2m1Po@F=_Auaw2+;oKE0PwJ(SyYM_(y zZa#d|0Qy5I*K)W8Z(#J`w=eKq-Q4M@R!MQa_m#KSd|>ff`W!&PLUPYa>a_5%zC8ER zu^*|zjPP;A+)cDIG`Oiv5$F3Wvi}WVhU_gfANDQO@Jv#D+~kX(EW;6{K^2v(g#wm>Gok1|-lp%e}+K^QcVcT_MPyox^(d>oxvkk04dxhy!SKQZ4 z!A;ln8Gg^{vsHSZ>73=w)y586;uVd?DD#Zl*2*m;j7F^yP|F7)Oz|jIhh9sc>%1YF z`3J`PMsn-sCktwOeCFCa@(b3v5HQ~$Ub->s1i8yq*H`*ZK0d7)-Oa|XqE1VPne5ej zO*hZUoK}~`>}g*5HTc?C_8hb#lBbK-O?@!@VNut{`yEA&u63h5f(%0JsZ|Zj3I!q7 zl|W(P%hvC|n?e`-4Vbxng#BT;)Q&#?Kz~b79rTjkK!h4Miv_U;#p=T6>7U!b%et@! zSpa0-CTryyUV%~w2b+&FZrl?S>3V6K_^%GnkcY)#LF(yleUz7Bs?U$+a6}qpxes3$GTIozyM*$~Ic#uOlisTqS}UT(4{9 z4IR|(0MHqbB)>dJLmKTLU`kO6+W7;f3?y#jpb5vo)5cbUozzN zC@v>33p1z*_V~;-A7d-JimSbhG&C$$sg~I1Iy)#Py3DTL(_H1>j)vvq^tXshw;Zmqv?aevg)* zByNt4fa(h0)ai<@^4ZL$!Mv93Ks2*DRy&sGeHmiC4jn_)h$9yn{4ug5mRbz zQh)EMb7fBI>)ftdp7Zn-mtXh4JA5zP-hKF1RXNc#S9K`4zj~{EKrpRoc;CbS^-}07 zUvkUgJ9!UpQv9Hww?nUdSdMWHJN-q~xUFGC#ce{B@Frj7-N2*kc~w#+og?2zaK?0Z zrD~hk$Sv{`1PWo(bVgdSryuRAb9LdH>io<~Ek^LK@#)BQ^uR6?d>FR=bc|tx5zQ`2 zeK>QIE9IJ}@xcY=V8*A9xSdK>-K-o@N%H)?U@5aDcQTH2;`UByH{q7VUq8Tn#VM;Q zEYx`SI@v8$c_+tKispKjed2YjH&XMAbpoQx(QuL3g8UF`zZz>39MOrm$pAB#O%F{= zObg|mxV5UZb%lLBY(G91r#8x`TNd1CambMY8LF%F+R7c)k2F!%9|MyZ?#q{#N4#HM zjHV`xjv0g(w)JeTyZpSXmdB0>PqV0LNycX`va~-45t9(LB4PUNO|TBwT5S&^5Bc}c zarxEJ<|3~O@Z-_(Z~}Pb(EA4V&T<8hAI!MMA>Gsf+k7x{M$%ivh^0Toxfy#{U=@8?2Vnljt<2EU5I!@}%cl&v zd*@C;d+b#PQD@~X#xJQa&TIn2o-E%y}Jy|w4Z0oo1JPlA^3X0KQ0rXK!|o;A!3z=SS- zNY52S8ANs7oA5!xu=4{)pOOG!z0o#ufkzKNN=a`D6y--aj2qz^*L7)4o}4)gQ+{fO zr+&KM>XuAl=!R=Yu{I$7J=7SQ^hx-*FX30p875a%=*}_^#n3=PX-Omzm2>c?0K|AQ zjq&&dmSUtmvt+A=3tEW_6#nA3WZFX~bAzgvDyF09k)t*Y)pgaw`Ot0&;zcgL7a56g zY%*}h8eF-Y?znXnKIa9?l5P`OIV!7v2dQj$7?wx)>8>j9)9D!PKo=i!HxV~G>t<49Z_mhiFL3JYeOm9(Et4FSrb)v|4wS*m$T%r^DMhpXt*ojm%QIA3OkM(3ITHnT5s1;k&?&H)k^aL~By^bzPMYKQHZy;7ah4ZEkM1YA}VVPfhiLk&xh%wVL9X7cHlUwdg=R zfUVeL!?$Cwdu5*XRQ1^ekRs3QzJXboqO6M$o&$UIija zoqgK2jNlfVy6on<_*9n684PF^w0tA5TPO)n{pO2HWhJ+*-o#-iWj(?eCBI`q;b*GR z@hi2(Kz4uFPYdoc!3SijURVhjGzbf)bB~zM@YSh*M5CulX#vQlvXdmud30gs<&^yX zHy@!Q`MqT=oQ)=zqL|!-mfd8G6XT3*VJi7cJxg&pD(M1x8DR#_!2Uwr-+@carMVv& z(`{S=yc?7L^*A)G#7b?C1f58nVeprmBm1&xil0mm*1pkYz{d!SO z7%IMR1I>c$+P?&{shkQuLM>@mN$=7zTlOWeTgY&zg3;!|x4@k^l@-$kcrt$PDl1Jr zNo~_v8Ufs^m`ClGGmIzgHbAKR#czD>4JFUmlHF9%mAH4zJ-)?Nh2vwWofYoEK){#m z3%C5H0{14r*)!N`q5mxw8qu7(XaDDSf&ZbcJ6%8a)w5scVqA{p&;boj4+&=U_y>WP zXH7qx2Qr1(?6e&SourHxhR>D$5y&Aity$0_0j35)mGuj_Oe$k@T%E^#O%YIH?nIZT z+bK)RcM&iHNs}aaG!|?DFfQe$Ub{cExE79}2Bf?V8aotqm$>Te?CeMpV;fh#iL^(! zsY<0GTy>b`g<9t|NA4C7{*VaI?=x4)HeQ1Las0qUL@=$|UmnbK`$y#k7bX~zxSa<0 zOwaqdrDIa#kdP>x5G$OJAe<0U+C7XZPzNgY_xr!e4P?Ot6byrdAev{Riy#_Cg24oZ zKy|H?hPtF6cIFT`@s{s1q{RZB8Jx*f`VV|WRWjuq%2_) zcY5RMFJ&GkU?HdoQg9R)@$g`2XhAZI- zBf4RN?9>W*J1o4FfALmA(a5VXs#ZWAX+h<*&LR+fSql(YSy?HiMbQPSEQ`^F)_ zK|ZLncRcZ^8kgEsHpY|9Q)b90EdfZd3H9;J>I4IXP>) zm-3!Dh6c!ff3S|7g%v^c86hQo?|%Uofa`C;1@*gguN8E>9yC?I23Smt|UU>)a1Z|2FaGjz{Xs=xW-lP;=_;frwmrp{ec-Dvd1xxK7NLu4`rM&7!n10-D&Z%Zw57Sw-wyB2A3Fq%@r{Wij# zj!^&EWQZQF|4G{Yxtk`kjYofSEk7xin9`XmhTEIehQk3h?OvYr$3&(aqeZ~Aw2`J? zZYK&JI4-HRIYgVy=eU0Y+Kk_Q@{Y+S7e2BGW3Ot+5_Zn6;Ehnv?si&?_q_cvg^s<& zE5#yQQg1N9KF~`uT6XS!@RuQKq>i^V!2>GFN$YA#3ylwa-;0s93jOHRE{r3RSO8Wy zkPD@q6Xn$a@9v%Dpf-@FBiCgjt`5`Ylf1+`%z*LoR!f>5(sUgO%Wgn@@1pvek}4mG zKCCMg3v>}0iWur=G1Oa;f6{&wq}lS4Z$5}`QuI{GQr>Rm9m0GlyaFh^d6S-$0Bg?L_FnTB`&80og0KP`$5htQQ3M zch`78A|AyDqD%Xb=tFvk@vYEqWEy>6AnpYKDi9)(mFjpU*;1)i-f-niuM&AFax>qI zE=qa4Ley7xG{kbiX8JXR(=eOOPx7l`c@`4kuehTFIrT_E8=EyO9w4)zopJ^7Ph|Rw zxDot=AY)43_EeDwyK$jUpN>BpyjnVvP&HDnl_6O4e#-#a{v`guSLDlNkWh@vooL^` zQ!zxX-IN}&3a9a7wDJMkUXss*;B=oPy1Rl=%T~q*ijq*HHz!(^gop^Th%mDFPh!MK zpQsCgpsc&eBB-D4z~$NRfjrQWcL?g&%Iot5bCmw~@3>FTj8+7fM(V82E#5J)1#98o zs~H29iLX@(>D&qt$-@GLs8GDqI7_Inc}fm=apn#s1h^x;tXC3d!pMwnMD`}vn0-j` z$=_7*Tt0HgT!gSlta;{FY0>P`{AyfLg4&nw^t&&0r_8pATOXh#4yRv(EvP7R z)tsr;Y;XMnM$2E@l>a7H~`jS@I0=8gP;QgT!&QM>6N%zbIu8xTMe_pGtzr8og z1~zU(nd-(=I_7T#zn z_O!dTBFG(T*=@In?9BJK)KelS{mX9pWf$m{FMJ6LxjnbMi^1LZkhdPKpF!^7cPeJA zTiCAu7)FK&pR$TQcVf2KrCh20jJ_N5Znm}o=1fwvO|?jmPDp2&jVbZcqt&JK4}*pZ zzyF#>oQNJhn^>w{ue0|1Ix!VI-;7XRv&ZJtgd^ad)9d25d8Ba8eSb)0*#=h*Z7_on zIy3OH0hsH%Dfe11YjU(hi6`lH@Q2@VJ1_4p@+X~k`l|XW|Fg++wkwdO%?*k_!gBg~ z=7j`qZ4O=bJt8;~4H1I`iM$4Ze5dha41Q#SFhX(1fG`q$J1xqqH{KnAh?Q)FXZia& z>7J&Zd>Mpjex0%SWd(5z^9l;<2E09(&^eIc3swFE_UOf2{jwA90lRd^-E-w4K88a2 zr+DvhJu}crl8!U}WMvR3ve7?U(4Gu+IXbQzYPYV&$D_VgD)x8p)3eCWn9xC}-3!oA z!N-rLdF18cbP`gq!{^g%T|4Y7T~>rd6Ym47^?fdq`Ym4leO`aNybXj(lwerY$EacV ztr~b1#+2}Q(D6yn;K^s%6`M;Rn%<=*{VGcr09hTRR&sd+1^V5yvC5A+aN?tmAP2^A zMb$U`L!{`?GpOFA6(fTm%cQvso{JA28;ta*b5WnVXIZ_I=)^z*d+?zEXCx1M zq?hhWLL-CO&vH-7S2q!!w_EbP;F9~>Uy9qK`8L^vz8>0}>;nn6EC<;vX1t_bjDLSr zcv|&9!V14~I@8`*F^E7@mwMOe4a6iy0@j%gU!Z=^9s+l<^9^8k8m{b4G-us z!kMvG_2zk zOnTn_A?(Z;G@13eDeqPdrnW`QTX4xw!O?GB-I=FtQN zvfdKtx8tOH8lpp$L7x=fYQNZc3!>N1=eUd!Y{;g_ZJnqT`rT2XiKn`1)McZEr|Mq@ z(bx)qynm<(od*5+Y(Nn1H~xbTXVVC}_^kq{pu}78WBW-&J*;Q{jBFWZ?@xlw z$3}h1N2C6$Wmw^UsOUlfo+3d1%HAzdR%G@5wXT#I3iGVr=)qXMyXRo=&o6^xRw*5z zdEPgTe~*3Yt%F)0tJ}C%NMtQE#!0pjnph7;xF0*pt0vyvCCdSSAP=y#>(0rHAAS5e zq8+0Go@7^&hKL~Olh@O$17$@9ZfI)P5UfgR*MFw0?g>~Ghj$jz+-~}?H)+Oyi_OX; z)nOf_IA^9GrP{E!P?C}^u#B)QFBtA2QG|On*zcsmi_y{+y`C}B3klut7xzkhp7333 z%l@Dkc=^f5^zk+Cq=!*^Z#^W^mSLcmAHo`a(_3+ePs&1xeFc+9x?9=C;P#gy^%eBa2;KL(V zhBt$2b#G#yJAz(1wD+!TU-%wZ)%=-yP;&#=vJj3WO2?eKNQRYvyN~^T{3XF1eYH_< zdR~x!z-qTIMUy*&MUfNDu*>^3K`PntkcTpU)ruYT{ z*!_I5@~g|slDI0FuuyA{i7tbRqgd)rjE_^%t3YT~xkqU_UD2P98GCt!?_)0r^z99a zi++LYtG}8;?PgC%ujJO@p<)DEyqY`Y7W*YYsRY7w0!WD6@04f;`STyefh;8dR{%l> zz4);Ruw8UK0QJAe`hotCbfJ47 zADg`K!PZYFKo-LyIta%tJ7EsB*Mrez+8 zoZ|Q^dZ_i!b81{3)gtsH?MQ&}}#ubDaD^;9qK@?h20)-CJTwXSI{U*Gz4 z1D0`{9b=kLV_lgrlGK+QnqFHA>hAceV|;aYrssXknS11Y6Y-Lw^#0(veG z<@Eex;1$j)DMbP6dXDcU3L5s|olnfFL)?g=)FFkPgA@Gax`t6PmiiPXp0TFwEDSh? zI)HA*cD%qK5Wb`B7P7}HwvH(#Y{YCt#=|JLTIL^c_+}eEr6DM&46lh53}EzB#8KM( z{DuiSWSBr5GN4FwbtEsUpb|4qw9xOj_f}oRA_&8aGDc1*W5Z7W`P&PaDha28GNIIo zY8pK;v(=>WNa3yqMZF(h1} zWo>P#uh|9X+$Z+a+{QhdKBZS=nGR(K!>m$~c$|K{c5Lo1ed#SV31pxFA^KCF$`N|& z%fb6FA}x_458_)?P;U_P=(%X)h?^LZzDVk*9Dd$1Jf&1jr8E)s&pS` zI;sNW@E9nT(DQ?9wapN>j5;(6-4_*jc-&9eNth{UBiZod7M?tZbkl4SO<$&Cv zjpPVX#Vl=E#rIEjG}i5mOawt2AYP{*rN>HNzzswm9i5jbvqU*f7w>Jy@yB+<{)JPN zLZA;IbfAJ>=|@u1$CW9g#c|;ghbVl7Dga*0p z6A-H}@2#Br;UfU09O2z1D&as*5G&|eBYvEXqKvW+&xJ@O#Up2T|BXT-O5?L`M1;xl zoH#|tpTCYTS$7Mu;(HVcx`#dQO|6U}$CR5|OhAgYg5Id)1qxXS8ujZrkHqgHe+TcQ7TvuTR!j>^?$KF`d)}v9loR*D8T*S6I`ZH) z=}5Cvg^JGZ&+?R%!B80D$^Rjy)l0lx6tq19L~PnO&5q2xGaw;iaS0%)w9 zYPRL6h85;IrkC~XhfZhG-TT4mogeObpGlnLFMqP6z)%*dIQ8s6&PMM?L;M;{Uj|mAi+Xi!&uVCkq=3JEgdnouefs2PX$5 z8xJcx2P+2~J13=vmAki{g%zc$nS}!-JEev^rH++3rIe+e=f9rTA7TFu^Wg)(#Q(4l zFPQ)3hjRbrzAzU?$f4v?=~o|BS<)3cH4)M#;W%|L@Y|v-KS^_>@^K=6-NX9vAtgB? z8Oc|%`J}$MlCrXC*bj{xBBer%mV1N0d!(C|8~PR1V7jk{f6+2;02J+k(B2nbR*si`rG^Qv{&DK zT{%5+!`n6qak}-1&z2A43MSu;m+<;pb`pDdoF(QJ#hX`^Nsl8W`2dnlY5?>f9O0ZH zGidRinrI?oT+!2}Jvw)?bVxqp zkJ<}xzgC-^*X}&D>rZrn!Qke&M7>`7sfom^>1hjwWt}bI3Sd`-j22*oxkrXGz~1Kh ztFgZyc%lUY`?(xU1ZaiX&nHDg3K3r;ixH@}XKHz+V|bmo^-g2wNwH|M(mND#J8T9N zGfOY)`OC=r(DqiMhPNiMFhC|imvRaw8WLH@gcf~<&H?7Y46u z^&AA!PlHmaqZ2F{6aMs$3(?$o5JhB?!Jm7^TE znZE9yCEvA~s36@L|BjZSv%KMMV12JxL7nDhN&>7^RFQgf%5p+&Wu66!*1}(K8!+`H zI2aTaX|)SSoKR;W`y}k=Q%t% z_!-%8$`LE|o>8kE`fu=@GxgGx3H~r%j1*g!$;1t@0w;=RJ_7yIC!JJuP0E>*l$?U} zq_b9zmLjcY)zu~NnxTWt?ml595**heu(6mindT|0OyqL7rnx-HQD7L? zbgFr)(dRT+?l@VI$=n{ulMY(C-QaQj`f8cwB(uCS{lR@wZ&MNt zJRjig%5u9?7!ZCP-}XQD0>Ym$H;yjzIxBf^;S}Q82stgiPR=9;jws%k_Hr{B;jRjKPJc-`dV+unWqXrML?!ekAYNG&w4r-z_2_w07ZTrlm~@ zwR6gHR@R{_Rhz`Z#DO~S*%w2repR3tzM5S!n{Mm}P@=WMHp4T-_FiuQV+*F6BNf-q z?Z&5)X{1y+@9kK=Ny?&6H8`>B6Lzj42J6aCw)E0TK(N`5z2Oa{X*xanjB3iTRK?kfyDOZew4 zg_dWA=`QD-KThA%J`a7Bpc@w>qKUzEa}d+7VtxDII*2|VBqv_IPJm0TxiMv>?7WrW z<0jN;mEYKHl6md-auO0OpfVGD)U4{DV_%qkf5K5BooRW#oVme%e^ARWRmoGtc+>-= ztf)m|;EuSMI>KXqL>AL)=+rH)`dt~>Kl%7A)3RHHQ`Whv_C(CK;OPZxJ1F#>@z}>M z?egKi;S{9V2!XQ<*|HwL>vFAV581BC{lw$^%*rX98-!x>F=bz4`dYa83HLU1)ue#p z1af=-TO59=Z!ziknp#K|R3uktGLC8&EHuFo9h}45FXA(aB?g`%aQjur5R5OfpZL%) zlF79aSq*+C)iU8%1iq`jUHa<}To+UUF{jsfH=c%%tJfS|1CR6_PzF8bM~^k1p}$x{ zfL)N{5O)`?AQF>I3Jcjoen!q-yAA%Xy6QhVWMe^5)hsOpv^hJlFla71{X7*MRrZJe z0b2%X4qU4j-E_(!eV0DVn-W(xPAD#vzO$odtNh9t|6@>j*EG5wz0`*jv|HzFaGY_oKFrVZ z1!sT!98e(K8C?ojB^0e#CwvYP(eg5xc~ZHU|G`won z0?0POH+4or*Ngl4n!8M`-a>9h0l9X!mg(!c6P3Y%;Drl-7c&U1r#ek`X@^PY@9^#N zc(>mD;vHyxi>2ow#u!lD*Az6@JMLjB$8T@!M!BM;KFpqG&?->w(<)%wx5oWUS4RfK*!d7I^uud^7 zDoKN_<^Pl&rKM0*>-6yJC@cL4-q7povv}5dIXXT`ZcV!?c%pzB>TQIuyxGPbmQYu; zg3G&%-;#V>UCRBeyF?A&|0gNDVbDo5C-nL}(U`^W2`)_wT{fR)j=KQH?-XDjceil^ApUp^0#-3{8LP0>4AluarS?{q2#O+aw5F&6<~u_-OgOh#+`%vs~`=) zewhkYh*8%VY`BmiIZM_UtBA?5BRCtit&5YwP1Y~DAGQD^~$&4ix8GJ z|M!AKe`zvzlviA}g#KJWg<}vJ0N}`j{dO}0SYA7p{n7&8g!k4c3n}WEaGt zT>?c;?k)TLy53h1brJjLx@49|lJ9~Q60dy^ma}$B;5u{$5j1nZ;RB>Kc@BYv=MWDA>LQJw@|u7#%=VCZ>!Z%0MQBHxNj6X?p!H|fKC0E;;1=UykLsy z75i)?_t781^3fXATq|S>ihN@aO*?dem=r^T>HD|)=RIaVo3!g{^k;eX0GH2A5+&X2 zKi}0x{;Y|F znHN^XYmJ|3{8BgcQkvkIfIN+IW3t;dpD1mRO62lXOxDC=G-yf+#x>La(H??IqZl|?# znOZA|@SDotfMT!p;AdSmGB}l^Y5h~`F>ax1!!b}w=Un0)r!J(iJtT{xYNxMS;9h$P zqG3dFx1-q(HO<@svI_U?CqgedAkOPg9u6so@-R6XS!w-GwiOyVHOuEM(jmRZCg4Y^ zS1JgBdFb)F_T$3L{oBUM{h&!h(su|b8M5A7cYhCYHG+y?oyU!HpuET0R{U|8+$ng9CkGwI?Na$`lClEGCJhDBZ{G{ z5oCE}8g2yd*nCR)T1q!XeC&}#P^;hXUi(J~Xb%3~MxwQmF$l^}2%xbDiJkEDYZ0elA01}dEGpT{~!b9b0=Hm9q4t&mm7|+Z*l?dte5pB=fiywmb4HBE? zZhFKKAFKZakdSScn-3)-bt|cq-Z+%Td$i3teupLbH!A}5It}@ZCHsUD>4d>}MEJ8C$p+em1)T;iLq>zdO&;=Qw+-J7#+m zW`z@Gw-RQJ5@z!fW*^Engy-o-ZauO?ZFpfu`R6OKk7eKzJxX9k{pLdVb8QFQM7T`* zQC}6S$d*f&z6IJ>6o~n&u?=`G44$NF|NWJ8yMPaWI-pBr~P-!-%H0=e1* zOuf`_p0O3Cdr3_i6t((HC@S8kU#hAfuHy@;i75bD+PiBg1qrk>`#-e(L(4xj{X+xM=CyV`g*PGA^yGpD*p=Ub zvm*p6O{GfaOu337=ZwwO4Y6Ze3MDK2oJ&H=l+N!Nt4V}|qbupz_Av;Nsq zF2Ns=G4SZj5O$ttE+~t*TU>o4F5|yhe2Ty3vE(^n5`BBtZHvdA@#LdsJW=JAF!fV| zAtyI7nS*|5`fu>5a>q_YvoH{_Sy2z(w2;goZZyG0Ty+seTm^tgO`)`|q2Pr{m?srO z#MORh!2yWHH@FMHwk%sTw~(#}n@7=ppsm#?|6K8YkL{eBbE|DX#bZv8zavh9QsJ1H z(_Co>b`Yquo4DI!kTV_(*>G8Z(y=~V<$8wa>V&Ni4g=F3_B;E@61TTX<(FGO&z z@9{+%?~J7vMZ{#Hqp!2YVC4f6^QR86hrLt;>)L|d4V1mgn!;}LIS1EkYGt0h`q@6l z>Sk*2v6CTCK;DUb;ETXrA1-ecdSKwc#7c4Y-_P3v#VK>UIQ#wz>1ciR{i0O^0RkNj zKGN}POUg6Fzf!yyGcjl4{w@EtU6En7`6L<9tqMAQY_P&*W?i4@n$+=rY#_i8gXEC; zVz;{?gJHS2%`S=n{Rwl}cE&9{$HL7N79U$n$&BS{-I%@nPSR|>fd!M<=k zKA-QQDI31Hy~lF+!%GjO>?cA(xf@OgHiZR2qBhf~Wb*K%FGN>4Bnf1OTpU<5nZfit z?vJHDpr~V(A*^^3ji5mqn+5^Svsjpk{1wTk9^bXKo2wC{yAJLIZM{W`1(ifbw6&8# zA2}B$2}etS%Hlx<-F?1r%pk6f3{@$QjiB5G8~%4f%&HQ)#yOVa8}31m5_~=s zdSKB_AHwf9Vw8dnQNG40E(-q7>qicuPPC-c0EUsGhnc@4sBX5XNn+s%0`=H}?KM7) z&e{_&W8o^beM0B)zp57qy)0wIJfiC6qVsG!M0gXz?)j25stGFvw9XBhzMf%~4ct@z z@|?(ibxT#_4>0|>gM3R-K&cE*oPE5t@4CyVq?b`jWEF#E6o6pc$-xz6q>IBsb!J&t zku#x5Bv5OZ+MGiZwEs?;w#MJlI-$9z){qcZ#c5N0Lt7PHRfAW4rTx=i-|WmO($1Pu zu|?l3dkbYDS6VSw&9k2H6ZUqS;nYaPVKoKr6Sl5k@d4#1>3jq6ntZ8U^jLE1RE(J> zzgQK9?&Ojqsb0M`+_*b8iCjj_nridEZa)s~?by89xPEr&6Dt}$hF5WMU96sbvY-j> z@M+`X={g&g_UpJu8t~9#Iaz9&hTQzMhr`_Wy{da_byG~3_@aW`qT-V}9U(&zpY~M^ zk%s1t_Bkb{PC-G#ZIdR)jd<62nCwP*Nkvbr)1PBfou>D^)ve(SgRl0achhwsK$&Ee z=67?kcGmX7NYfG?dV$|MUti!S^a8JSzWUqEn*diy)#}|*G(y5pMu+qINDLkz3)imP z%;doOPwT$Vr#Bb&blZDW6y2fjrC93Yv8m~##X6!ks%5T7`zrCBa~hRDdAzgG3?W#k$3s~fiCXu&jO-J}`j`o817(5HZ(0yHjGq0fz?!UX9U9qE?2nBBjmxOT zNYB`c^xa+IJCCll0rtv#zajhmM_@2OqXk!J@9>6uH;_D|P#Dt3+V0@|gK!Qn3F zIcp*YoBb;Gk6#XIe!*rBr*{SN{H@n}Rcc=H>ZWA5%&EqP%XioVY9jCQ5C*q8!z-mMKYVJXzJ$t3!1uv^|;qN$$Iht*aFKezT z@Ld4J&bY>n_{am$2V1@@5J_(M4UWtT?&Os4< zPBmL)z_wfvho?lqcbXXy_55Ooi#12`Df`NF-9F)a*|Ti)a_Lctm!d4~J06)H?Y#Y# zoP^#rr4eJFspbnd?7vE-`^~6b(TFBTAD;O|qZdE6hBb`hTlRhDB?|i2v~LX^OlmxA z6@53&JBL#4W&ineD$o3GN2L{Iv|yP}+R$-67VlUGgBlvd%T&3gNqCeWB=Eg%O~fKF zhQ#%jv)&-DR;J-8RIu$UgH_uZv5O}$Vuwao|BN|raSDBQeBbmfKl*5NM`_aYM}Zwl z#(_M2KOvY=?i?Jq6|C1>tYc#A{J$(@${C%kxZDgM`*xAAM=yL$JZm`bPV~ZrxgNxw zpBPv*y<z9|epkCg>hU0#WwPVm zdwFjU5maeDxcS0*Tl`z-gxm*JnnN;ynB&X2Pqi2kIo}VeYWPGCAw;5zes~$VX{}h& zk&VJFC#dR;AVZ_voW7JyNuZ6jUxnTyOVY* zJ^hQn()`wrM8@uSkvF@u<7U2kC*GRdtTgZ7<}hvQv{cEcqZOYgzg~-H3q2jxBIj+6{c>Ei&d%rFPY%c)PZ-)=V z;YKyZn%&4>ypRqOSP^a%`rD4M`7_f5*b*(Zuw|#v%YEAOj+a+J^n%tv~t!aTsm`HF>8nD^m8(S`++w|5~0mI~r4Tti}Jx zZFR=vTWw@^TD6vGvu66%jkiwSvx&}|;g$v5)ag|ho*zlg}U-pqlphi}gQdJ^mW6CtxGFHq3`9IJ%CU zx23c=#BDLMA~`kvCm?T2%|83e%X*&!JG z6VC3{&K08eT1#+CoNh$`q9%sjJdp`cf`86h*=SO4~SQA z-+^PRN!SX^%Q?X!G+?f`x2-TjXhkSOG(zw?^7<{)zms2xXl#%GyAe?WxYTRK5mQd7Fz=HUHx>}Q&{xFEs?r#h@6m2%#1sWPoA=EiB3fV)c zj}Qq6``}5NBOh@z?$GukmpPJxniDpJP@`=2#axPsFy@g%H0oE5nkGF1D&F710=COpsuzRlo&Iz(qkz*@JJb2)+-m<227ivrnYs`bxxu5LQM--dBM0v){tgpu_^E zx6+pxz4|1fXfCS5l%VF3G2whKbAk1PoqcwyLn8G~rGmchu*~NsMcK|?!=pS~h)B}5 zubnr}iUN*0c{}~OcFnuU+XXC{pR<+t#0Fv=(CiiHf6?@~?UZ8+heQ18M*M)gf^_;B z%Y4J30&|MH`tljL(}Y%vLx$)Z#XI~Mbg2I~xK^hJmV@fBz%$3zK#&CLxr$J6l@lCR zV4|zeVGlxn> zT{4e$<6H5Ei)))A-Bi4ASrhsS#Ukn4xJ<>* z(^uaW+$Pp+Z7e711QZ2(7jY#Cx}0t$OU!_iXeZWp6OFY8;S{t7 zCB(D`G>4`Bm@SroqJf%8hmJkRI~m+Kp8_dpl8i3)vjAR7J*;!cSNc%T8MVu($Cq=IkNf z7t?&$tUjV_$Ivage!PdT68)bZhNJp$AEcuCK0J`1fz@XlI3Cst8#r3inI|&}A^YXz zrS<_ZF%3D*eue#ZCl;bRjwdCe(Dm7*k9ma@i(W3X-GrcE+zT69vO-Bqf48pe8o{)$rHoaXAQC_5QwuQJo8cQt9jM16=p82HV&3(c?`$p}) z42CNvK0k`Sy9Jy!-;bn)Y_)C}e9i2!0{ONM569j)zJFYA+ZFtB&Sss89R;0wwlWs6@fewO!L+nMDD0U`uio=D)`KBdlGr=%Vgp>_VP?27CWxa{hr0LEsh{jl z_yh4`jb4)XDn>Pg^|ySOKBy$fKdqO{3c&TCRR}-@Nqm74 z%#vbWe*{asjw5{W=zNw^k#Vg zqa>0Pd~H7Q6R~RITI{ucY*zdE+cw%Z?!%+(@qQ>5A_o-nHT;)kU)gLdQ2Y^yNjcxX zBPkFiPu^d99TP;}c&_&1Kh}84Kh}@@swKN-W8i$xV-yZzR1M*oZ;yG19P1}~T}bJJ zs~WD8&i8J4JP7!7CqrUX<)YYu_mTrs3P}2+E| zxG*y`{CTtElBDoB)Ot-#Hr7l~k^cxXKFd@RJQEJZxA(8)Mst%4ZyxA#vWvKw4 zaDB-90PmYnN^u@@l*holkoW41pqlffxkeQ|71U(wN`DJN%(@M(Oo*N||l12wMLEOn*utK>aD8m)s?nMN}Ad^Jvs88(&IaW3(p2 z&qK@nxR&TS0m*SIvL$!GRyVeDg|Mqou+55~4Y>^Voz94bD`KODL5@1nUtgcbu+Pxq z%3>HkanCbY5z{&rqr`u_QQ2Y?cHC_RC^*2f6NUX|z^|*Bo@M756T{kAo`e~Ct2h(H z7OKjMlO1fjH~hPUSmZGKrQvW@f!y+_W$&jH|5aIGCZ`3}HB_p>CmOmS zrkk|W@7Geh-4Q}815II|3DxP1xMUOL*CCUr4xpyA!L22_+#UJqjXKRsKzaNjPasT0 zl{Rn+0ed26J`QariL#O5I{Me(u2R91*bJ-qK&-5vy0V^%G*~RAJyeA7)E?LP^aQiI z<;Fp^K{60yZvjr1<96sLy=N&=aF{RQ9YDsv9x3IH61O2TgwzM$NZ6<-*A5o9i&(H=1f11$WVfe(^Gzg`*eB%(GQ=SX$$ z;eh(2-W4aj8l^xHiwM4d-9<>tjrE16K1R#l9^s$C$zc@pPz%K0lb>y0Jb{-L6q01V zF+<}?8N+6GkR(I- z_9#!1vbvr_MG(0F5mV7Fmqv(J#rGm`D+F(T%;bY2-`L3Pa+??o&4u z`OoWR0x|9kD_+?$dS{6drYzQx<}r!h-$Y$LPQ{Ilh5r+Hr;$C`R_^&~r|6 z2qHMC5K5AAY!2W$RDl7T6n5I(!D);{t98OOlb@a|u5E~hU_S(4tH6WFH6SpvJ;_j! zJ+#>!&O@E>@|_b_lK#;5ZY-0~W*=hG<2^w5(a&=PFquZMzzK6)4}e3o#o~35Dnk;T7&!Pc*;;A=^6B?%f}buPDC4m%odbrbW~0 z#ropelDGSATCd4qt??uc(}(uWrG?;fje`3r>tVsx8W8i@iyejJd1cuj4%lm$iTgI+ z`nJnb2`(bab3Qe3R{2(B@*<;F}5{5Uvla+6fPkXV2rzEuF^jH9N5XumDVQ1{` zJEfQhsC8+M(i**(1_GD^^Wj+OwL)|uf1fU?7UldVK(Fdz;mbbZt7FwW=NACU94LPj zR5!o|)xd%#JjjhvBz!BNOmb}gkaE5tC%cB2!JeEM=q-^-c=XJ5*kdZP{?`xe&U$kF zQ=opFGx^vH(U0(2I{#SDhlo5ml^B9JpZM)!aF%dmUjr8)vc0O84V@n7J&+5pp9SE5~*^ZnFYsHa=9J~IBS^IOTzQUYWQ z1x&pZ=c9wk+u>=LYnzdtE~P%yTLcUg>cSsRuT$>KzHKDuV+QAk^dX(rq!>p$q|1(` z7{@qPDfui^<$^Q6&`}1i&fiBkmlzohU@tlEYDDD&9nXmOT{`zjD%(MjZ zxsJQ*8QYrQ2BR_Pgg4!dJq>~)FiY0+HZ>JrKm`?*+>!x1a9bqZ#*mL}(N$$c`~cp7 zxdR>7&d^C_gnS&Q*0~u+t!odo?22?OQ^E{RK`si%WrPMfy7kaRPh$*u;oEAcwP(}& z?pQOaN!#BWT&l9z$5C=g205=mwl~hXNO>bGD6MDHcb&Sypyqg<;?6~i$Z5tCHGhwv zAs@PG(|>Rz_45p@1RHRFpioq`lR2h*!WMVxToJY%x6xfKf#meuH3U4C~#dAE3$=LjW@4;9e05V%@FhY zZt}vo4# zPn&GgwMxnI8@XGX>=p>He$M4Tjwj;m%6Rsi&JwPuXmObSP97L&9W_kxB~2q7vxNe5 z(W^z~%si2KcXLtGa+oHcmq6mkKA#qKgq_E-o9nuQon9*FK<1O;2KlY*3vwk?c@gpw zl->!c1^r!?dcL;y{IwD-!7uq0yb##FctfVXn}fkM8b{8l6-1}65-YOi<%Q$K8&zQQ zC*I#r9_5J^5Oi>_5&tgGz5JNxCDQ9IVz0WGIT8I-@ z(?`#+Qf*h&S2%kC9ov-O-nF>YCMa+g`4O*|_r#tiHmmQ+F4^YT*X>R84{J!C>n0rU zwT?};2r;G#1h!T4o>&dOB;NkyIUkWW%6kGd)SRu?o(=UajxRY-Jb#RHA3ruAknqAG zsY!A@`;;+`3bCgMJZF7V)zMio&J#X=3G{j(KG(0qeARtUT^Z1NJK?!@tsmFeg$iW# zL0UpU$Q0^JB8otO_*3mdOTF^j?z6%5Z=3X0Y@Ed=wG}s7u9}5Q?&F1E*ZZaM+M1ek z2{Mx!ul4IIk;SF9b>pAcISXvw>iar}3W=Ymj>)?(yMRWU`-j#dQUzV--n2P+&3Nm+ z4+or1eO*UEl?KP{DqA|a;DxT-ze45C6vA7;?G(={1H|)(+WnmGT~)JX%GTZWud8_| z{VI`)mj+&g6D`4a-m3?71sZdR%pU#;`B&%7+Fn&Tn4tFsVdJwi{iU+RhWcKzs``3N z4YhWfNAR!0NzE7Aua?VeUCzoYOG=UXIH^_nS~s@@i;tb6TQGO|r+h}MpBDY6y%PPH7cNU& z1K_wXwXQ2>t@Y_B3aihEo}9MW|L$c&f`Ien0g6VgB8*>qYAdz^MOf~1M(xo;WFeC0 zozkFXxif<50^2t#$R=co+f}B~L>78!1XXP}sf1qoclUK3>+}S@D2M#G8;wZ2=R7vi z<2S}Tr(X|tc*n^rD0;jtG6txc_PI7Bw#`q`l6kdu5=~m zt)hWs`y~(OU%3L?6ql9`PdOcTl{`np zrIWU)U?$#$*=yY}xdBUMqoQ2cr9%1Y_?$o1PvTa24kB%hjPmM8M?YDF^72^JfXgsONpbOz!TIrkA?uB+MH9%G@87mBDBV*v->bZ+Trz-nBZ3 zWAAkM5_F5x@>-SEe^jC>zdVI=6z^T2Om16c)Vsp~o`#dT9RhOjNb6zve+PFnNN8p| z6%DMHP-`;SXsXJ=Nxs;we=En!m{?oBpTMta^bIgLHPm+XRjss-Y={VxjWzz}0%Ds& z`RcEU^2Ga@NWm&lQ+l>#?W6dJd)f3cyi6Ku^}o9dlvq+Tq6<|u+s;2c%o5%ip9_p7 z6`@1eK0J`A7hix;dfyDotAL7xG!a1_e~pQ!pEX4)9`&=;dPAJvd||?CaC$#e+eV@V zMuY!@id;*(yECO_eeRD}bvN(BhzJS>tFebwzo{0U6hcCVXZA>TXAOui19 z&7+i-yL@#Zb}nn))7I7RAVfCN)k-u^cgmayRNKJ|TWi^MWsV%X3VF_-C#*q>p2!Vo zb1%j6ckL`}25X$=f|BDG_uF1Bn1(I0$z{o7S1n1)RNtGZESj>LsoUDVO7PRZ7&-lq zA6b6LisUL0ZN(q0I3sunj`PQ*R+tH9K;M;&VpO?V zKm<4X`RzbFdw^I=FEc(+h7cR5`$CA9k8TzhDPyL)ka~jkuQrIy$@PKH=%(zOGc}FS z@?dZln&hf^>YThm6fqt8$m+C3lNdXkejfVn_T6>H+P@T}owY`Qhd!PF_OABtnzSv- zyY^4Z`vsBScG42vwX6h)KJmYU*3_`P>1SM;ZuI$xjxBaeWLC|0Z?Q9O2WmTZ-9Q7j z#3GzX=eMTfhV%#lW>@>H8iyf95X67!hbP4~Z$Y5bEIHgI`~M|^M}%W6l^KV$F3d&{z-Mo727xk7c7#H&n{*573$A6%Z??knBSrc#I0DLSj) z?S360+@OosI4QU0wF~aXiw~w>>OG{Nc*{PgJ(R$hDfAwqp z{I*8D0A6;ZzspKWP*Ib)X6j(m0%TP#|4fP+RTOkutl6NO&#A&taY$hOo&Pe$e*U4z z4U`ejGNB+A8#R3&gZ-lO;Tts8Br^mBl^?dNYZ32KhziZmoY38p&>ffZk<6cJ5w>#g z3dh51=2p~QvUwd2`v|ZChpj%1DZ%qWeWwU@P~4ap*WFEb?6VkEpy860KJJDqYs?2r z6GdH_Bn?JtxhKw+KI;*3RHWn4_s^(t8r+#bJfnvH+=)iyKi`^^2_ z3yTaYfrEIRV7Punm^Kl@G8^jt;P#V$fmQAb;afF9=CvlJ>bbgPE5{TZwm7*BB`QH4 zwLI#^Id+r~4A#3!4@V*g$3!;L z{?wD`-4(6JhpOkjTQe%?2P6|m6OQ?vIo^5p&3@DI-+jA@VSBrpt^TltFZMlVxYOB>{v-aFjpSZFa<0P*vRP2*P5IScUrI_? z8JLU)JO~%Gt#u<=_$q6>vpL7+>r69upB;1MLhBz)n0G{{hovcrHjw(e*kgQLl;)v@ zxJbo0!WhZZ)DjK0nKzAskeHB+gpk;Xi$lk=OcW!sj2T5DN;sLz#bF3;9DDo=W#B#h zg6G_ixnTuq!6S4`ro$lvwjSH@WFKYb+Zg<5V-#7ix;qM1!gA~}mzA%xM$|F46<-^} z;Qg-w8$#3DFe>6ePv5^)y1%90dPjoAJTjWf?en(N%=A3Urft_p+}XGQEV=0Q1CBvv zgzgxWkAps5SZ>Z8S=?e&#{m(guUy-I!(!Gt*jK0HLXq-tjZE1*-lE>_kKz_12W}#j zi~*Q?Lkry)#vducnjYp4=Axv^viJBVu#zncTbbF`4$5i9`1G2U>{r;?jM741x+>cEw*;bCWY@8|+~Fa82J?^M+9U+6#Hu-ki}ToKYo$Nuu6a z&|ZTG@1ofbltoGRHGf(e=pudo$ka|inOnjsuNc4n2Clo_&MyuuB8SzI(+LRn# zQS>zEcXH5RGFTX^X@m>~Nhg07(iaIw!_7EjA>1svLqQNFB!b4zgm#J~fq^NJh$NAZ z6(z)u#?OJq{}qj20F9pxjUONDoz6ol`q}D60o|QJ!$59@)W{cm1L+6yqu{z;}0IihOF|f zBa$ZvlX)YkT+k%8ufmXOdIsUJwf!0psr?^BL^~QWo@PjNsVTfvKFuwg2!E3_2!ZljP6Lg{Lu|NTHB!QPjB`~3^~scDaxh4He=4C&L zzodW4#Dd}fg2vB>#!rjJkBh}3IUM9{mNg1HaP^YZ8V%!yhP5PI*H@JIS%N0+?HJ<^ z#Yw>mJ4a)o_3p*ZmmKj{2V{r*zd!+`EsaUyVoLTp* z#D+ebNr@0d1^$AQ^Kj}v2|I{6P%sBj0YK;BRK~c$)P=%IcQ$j%^y$%h*3u?1d6A?yCkdeoR;wTeHQ9uW+buQc7Wg zq^7Dp&B~~=DZhY;|Dw78njZ({qVGdz9O4mZhNOU4^O!IETEn4~wKk?PMsG{?Jn|S%}S4>H?%b5Vm;v&`$ z>Hx}qLP;U?=iMbQ#T^d;1cW=~P>vl|3%&0pu79fYx5lO$oaku;)4YYjWu>dX8w%(yP>Z7D_g9qgEZ2$CP_DeY6GK~wW_clJ{ER- zXC21jLnUA)92~e4(eb8jG{nx#=W^NAJ3Fe)zlA#twoADhso*Q?oG+QQxZg zg{HouPRMc8bj%QQ(okK@P+j~`9z1EoLKDsL_0U?%Z*7d5Ajg#-l>HBhU^c5PV8b< zf)BqqlZ%VRha#mVkN+4leWBW;rYWxYfR#l+f?d|T%oW`;lrRP}uCRqvG%~q~G&j*f zLZ#oy731rKb=yU`_b3{H51tGpoZFw=VvZ#@fsy>1>~EzyMj|X8v9)~=hGYf6ay&k3 zOm+~$nmbJL{TCpT{u3os{z((`gUKpHvQu~inJ`s=j*zW|#D7^CVXV0#7eC5kiJf~< zNeRG*2>KV)x6CJ2CKe*`*`!eOg2aIAFHB5CP!i`en@~Rb*ptN>^m2FNyd(6TgTaI3 z0|MzhVgPtulypT;dzLwLvHMOt32Uxw+dURxcau~rMbM|*dBvlnn|cMJK1AiP_V*&y)LmGIGByC3?{ooQ0<^e^ufmrI{*HP zShOC71q7@nV37+AC17z(BT}2L#chd8aSg=XM4qB2vCTrafO_fucdtlvurSuJV zcKyJra0lLQl9GT#zq4}Qz4JQk5If#hQ|^@@?DlK9)``6oh`*v?>3)(YNd3~dD@{t+ z0cKxJ%KSe8FbU80O8foqsQnVSdN=$ki1>97@f#qZaowH$o5PS>g6-TIMBElc{5FXA zT@dm6AmR@}#2LYMzWK#Yx`TecX(Wk;TP(JK}KxZrJh#*3RzZdpeKrnbFZP*f%`) zq>j$sr*w49=qmC7X9Hi__R6;)q#F^rN33t9kDa+{^~&O#Hz_JjxEU!|uHuQWtwnkZ zBD+1?`@{@i(&B5xeG5mI%pV*ZS~S#;Tp`#ya(tE>mR1%j0FbemGwoGX&8FzLJ=aV^ z<~V3RlhM;NV|H)vtj?L;-7{zP9@jY&|9ZQ7XU&|^J*T^{wbvoIW5`?a^$I>f9vg^{ z#S-^m!^iYzSMlZe7(DHyF4Wa?K@*{P4T#};+NhxqI8TO^-%O8dD`bM9{ny zV9R-8V`)x5(s21E&JEI70XFdkM@v&%OYb9SK4oId`Kmd?UWk_<_T9g26YA2*jO>$* zR<6yvQj2MlhUD1#kW7iQv`u}yp?V*mublE^T_`WHG4w?B{R+kjHV8AHT6t5Qesb$J zzAyZMx4R>>d6ek;kEg))y9)XDK>qF~kM9#j+xu@=JNBNQq&D^0?JnTTbvS6NcJO__ z==RDoLit~sc5z58w1MSV<@bW_R>p4V|NX`ew1+LX=`N_p#%j8svC}c&#k5HFMZ9$q z)=+aiD69i9b324F#}lXA^Pqc(zg=Hkn|DNe@Dx5qFO203$49|pc!)9QA!#hWjN|D~ zxvZR*y79b(^>*&H)3z;KW8m=^C$91bqU`?x00960cmbqWS!@+m7(T;hOWDf4)`D0m z6e)`oy20GJb8n~IS(up%ln_UyK*6LykO&D%2tk4|5fox@#RQ=VKB*{)5KK@Y5(6#| z8XkDi;4U%o!4!!8-#>Ha_O{TLaFR1~=bZ0+=ikq{o_JLB6GCkMb^BNJ5|z{&l8GR# zTY+w6%^;Og)3uuE1fH+@S&s)Rwzh6rzpiynbLsllXX>$x+pIjM`83v*2_s2;nW5>` z)u8T6YKKxO4KDtMAIJdY+NC%wBQM z&Eu@PBd4mR0@Y1t!5H{Wj^3S3=kCi#gGU`Ur7Q5#r`|bAFw%XAH+Vl4G3Ogw!}+c_9;40Qm#2HW*RgFyI>wp+*^FWWk% zkgzec5gQMq+^U&>z~NhM_>_jApfda>RxpIoQxQjL^9vg$=#XIob;y7s(bbW>sEkU? zIMG7C-`!hr35y^MFKRFxrGyPT?dNYVVyY;b3d@92BPwb1#Ee#x#$$!MZlu(*BUArn zUj`7i!UmE286)AUZd2f>MlMw9#2`XFzH-NVSTQ78p=E4sNub#|=R6?x)7-{An?9pg zY?%&a2g9sVfp~&`y>V>LFMa7PH3?;)0U`QRe~2UW_LqbAVMJQOArInPlu@r2^XOT( zal|c*NM9s%RE{8P8NO01rqRr#f8Rbkm&_vr%xgj{MkxdrD?`Op!r5z^YL?Sm#*1aU zW+&iqCUjFb&a@J69Cet_)XjwMc7hIL=s(B$AA!HRNn(~@ktiip-!li`|6H`#mged{ z61bzdLpWN8UTQfGu*|W%@Wuq!lBtaS;;o6hU{&cp&U91-#^EtgET-p2*Q=W$ZV7d0 z7P&7j@bGw$u#-(RAGVQf_;CwQp2s?A5!GRl!({Vx7iSsck@jOaqQ2Q-ZNZQfDL>a% z(#&EplAiA$y50_)R5RZcDyvsm{}R(n&*fqGbOr;r(VPG`Ki&Cx9et0d4n5PZoef3i zx*FCsWt6C(`B~3js60)|N!?(KoI@gLf+I-m-^_AAZqUYZM5scRwxsO)r#l+!PDUnz zuniDz(vRX}#V_IpB9D&FOO%uHRpzj7(4iI9bs7}{v=uQDRYO`Bfy|K;qLpbes*O;Rk1uHv;*l6pO$ZrPbkrDD zI@(j25n~{g#3b!(f)2=tr#!}hN7}=n>qP`8ivjNtixeecQwBwdBqM%5RnbliaUwXX zOEt;YT}5X#Tid^eE^(oZ7LVmvYO}V(!nytFS_(a5 zFPQ)Vw?SePz1Nns?Z$0I`cQRUwTUl@48kEfm=E9DJ@Zx}V>g%-_484^O5~`=ym*)O+WVq&)@7lH&>_};5Pc+i~HPBSL#NF=>W}f^= z{4Vl$@IGqMy=!6RX<^AdI%{#?`;>}O;$Ap?e*r>A9^57!X?7}C(b*lEL5MJfC-SO& z&$bkzJaxayQ*1847k@jn8tdiBa;H5Mhf{Xjfyya>=9N>;wtUsFqFl%H(w_a$=}fwJ zKe)Z~!+q~FiIe=5(|eA-PMX0+rk4(UeT5&;I_hd5%E&!1^I<|cO7|r-IUhcEvSkqh;rMwIG z-6|?|QPS>a*X|}QTh}aI*EC)HwUFM`DM|L)E)y&ez2q_%JJLE?9JEj^*<1P zXq0to+o7FRJGE}2_JgV612=Ff{3u51WSQz96T5!t7}kjqnf{0v zQtAbnx>y^AIf?386O{h(m>g4+#2W*%9m!c82huvd3YVoG!intErxnexyuB)X+OZhKprs zpD5WlFS={J>I@T_{{5I~>Jn+SXfLXRqW6mc|Ct97n$=v^}|0ZTF z#?nNf%mip^G@*TO|9&!pE&VfFfeCZ}VoZ9TkADACrDeH%^nr8AWM;<6&(xuR$E7i8 z$~8gVYcFF%Bfd8o~UXs#uk zDoyx`xFz!W`pzYieV7SpdGwtkHaYq|Vw`Er0hy7QwD&w>PEDI;{W$&IG3Yb|Qp{23 zaYqM~drUmSPC=Vr`W0i0X^^Qma$6~q$>^?gHlOte$NYVu`LP?M^hbYWHLIMr>E^f$(s=H7d-ky1Ur=-S8E$`r##!!UT(Y|<2Jqu(G4OXR2Ddk2pkZ~?w0 z3%;K;r(uz58oXm*^Hx5~FcC^dXyk)sE~Cx}*zUE?{Bi?_5cHF?eFo{$X4YmvGFT~p zQtC49?3^uKldUe- zw3Amsg1#1FjC4KEe%cG4?_tnwKMAUOvQ`2~OR}cuA?un=+vw85FpBt|gucU5h3}^k zDxw3SC|8xt2(&gw+i@ckrfG?HEcJ*ov_)R|vOX_PH1i{(w+aoZD&J852L}*TOLi)k zhF13UlIn}`QRI5fGiH`SQ zm@*m$hYqe|)>#5WmS;xT&x}}*|0(RrxSkZ}!iV35ci&-yR^PLc|0HP=I{kF1It$s} zp(MwiRmWRJx-Aw3`2RSO<570F)6oB2$sx39l(6b)ws&EEf+bdRw1&2|WM1JSNnt ze6lT5tFuo*0= zNGzNB67;1#vCGFE4V%J6WY$B(v;G&;&Eg0*w489FBzLh}Yjv)(g-~w_P@&IMH6UDLSRU?M0?`-przXc?k z|13_DWJ@FtpugBTz4}w(+6Pp`KN0B4LNoID@m2_D1I_48c-CWN(4ahGpjYKJwm7zv0Q2ZV#;CVa^Gx%1q!)Ouv% zgqVqbgzitO)PF|C`(C{aYIeNVChKirS>FPF6R9D2elb?K$>`Pf@FJRdT;I9)e1N_X zf5a6vdjpvTX=Y#z!;H+jBdd8MSrC8CLU?a{W-jY7Z4tK7$dA7U$gsIiRoEkU4GqWV z&2`KP-NXsqbKo_0N3WY%2Wk%<(u`2hf%c#+6((6+SWMSECJ)pM$NFOsCqb7MI%CV#l;Ez*M9xgw%UOK=Q6p@vPA#>Cqs4 z>UXKm5Kg{KJfzxtH>W$H`}&q;YDH{9th(JqK}tdD5SFdSx z-O9t7kOZUH=IeO_C+XrxEBIoR@h;p0%gDzsX*BcoM{*nmGh^CI<1d{n6w82^7}|wz zA)2@V#o>=i^lXg6XG82=hUAQlnxd~a(0P`d{dlFOLaPf2#9Jx$Q5=_k6q1YIQ|zM| zqN_aJmn6CH`*&MW$Yss^qx5Sy$&56z)Q~-21~!jL(iNtM1y!)iyJXbpp4y*YAiZ)z zx^f!-2KlHS*BG67x!*vro3CP8Lr(|IDIw;>9~){s>?ps-Qw+8J`>r|>nZv#5>QAy8 zhooCfadKfVDDrk(*DY!;x^zSw2}AG|VPEep4h~FNxFr(lR1mG}mI4PB%XY?ANY3A7 zy32R>BpcBOj~53;Nq~N}J$jVt!Z_n4VZj^B>~;fR>T=^;z>(DNp4#!AD*OPy%M~3f zs_Pg!$1kTLQ@#a(%-Tk= zO!r71L@>JOo?E#wv4PXJHAh~&IXdWiG0(;`U!aBxQQsd z5A@D8BsgDpniU6~UQ-77UWsS2sgI+6Ml+7|@k6FwQ}6p2`H^lF+aYL^UcN6%KL{6& z;;4g5;q~0;!8b`r<6WiQ4-M>BU$MjyB0~&)V_Y~ss7o|!`ndu$&C;HZ_&ZLe+7wXA zN=9r8WE-)73u4>fO+P_duTKEM;y2i%4aaI^Ske+wH`3X4t%^ln&Y#<1&=Lj=$^XB@a}oGMO4Gom++F*AO9G%0sb@6vXqS0~kFyr6t4Z{p9kFI7G$*=$!yKkird3(s2Y~ADe z2GXtHHNU~~$Qlydod}!BBdHg8`&5VQW28CU_nI#>FrR;D6A6HSL&YBUNE$)jzAz)J zJHzP^dx{-OLm6^CyRTo7*JGJj$?KZnf)H@mVgN zqmj?|2CTTofGdm~55Z5;PPv=U``2PRsRO04)-X1aECI483GI(`zj^p&H;~}yNN`6~ z>_T!ROB^_^<1T|Uo;VQ_{Iy8(K~jmSJBan$wjBq zd{88eaFgK^E4J`Z^fbSLx7J{AV^zq3AF=x{LGyRmNyMu@{i!jZoP9~)Cg^lM--zk9 z2i)w?7WS`>Kg z@;8Lvzz4kZb6JfUjaBVfC&KsAZ$KkUSKa-W&jAtLjZah}@|v|mIVcs^yRBnuRe+4Y z@eYaZhG=Aq;^t?Yz;>2Uq|lbQC(0-q815^W84m0s2XVDOqR8ws`91|_?-Ne!2Kf~y zQG518zY~GG(9AHrd4G=i@6JW#{C2D2D_AE^6x$e=(L`ip^)fnGMn}GF0y_d3%iWLq zecM*`_tHYzoo;uM>a%jgAH7~ zienW8MN8a{(biys2oEKTALp1fgVs?1Sm5qI+M1f*;el@aQ|m}GNI}=g9WaV{^lXxH zrc(IDCq*CR`bS@WLj2SV>w{o`!uX?#HsPhp?@c1JHXULhvXs*&*Q|B~U7TUJQr7aj zWsiS+TM5n0EXL=$v%-r)gjd8n((;%vG`y{>;@(V=R?k1@N81|*Q?=&x<=%kj^|^zS zTe0qBf3v=NfnDbf=nuyJ^k{*nWVyC+rM*xAdCRSbr#oNms{f}HtizdlFpIjw*~zI> z@$_(sx;plXou1NQqend%f8@ah@vcg*aa2X@=dZD;unm>b0)&BnL4KUhjO7=P7*QyZ;?FjjW;4z6QN&byKjd-$$B8Mm)tfVCm8DT%P>7GS`!;-eT|8 z57gxCz=neWscxm<3yIla`*eHlEzP)xW%bHb2=3gvIp*q*r`((;RoA)m_uzumxidJ?zzA3ehnRjtc;Jq)$TY=TH?@TR}!JV&adDR>_!^uXsTW#b%4+!22 zH{JSoH~4!7R;QPAXvBwbUuLB<2uw7&>6{HHm2176P=C%KEH8tuyjkru1<{gd(!D@V z{b=@FSK&86$TV=Hz#?XeH-h?YA`>j z?9lMJqmX9LinbG>iydIXzII4x6gkkFp&=il*)1*4h;!|;Aama@>mhZ^3Vt8{kgI{r z=dze*Z&oOYPA8i$;DP$E%3U|T}B_vy4Y|ld`&-FM6|l;X>ndwF)#Vx=;XvSYWLa1u0f2v zzExy%o8#f8iAl*)DKY(*eE3UqvT5DD9dX>|^to7_gwZ=yzRC+peX$VJX*7K=l55Gq z`}%X1o9lzn+goo%MBu984M8A~3y`G7P~{);6|e|p;i)b-v#xjkh`jOQCRVC|;Las| zgzGfdIi|IJg};jK3}~B@Bqak$p+lMstvoa>R~LiNn2VE3b(EyA5?8W zgdK}LXnWr-j;z6XF3O!F#(H@gsaEJ#$`r2G0$FbEJ(3Y_O*L*24~KipfPUFGyMor6 zdRwxBS$l0H zbS=4mn^Jz@EaYv{Bh+3|BxGCSV~*%qnM#Z$PT1GgYLc|Vj6bic3v4eMa>Z;s9#Tub z<_~(H^;Xg^MpMBqB>O4=WImd^U+Mw|4~MV8PR(bqc|_Q3 zv+m^Mn8Gc#T;LgRa7%6t??}rC3@b$ZHuCD8yTP;4Bv*$m7+S!fzo2OccGb|E4aeDg z2-i^UHZy;x3+!c^Q(?=S=B$(<5p#?X6~i)_S2jn|WhDhA(8ttoO6%y)1| zwM)2sx3YYV?;Yl9^s)>N&IJ|-xeticiaxE;&43u5~@HUL#md<6V_l+bqRX$kyd_bo+XFj(*;2n`ulUc3d^~$xBKfG z9VC|=^}y7eKvw060{CDfZv6wo2>VlW4bH}^39I5HcD)0%S@&~U`_eNnjL+sIg9#&@ zGX>X)nL@FY0!#}Qdj!CWD}fj?rcPbP0} zj~CqvY407$$B#>z;hIqTsp(S@fpP9UJqOc>blN`j%~8u6%U=9_5T{0*2;ZAlFIMi@ zIKaJshOba%-%r#$-0W%dRt(GBuRZ1PEs4Br-0$|u9Yy!3v%+?AvzBfako@UfxAo-- zpM}Vd!;^e$JUISC0}r2H%Wb-AhkjYQd9dR`&7k5gKc7uoDf(53$bu1-IH;KL-RuGm zTwefASM2q3PJV2m$sdkZLv$gG=jd2dL*}-tq{ysw1m{-OD4y+!Uc_)L|LFp^xyZsXp#{ztZe6NKNO<*n@hLI!Ec8Ou^$l@H^vkl51gdPy&U<{x<8L&qeDLzznlRK52Qk0 z-cKJ4JI>CznX-}YIYi4{Fn|Q7XW`kkomhIVRd>k+ZLG_f&^BhaxjbfIYk8~HfVqk9f z#ixM#??6z1a=&wMgXA>O8<2+v(em=xxQ&1nX0H%?v`a#**vZ z`B3;=>RYD|4V7ZUL7eyHAMq#p1B9YR()~%LZgNSN?3uZaop-I9)=m-@s+XO!0`x)2 z_obT=4i~aSyx*qVIX_C>{BP zc2h8fCJ!Y1TL~GFQthZ6F*GyxB(HW0m2YG&fjMUKEnY?+$(bPTrDu0L$B^?E^Q!5A zk>0liYu!}H1z&+ywQh?7G&0Oia@2|JYSwNA_o=8EEimo`z%0J>SMm4@djhxNY=GmQ#c{#RKn`)cKDBXGiUj6X zE`J6&4FT~C?|{>9CtuJXR^d!LmFD=Y^ai z=lv-vwg~K0Ml=3l+>$4!4m;waUYPeiyZT-G!{cH{VS0@A-y4|64akfn1n4E4~I)8ohQgtiM|6BICv3;#N(Z za0WErP6`JpUG;@I#&$Mb7y(sgOS-;?ENd!s^wkg3&`G$V%-l7n%x69c&OXt`lyE##^yX>f?u_%?W%u<) zFIA?*bXozve&((f!MwgVDH5_!Dm`~a8D13*A%8%Y|JM&P^(;rfL(9!gaj3JKH#BHI z1|4w`5$ip)@sXlecK(#2Femtbxs3~OLvmtPqqnqmAfK;!J0O}dxi$A-aLWf+(U8T+ke z1*&f7Bc8v^;{q1AIL!3S>$cByURG45dvLHtc9!RV69=H-u%r;j`BlT@qP$bC!Da)FrPTV^E#O#F!J%ChpIHMry3JT;vs4geEH zs>Oz|fyQ5|QqCOB!#5Dz( zvINDXjv5XRW|&o7%I$4uEf|q)R>UOY|CBS#2@AcB9Cv@IIa4%84{CQ*i5P8m znZAU)^(h0h)TC^EN*0?+uD^WFdqC3n;qW73^s7r%aSfXvL29}aH7Vf@FrT}ymwhTQ zAMENvCKn!tJy$CXCwNAyo8CE5j+Hla)KvMy5~>uc%cr?GO!>(;^GTY&vS_t<#kaKejK*eZfP{z} zf9Pr|JT0cNdO_;d=8`czI>%7~dmBzSc!lDy+)n4~tZi&*vB6T;V$H%s zd7pNoOO(j6wH#iw;8?cbSn_0PmceY`C$4b~-)fTxbM(lh8D@JQfV{9{257;8ws$DK zsm;Q)XII1p8`^w1zNoRk#dKVGKgF5A8DYlAVg7!9Xr?KXZDuxdNo!&$i8DWleUH;# zX3;FmcoZVVinMuuhr{P~i;=2i#evC7{7dJXDeYB^gxf?d)>3IS7^`?dyZAmzTU2THiyzlQ_tCX$&R(S8gC2-LrhHxAHt-q%j}^a8*DJ^6)el{$7wlED z5%`r>0W&+`ORgX=3_@Ht#IS+{-@NR#`84uWD+Oj7g3;uNU7q^k69(0SUY1Lpt=fLw zF*SqFFR<>IZ8kT0U}zhP3Vr$e#Kw=g^D@ltbf0t-L%nnb>jGy4c~8WH7#3 znL=z1x#oU=VJg+Px6lF`zYoEls6z@2?q1~{%v8}pD@cjmzij&w*?wf_=bI|V#EU17823L5{L5c!p+j-1{ zcq54s0c75TR3*8YNa5y?9NP$V^7S^YdNX6kNK(#zMS@KRSZ~UQCeC~@(cb*xoDr8X zMth)fNu(L7q8VFSb=}55#Dt(=ITlCRC-mslVqAw`ToZ0u>+6~+zvdm^Hm;wYQ{B>m%5*)lC?xi@r_7k|ks*4W1T#L5}lAqiKPjIT>G9n0z+aBz zmH>l24?Ly}AMwEnrJoAO-w83Xl_dH}<`QsNn2T;_u?wz!zhHofzTT1Rizy~x{ zVPJ{16=H*u1QuHQKoeYC&`}$E1%lRcd5hf2aDgx3CTr&$pLsobmVc39VjJ(-gi(!J zN}DeoeIFF}g2!+)nUPg|CgIw#)3GVCH~C&LpXy;_v71K08(&5Vm(^FU$#DrJX@W42 zYwT<4Ir1;@%tnyazBI7E5-?iOcmZV1@aY;ZEheh}B(n)`KBnI+q+3o(bdyXv5Gdy< zWKygWSmIZYGqcz0z;8&tU!c_#Tx!Zq&F0_U?Oi_h!lW0zaW1kv33^+{`;ga%{&v5J znBA}{Na&zS{oqr3LZP`7c)#hwd9-q=$2}Si+>im5ch+<)7Fd4*`nKv9r2yC-6cabl zigvN04xr!6VPj-jeP@32+95rCUNK{RbkQV3aa+)^d_k^R;x^HJEV#EqGvn;Gy)rtg zHDhEg%R|owa93|`5|eJTw~)FITsELY4~?1`{%y0Hv>g%@ zY$*=rvQc0+yVSxtB=5! z&xrx>T=qXd8ptkG`WKP{|1;{U{i(0##LM~;x-wvJQ<*_k;H2W}S6Wc$HriVRw!pZ3 z3iMMB!ZP)j_DKFiWLRmzv0y6jfo{oReC+b}j;9}PR(XqCj5tI2I)}d-=@v3=q1Hr% zT-=4b4%8)k6XbG_?A!U&U4d)y76ir$bqyZY_ak@#SQuufa)=-YYaLreS^9UorP?e` zcI~mS(5)ibs&q!nWe+H=;SvEN@u8NLp4%$(RDAVKYQ0~&T#=tKXPZ!u*IC+3gde{@ z%ge5$*1&ds@H?D=oKuBtU}kOeR6P7ma1QUWZBC$GDm|$L;UHg z;IkOuViX!fo4Y?$yz@n7`p|RCs<}Q4bC3Q!MX)tT+p!dLFcA!x|F-6q;q4N%{I-;M z<!;2%$7mvsqWSIxniSxI^!qG#OD_?4Ew{ zHr~W?a+~m0lO0SHNAqQ{8qef#BfiDuy@w`HJW)y1+O#@?b@?sVRDQXBrt(;Kb_t$nYXjK{bwK1ZAJrkec7-der6Eq@WDR&~IT z4=FiXdC_=5LZY)#c`Vw+rRKdzZS|1J$){|?cEO+)Xk4`h^<+WGGZKJ~pZ_2AhjJ8F)RdHzq+v5S8)q$nVoq9^VK_sa z=M#8@?yr$FSt*%VEX7Vr|E~E!d?PuP0s5_5Yt-tWyQo8S`dGtSql||R(VaEgw-H-p zx+$Zl`fZUy0;wKzKQU)JQbITlR})0C@2*98IPC5~=F-rX| z+rCu#t*wcG{+}wvc&@Sj4|5i7a|4H#4o_5h*Lv9`%t1dlwSc4o%+8`!4OH@^xZB0g+uu=?0n_>{c*oL+ugh3`@@OM z7w7P*t98v?!xsKSU8ad-CXG2?{I(#o)Z49G{vHUU&CF|}RTCNJJ8LgGeb#)3c_w7P zJLis(adp#VXQXW8_jSsx+vP*GYR`{?7O`e=b$jzwKms##a|0XOkLp zi9!EGb3)aO#f>~K;JrRu!L8}u-S)x|>p7+MR1;frNSfhXoxX_zdR?A?BunbRm|+Oa zb!=Psj%MR|eIlc#uwDp&-PifSG*wnLc5eKhRd<%C;=E?6JCIhOOmrmYpfbQY12P{W zNk0a9dZ6LMr25ApP>xh7IZOswPKJmqXV@FA3`yqJxOcVhazAX231Bk=hF!KR1UqQX zCy$>cbo$^ow@(HrxxN+WWP1ilmCVuf48%-%^FFnDAUQ^M21o)7GETC)SbzkQ`O&nF z$?+pzmSppIqIQ$J{@Jw;baV5>*q>8@VU_t;%( zSWQaBURD>NgYJ_T2Qy8V7Q;1`x6Qq=5IB}ky*DX-n)Bh~cQEn-VZNk_#SvW_Cd zhsrxQkJaSfvkL_dc^B^IsW%Nn$snB3aE-x0ytz9uzumTSl*Ttg!x`cH!=7BppI>Rq zmF^#gY`TbuG3-b{el3=}^zQMZ@2iQ$T^(4Npk$EZpJrHcSy%QL2xT6IR|++7uqWWn z>4(JC8m#K{R9^lhPw!0NVo^X()iLB5JzeQ;-tSCd0lI;dcrQBW3zuJ@4ITUe*W);i zp(gX>0V7~`FeJW2>dHD5Y>BXbP~Ai+#$#O$ViRJ}jsD(4r5r9ehyQ}Sttmk|v3yng z)fLuAZmPg1`7Q;5lA=l7JIyYJu=nMBT$ewBW+L|T(_cG;OWWU{@p<)c@6~=w{i(eC zjQ?xMZg(fZ@gu4J+k}vjB@15m?tcC3rd_P%`FzQc^&~2O!bD{2O_5N1a%_lan*k%N zX-%c!o?cB1h$~A?g0>{RU}%3ZKR;*g35%{Jgi?)0U?$m@W27W|7engSX6DZBcQp1g zWr>d3Y^VgSTCVxZ#z_n2X=$$5E-Ne^e9jeTE}MXmS0&=)sYe5a3p!VgLF(mdV&6Uu zEY2h!uBMygW@7%LMj-IBbw)VTIY@X8zUzyEMR%TX*-p6E9l zv%C$!%*ySGXKGh$9^|d>B}L|qT9?N*`z5$~*vVTf=X$pf*+yO zY6RmP+oNdxig^^eM{z%(LPs>`YBzCXu4#ayURST}Kq2wm(BY_V#E}cPnK>;?jeYon zv3BMnT`i5u+j@NJrdhKn%-r8gOr*7Zn$uZ;6wWe%D)2l@a3LhB+(;^9{vzyiZ3@MC z07~-#Er#BQ^@~BXu_Jy%GH|ef_MWF)pTyoo>cMHLk38`&n6fMHRBjjuze)^u7zDI{ zI+t7;dtta+c!(eAGOknQsc&T%2IF%#Q>7K>%mR~3eg~JEaW2Skv4|2YSEHN4wxr7I z!PPPqX5NPHJZiyt!1hWvcO)@<6we z5a)tB*O=<^v$>Yox%7Q~DDKYBM`1&`z(47&>|>D$ zUA8o5x!>`$x~VwzWp-2C)K`wx3jG`N1M<+~Y8RX24_s%o%6r<6k-7s<7RbXpvz2=0 zZ>~O}N((&{k_*D>Ye^4UMmIvpHleOQ8ERgZWfikZXHjZrWwx3-45mJEqgoG*0(pl! zXQP8&q02jfsh|P_SLqhikw!nub-AKD%R0Rv?p~*SS7Qel4g@Dcpa@Rlkh_^f@8O>M zh&)$K&hq~o&ipEHa__!MJo>f_xVyOZ{&K?Yc6m!EP@DIcEWHTGzJgI~$Y?J#f9*qj zr_GT70vRYGRib$GsTvriR1iSd@W!vKrYQP%`=91bNy$LSe+cz|0V?e57k370Ft$Ae)%X<#a5X{R=p5b)pVZvr~Z$~^s`FQ z|EvJ`R~q)@o5y3H`)2+MXvyD%Yq~;kVU}OO%y|>0e~Y}4d2En0o$=wO9%d_# z;?J{OpZl1cfqJ!SZtj}8|HvpI#*Yx( z0*v-S)XVpxZEb$DvwF^b_ICE@xC{Uzj#Vhup>Z#kKO|geGylM}d1959TehTU2*)G( z>Nd)HWUgcTunQ`RzhnP!RK0xf634@c*}9w@cur<7+r1GkU8}#f?z@yCjVP2J_vy1f8jL=HM0hf?-&}h8)1}@AIRI z&pCO%Z$6DC!*k5|wD~hWQe@5Iapq@UD0_mFcb`mb!IXL4c3pnDkDkg3@QOIalGIw< zwk#CKn*T?Li`*MQLM6mgbSwsWb~+Lp&@iqLeRwSZdz3FYo&ohHdNYkk{Wi zCoMEnubDI11V#vqx(SquT~r5Z7f$^RaxPG>u{PfggoorWnPMI*L!o4V1?ypIEidl#o%SHO`9XY^v5uNX z89GxbM>dr?_nI=0X^Zn{^-0K_`Nw!y$TUVFZ#<>$A5DIMSQmN+@hfm*GMYTY%tYcY zV4MB&g?xnM_5RpC#PVm^g*-7mM`8e30kN##z&XJTzYRB$)q}TGUIuze+nx9D>!U07 zz5{-}UR3`nnr5%<6=`u$oD)NHyLz_Dfg`0>P9xR6>ri%91iDgXQY@@U4i~d*!N}<4 zU;^u4*$Y14GYBVquU;(9USnvn%{rM_`;Z}8bhM7GZ*ZO&r%9@NXd}T%hcoN-RtNAk zzFqJp!@M;k8R&#-k@Hb@f<+-h)h{LZwDvkRQXxZAy*a+9O?`e3J5682Dq?r|iU;q* zUDx{h4g@l&~yGBaRodv1{F^JorY}Eio(H+9*kxUd!0WbW!Q+CZ+%Ngkfpq zmv=sEyTU~6eEo0g1oh~JWOMSEd=fR45mZ#Vo7LA3-+$g$esQyNmijP#o@! zH-NS6P>C;xE$ZeUxY~w)d#+*Br16smA;HD`GF$U|AF@ zE+2smu)vU)*V)&>l%xJOT|#LR#81*szcgAEB`zHU0@B!Cxeh_XmQtpIc>_&yHluNY zxxP%?(ur(|IM2j@OFsPkN(l+*t(>V=OY%w}j5g$!@>pGnGmPM>ytIU)iS9^F^w?wm zbG^9r!;)iaaRl$=?*jaL_1_+xAgnZe%W?N>w7PY96^d>I(|l79$&hx~=f+|JDR?K- z!|hn|bDwl&2QKD>AilEo$X3Q<}T(7BYC0)W-$9oWGnG*?>2jlIFHo zXv?lx)9n(MWGGi~z4f&VoJLqKQNLLyOl)1x-7SEV0xQ7-J5+hRcM~=IC2Es^>n>`Y zyQqxx2hNZ@1-(fnpS}p`rd8BHCZ8IzP_+YwJ$$I$0NW>ws@+azz1f*x%k!c+N#>rA zR@L`ME~LxeZ|V#Ry6>`6sOBJJ@qr4n7kNlFF56li@`HlmE<(f0wC7wbQaRS!r&?Wx z>_vb`{*Zsc+?CZW-t_p+1<4gqI};B+A6#r)>K4cDd>P~O$IeW5xy?disaM8qC4%up zh3~j2r;z-jix@s}{M@;7TB7@10GEcY28 z(_Xf-4Iu;7M!<*fy{gJ$%DrPlZR%N<*JDGuXcZO2Ehwx%HiuX!RDB0%Tod!taCyyO zDTr+OX3H6i`3v5^@wbbSuW11^&3*V#xyo5F!8_bun5V}8aGlx_t2?mgWgLO--3^9b zVl4Ht1@8O2@yVw?O5&WKxI&Y$b>UeGPw1rKweYOqQ5|aee$<`A)@kcez-W83HZSImool`D6sz_3p{6${|HT0 z|2I?o2PqySb6@ZITFRk+dE;060P-V3&ID@zyVG=qK5_9BaESECDF4+X@ULNqQ2rx~ zS5?gWpVaZcX8&tt?XlAZ?4(Jn;O{-e2kb($VtsPE|8#2iu`N`Bp#3lIiNE;z&ls!( e{}rlz{u^;5_`j?R1fo4Kp%o+0AhDwANdFI);lh*v literal 17386 zcmaI81yozj*XUhJDQziM+$j{d;%>#EK(S)Mp+NEC5JC$Df|ug%?(U?N;F2Q6A-F?u z0)+7KJpXI&x9)qBv(A})=FFTuvSxmJ&m@G89zCkW!FV{kKYZUkTtC`;akqDIrsw77 ze#^~E|IX9i(VCu*pO5~n5DzaO58qo}etI37FJAUmHuN7XtQ_ch>2*};^=&Na6|C(& z9#U42KYqyO(WB&la(npD|3`eZC-)!O2jd_U3!pc6d!LO1PDuer&sw@3%E;EXx7SiZ zy$+9#egTLg8%g99mU@qloMnkOmbay|Tippwyk}fcLS6Tw)icN}+pScQY<}CZ#bFO3EiS@v1CeVrMT{{X3F;*iaZjnF=<%Sk4tL;% zNOSe(q>#V~pItomm~-os38G%LSOk%@q?<(co0B#Bwcg3TNb%mtVx1F%afBuV6imSN zTZvFRJbsq@R3QNe$Kn@5gqq$BU+-oFMg#}3K6{lmpt42Lt#U0kVxM@1!}-HvNs4S^ zwhC`#UZka%g1&-nZ(an8o+N@emvF4S&=RM2D`J#5on1)FvBCi0ma>K)Ax9F%ilwt!pKc_L6f@ga!MO{#<`T0HC&B^-5nNcjA`y*4E}eZ`QbQMo*%2LY(b3 zq0XPJJ;qY0m!BO{TnA&n&9KBzG*vqZQbkl9q(vm_-q`eVMCg-LvwhMXsYub|O}A9h zgL~TOYh7~Lz(026rCj*Oru4cq4xtabjUR7OO<`uMf2^ zLA`Pjxe-!Bh?Y7{_AKp;;!_yMZN!>-uW`g-A{^63S`S!=G^))!^{+l4SKyR!HM79L zY|;Z=4r%12yyGpjq}oi@m`f$DXKQ0``Uvt($kgY}&dYB@EGA@{)qb^nt&nf2)mvJ& zJTb(bzmse5u{I;GI4{}KxA#TFwq5{XL^d%;DNy^aBJZFq;xDlS>$Gk~p@EWHd>JCq z@`q*4nq@Cj1P%!qTbJ%Z!QOt}tX^%qrS@N#)=*%JB}U@1+BJ#JC8zkXCxqny^qUM? z{}|edVHpJ{JK&g*4OED-pCxa{e{xdsl-Kqfc{x5-fPxrU^eJ=}_-0nAAUH)%CaSkT z$mcRBg&yhA}RoD^JhUlLIB{8I0F51r~SFXV|r*k7}R(q7L$SvJ>PFSFLzX(C;a4 z@cOidyT9de?Z-#8iod8aB?*7Tw*FSFpdjcMkuhL9eQPV@P2dpj8W(f&t-+6B#pu^l zUQ?MUGJK6JC5P5L0Kt4Sx(&GzUOJJ5JoLCMs1SJ z@@GN>+v7e=urCfY4GY>4B5{sy5v{!c`W=@-g`~OFsGI3V{{27?B{B3uZr-T-h+#?> zYJ@2?O-`mDHAd#kik(M20V0N`09e4ht)>K4UxMlTHWX!n%LDZ9J`wVT|Iqu+$zV;? zt?)!b&ebf~=M!VhElvmHJCZnOTxF%jG-qw0tWM>Aeo|=tQPDs-=bAZFZ$!j$1j!RO zSdJ;d1w)obuL;&<?mDewShVT>;*v=Jy6Es z7bUh^g@R0lsC5>@7>-buFyZg!GB8Zd{I!&lp-1Mx9oR}8$I{83XX1FyJF^7)L3Mm8H2riL{d6841HKhDboP-X@$fSSXWSB{J_S*N zi?1atwFANTPsgRmrE@YW)R5mEnhKyD7j`Ke{)Has2Kn03EVHx9wKly{;8<}&qtFpF zfJ3m^jl=-etR`zidHZDTp1#AZ>Bvi_f6((3yxyhEwKibJHjlB6jqDCJGa^(HFAt#u zOPteToM9NqB3J#fX3b01UiXeh#FMbFX=p*~X*Q4FW4E)fQQ%U+KGj58N-LQ4jzyp& zd_sTI!ITMLiZ$^JS@tz2oS5S5m%0bJVB43cC!8232aitPKjP>3qzC24*!3a(nu0Gg z(oMf*{PrW^`eUI!Ebuik^bW()^f7OYOq4Cb3W`4fT2_A(jEXPa_&h%s7O!4|04A#+7P2 zEyW)u5hr3}4$EcP+wDY}T%<~zE}Gc}qWx0)r7o}(3{x+0NvI3MdB+&#fBfUwpBL*i zP#^4KR!h{cJ|qUOvrH7xAIhBi_sz8gZQXs|PX^@f==ZR-r2o^#FYRz!ocYYAaMs$s z`s#HeogaH)C;){qs1EZu=ds4Q!Ctb9*#8bnB1TULJRh1LK~AXjzlNy>eE0`KY<#v) z#BQ_XJmz|vVF(7kXH?hpeI$K5ugB>K*6{!=r!p1#tC0vkSzvRr%3LZ5Z&9scm}Ut$ zcn7-=>8#LzNd>>QCb?F|js!pQW3>eIjOC+NV*TI9PvNggy))c-`7X>pXve`z3vc~V zS_pd{T}?ZiC2dHwFEJGL+!FodmhFb!5-r~bv7}QN$yV;~cz&r31wN-bW15wFn1WP# z*Yc_d`n_xDJ+Q<}fWZD|3rcf`Bnxa!deFO<(u*)r`P97#PZHi(Mw&B010BM3Tp9`re9p*R>!5zkU_BDffMk0Zb2P+d8K`{nCdHhbN0e{V3nE);vB=wuF zqx3*OqDA`0*ihe9XpLL;S7aA~XPzX1U+^D_?_KogSh*a}BxQHPYNJl}nBF-N;zgi? zl!Niet;}s?qw2*O=rXt`-g=ROzn*+|m%kN!VhncGKz`$wr|cu!bEJP~LO35mz;G&C zAZt7IT!<7Z(#3N6Q1c^J^jln0;b#sHZTKDMH@>_{3v52}kJsJj+Edl*!>6i`lByKnkP_WDFpxxi=b%zK#IV1dQkGkNN>+sEB^42~q% zE0%ix))O*bKPaSh(GPgZ`X^4`9cIB3fk1 z6-$PVo;uNz`q~jK(zj^!dgD;px0uO9`4XHFfv?HBN^s7#9IL_Ck9VC&cr|~8g3=#4 z+BXJjGK=aR9%?;wJI+8$4GduE=d;dN&_N&U2G}ZJ0H=q9T)45+m#YFZhIVM4kC08 zzx?IPP+9bB$JoC+GDuV5*{f^%F|75ov}Y}Jfuy_i6Z1HM=M)``;M#$UU zBQ%tQ2S`>5;$`@H*+jbL5@mOr_zb4tQ=KAT(9;KJhzmQl!vS)DZ_g6#=;SH87M@f( z(P|}D=+{(`-FEbYPF{Kq*hc$`CewTmPg6OWcgcK> zuA~1gs6`uvaJcC141w{|OC^6rqJl-FAgftD+r+@8hNr?7jPx|$rPq$JPkiwm2xjRW zflU~zkuXgp9t8}(7R2-&WG>iC8X-v>fK~j$Y(xvG@eDpgzjFJbrsx^%kRoMQ>=VX^ z^hi^D&gxl}+IITW4&oD0ij(??Z7i*)ULij6VvoDvHGPcosLW?8tb`xP9RE=Mh(UZj z33vtut@DdI62N1>>goA$DFDZMyj_&BEf{0I&=2Y9RJ;cJ5i%%f z;XkDEl?YiDnA3}S`7saFE(A7ju&UR=7DE>=}T)Br)v zC0*@mjiv!N*X>h>^X1hCKkP8cHX^^q7)}1rRBmB^=UiLZlNRp5*Q5TPkzu8-6f->O zu3$O=&bar>G!A}NyFnpe4eCdjGwhO9GX??*FKbvI9dgc`#u8s2s%uk!V=~ zH)62|ls(%GR9ZBcZF0<+MUb;X{%+h`h&e|J(7?$2Ygwg96|!TC%nY(8J>PTWko7wy0>+X;|33vT1Ac)t^I#iga3_W$MZs?KsPY%MmGJ&6wRHnpBOqE|ht_YJLJNj1EP47m+_*nTfsBV*2f^OF6HKS!XNK|aa~qgvfi2~I@V!AhKM9n z(tV4W<;xn}Xdi!;RQHK=#)bLwU86#mUBy;vei5$pE03*W!prCF? zxAiQCnwH#Vnw(zMRu3F|qZmvAKi8P92FA|{oj`n$q%d9IoA6tISLgL5&5z_BZO7m4 z&n1eN2loGtEQdQ!Nemx}Vjm~)@iqX}nL+M>Aexr5DnH#{%%Bn7E%czv#iQKrLz2Ys3>k{j80S!+vG zwk?{2*Tk31n4`1_k)iFe8yyY+yMcxGQ;lX6RFFx9(v_RfQ?0XAvp>-RJF1S&Kahv8 zOrnjsPXB103DvBHecuilrqpS+bw^D&NwEFr=<6*ex-_~7Gt!e7hd!UG{hA-k&cZ*U zuQK71&DFzb;lrO~YQCAJbzx?yMXqaMJ#uR^0fnDFRolCaJ}0GVgA}_S7MT_|-H`*U z*5zP9k$k{aGRVs{M!7z}q&<(wd1h6mSdG~0WSG-<+Y{5wG$?9#v+Jn;YSx9jrr4c} zA7Uo@lZuB>aYJC9YlJ@hO;NB|5 zSzJ-FT!jD^Qp%Y$>;m;M+oSE{ia*J~9^q;#s*xoVLO?4~p{NMU zTnHOaboLerOp=k3I{q>cG_aZ_`m|sL3sS%j*yy^1F<;B;r+oxBN$*-9_9-|B{^5(m;_tP;L09MfR|{5ji-|lOS0%Pn zRa+tw9Z!Jnx9*-;yx!Rt*HM6F!zI>Y%c*e%{b|G7$-1s2(0UNAO-6}*n)j4JUvzG~ zPfxR3j?Tf$vFq;B|Z^|i?>t_uLcU#SA^ z@I2Gon0tnW%!J9w^83agE|;suP7IZ5;U-x)G5WaIm8dUN;(oN9Sy}HfmA-Fy^81){ znWOdYd;xb7VKY>!qeTTMJxRlfF#lzL-3|R+$lv9e-R-ue3r-Q?Vc}uej>JMeEQl69 za@&^0gxT)nMJ|2c4uo*u`g^5oGfL=x54avdN#0kV?Tt~awl>~E%+UKc6_;Gn!O8nm zW@a24VS1f=yMN0FdIfF?0B`yQVevEWp$5Z-hRhVcGy(mPw&`h<^x9zQuw*2%5%-!= z+5vQRu`RhRGqHq zaqF{k&$Py9ghg`OL902=orN((Uw>mLC`-jS^DcHT#Z{nr=GTONS813Dop|-H?4)|P z&u=w>6X2(uvq}q##qP1a*+H3Br+$(uu52yWG{H0T6>wVVlUq}kL*?qO`lZrIPAaLR zrXbo%(P27#rb4Mu?J3}#&k-MgxI}EI4w={`B%R%NjMSv`+Pcl2CFtI0h9TqjJz zOr#+NbXZ;;@} zNr<77WID2wW`h2e@+P(zAKMocr#Z4Za;FsgqX^SLSD`1f#RBK6k<&9d)bXF8^GZMm5erKIMOS!cUQ8gkTm-C(l(oz zu4lMQWtM(SsbdU*bGTJ8OV%y~`yyvhE6A|))4SgHh5M!8XeGcC80}+NQ!?+dk3ADCHoB@ED*|eEt*Mj-yec=t?%^JMX zH?y;kMW2?R+V#vke_aKnZPsFCH{U5PCkNcjw;f9KXB@hDdva%cmgqZ`Ufb`kx*b`_ zDGs~QBp)k4=kZV0hV6S>;}Or}Zd$Ror zKE&XyX(T2Nyolj)f1>etubN`)@U zQZ1Vg8fvj1o*GZ*xzO0`=*YHB15ibxz1;QbN1eKp?qG@fJL|p9sqBSBsDnIbzsohl z75rr3t$o&Rjy*3Ax$8vH@b}+ENL}@buirz|iKMd{Fyca61Ytw)v+GWY1UgzTX)o*2 z_f6uAMyX{icfhKF`XUM($hyJQ+t$kZ&$Fhbe+r1ZDx;w zcs2ME2G!XV=De!r*p#lLT*JkiDg!FFa$DZqT;C;cwvW{$r?TzC_z^J4NiS#pKtqW8 zwcBEj)?+t(EAAT!;cDu6p{9$S%_c~Se;O-mTIAo~_vy78Ffp;Isq%9>sv7e<*GoS> z#E(WBNM-x*^ifA*N0vyqR6rHX=-w0(R<{&J6;PmPxPM+tTF74&Gj(tBasdEdeO~xV zXULwh#VV}3;cCv`*|p=Xy8ncB(i}OzcG8IpG6Lb8$vtU$i&y;30^z$_tAaY3!rPm< zAYjQ#G`&%{075@CKhf>d{n`N#mCXJZgA>L!+m5#ME zLeAyTHbJh->fA#MmKiJ;D5CE*!g@V~|0ZzV&H?0*9aM?7!_?Sz@$D`0+f~@Ul=^8? zhMUH7+xS*xyX89P;|#qYaZ-E)t*G7EfONine+MPd(S}b>rgLzD%$_ysd@K%U17&g| zH=%h;Ge(z`SQc2u>8m}qbit>|3IBLWF*tc}n{W}CMH_*RGBcq-Aai87CKjAqf2Qnj zW^wHIUrWvlEv+96x>>#iCwCLmT36L$RqvDX9Qux@Yuvp2;n2jO#!OD@(o=sk)=gbX z>bK}4I>xP3CY$Y8e<=iz44Ii(6m4<)omCh8bVZOcDc^0|rk0AdL8oc++81K(HwN^| z_}pIE`Wb#zw4@^hP4xA40y&;$_$UlE$5LPSK^I#@N7vVXbQ*Ey1vIkNod*G z#p+MrUtxgQZ~We8M%&!i!MZP2pbTi8I#fIxwn?>yXrTCHOe2GA`l!ZeC|EB>wO%K$ ze$HdAd$o|G^+_k1ZbOjut{~m~Yj^9^yUF!V!MPs-!CY&ufM!M(!Ajrg_E;AsX&>ev zp6NUiycBh5BkrM>n^}`HWtY9NVNXWEqkw#GK;T3Zm5p=!of0~M4S&?p#JI>CU?koo zlNI!IgzT(Mny>YJ3QTw`tySMXTkdas40}8OTG)puwuWdo8wxYywRxE~rCiBcr7_(Y zhhnoWtD?cHDrJA?{PUtCs^c`a;kb^ehFNcmF1M~fUN%`5Hu}}hGT!;{v%f#Fx=N@D z>&_*K#LMOdo%fems}}#fF!UYyMp(DJz(QkLPl6`UcmB1vT1#XMq@`=D=HwSolKNHd z-Ky2;B<;46a_QE!IvQ*lS=H^}2imuD$Z9IA5jD)8o;uO_vY>v;pF-&;9VMQPEIj>4 zOXlwj%W09qBX1j!aPxS)kQ`Q@`Z3hS2ELr+&auSBDdgx}+9HJiX`k45b^3S97mWU% z5i6af!r@h(ISVD47!w_C;&mPotL)iRd{e541<_i~mheE(j*5Tz-v+itKQ1Ev>6Q^c;oUwEotN`JpB_Ad~= zrbPp}j2ljF8<@?@Oy*zGNl6Co5X_)28f-QI+lQ3SE#3$DeMM>Zs5#X(K;gY+RcNHZ zaEDbTGRRnCEYPAxeQmv8U5n}1kAvFm#$W=p4}auq2I3CBmZ3Ak_!k=fvFZNBg#Rku zbG$G|%(_65IM9ZmY<<+L`%WbM+~gOsX;kmK+2Mekq7)%_X{8^-XHgLU`mI@*d8Mk( ze+;M$nmOED{d3f$b+fR#oK_2jBk6kt~qmSWWxdvV{Is?!IHT{w%kF=I}r z$1qzze)H3y}jR)nM-*SxJ>X%~kx+E0ZKcZlBLB1EXiF!N|nR)T0scA{~+C)X&zY zRN78Z&jVi4EjO(`?V-|cI+H$L^;)j$G(4(Z1i7lVk-G-tzpR#1I0de(xOZtI)GPNU z_fC0QHi{}dZ2z*1OR)JmVlHf6b(=YK? z*+n4~BfxT3Vp!mTOf=#X8HC*P+Y-$e?Om$$)`|8pkMdQ;OZQ6oa2}<%M-)+nVRSKr zmuY%FtENmjkGkQKhp$sfodCPEodIbb5IiTqShvu{fOoGi?GJS0NVPiIq7Eg8PUs($ zKpp_+=*r?57iD1VU3JP_mv2$aBze;Aw{rw=<=bsh^5r?o;}Hw@k){o`iM`MIKuc}R zW5yYl+*F?U$rW7ghP(dJx{MwnzL?YxrYP{@I1kX))qXBCa28`X%-wZiCatck4HW`k zO7PuiZF`y-2g3C(aP=0yj`=*QDXZk%xj}cfxKgjygH%3S);%9QYZ1D|+R8bM%yzRj z%IB08TS*(K&zg;54X$kn!wI438s!;Y+BRawX9t}Yoy(f;tK09Q^XaSZbBXVMaxoQt zzL4LdDSx$LI$8XLrraRPE5c37Q(xta_dy>WM}tUoTYCm@6VzeO&+hBR#lxDRU1YfM z#4T&GovL*69J@n$>dn5qk%K!?OllN!Iu6z{>^Q~IMFU#9P|>R!1{mc!F%BJZ`K-xhL1OBuG|aaG8SpSuq$ ztb!?v&WA~ty^eaUt%o4z*IQv^o|Ez3;lo>)huXsdn7fZHMf9VyXB&I$=a*|=*_v!> zcpGPJmCPiv{rW^aL))Hslk&Kw%mT|d5yWuyw6QB7PDs$S4|k?HA9$9rYcUokaSbJz z``9Y?w*&?ABCeO_msB$g5nhh6$u7)pG463n*!=kYS_?|=tD%9*uRJ}fBlqgw<1lT2_&^Xohx|z&@;bNvVJ1k*cka+X;>)cviLYx9rZ}BULwKu3TMta-Scvz zqwA3<^1G`QM5lu|Oy%z{ ztZ3cLgU&Zn|3u>jbkGMB1m6@-v`c1e_)&Mxf!IEON;$4uyx`wangN>jt)jmyopy6A(REqK!xU^y zH_iR!&{ueNs!m3x31@esfNwx{Sv=DNUhqURKyI78P3xOr(`l=8uKio7*|QbPOx8e^ zVdSmuDcd#xn5{+!fN@OUXa!km=pqzYG&-iWgc>*PaYO@K8)5Q^^TqMo^MR)?RQ1=n z@h=9rw-rV3l;?hgqCLLpZ-51P*g=X(Cg;OUN4f$$o?=>HqGmYvWL?Zjez|nzYB0X( zz!`5ge0#Zmh9Qk)S7xiwTh!zu4?)`|EBSV9J=Z|0uufE98DHG*)(-XS@C3LL!ZK2*5G+p z;utyIJs@eO3&e3~-Rnm_zE}fR>)1CG^9@j%4cLf_Y#QRV)@Ps^9VF_RDP}2>$2{DX zowEVde6cD^1JP-|@aZL!LJsYlf;3;es6wS>#_}Tq+D&7k_lQn^_MmPy5Msf}C7|_G z<3Hlj76y6yb$8nJ#Z+XUGN5eKQm&96s0MR$b?5jzF_SEv9~xEZDjEU)$0wndPLn4z z+l%BqY?IAfdR(Ti<$p_i@sp*tW0+CrX)VPr%a+x;;cS;p@l)guuavH`8urd*=QIT2 zS$#%buomMj&xtAtiAXmDxSbCVo~uNub;6g-={4}ds>SK0nUqhGj#B4pwsyuA`4VBbsrrn4o3;kMn(!M>EmzEc36u}*)$w<{Z?T@SsR zc_1I1h2KE6*>avPXRA@5Se^0mZS2?&LwQ431Li>PUqAwtz!6Rr0QP)Cz3wVK2{1FKl9F zJ(f@mI-h&;*?R4c4gd+DG)9x=^zJdGE!V;hTzhz7v_xaO4gN)%1%K)4_qX$g*4R8v zhTXpDz#r?@sG|yNNKf!WNN4`UU4N~59>Ye;pIiMa)yVJeQR7r$Y30dF@`T&PI`fv> zBCaswvyQ}1d(%U|sA5*P@1We1pd;Pb9^aM0ErHx)$|D~iYH#I+pi@`ORCtFvAN#m9 zb+r5L*kQB8)~FtxYp@9g+jhFHK#6C7sI*Yq-g|_2_O+!{wJ)pu{1qdo16qY``Ga}p zHgFw@}tmI9G5BXy&5ukeE1SfUliUtwXh=hFsHa z@us_{*L(Gz%y7hLqvc&-VXB11-9IZT{|%G>OYAE=A0GL`kA=a~&Nt1S0O*1_pHDrK zqHtgzqZA5bbu!Z(=mgBV=uxP!ji~HIe|vv0*KB+Uh~dh3oH4`|Ly9&jlBe|}ILOLZ z-8&tVJh)0tLCof*L3U~7J#gD!oNU)9y`zI}3Wo(1c$2)t3i#Jsr;Bx7^{%u01A=|3 z{l&#U!QUz+^+NMO}D^zWSaUMpO4OFp?W~m<1D7q-QAxQsC5D}Ra;6J#ifr` z)!o`xh}$+#=sWMUw8w3xEKrCmQaDOE7Fbje#)B@a49qWsIK^>^wx`~lv&wk;d&O=0 z`kJfhET5FGjfrYSb`{~d(AZzb^L>v`$uVip_|ZTqznIb z78144GEh(JT*{pGg-Tm%B@(YLYi;x<6=W*TRu$!4WM5R#JE7g5>69854>$y{RoKM0TumG7-%bYz zxtt2=2;a{OZ6b(yv2AgMJ+5ByTt|944)gA2+6B8pT5Kdo$Phjs`oboCdN=r{a!&D_ zQEXJcw-W2ToKf>MR~lNQng4S3-{iMvRa(h%=8l&-`ZaTD=F& zHGqAm&e?x9c^37(MlHd2#6waF+Y;bVlAj?us z?8RJDtfZ>qWYjoz%OrA5Ag_(1F{>>@(yy`b2XnixosrU>1mtOJrYgg2+{kW2?cVUx zNTuq;Z__!u1i44}w|_#%sAqe}%G3L=6=pdYT)d7Pl| zjxu&ki?5A8pSp>ukGe(E2>ZAT-|L_p4~*w^=`$VA2D@$h{)T>`)?TNCxXgqyNStc< z^mOsT*v~Tad7`vjBw7OV%cAIOvY?Q@aH01l0+&MHaL)zYf2t%z}v!4$^rCqhgcG6e1sdNrlhh|>Er z_mEOQNA`UbN<~v_x{E=naerX{RDR;|N!en|dQzQt5oHIgtNHNjj#};RZF8KK*ORBC#bbiVD;N?gIg573{t{3aci%(|0eo4cC=z zUBKRSUaE@HPT8vVKTTHYG8f*C)<#LDUn1r@1bDS#aR3%Ak&f<{sJH2}TCzpU*r?6x z?@bbW8cw9+c~o&g0XMC-!+@;VkLt>Q*?36i1HQX2Fz3d^VeR~mUvS*!4+|3-d@q&F zAiA)X3~UFn&o>@RdaK=O72WeS5)}H=5bIa}`Js_u#O}23E}(QtQ^cNn+h6FbyX(YG z+^IBAr$g&XH*JoqZe-0yG{0L5)L;EYG|YBzF0*^q;e}^4@08?y)R=KO-)Rf!(q-^c z0R;G7VyAZW8;l+HO}h0_YXn=HW~?v-bpa}QX9YO;j`e+Y(qrA|Znu~_U(}tyHkrQc zEB2Pk?kQdV`(6#Un6ydya(~yfb3kcG+kd=zZ+E7))2&VnzahEuN?qroUa-LI1obyM zM4F^v%^|kt4u~!G$|z;*Wyi1dn68Z(vY`(_j>V!1F!{C2MbT2*5HBGc7x`W>r`Q6Z z&Qn+4bOGJ+%lBf9!!oU6O6~>Z5L+m+(J)&vCOJadxsYOV1oeI4xL)td#=rUWx)pBc zoxpx2$?bGrtTmTaL_uq~V;B=<>6G*_$rCV{ewhJK8(yA0o#hRGiA}k@@n?g3Bf0+h zcDnVJ+TBZQrgLh6B`EfT&!o(=c+ihZUfkS~`vGl%;qv*8mO*eZgVyZ6nWUj1{ zZ~5%>%hjJ0ERUwIn@eAJbmoRR)WwY#x72#hw8!|qq2%r+Cxp?Bk%n4O16PyRZKspl z4z#y!<)z*v!$3u=Z(AInvaup_ax!;Z2S?ED?Sv#DU&e(Bnfub(zs>1+Sk z-{>}0A6jb;wn62M{=#5fs%D;l7aVGM^G#}uuBN-e`qm00AU4Ko##FZRObtB%mxX^g5;RKwuLE z@*U>a$IqW-)mGbGxB0ml83-!DPdHOam%{u=vovsdD%WtX9O_|%&-^-hMHhUNatrZO zImC1eHeHzO7RGa}XZ#wdH7`aKzxbQ`!W^OMN|;%l20X^nRG;Mw1abD369o=TObOK*h3skeD0WFw35}-wgQV!~>#&t46|$rH5h>kx0m%3)g+;CORDi(N7kH z%|noBhLaUi_n&Ep0?R7|M~$S(A`PIBqhljs3-+X&rtKdiZL-Hd2oG*FWkSP3#q zzMV(6MN6}j!SC-G(6){DN{3KbEM327pi}=$cN&@Wp~EP|#&|e@g9l^+HVz;RyPxp_ z(m4bu*VBHyN7__^PR=UOANydDbV}%%rpA$b!OFuvmwrF)lSvO${bTsVfqPYq{XYxq z|J7suUqmzjt1A$Zj?P_oF-=8~9jEtt{Af)^?`p& zyAr7I4FSI`62=Fer3FI1!a1vm8vm)6e|iemkTYkBBMwAGDn*JJH4xvaan^u>`A&i@ zr(BURYSAI}%j$%pko9&&p&dq^yLcy9f2m;IYssfFKzbA#fvtekFi!{vO z4q@R6XAoO(q$4vj)|!rM{>5)<>W$@+%W%Gj{V6++g@U5S?L8gY>qGXq!IIULcOD|S zIG!~W?31dq*AwBIlhz&gn)wBRNfBfsw#$?PtZx!nU~Gyy8i-XKj#HhVXNDzgODl!f zL<1rj@NLNO^f}?O71D95D}l$%InDjzXGOhIRY#o$%6)f@q|1{h#f0B~upzkV)2`0z zY5HM<0P7+oi`#~lmx*E4gx9d_n0NKr^~1jWO1vSyW45@4c#p=dZW(k)XNZj$de=M3 z_e-o*-N?XC$sNN@4n8SwsML{g`x^zcrKU=x;&4NO1E*i+a|e#bgv}8A7|nd=JQ1{1D>Jp2{i9vR)F0^lQ-ftrriM<6B7}Dkkb2pC^1;Wc@TBfUfL7gQ{Em+Z~Ao1cGiQ0*Asf+TCg9l}KQ=g@Eia zx5jOXomIRo`zq-nhaWZ|Cd#ZL&NAkE(3z;2sS8EF^bDD_6p3w#at{sLB4MV46qX*o zfP2ugKHJD8B^fW?ntM6b_+imPDpe>*t3sZS|JJ$ojft^)c;NhxFrc>NY9Y^L!0HwE zU-voF`WHNl?r`t?!d=H*&<^Im?s!uXH|broKWGNc8#X$1H6*w={?PtSo*8Z!U2fNg zT=R&@wT>xcp}p?cw&|G7R}Pu$^Y-(o)C!k>{A=QJRLZzP@2g8y>!YSPVYK$fnSmXE zIm$vO1Y-CL-ok)LK@d@=>gf&+TsLmF5P`3f-Ipns80I_hT4jI?M8_yuXtuzS1j>Q` z9d^zWrrotW-a!dC@Aw`$dq-@8_5H9p9_^e;s{-nHe93m5o%oIoR~t66tA>$w=`e$>BR^_%Z%c1IuGGgshZ)GFoY-D; zV9uCJVhHRiL9=Ex^FZyZ&O0%22ErLTr0hqSW)S(+F|`?$+>rI;`b|31Uq|+Z+qP@n zi^b4&3Z;6pIj{3tg#wBYzt%1O1iQIZ%?cx#=grdFm%(OrwdI?>9!%asX;jzW+Bsvk z)(4daZLx*~?5td7sR^9M5FYr&!auAc#^j;hiX$>9cowp6}xOp1wn_7;IGHIU|F7z-@bYLPjB-@ze z-sJ~$Vs><>symMxa=Jpb#5oC_cu0C_8-rRLq7@H1rIPAIj@H06#C&u!dpq=IH&a4% zdf_5(_Twn_==LR-4}&XL=a2-UfkBD8r6-4>b()j=isOGPTiJSU$tGx%b~-zlNt(rs zX>;tR~qK1xphu!mz zGpQ@xV9IgE8CFC6(Dd*UXoK#Au>T)9Q~zII)FZq=!!brCz95!#&5doJS;z^y=E0NY`Sexs|Y!R!1CM(^bJwm?4ChwWhz zQ5@^UL<(nnfeB4B$7NrR3)uWJg#QA$hBr2$eZ%LbTkEMw79MhCVw;H#3+D}j)Apum zd&w^oFZXANmUN1Cc!o>Wn6+}_GHU^LvXm!p05`obIf#CRkEV){;&2Clm=5`pB&U&y z$&YD~I`1bB-fgC{74fx@^w)t4J&>~gKE}#%;Oyy0`3I54+Rg5Z#pQA1%U%zb5JD%? zv&dDmBIX~Xa_&30m}zn@(3u7`%{i8+^?-07TPgfGx8PPu6k~M^Ib;EfH(JtW>@iK| zgfrfnnWNAA^pmQ>PmG(7@$k%Uvm%Am-fId$Y`1U1$0g>-e0yjyar#ZD8tRwe=HOP8 z_8#G~PxEa8UZkB#@90EVGkqzI3c<9J5Z zyh7E)eNVN=1#KeKNBSGT36-nd)&-Th15kh0c`=3Z4w!w5Z_nPB*{l=U5bEnvtqR}6 znIspEjb1Iy=iHVQS&USgt5{W@f>RF4O9j%EHA zRdb8BV_kEd!~@iU+bK^eng2eE_l><2p-}g%`*8oWoqT^G=J4^HpOYA!&x&&=-G?I| z(IV`@<$*8KGb#>?#yp`jMHW)^zoR9}Qd*7o&eoRIe)-n-{TVO~FR69GVE5Y4mWMsu_csPc_C%u04N)+pFIlWOMVNG? zkkx3@PK>ZZhl;!}oOwm`TijH>>CYCgAF;OaiPK^)5*JzXm$rrT-WY#!4Ov*Y&Qaqs zf{aC{3AEDpQ_NJd1iYg%>Vq_LA|Dno9M^k!9_&8bcH@K(5@yp`YLqjVvxP1t?%nJY zV_iFxqwch0Q=eaqvd@Fl1x#f#4_-FVzv1`X3CIqd_X{?D!gQCHU8qqQe|8dsW(I9r z%W>T}X z9L|A7kKvFjbr)LHmghAH17ZkZ`4>RHL3A;T>-On=xhg1q%Nq z$ytF?VSFRRGlmDsth0DPP?Q9-$A5lL@ZYj!cJZ$(M8IS^HpJHxV)o00bSC^i(8;4G z^FJ9@tN<=i^6!C6mWn`MM(_V`fHh)a|DT#vdIyL6%eBZ&@SmjrzP$gCtF+etLfRY9 ze?{7_{}YTK@?t7L^{14Fn<0owJAC5MQdFJ&0HW*MuBuI3p zi{IJG%*@sO!+%lS-}_(yKS-)!$`WJ>o~-B58zJ=y32Ohp06qc1{zxY_AuMc?B^>T$ z<7Ewk;BtwmhcOqDvl6WQ_%T*iivPmOA7W#tGiNY41dG{O=Djz&@8w_)vH)=Wc-Las z*RkAc*_K7qt=Oi~zNpF4X*UXDju3!@HJg&G;pDHR9YtNvW`NLlaq~sXH%CmR_1;0l z8c|l#8tFBZFtSvWb?eKD;cPlly}k73NfrOAvemG6adl~j@kz1R4u)X|uY<66R_dGw zgF*_XiH!$A7!MPa7d+{qNo9pnx+2i+&pglboMlrkS4Y1{2NU*U&N7Lyr`^7xB!3gs zTGBK#j#H*`je zGBG1mG%~RvWFl0NCe$!9CKNNZa{Z6Q1%LkMQgCbHi<8FWHZB9oZVufRW#yLJHvy!JUh zEDuU*I~(ycJSw?PM|qr1hr^L56!JazeBMuI(Qlt1KV^40JRHwLUnx2gV@}1Zkvej% zczEqev-uKNJT$j;h?4yOen57AU@L!70|JshKTUdm6Td%2z8>PazJH1(_QLaTMeGTL z9&+C(y~}Z0d7ukByegz;w2uxfibXB7cc>;{vG~UV`alBK+A-Q?E)BvIyHx=F`5>e9 zgSXnQnl=@&>J)Vnp4VQpem`@K31bM!Z+G?*dX)W@&@wWi=ZCUJ>Z#bdl$DjvG(v-H z2(x=uZYV2u5>;-9Z(rg%*Amxn4VB!r>cxIaY}(;YdU&qcZq5z0vujzORV$r1WK}!d zXS@|;iN+~^EEsQE)U5n$WboFKqVCmr(%~$8Tjjcvg22 zVBc;?(PnqR%38*Tv<(9MtKR8#=ZlPQy-S5prZhgqz}s6>YOTnUtkr(`NCClA#r9u| zi^p;5ZCi^#^>>43i)T5R7jyd&+U|r|1-YVRH|vdHUgo|itp38h0yl2z+yNOnq9+}O z9PygaR&fjaXXmDBToXU)u}sq*k?zc z#>zn1x*BOE!3Y^oP?$D;E}FPZY$*ia6@|{_Q>h%CV>5mB(tUq(+`9N#P_0`+qV?EF z0aR#M)Zg| z{Ndx!B~W-SZxYgPxo{oqPnh1U71&Ng!UkpfjCeIyFD~A4S8QC@uH|*1L>2t_yU6tW z)P1~-!-L0zP;~)DB3XqKZ%Pirz6Q*hbg}z(*h{%lN&o3j;rXMjbO=#O=sP!D<5-oyR#UxjBIj;u ze(NF6e;XPPZ*5IGBdskAW{p6@A^S1jrV#Lc%CVRgKQxZuZDnG;TAP-FGu5P~;b|c7 z=`lDE-`#@guF+PFhE_i^kkT{BuE`)uEMM7m@cwk8kE)@>ve{Q*<`PQ?7fC zXE+SkpL=$VZ7PGeh?M+w{>>rgK*vOI@qy|ub?4y@nz7+jt*X1MKg=J1ggz8T2L^DU zfQB1(M&T~UWnNl+%&?RT^`T@dE?<#yB16>B8+AL0YZ>s3j0(#==!lyr&e^RbX%{20 z?UPCJkrn!K9dkEF(%Y@?^WH$qBno&3o=dc-&w~1+HhfLLCR`2Em;d>tt8B>qVqy)aj&h- z4GurK>JUeRD*xdAAw3~bl>s2_+pXl#w1SnBx4W5gGNit505WCDWo6?!*LA7I6-t0P zC1Hk;`e&4(N%Wm=!?foBsYaKpLx$(^&iY}2;B{8iz>FBX7jpmL3lEf=S&}vEg1dc| zGY5`jY73m-vh!qpA=Si#ITk&TfJL=05o3%TKQy~dM@0MSCWfcqX31@X{ zfgi^N3vt$TM#8SA%;J~A1|Nne5uNMSV1)$GPg~T$9jx3ybIN^dWF$U>Yk-9;L~v2$ z%BG4^a>M~{!Me>}6h3e{zSxdGh{%Bg&=FS^aOGfAY~jC4QsSvvD1p2`{uyOL_W^kj zhB>*rQQ1q`8CJ)J(M@QUZ5T-3=hf+4ue*J=-s@A(N25PxEblhDu`htqqYf#YKM0+V z%>G6~8AK|n;6l|K6c~2PTm%~x{Vlxy8|!cc;_7Xmdh9IIEhdadI}`#tF7Z~j;_jGX zkan4k79h45FR)*YUOuM`AV$`9Po57SR{KEm4}TG?qv1g^Z-68^YjBe)5nW)Ser& zt1mU~+i^Iya^n-{{vtK!Ig$Ncj9G;?)lD47$>n{YTF3HSm_|1q| z9=I`IEF!0~UMvcuicIzmAf}5V&n5UWh<-gXo!`&TMwnfgb4MOh0O1kBU#>!FlU--- z8sSMyi)`T~SsdCOd17!iR;PEUvS~f#E43j0m=s$KuOGzpiuiRklA}8TqiaU6B0Mnw#eyrPl5p z!<1~m=2ViX{XRps+DAE1a4_Lkl8~gBA{|6TaUNP#5;Ywvg-ZWmEs3<06*VEo-qp-% z377K8_&>0|J4n=`3Xh^j)w#gHd!Q^ZJN^chPRj8SN}+o(hJ__?kaR5Ge-}MK#=RDk z9UtBP9YuNquc~9S^77mXbn2Ir42T`I#vz)p%9jpH@gGCP@Tcr6fP-``4LSi6_1KQ zJG!QAbuySv4`c;s+&!jXPm*p~2ph;%87adJIX%`y1S=_AiFX1pbf&naU?_TqrYqP! zt{WC85@THRrvHgWK31s`aIyeR-EJcD4rt8F=X!ctk$!BAm2*jNKizE{sZ`v|2f2y5gnt z4EAOh&2}q-!{)XzH6Mg{u1Iu4qI8fKb;AgVE7r6a>yak?)EO0M8Dy(i8;e5LO7jA> zXTjhqGL{f2sZoTSAGQ)Bd3lg5z}=JRZ%I~&Q}d=O=uP`=#h~iFVqd(hgyxE+2&~Ab zRz%M{&Q_r2K!7IW@Z#htXO0suQ6;!M?$5_b>z(vz^i;}746M#{Tp?yfLu z-$5RzroKonJGW3qGW`eGbb|lQe$Wh|%;!(#*{F}pWj9w{9JRiF)@Ttm9=Q8~Kr4gI ziD%)8^bt)XhLSn?We8s~(4mx4`FWAUoLT#3maiTXJCw%HU9AO<$F=az86GHa=F#at zcC_R9p(J&(@HAONFQ};W2lpEo1%{_%Q|7oj)I{HgeQg8s`)YT6y9thrB~#Pv;N}T%a~DUmi24(2 z97S>5Z(=X0mKv2sCx3gOy`eu06ICd&Xb)Plw6F z?HR)2VP#Wk+tV`X(XaQ3zT)-v)E@M4_EK1*Z8h43g(oyK^Pwk_%&wy*9ejm*7Q#~V z#!0pZ+k`LS@1Yx%pP3v%x*n~rQaV~fHH@{DcyC$F^@y7Yxf%7&N85g=SRezkx6`gj zf0FQPOC**1Lk$S8XqF9nS2i7x1u&%&e|)kpQ#udh`7&xOw$DbO|Jn@D3zz)H?ms-6 zdKz6zv`hut6PD(57@3L#_Q?nE}&$FYmu{&EH zRjFGZb+`8QHR)}zj6TCNWl)cA(KErIzee6&9J0G9tE=n|x9Se6>K=iY+{{`VyLtv2 zefk?6eLB<*4(iq29Mm*hrPs{KYvF9fpOZ$eO-QM+%s(bqZ)Q(VH*dtP{6Xtq{bo=~B!UH-d6&R7a{!h`D6w#-xv9v=800Dk-Ip9|?$kOqmXl>I%ZOrQ%6+KY(V}F4dX(!^yUF=(MG=nnw$+=f-A&ys zDm{b0unl^9x-{3eo3P{C8#2x9cfk_G7Z_y{o;E&@wW-Wm12eg`**x7-sdhbf_InGG zWt5JNs7I!FE*y!G*Wn7IDue|z91gXCvnSa&Q)Dg8tuvRY5B6SWb~DuMWt@pcgcDl@ zY<8OQf9I|=t4r0+8gdtBCvUu=vNYI>QIOu!BV=DOZNU}WrnXXbXmH9fv?|qY2dRKW zK4B&l*qt$ncEm}&1=Q#MGnS^8Vht-qJx2i16EcoE=SRs&=(RoQH_9V%R9n;QE)HU? zJ{M_hb!!I+vNh5^XauoJ%DY{aja4v=GoB3RPEpg?jI4gb9J0jN2wShy(`r8sTjt_d zmBtnp(AMABe_8fj$iooLNs_%VAk$@Ige)6vLNw5YAu-@MYm=UkpYe0VB9|`s4{7Pq zL^$a-B`NW~o!UQ=F zs}Qf*Ja>28>+e{$^2{v5mkV`hp%F_|Lm^=u<YR zkTuD(0<}5%gD~PRVh~wt@Vp%5HP*HUA2%YB57g^tn$`NZP|pMh>%^w_Mc;RsugKnk z&oMVRurPgpa?*VHBB?m#U^|eF6&>hJL2UT=^A58y``T3gJzu=kZ0n_Ga4bc$_|Sbe>6iV769! zEG{`BDdkT4Cbk0b4IpQrhKn_Zz=+MJa`P)%|%H5 zS@a7IWqxC9a+?#En_RZjrO&-mFj!Nuu5rq3mm2JB+c!%FskRXCi2|51RpR||F(u;s z$<()VYxD-X5D0Tn*wNHa)>?dnGS3{znOWI!4{h;uZQNqio4$m?CZ92z1U#|YgYj$z z2ZyDr54lx1q&l=Y(sg&XP8*Voz__R*XT-{7%RjYdIj3l}phK8V3WGaWo-i*&4Zf)K zE%Un~txv1QJtrC`bI-7|0=w++-3A$ScY<;S!`a*HQT#?wn9l}Q1k?9y_SB%{-z5Z! zZPEUDvJE)v^vZRV7R2}zF=(`t7sU7w!SF7$7@8MJ`2*pI)z><~jDKhq@k(2y-T1sR z+(R)uC}M@w(PWy0So)`i%<12aQb=MS5IM!a+PL4q@bBrtT|Qv3F1qdwQ(9pPuPS|; zHJgl!2i6F%`VX+{@R^UDk`-IGt*YG!>xmO^1@XHHNx6m9hAEHzk!l;>Ibw4vwhtD^^h8Dtx= zFuLVuDu{L4aHUG?vghxUH*Naa`XdMgn8e_f`!2Q);dwC=Y3l_n#L3~q8oMUZWA1KP z)JGcqE@qoW#U<#uvMwZ(yVT`depMW*(5s=C;j{_5LlZoTy7x#py9fJH zT{CVD_o-1~4Os_ar^?o*kr$x>?%cFbQ6_PaZ3)|Y7g?0XeKWmGbC!S)Asu4QEDSw4 z>{lY7dUqy%)Dw9K#IA@k1C(OO7x!D4U;a5>kx6d7V;BOyIa%>*RL=KZ9G*S~vy;=XeU6W#&y(l-YX2wKtTKm5b&z19zY z-(nsnAc=&ksAL#CD6&~3=c4tttZ6^6iR8t$Ms>tV7n3O#?W_@eb!ArxwrM?<%hEAl5Od<T!G}Q=tTi{H^WvN?gvb2WUPI~COJ_nR+SgMZwYj-$DCaO!MEQBJ8hzt`&5FfE-mzp? z9S!;AEa6q$lkr;1i=nOO;#v)wI58jpz`Z@cVH_E+@E^vob(eP(O8ot(KJ~hArGQvW zZ@+I^thqM23eW`{E`y_EmBbnM>i5-0Yg}iOrSo+vac(dFg;n9T)t6NP5SNg0m&LeB z0`(nED5iV8Q}$`!H_Vz(*td@ULB{RT;FP9gWq@oikx5jaa51SgErLa)~N^D?uo52nd`q4x(&6dcY zY2G#%0dx3VSWmHh{@T_PyF!uRe#OocM5^-&)}=ig>?P(MX8D9{J z?CRcjJV4}I4@HHT{c6f88&4!eg|d>lv|MbFMx})uzq8O<09x2A6&X8YVbD#XTh~VJ zg_22B+DB(3AlV>qT0DI64BluWB3q}{-VP)WeDkU`bf-XW7)!2V7B}=%^XP~mvQyy>-R7_>9HSy=l*=W+*p z6U!*C;5Zg{mWE7>SxZea=i05j)r$gLif8+6C-@my-_y_|$|kAE$0J%3GnM3nb46sj zi5pIQZpaw^N0MXNy3BOuyN%L&>q~lanTrZk0WD!7T8o@0bJ{u2?CI=ttl>-y-nqdSAsFZnOAJ`dyuk+m!wRWjOxif?)!6IIsh0KnZ5K0Q=w^PS zo^=<+bv5)j+qCzz?ZB3nfHY=KrOfB{(C{*&H;y)RB1`|q^81+;2c3v$2AJ3H4`J|P zaa%D7KOh_e=&5RNj0RkN?WyuB##Hgj%u z2QoJ?^DMdgKzNj+ZiMBq)XU@xVT5}bG+bX&$AIZ~-#gARZ9FYU3e2tWZ^sH4t=(I} z_80&WQZKm_ypGbH{$1Q$64wr~kERe9Q_p`c4VEq))^bqm#UXH2M85H_fgbw;Qwn`%BB;XHcxhHqQ_D9-|4*1*YFF#-pPD4 z>-XyGW7rv-Klm>SQ9EL{j;3~&7^VVYRBNKut38$&b&b}K#U5vefmeOxDeiZ+Fnt5o0U{ zyBg!gpcD!NZmq;NeKq_pnz??Et%h4tTLP1vpRwZ(EUVL8)P(|YibL6u>!@u;pd;7n@$kElw20I~ zXDgpicy_wj<45D))UxU{nJJp$vXZEFs``36eVW?XEJclcq}S`2tqapgF|M_aEAM4l zEuyr|i_;5v_RJJStTJ`RymaI(*FSmexU>a+^XstgbncfL%y3MP!d@aHCcGx)xy7f2 zI?f|Gb?bwHu;l>eM8}ZLwH?;ywQ_UCf{2 zYw_Ym67jRQo58}TG=OElReK~FQA3p}l`1KbU-obCzRU$uz7tLT5ck|VHY%6e$SwHV z1=zFUQy@WHZK_(Q7!cutfI<0bUQG`|S`QPb%v^k{S7I450Pc*|1ZbH#&d;Z1yPIP{ z?p>uxx%;w)+f%QN)v{krDq_8=h(ua7U!z1 zj)ix!hZeY0Pc%Ah!ACO+iI^~CRPs|~pfL$|j;k$-OlqDaP?=oqQE-c5RvAS?Aw7#pIv7Gg{Q-+O+|p&M2`3`FlFoq1 zXlCXFP%s&ZVrIGqup)HrNc&-Cevb|Y4-?hbL+%o)J!jHnA5L%DM~r^6>{Q>mS7?hS zz?>+TrRrm?=UIZr>e%N7XrdO$sv--G*RX$8NWI z@g?l^l656~*ApfHlab50SiK-}IlSXfe%tp#)aK6el3jx`SK~l2R+~KRG8N9LKXrHg zbUFObZUniscAcdL<(GL;&Ibqqjy9UfNnQ5ai5@k-8Ov|mLN%Gs$T5_Q%jOz*PJzH1 zD?b=!#A=>yru?7Y{(SU+7Y3*6#HR7pZFDnsPPqxKrzKy`@;|k%GCtqPPWO}(g_y+4 zybARun|Ue0Ur-L)-i!ro?%y^LPJHwLc-6TRg=NAm)StTu!oh1?!dfC-vJyxm!FQ+DLMDU5DG0#*-YoWu1C5noOVN5K2XLy2;z%>aH8q+4omQ3 znDo*Y=Ih2BrMhQ#viVaS^m|PzLM3&auh=vW`oBCfwc+7GWgM9KP%sPKg9_%)d^qts zShGUtYjsP$?Em(>m zZ?t~ToC3XSw%W(&rgwVW7`jb9%v4LpUcisM!qwV7$v>4VdO}cX4COY4MiJr1ceJk# zekgY7Q=C|65_<47vKE>DejLVozJwc^1BDnOnV3nr^iWD1@qV_q+CndJj$j#o$;%($ zvkOe;v^9^@_eu)c2=yR6L(u2`*tJisW5I1U(+!9(g-O4TA$cri#WTwmxXuuGZ8tY0 z#xPe0AP$IRt)J&9g%ylU4}33pebiXyj=}Yb1b+Z{(&SC~=)OMDst^5!`GJ zhkD2$fGr9wI#61R?m-^qpy@s5jsOFR0E%;ugcqxN4gWn(w z{x!x{ong>gT^ZYg#Uq3tkmRIZjS3*B%Nj!9+&a%;0K-qMoi0&_@E~m>0CNw*Cu!A@ zFsd-I0X(O(t8dDB9 zZ3#(QO6^4tV&x=2dCuojz881AZrAk=>NI^{Hv=Bh(Ubw4o|6w2XZ}?y4&rT^V&<3w z!r^o_O8yHcIZafY(hADk57N%W+izm`c8cf+vlLUc^*4+4;sB|d~3&I_hO2uzh3G7*0QJqM}zqoCn%-xI$-KlIbx?~n~hWb zCV@|4T}Oy6Lxk(ZAN~J&fjPk?=T37J9fdDpiX|Bbq9STWnnT9ufz?4dfenAok>_25F~` z7VL*WLVp{Y%q^ZwwJ217rn}%j{^xB`WR22SA7{=X<9&Is`Mk{{08aF52hRo}#3T&S z2g~;Wc7$~VC&b#9H<@J;loWJ8;gYGHYB5O%@)pcGQAQK+bp4KAjQl{j6o4U&c1*il zqWZDcLfxZ7ojVs$@@4vJtl^ePG}|m!`LfgcgfV8zsrYWV6S(c|NB{Y+A-c!~Wdx&@ zA!YAT|I=F?1Er+aevIQc2oY#u2@X~oi(lsLd^|vHwu3%O8)L8mi1)Ua;3Obtsofy{ z3;*cin}P%7D@ftpdAYeaN6W5{G(-<9l#US9zyV==_aUVh7{`x0lA?7CWf`16G|w%^ z?7g?+jnLk>8{s9lKa!J|HZqs2%{Hm+Ac?ZeF!_uPEIVWt)z?>P<5)MMM%aVUb2bFM z2T5pfkpY-pO5-T$Og)4ZiXM_Z6j)!?DhXezeU6So&WPR5ijDicLl>ETvY`Re3V9l3 z5JN4iB)~-pvm;2(2<@k|G@IFezJV1A33-r_z(CTf%KVz#g96_zS&=V@NH_!>y!4z! z`ps%x4wq3iokQa;n8JekFUzdzA;tkSVhoZ{p$B-A4XU|hhD9RNA}4iJ8reG1sSxK2 zm$eo^RD4rJ%m@MQBnB~m*PZOdDCN#1ITM!HJN-o78lG}EXxXU7i5*c#MbjKC8H`8t zie(r}3(mqcrrCQuqhTBp9dh)^IT%yFV3hP4*JFz-nsOZpo?m@-JS)wpEp|`>p+W4e zbtk@=PHJg%2U7sq0>2t0Z9Czhd;r?1O>`CL85Jr=F~N7JexB#`abN5)Oi~eftQU&drw>8en-pO2f2;W*2N{` znmT-uMr`dY_Jw&Jnfx5|k(u@*;cX1jpPwo^BX#AHr$<^!;V&q8EkWp-V~KG(`(|=v z00kQhKE%#_8jw9?ktmLF9*x+i?rfz@$vi>7l&vu;H4haa(7+%Jr0^UH7Z{4zGMwxP z|ExH#A2rIuk+rcNzpfh}r!*R(16*J*BSNg)4?FVm0~8}-wnw?13>(AH_x*67pb)3e zR;<$)?9BjB?~5Xf6|zVG$w2~{ywVA*;G-fb*kx}m@vJtPbL=~(1=23NLFS3_lUv6f zUa9S973A=Ur^;&i49y@Dt)v_&cJicQOld}1nIs&S*htb#zWeJ8I)Jk1!2(`b!Cl7M zAQ67N!csrM9b=QncsR_e{{1|^D8JyNY7KqiCbw&PfhQ$FQU{$@U0m2<>AOxk zfIMg{qaM*h)KWTx8);_DIXvj!+#WWD%YU`mG22inGmbdu<`2ko^yS$dtqUf{x4e7;7?lZOj-LdG7jW7W* zemTVdR(Md9iX$iFAYn|YS;zQGA@(;FQBFuxtH0}rok9Q$U(;zG_36TV3e-mWSPam- z5gu_CvO>t(Xv5D{3h_<+`MB^rWfks08|tER>8ObnOur!#6G>kfzmvEeWYEP{Uaoc; zVm>~9AbW+3z0pc5gboGVy=@ffi-*v??eDJ_+l!s~V%7C4z_727px3Nk~R1%^jLvq$A($HD74sK5%aR7Vy0H_0L>+T9;Yqo?HCkZdq?ZS1Eb)!Ij09+ zU?c7-)|ur5=PS$BY}mZkMAB7;t7ej?uibF5J{677Xr!b&%0kdV7-O*Z7ka}idf#gL zd}8#dsttdNV~TJyCr(Jg5Z+6uVs9W4!?uF)b@eXTLf0>dr&A}o3DZDZX;qC(;S*m& z4Qgrq`Cd3^JePWQp7SK|ahZDKdUxbjH=@x1(wvFTXOfiJ9C}tmw-t+k`}V zedf_PgiN)BH^EQV_@u66vF76XY=SxR|K_#1qe(|HSRp~ z{D@d;eR-A|ku)*NE}-TDYJ~T=faF0bW{gI-mIok+D4vN8u-wQk5T9@l3oHn_8cYCa zksg`G&mYgyBZkCA^YOK{+(7%q3!T!vQU3e)#y8x zS1a}9G?HE<;ubNTuR_Hnn+)M0cf^l6PqRt&#aRFc^TeyUOlR2!nW(FAGR$ydnU6@L ztn%nK!t(444K!l{-^3uxX{;ke0t~ht&3w_$>#&wwL*4j!b&?Ehx0` zE*DzInI5JlQ`+HuBUE{{c#I~b$_2l(OLF{@CvFPXu8&XAg7OmtHDjcouStI2$mN@>>%7;`~ zf8Qu=pI79P^79V!FGTHCv1kiLM*5iJBaq|Hdc;uAvw4xkC21@7e=6FwyolM=wZb2D zQA@;%Z!xAnx2VfAm^L?FB|6mARold>f9J&(pBc8-N_x=9bMI)2n3=5E-^ey7dtci9}k_HJy~K?>ZGYw ze#^YZmuKN!*$_e=e!H!K;7%Igk6FXo z7Ctz+g5qbSHxerv0*YVh3jZc*8l%b|?nPQyFkn&c^6?N(SRt9A|{&FaOl$*4KjXhdq5aj5+OSwJyx5n=>ZBabQ$@0K8n;gd~_diUqt()g)B#m1eNXt_WRFB2mc@?vVn`A~&7OC=j6ydLDO3 z0G+A7!HCMtqO(x{HO<$(ZvuG?M>@bl`+8;iOPI5fdjCymrEc0*{S3McP1C#XhM1}S z$IRnY?=d_UF}~fJwk8?54?fsUnHjpqX03RjD~#_yV&gxe?0>|Th>;5q!6Wa~x>_LK z@E-3K;m@fN#61TBPei}hy3p4Q0RGSc-yJbPMN`^xHEj+*WaP)Qbu~v^MhFtYMh4ey zq|K>!VY8a;&B;0nK=&wbAzz)nOcKgc^YT1K71wsX*4lv;xo~H2AgCzt^j?$dv6s8k ztFpa=xT}P7Y}qB-9vGFiyCc#(P+OZu9t2x&{q;3=!c_l=h~Lce?>;#mpO1ON^f7}i zk+#&WDX$OLAoWz}#zBqU9cFcBc9A;(m`3^$s^7EXb20cKv%MAqcnEcE%En=<@SUM_ zqoat{(j7{oYbFhpzgSv9mqKF+3nYCab%)2L8^;)=&Co(key+jb*SJqPs@nsa=zv>9 zs;|GC)P)=6;H)9Y{UeByag#Srr;JdzYc^*r-=AoXR)s`(II1l(A>smH{+(3CQKW55 ziBn3Jr+Jy9UhA80mfpzr!IqksC6acE-}}a~xcV?-mFH6_`DOKyO0V(w<%InzPMsgo zbOGR&!)^HaPJXJ6C)YX!M$UdQC?^85-42kox_2V-{iz1PShxiCy@I~&%DX&ja#Q7u zy}D54#QRXq0LGM39u!Cj{(9tx&%N4!zWrEdtpdKcfcy-QB(q->sNyOs8Ojpz_iq18 zz6Ag6*n=GY4j0^34NNnDp8pE}+oBK8yO0mP|M?fF&j5BnO_|fw{N%#HYuW0I0BrH+ zlT{3w{rMHtDF89zvsO@7I!c1$SphWB1EgjB*@>8pyN{KQx!LTI2!T7Ug8*v&tK;$e zM%CSKqbKdbNg&T1g8!yfa5G<_^Yp-<2NA+GtsDPl3-qKkXhuLFYc=urK>)<+@-MGH zcLIBgLqwmTvJ-A$`G}1x!E5v1VIANs5$9h}d^v1xc@fHz6Vn3jvadDPzx>X7{h5jt zv;A}Bt?AbS>|cH}^q1&oTxN`gmPX_0!c2gqmOezb89l95Bu z-)V>?#R`7`jDgog)=-4?!N_>Qv)-qf^sjhC*Nm0sH_S_+98EI`xLc1pxjg36o5&&Ucj1sp#b(Za1V3Vg0zi zuDU;#P!lqg^v7d8&fvbqAJZa^M4bd|^r~&`AU)6rpPhnUBF``1!0$eY3H^$j1W+Zt zIFg@$c&wW-&^LZQi3sClORpy3nA@RQB)9d-Jywqv)f_{+dVIKBNLB@DpPXBMKVr?773E8H7I z%61|z`KxtW#W|SkWvx9EMZ!db6 zKHTKoIpAUa-K!S+T#%PmK#lDz995CJ&}MY+mSAE zH%;e;3KEDAO+EdlJcrB_#l`PN7Hr*yrc!5CpnKC-jWNSy+HfO0wXvGlsF}r0K&N5; z3^<9J*w*MK454KS`d^-pQ8OmC*42Nu=2`M2cYCGdYIm%>?{>tli_cUIZ4+!yz@2-~ zUS~8TKKrCitNZePQ=KyMiffHN{3r)nvs62eRQv8Zxjh$V`>&eKD^<(j?Y32`UG`rY zz%vTX?Mj?rTGG=*X^!qCtIrDa7tMRo%V8W@o}G_SZS7M)?!7_exxA^6mUw%rWpx() zG5K`Qw4KuN=ytRVKG7ab=M~2HKUx3fKlJ^JKmJJ*PC}=es9a6HAS4E2Kb>o`{!P9s zw(oW0+Ycw%bRQtcQ|SS7rf+|JftQY$q1sp}=eU%H5#!0`!jI2arI2lvPN_Pprij2u z2*rY?R9A86zJkM}qjl*%#1?f)TQ)kQ!&>1+2GJAf)1PoEGa@x@q(G)z)G-YPWq@@% zYU*fb56YH?lKRh6v<`n3Dt47D1TZ$*{50;*=Ro=vRSCAVFE*GO|2FNI6PEyvnlDWm&?}6J+;slP=WZ5oB|>`bllcK zoKSKNdRJ_VFpH*}#SB6=X!waTn{&9D`;ZRAbs98rS&L;GWdn|?(a@;-o!%N1yqLH*RPrc9tC~uyEJq46k-Ws%QOfy69=IY*x znId=0fZd@)$4m!fW=xJ}o_3w>ZhvLue4wp`a5yu`!r=#(QK=ZPuiUAs(D3?sscn2Z zm=Bs*Xw`P-pa!6(#7Ac_jhj-BM;e zo2gWQVJKkkz!J92beFBj#3!oEB-qTQeaOtkr5(G;`bXfubmQM&Phi!gZW(|g>ZpRX zL0c8)oHS3^#_8-AQ(L7+O3yB zF~{y1D|Qu8i)yjCC#IGwjxnqTrLBk&!+3h)+gE=zr5EKBB}IzdveAecqi;8=w|rn3 zPt8S(P=Y5_3#(4BMf?!GJt>ybH-JWsc!H_DsH0Sm`EUyX2ED~CW9Ts0bn zQ4cBg1Gb;sL;Zo(1;|nm`sM-PO-TEg(gh2^5hE`Ec^`9*45dN zsX5PsiO!qc4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*CWi0RR91001I900000 z0071U00000007B700000004La?0svDWJz{bwb#bp_F~WOtY7#QJF~OXQrR@SZROJ`-)-puTtT90hYHdy=sfx*Hc9`-*ZBR~RvAX!+* zASA#)5E3$AAtM{v82PofY_DI#_r>Ghb8kjw-m2=#?&--p)0J`Ko;Y#h#EBCpPQ2cI z_uY5@6#xDN|0eKUyEgF={8U>fr%@y5M6IUU4NqHf=gSfM=IUFk1d`9SKa00NM(RJp zzdy|V`x4&&!2bJv{PG`j`rUWm{eJv>KXUsfB;Sip!Z*8NyQ2__n#Zcr3>s%&2xFaT zZLcCTWcV8Y{2Bbay}P(u+57-P?M{Hdp9aPc;ddiE4jO8!)rsmMUVENvU;Hu_^7Jf@ zjvsW?jp}Qvw6s*3oS80_Ri)7h<3LqgF$%7EaQ%L(8>?j{$Ab&8;Qrdr+3X}6<}qDI(OM{(-}Ul4nwkbydC#o=*_OuT+0YStUwLxvB-N8p4y zja$cYaH4tK0xi)=*H*Gd_F9_9^`PBu)uW&j9;(Auy?YWiJ4PIkE@~o|6Z6WVgm0h5 zVY}TpQ|<1-Ukd9Tb-mNl)PRvEZB2N+)jW(0H!P)=%{zuBwE+T~;PCZY_n=*mqf;$> zmE$-JnfdFE@0+MvR86SXEPKpeg~(gji??RG(v@6okNh~OgQwqy8bxoJOFC} zb`qJgzK${k9d+g^KLWdfm~3vhfbg^n2{A%(U9#3xo#A;~odnJ9QBd!6WAO6e%$0+c z4lFwAy5$9V3poh7pd^mIK;B2~P8=O{JFU1qrPNL%43J?Q9zjAZkFSd&CPmYP9(7|a zbBAFkh#Kvw>$)AdHnP@eJyx~u$w?5O^)wJfd^P@ELo=E9Jv3GRd-^XRh*W7c^)>j} zgU+CM-wwLRVOxL6{kir7h=97G%1Qp^V8*Y{KL;rE?5T{~_ z*=)6%lPlp-&~0?o?MCYWJyLBz&bqyQ1rodVCV#KJZ$G4r{jg)~Y9lypLpjoJFrTx3 zX&F453^R06O1>6BEsWc>K}wj_{#1*SQv6kp;*Hj^iOv4K_7Rw6pYOta>9+Szf>Sj) z8Hd5)e%s3YzP2$w1&eZW@^Kt>!k#ZjN6Hv7-56< z7Cv^}Qa8N1Phb)n4pnNK z`m6Zk8DKzM$Rc3)7GmHCC0CJy+A(vzNVjt7G{|>sGKrcU2Mck)>JqjaXS4LxQ*12M zB)?)b2KC%d5C@G$*uWq3gh`wN)EbtnvHak)0 zOxEfO+8sPx;VrdBr-XKKz)=!M@CwnFp*oLSs@Xj`2;(-K8g008=+HpFY~#=)!`Xx+ zFhh_gf`g_GhmU}vQwg3OEnaQsVLFZ;h46Hpord&?Y5xVWwok))bOg5-5Q0(_G+NDY zO6`dZ4H5KTwWCArOY56|r`5r5>B4!#w*vh`is?^*S87sIMb@CyB=if z)1&>eNll&UCCgc<{rJN{o*B_hVL&wX`IGjsuzPRxLtE?i*um$>fqga#!0wDbGBXr# zHHnCxM>`&#tu6sHj;+?7iW)}@=K#fC`&RS_IB~e?_Tw1}e+MvLqZ*JJENhVTd>uz* zxi#j;v%nA2G${V>!_;Ziq>X}y+<5`NuprEksYZjK)$EgtHtL*@tmrQ-kDoVo!`C4z+x@PX30T@O zm=NxhpYFLw)De>%h9N>*X6zXc+l4&?e{9~K`Ex*+SQ~x|rWj80fe&hn4g#1?`>|ex zpks4?w6cbJ|1=jN{K61}ZI~~Err@|cFw?#45qo(tR`$<=vLDw=&SHDdh$R#ZCTQ3Z zaZI`!9@8-ss~T6FU@=3z|FD)OY`zovUq;`3Jw9z>OdOpy!nZTn@S*2H-UgFR&2i`s zmUv;z-!nqKrCz$Tws{Nx_M>LI^Ah>&88Pb_R}v`AXstj-6^Xpj$&;@ft=n!W>E|=9 z(LTK|eZN`De?(pJIMb)Jwg?rDhcZJmW4*~$bud2rnP*(8c#STVypR`tA18)MQIuI) zdyKg~X4o)+8Mmr&s~W0RE+r&T`FPk*&?ybq#E_0c&#}@AGfZ^P`~BJ&>6oYI>k)>D z*jS{-&Gel8$5^BWb~ob|HEvPk7Bx&soag(Mv?!W%>EM4kI*j5$gMV&5xJEzQc?drb z>=?OMtB%<+W=nC4cPuSqX?aGZg}saEU+BbKzqzfJgXTk}XCnH{Krs5+>7uA<8`;o97~H2*f+kWxAYuf_c3;| zBY|1Z&^pB`TD=7qNU$0>Rwu2)aEdhW3Gq*FV>(wyNGHoU!4MO2%+?|zGUn2lOMM#B zSTx3>F&2%~SQ@v7aeElIhjDut+uq(Gv{VjbRVlgAFFfW_KhDVbF_$Da`h~|_>c<%w zKjxC;M!)d$z$H4@jGK>(x6>6#%AGg1(FzXrxd7($PB`uMs@uZt_(Mo$+<$lIwZmzT zIKGnSEb{CC?wo%V#xXbP>%#%y?$gJZ;Rr(R=hu!tyWby}9|a8@-l_eAc89;+W1rGx z&VWoO+01bT?Zi>2cRrCkS!qvclLM&0!_{8gAK$Z*+;=R4VC?u*hW;l)j8A1qhZj=N z8P-ClrH=bTR>DTWLke(omfN{6WX!;tzpMCpN)2V4?K7dT#?>&c2I07QR>Z-mCw8OU zHH@ugow$VqP+?{Sjea|9c-Y|3<6<{E8SQ(L3gv2X{*`4JpaDe@9w5>27KY6h1rSnKaUuf zbxO=0u5>*PH6d&E{!8~!$!i4KS-Q*{75VT9Gy_XM=vj{t*R|rZiX@leXF2NSF3hVy zxi1Tr63ct5)|*(^!%JPyK`jqY9#AnCAy8B%g5>01Kqm4COB~(UiMzZtRf|+|Jf^yl z{jw9ryo@$HO>HB@3U;zKI+0``+GYjZC<|0RMKAm>K3$HgS z%gPuNs||hMaK9;PEm2;PPX>b&fKk4He6}b4BkQ^G7sZ);%;kH7Cqerw<8#oT%bv@Z z$W5EGs_B!~5Bn@%BJcgWXT9hdLUVj@ zBD2C1ka1_a(nqoOYk}lig6+M_gQN&oTyu`sJUVbs->PM;otu%;hNfOG_+bnVU1yrLwB+sFJFEM%@b!)aqfxt0_!EbmFkA zA4No^wgPv{G7Twn*@gk*aY%cu)5%8o2vntR{+gERSBa1u4_b@P1Rth@#44LZJ? zdos*BSA(tYY|hM1@0F&ft8*rnwBh1sT7{c9YocMf$ASG?gtcV`idS#+l{J0z`b>FF z-BduXZm6Y2^@_3vBs=(A3z*>HPxFfaUnKP6TnhbS0^QRlCTJzF3h)qVu36}Qx&)jh zphWpnoaBSKD1=ETCTJDei!1cIZUz`+;KcSr-q-gDh0D=G^NFo|x_q;=gc_bHX}OuX zH8*cQQm{9p5zVZ7WQL5g zE*!OfXwp;6s@t18>l>@P`?dAkTa~>#yQ}-_E9NuTfX&WMFV4*^l;+Fj`GvWu(mei~ zE6**=PnVam&BGwZu8OE*Vn2wVO1INW zWBQ?G`t_atz3uId{jJL8s!2}SJrp&w!O>qckoa$;JL(!JLb+J9XTO!^b3C*Kx_B6_TJcMy=~pzU)f#1ySlZn z<5p|yHG_)B32h%86~{}dgktSBLeZj0=4vB2<>^0AzM(ih-N1-wJQT?3 z>0A8Y3KL6Ra_xZnv^-r>o688`=>;c*+32GIB*c=5F$l}%MI&4&`w%V~2x{8ol5rCy za-A_TJw(nd&G>LyaCZ3Q{hQcq)giyw1!|^zA&h(SnC+Lx!kjM;%QtFnT_<^bGedMr zS~>^ml_Pefn?d~nZiH8FwA!6!Vq372+pY?3~ z&2X~5cyMsE7=%Zbqs-zSuDxwNZmYMfN@As;1HoTBZ1K_jqU}KPQu3tmdW7Xkp)MdZ zPbw>V7ky1sUu{#=#)Yl2^jzDxl+^xqDTQxlej0!AP&)I5C_96Bt7ERh^kkk%18d_2 z55;~ThDc{#&zB%1t&qgmoW;gDf`(|9LI}saN^C8g`iPAxz_4pS{Ld+ zg13}!O4G;jN3W@FPPGcc{D8xga4&sd9tGG!q>xdgdPv|?C55#5Fn;hdAmnMUhL)0pkLru&_ zK^jsht=6ZlE+9$^7{pQM!AXc)-vlrjIcHV;hf~FY(;%MO&1JJDDq30l!mA(P3Pul z_Q=so36ODF*26}lje11!;`mI}xjkAWo3|XMhT(auiFZNHq!yh4p-e25%jSiMTwj-gVtzAI zi&lA1(F$jcdLzQvE3Q8{Q(Fmz?7@u0R>>{A;bA|yQBCH2ZLH(Oa_Xzq{VNWH73tj2 zEgK6}F}V%Br8cS-_9Mu{skGcDiZK%4x?X$RVUmaiujdpl-}B$cPz4%-9HaJ|M!8&_gEc{c$UPh#x#;En4_H5ZmM?#&`4=-HQoC zf>2SETAR*;r?`Zs>_#)+po7y zPVv3jd8P_|yHBCXf%6s1$0nMLcSDR#WAl~ry}kp3BbI&{3QlH(Ot4*a@i?bht-v{) zCf)H5GQRHXqMH8Af~Ha#9pR(I-z zq@LTlSuyaLm%&0)#HiHX+Nk61Pc1sg#6-&Ub3MppHH{}y%*b`%L<$ASGcz%af9x72 zCSJn7&8Vpdwz^q<##+Q`FFeubqIYB4ZiE6(O(31#4vdGSXNJyCo+4lag4a@2&;m|Q zadH!;B5Dt#Q$*ttd9vAI@TsHqEUdkao<@?J)-WI0pyA@4ysI|u?(J=u$TUaM;8u^Y z?8=TssdOA3XbT(rLBzW0kw4Lxz8-%unpEu*&RtQfM-4r8(qAV?X5y;OynGg4R-3^A z#W$!0sJ=6dTS*Z@ z6?Dw07A6BjrxYF;CS5ylcg3hgIGPyBEI>7>U&N1*g5Cx(=o|a!q|}nVgAxt;MtR90 zRA}OAwYuFlq-MC5dASJy828pW1lItJ^do2{+i+|X1SVU?a45!4N@~`()3J+6n*^zo zB_GzHSRosjHtA4NZes?5Q$BhU#VF8WrKHnIFEJ1_SdIn46GA0Py?27hI&{^U!P_VL zb&XWpFqPxu7*l8w#EuO&IEWfi=PV;LmT!G<^@%og>nzrrSmgoVM9Rck=v=GpFzg^$ z1UcnWgV$Eo8sTHLo0ycD`p>-3Cf%v7vn3&fC-XJ)!Vcjc=LdHnF_U*rF+y#_!|80^ z3~c6w^BPt&EqB|KTE|qQxZaIA&aIh&&%AIrr8_2Z%9>@YY`-!*=}V%UhdXkHegdN; z(}8j(*UU>5`0UDMwe#StO+isLw{Bh|u4Y_|W=Kzox5-j#J%c#&LaJRZ$=G|Pd)h#D z6j+_lIq-dl58p2QS9&V)oW#898P#IuW4$jy7Fi;t_H3gny)-77luQ|Z z#pP&|BpK&U-x`dijL&PWxNh_#IxT3+%A;0Ms?$}cWS%VUzKTZA5T%3YoN?hbM#$d zN8MOiy}MuC*lqe!Qi0gaWlHEy-l!cK3twZ{UiGEtf)ks5eQOzK|H7F}L#fhs z_hTK#(IeUr$1&%t3~EjgEz<)7543h5A91da`NLg#gqg3<-k)W_Gei%qKz8yM7_*wJ z*x{xtHsMjDfsro4VCK?Wn!Z!b*mbLV1!pdiT*gTPt7niRSXk?K)$O-*(h1IcNNWkB zpdK*so8Jsurad*9EBXVZ~{cvxJ@iO9T{f(#v*<_P~Scco7%0h)4=4?1I!*j z4&b{Cd0Mn?jey0I5&p~zM>uZ!x5vk6KkUy;Pg|Rn8oN&{Cyz52=)}K^*!|MdQW;@9 zo+#D^+!|n~Te4(3u*4=AR2%FJYQYhdi$uY!1{Iovvw{^ORwZ?7e@fJ-(jR9lq1a+g; zIIL zgo}fVFm@}OL-@s(qQNz+I*MB-7$H42xbO{+Y#lQEBEIc7S5{*9>m&oyY;EtU+Z4&2 zZu`OHGG@pIr{SELc0F)(wbE+7+EGz%yM}<0J9iA?1k=71l>qz5BKG zd-rc+u@*It_N9avUGf@(XsF;SAkx{_9z;i-L}U>-bpswi;2EU&$%zUVx~UwN%`nzo za!`+3ZTJ`M?0w|CVS|v;hodRe!$4(?;2ydpS7ex)-O?mhe84!ChcW{Ok4z@Rui~2- z7Se@})k4r($=Z=B*N(U?pBl}8j-3t84vgD+b0`>vr7*(>`m;#L%(W`o;3x$pK(_=b z!R&|iGQxH@NX-_71g$KK40AkZ8x$}#+nA9E_ghCtdRifo935kC$$jK1EYm_VFH{Yv z9L$iQm!ZM0=O{z%ZXD7CL{hVLmNOlZy_^GR@ksJz*yvrID;U${jlPd);8!1nZZlrr zv@Zj%WrG{{x`UK^^3N1C^TL+sc_piAwMUu2!yq)0@{2 zhlj%*?Jlxo{QNsxb`9fY7{@&H!Y*dX3~Dg<4*5A$n+E$(jk5%lb}=oQRPSjPMu>nA ziV%!7YK;0QnS9GyOWC(_27B#sc-pZ8g3IB(mBHI{rUaQo0tb;zvBBC|{Kb=b(ZhD_ zgV?=*SyCX9X9T(}4*Y5RjTD;FoFoR!m>gHnBpsrq&j*t}`9 zZ3PO%t0a*ek1T);zk+WVf=zA)r*I)0gPp)*>t2eRMlwLtz6=2GntUss`RT~b@G$D0 z7_1b2`Ob+7H?~V;-*st~3v_9EW+5rouz3hp#!g1)(}gS_#J3tIR zAaX0xEx8=I$d-xnC`p1wPIk%k5(INXae(2jKxsg ztyWDEW^>SOTN;UQTYJ9JuPG6Gh_p%^%?RTX7~#k8haam3&%By)1C|G%kqd}1QV$O? zpPItyen4O5bP!@DP9M=TWwK&>Ls`trQ>25{6n9Ra37$}hob6y3!y4A^T=Po3)PL*7 zi}>1aOEG&zE_gf7g7GqY20YBwrcGL1x?r5WCaoeo)EO2qJ9VU2rTf;4FWGJ`bzr9< zwste(8?P6a%iPIu>u^e$m4-M9&9Lpl>%~h8T$g}{C~du7V!ikWfTd(tmD9em7-MI| z)Su*naksMn<7q~L>rt{kP|%%?F?_AL_B!TI!;ovQs8+Iz(P?n>KTN<@j2Y&k^KUDF<6-$pHdY>I zPYWR56#n56L4w;^Kw3k%az_!uz*qt1lw5u#Jkxm(C7@T|BGeN^mYwXx2~V?C;hnzmp;hjM(Y5R_dW1s%Vie5-}?Vq z+aj5<<3k1!Er#+`X$C)d_?8~8K^DU|qF&}Fv#Xhcwj4CAPmr?t7W}R)+C3PRXfVAO z;C@f+hwzab2JCJi1ImGVwlA?mLi3qjp6iofqh!9(s4(JUU|B-DD8xE14$TL)4=mx( zYphHR$xar9s5_@=EQ<$!wmycz#IkpUBftzaIhl9d1SJR7!Xm%Y-5Od2+Rjp_@m$cd znz7R+4_Wylnl@CjPmVB6Yd*>_fs!?HScK>;ulnoEMu?sS0uGz;pUBF!_F z<{X`ZA`zTR>)|PiMp>|V$r_I&Ul1y&dp2mE6*RkZdU7lm8e23$Q79oWBN690LGzMe zqaex8XF;e4UMbC1)5#X-Mty}uLvVb=B)bNvm9yZoAVY9j&?$lfb`)IBG(e%7hYE%O z7%|DR{kdJHIl&MG5!E1gKVp()^IH^o3nuzWetLp2FEqxYpi>0>B#YvS;3#ByL6BS) zDp-&qM8MBl0Xqtk7X`^BA*zB55fr=^u_8DMl9wdOqRSR!h@c=@@Lm91DarKJHnF&~ zwz^@=9cpIhq{`_GQ4~=Tf_@RaQle=#H`lkbGz*RiI_yxKB@yy27%gD0lxEFn(7d<1 zEEp|v7ePPK0zd?>lxPlHo4c#Elwx<{Nlr8b#e%nj_^(bxhRH4!df(T8ID<} zVZMH}=j&}OFg!IMS%)icZoXmOv;y_(N529+hkOzDlRZIOe%XNt!$-6vfB9`&EK;^2FvA^3PrCffajp7U!xxh14tpZIgr*Uk zuysy==c4*Iw`=R7{C=hDFaHIrKO?qMF3wy3GVO)L-;BnwiY#Tof?$9swO{^i2PEmZ zOnBtwXLH)Ees(o2{=C2W3#N!p_q|?xUHvOb^^^U{3MjU3QU4;?+d*8W&Slz>*FPCh z%lh3HME#0F3jydg4P_ZF6CQc_sWedjj1%7-T9y+4!2rRQr`!WbW?x7FItEC84tO}3<;4ZXa`GpPS55Ct0z?NUu|GX%v zXzTuFaX}lXpNu@M`j@V9x6&-UC?q&p7c#O^fo2 zSP^_q%Ac7L@S8xwG8W~x4C>dO&l`W<_D}fBxd5yH$oYkmUFw=s075PH2G3j2e(>jI zfW&hl6Udf7Gg%>2eQ!x~TzO6!fSmc57o%OF00dWhTZpWO%Y;W>|Jnjv&JKcRMfrpP z2o3NY^?;56(gbujY*5yP1PHw+f|f&%amRh~V9HbO z0VL}#qyTmC*Q%oUWl>Ne{=HTY`SX@FrsTip(uzg^-hSTM8&JQw<}=4M`58}q^d6m{)y z08d!~Na?w-{u|{y?$?9CPjC_c)lCUM=X1X_{C8K9Md!J`UbK1<^ml>1u~I0DgfnVP zCOEG?lxc^whL529b)0GWeYgi9YGTt5wuirlI6UNqoh-Ioa>gOQ_;sV;Qg83bWbXqm zNiX7((3V1nil8u|dxsO`*abD~GcQce*~l{bWkm>+5E~IIl0C0naxO`7Nr;MIf(QyO z3D$_<^U5XZxLop^E&e7anD;(llk;!p6tQ4}2nt~myn7#T$vHvECE@H5tP#Ope&m?@ zTsFB{YBAB)m=yvdcq8qKIl5nFvg)zPl9^^AUGj37H4J>pE(_+$T1^=tK`GL z#xEZ4As^{6M%)Wi#g*JA&Gdd8=DEeRriR?Mjf{*E#@}A74CLI4d_9PgwZ=oJB4>AT zU@dr#5T2qW3HDez1OqKe&f*vmNutjY49jxKsqGPwB+Q0hhFLB->tjSD35_fmmgSOD z;UgkRbf1D@S(4m{XGA0kt41))lEn6zY#<~-#kIhN+ne<`rTRoPH!3FGdkB`D^42-T z<4M!>?-WuP*;VAjC2OXn&}oQ#z>%5jR*#TJ$L?B`6eXQ!CFMgJazf!aLXx~f!TT>}rsnS6^qUJQ#(aG^ zgF;gxbctv?f;s7NT%%%+&?UlOE7}M;bGI6AR5V#-qFE*e1(qmhVYsuNMn#nsXHAtQ zMW`220am$%d!r)CijgKtG(Ay&*iqx^kDSB8ilWBYh19U7#7dG5`@3{d<@(Q2QRU9o z(o~6-m=i16hhA})MM~WE4o#J4jM*A=M2(DBR+cnXy?s0N_{)2nHT>Yo$$F{_(-84E zP3Vu65Dd>)xzQB(dy<#;R@RySRZ(DNL{s2b*Dvq6-O5+P11k@jf?n-xYGK9N5_!=` z*_?)m=$zFcbg^qdIjsjzyKBniLh)hMMF)Eo#_ah{$EL?gsy*iolgiiHhJ^f|a`7@G{ zMA-^ZN<|tXYp!-A;SN^SO{}+P(xRN4#G2E8S18HuxGhaqZ`Wi+Mrx$uz4)a>Mks5i zorKU>x<>03Bahs5jffX+xs0Zw?~u%OPNVAs+>#7Uml%@SpzbtRzRNA*I3HaXc9FSNSDGl% zF=dI8)?)Dv;NnCH9Xl&VW0t7%$WadssfJ>a`zqKuVMRM-OBFjx5`@dcY1&bfAXKbV zw>c7;$tJ;bcEUY1L&U@8*I8$78?F{vp>ajkr2BS9MwC^$sdx!KB^|P8Ro>YhO7>+Y zcIK_pO{qvjSKlGK6LNILh)zh98lwWIOGZ^Q?&K~lI-*muRFMo&l^pgpGP10)O)Dr3 zIe8h)Y@j;#+{Wjv`b~L~hR7XSmX14DFnjFIJJc+(d=TxK z%``nAabyxBl(Fl!1yS05NGJYAMwPIC=lp$jGucKzGNObz?0Ry+D%M{!+1flRqTB&; zTBwA>FsFz{tsfU`lhDFODmi?8RAdRi#9ZGl&iPA5 zCRxH>b|!!jcdHqkcWGo)2@~3x27)R!!t{{sa}woqoe*~s`*A_o)y_ERrE3G&@Qji! zq2K3whhkdf<;4!?6ZuPVOz3!LEeMX0kljkMh;@`K>#@31Gl5iHtpjSY$J!Q5As4h0 z&MGpJb0s#vyIhBw(wR+y4pTW9w?c9I?J`2+ZqU(m^>%lbE;%zkBD#bM_Z(?Px-cGa zbd6A$-NjCtVZB|RWth|Ad>s+Pq#p0v=Q+RCC>bUcxihYW>)mC-4mr2%ddQgO9C{F0T5)T$6XVfz_L5ASZT)N<+{p>gGe)4(IF z9I1f&_E>VJWi&mOyH-^*thdKv#gSo8fiPi6JL^$Mlx}4!iHDT0#b;YC(_gtGQphl8 zPxjI!1?=kSqFXPycS93qRa6gQqaJy1qi657M=I*{_Ni~c+g=!-@J9Ej)x_Og?Wm1A z(d!{T;PEa@V}*;$nZ5?ETdj_&JPM*laL}+xnZ3K8yoyDfc*RpJEupY|MBUYC&XK4z zwRn+4=gjGwK^EsfRIUEt1h=iLy*N5P#y-*YbmwNVf)W$=$vhcMIGFDgRiJC~jVO$R zxc=ZwZM6Skgv23Py);860qg8)s<0v}VZ7b5 zXsB%L#+|*%?PlXlZB#AnN08E8`&q-`gt zKMW7kxQ`-kt=niQQEqGsMl2p(#OMJ005_6YdMe(zy}P(u+0;-Idec&QPTiO(&%I`% z*r1ohs8AR|@|;y`p%Fo;yrgd6k3~Q+m{m7s?JM(K!jW}LGa_Oh5%V&lgpJpwMFEi^ zlhyFJ6+gre9UcU~g zEd3cG5sfEXm|KWJVek-iKWKEiM<@HuRx{k64o`LYa&h}O1n?B!o6lCEZ=)c-LZ81_ zWKy0@WLhf6AH*9s!KeGysgb#PzSC0GRvao@sZ5sLPAK#hKi(+4rtpJ@y;^2BYF|jN zzi%*f-XY!>nif#rsoxiv1<39P%!5w5(ovjgS=sw?`I?7KIN_`?=PVxxY!SP;k%6!d zaUm)!VrdHYrP#ts56m!FX)+CibuOoYg|jrsW#z;0x?Oig@XBq2!|)cWI9C~knC5^B zam@jrOC}1{GX*}qBzGX&2)dcse9)I`x;^LDk(*_`fM;0l+0`S1aAx;+^n>i#H`fnPE3D*h*Fj`z6_g(9$k*lcq#EK$tr`pKT6Gav zCnZH-UAry<>l$_uSl6y z5P$QqgZP_=9mL-RmJ*t^<+BH2u|Hl5nv-ZL2;m`D#Zgym8@C&+gP_5W6B8V6nRhyK zTHUxFDx6_;s6S3{v~9m`M9ol)hPTxVv{jq3he3;Xv=`&+$!NsgwgbPK4knYw$J z+$~d>!YgOJn-|aHOMUVXPVYC5jU;K$Hip!&%7vHj>frDey?VMYFUrQ!fTqY<8 zxwwgBWjk2rx{eoLhTdR6TyLCW(IrHI+imAxm?Qe*epfa{2Zo&mT$z?{|~0 zZzXb)fQgNK0JEwVU^bM-{k;TV8uvX3zBKOllC)_a|1*h8;ii($X>Q+`h*%2ulS$en z!NhNP;=bKO3#6&19B(J(NbzlX;7T62REei)zb45yjq@a(Xr`Z&&>tj#Aiz@k_md`& zfKB|o2ksA(6e--VB($XX<`bT#aDUsA_Di08|5K80n)cT`Y5&L*_cNZjKla4^k|*vv zl5(W^dPotnI~a~m_Wbi>9)!Q;q2*hWg!pLd$jAHiYm#8%yFGa*51&0?h0AuCw7&BG zOAo?HPkq=9B`qvMe~@q}jZXDY%Aqur!d)gV^3eQGJ$#<@w1uDb(Cm}vZ4cbHdHCWh z$3IGonS^}!JjEz12mjHN*jK;H9-4jf>_O+|f08mV;DalQ&zH7n+*=tc%u6GQOKZVX zWTYro;+Ul;QMt? z+Mn~JweGc42oU=BnK$#|qwjMbd>{3s^^iC5v4U{Ew3mt7^5ok|;!=+L`jit7Ptz0$ z5(#?+EK*O;Lkt5kBswiMd7}j1M=MS>ri&NC@=s1?-SNJKjP65 z|JGABItcO)=l=80zwxB~2@lP_dP6hn;ek*7eEotit*;;SwO?OaUmiZ1i}o`S!w>*KwTzMu2tF__zU^#xlllEf;(|)z59ACUdIeff&$&<(bxI`Ym z?J4hv3YPan9$J38AT6(W(*AtGv_4t;pa)-387eAQzI;FJ$@ix(QI5;-<)a>2ezhPi zE1tCfx?ozLocegR?cedFJt~;iSB~%X;QPUX_udjshnAWr?Z5KC-S)u!qzCSE z9=I>_v<07BdC+Uw+Dy$KECK_-7t`I|cFm6;Il;f@zDE*9Z3-o_t>@m@iiZBpYd($xfFSb#&0i z2Ct)5jCDaLtw(OX5S!l0?#jBI(tH)KwN4j{dxDO_u2pr|4Y`k?t>aExaYr2nodDZR z0EmalYhh>Yo_?FiB*(7PVae&3L-LL2WO4Ii7(Rhyd#w&OA(^WJRqMzU5W9mME86N) zO052H(W)4SSSFXGo#3{7*?SN%?ijn~+|^h^IC^~uM_({3S-bbT%5sJipS2ElceOiF z9br7o>Tb~JhWc%SNewSzOOf4wMLq16^Sq95%BloVb=y(%SaB7`gSgdfb=#_%U5hbe z*Xw+;FoJZSA;-#g0n6mT|`ihms1JYtOasRJe z?VI~*7XguFMzEUQlLKsYL?eq_iBb{zl(Hg1g|pdhbfVKn__o^@X=RaR;N6+`qQlMu zgz->qEeGwe!6k{6I1F^GUdqTf6|qUTJ8`$(>Bep;Be$;Bf=3iu?|1GJ+_lZXrQvqV z)whEakfkJjav3t+>E&CN;a|xYbr5wd@oaGOYGbwk>vZ~+nC^!blx1`~!ggxOCWM~c zW^%dBrudloOyAc`Zqx)Wx8MUfv%J0mjWdns4k#gu@)IaUr2trti_dGEO7)Z9>OdQD&wqR z8}rL^S-xj7{4juBMQXN|+};U-Ey_H5$|yw9Be$Z6>>jpS_=AVd#${Nm=qO#hOjdJw zp_xmiLbEgfE=W0dX0!Rs1TtUU309vwuP4JpE(3HtOwW$v;-l@(1E|AYsC(+IWPx_N zb(0k(R(G?KtFu;xyoi_5LJ3zZFDznDv6`7l?^b4(D^VQQI|^FV?bxRD%r7Cq;-bFG zIfbVn+l9MzvBmpqnFa4|Ci=M)oKmq-S;q3rbUQe8%sgPxes8GKQV#geo~m=(wxykv z0OqEefkL^V1T^Qix#Ycp9tAd3z13`@6r#wp)6+=_W(7^;&93Cl48DRl(?uJi(^nwo*4L=$UalHf+SDsRg%h`&+YVD$9g4UErtGjN8u5PTuwu zsVUD$+ixOdA4Znli~D8dtb1|4jI`aTi!wJT+m*%HNW0`b9Um~cUCxyyNi5_yDA+jM z<*O7e^Sq27)b!-z=rB~Z=(rg)vP>$Smr1m7N-!oNIVOvgSR-!6@lXhEAANhO1xIyQ&{pjZO8d~gbkOe z%Y~`=vQ+@*uF7o7c`{X*iY!C6$k~i+iODJR5OPopcW_3!Yzt52P_x`hXPUi^wPk{D zPqMsVRc0I13J0W3bUb=baZXvxP0hHoG_J4~QW;?}t>|TWJ4nxFliOOzCbzYaWq8lB zS>|qQA%&E+kme+7AqQ(~AqU%2Dve=agUaFUX;2!2Em^Md*`noWuw_eGLk#ksOOvCH z6|Ls~0{{U3|9AmZk~>SoP!z{cYG1asFRU+|1;N2$DRdETo7-5Mq-~n|s4Wp3oSYO~ zoCMtj(cLegiwIIg(50f_;NVcfK@lAM04^?`o8+csxc9*Q|IVNDxJeKo2msA=ZUX~^ z@n~Y+&}g-y>FfnOczp1J_k621J%Di>4}TDMst0<-v@y}Y@@0Ap@OBswvuOcknHt})aHp@(-eeUS}d9JVv4lBc3b zMeZAiS5&s!sdpd7QJX3(5KVU#D?}lV;?>7>?^x&;VD(c{i9+24qyS=O##H8P^8qtP zY-^sOb6yCUT)Xr6^A+t+%;M_0R#GJgO+W-~_MUcjF*uX5P`iJ@56`G&4-Z;ij97=c z0F^Gg`x!E^C4WwtL?mHjmX)<1!3+2J93|wGEtVrPm59v87ci0T?>E5~%|6(+b-JQ+ z0#}MsW};SRCVUNYNi7>%)uBA_i0c0NOpW>QS+Syv6c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*DBV3jhEB005{=0RR91 z005H(00000003rB0RR91004La%)NDV6-o0yJOjbq-SrNTy12W$E-VfUi!Sb(kPs5w zA&a}aySw}13rlc!2{3Pcs?&3Cvip3``Th6KIW&{0>FV;Xmg#HTwr&38;z@LaFaNUm zmxJ%{?Ei27GMb>#FtQoy2BqnB&#e3(PyP)>ZNpH{)x9dcaeBYw{oEUy_x=BR-y8q` zegD6({kztk2!5k67n!`|a9^IQ_Z7_<1*-1<5oiW^66Phb?{Xi*05y9BkCQ6K}lO#rs7d)rL0a#UQw%_E80}MiKJZxRqZOO6eS6y6@^E=qbyu$S@H5iT32s+Q?BH% z-dF9a7M0A^6GiP8!JuTAOj=Y>#c5o5@?N-Bw0ZNWC5#BBs8U*1&lSzF1cTyQJyAFn zJxV)@a)m+B@IhMC_*MV%gZt9&5&y#Ze{R%>iQ+%56#Q%yhnO_{KWcyD_p|sI#&iB( z|9w9K2mb!b_a74){;{+3AAIkpidtLG`R;$6kS1O5cOCkmnl#p%hQDEP4{vOL8G|xz zzD%D2rdWw$aZOx(xW6~j@{PIsw~4eT?G?(It-3YtqwN>#Ha|_tRG724un+9*M_q57 zAXI9~=)Pv-n{(hSFBitI0cYq?+dnB?gHaYJihqn=_A3hP2+4#Ps)6#tA>*jVkU3I&I`b2bwwtmZ&0Ih>D8@#bk#Z~zZ9Llb z061~IgYc(;yPH;BnzX>8x5;~2!TeOfU%=Q%+#cT_L?JnLQqgmltW*3{u9)GrpFNLN zVy<|wmFkp?yr{hBSN%{Lzkcs?Wl4&+6+S#vm3ZtuFbmEISLas3|SdW zb#^J_+CYu$(8gUz);C%(jqj_#VOrlAp^Z$?i`I8%-@z8!lD}9>E!LeG1mrVlEcO4Y z#IlDqCe||JD+~1uTF@H%{r4uW8d~8;qBHWjK3vSYR*qVq%0x?E_*==D6}b`v?`5Xc z9KCe{)%tjX?j~tv?f(!VwGXUnGZqWp{^x7}4J&s^#FCOp`v$QT`^jyO=EAYmCkcPI z_;r7=)Bt!%Z*aHp(okA+aGccU7L~PRghZGR!}u-tP`W!yf%%~_#UT)?g?F@Ae5$n& zH}HW%yE6;s3RqO>VuLAG`Z0nW*yMV{742;xAjQi;G&r^bpW_Fj(39-#Mi}0XzjG}; zY8*n7i!FEU&{BH}8s~AeF^}H)mEz2H({FJ`T3NKzHlkt;b_%;^&M|Z@*@$8e$VZvp z#I)w>wu903LAc=Ic%`X8iFOp0ev_-VW_&pyD?om=VHPc~GE&-hoai${Y(zxE_fSUP zU9Iv7_!Aq6I8i6Gl8pbEy6tm!4_Li)+viy##%Z7!w{9Et&H746bBw9G4_E92H1%;%WYW-xc@c(eg<8HT+9=YF#*ZNF6hrKlkj;PA z_Jx`40&?%#(_%){-UuSfL1TZ`-A=_fyrKiUb6UeRV>e-D_1O)K`*oUA+0Ju>@|BwM z5um(0kl*-GjDp5Rq5KP@F}bpB6yILdH&tsz`4LSy$8$gX0vP+x+DbSmy=#Z+xK=V2 zv%C-v>`huWO?eQy#JQmSKaqa}gx`ov+A&R96;O5f|B*VTAf3y)&q}Ig9stNkX3mVWayNCZ5z9TH z)?Nvk60o~L+$Uf=!OeHjAHJF0kO4nbU5yy&8z#VBA9n{WNR~>#2zGeQ2t&o2pl@T? zPU^Bq)zJ1X!E9eOzN@i#g{beeB; z7WFjW^UzEn7pCqkip|<)#nF6oMZ=f^%AeHOL+8Iocl*YhXPxG-Co*SS^D_dV&*yOQ~~bv%ERzO`$Wrks;(eKA~kF(sy!`SfTNJt}wD_us2~e-Hkr=L4youS$w4$BXFwn?oX}S+b@kt!KMw9yjO>BMpbOY^pPlI{e}z z3SG(+N#0TiW>x|s)?%?%1i3EhOfxQOvt{@h@0Zl3-o;#0>G>LKq*mxH5REsC?RR$3 z)T?(SV7sLfKP<(hnYlsVxODmHO4*ReT}^j>#jeYR`Ov?pZ=vEUm8`BcRs&Qu@Fopj z!eUfcH?*=EjA<|Vr$`EGrY5Z!1I%&ISeCv;Df;NnLfR3a!&R9Tswgj0H!uD5Juot9 z>LrvTHgR9lR`n%4G-(1p$%brdJD>FFkZYeNZ7BF23(_9V>`aB@7pG%>N3B7cw2@4e zy#_+PlHxSYT=qrm+*gz4X}jW%LiBac*#AXZ&P7Fi8=h07m5oH2pwJ41)Y5Cx1kw$r z=qzY4+EJo<3FXgfa@9IXu?}+H8p8<06FTd&`wDzx-x6h z=0Ger;ApM-l%!6V(ov1iz8;05vbqkmYe4a0O%{b7(4=jF%mqGtNO77`d{Sho>DLUS z_O_zFcWUPmF#Cdv4r7^eJ2}FWmfDVHn_R)#4MxD$Ch>4*+Aene54@qDNiAA-QO z2Yh$LU*#K&q&dFZX+haZ)_#GZ00e2aUHC*O-HLUYzGtmz&DS!Y$h4UqfFgR=R`ja# z7TP>HMxI!Rw7B4}GEz2Erus?eujF-aYPQ{4s-;#3$d7^iIF%MqtrHLEkKWBJ9wMtG zxIa8#6>M$G$Ptt|$-N}txThx4OBEaeSzjDi{ma; zuBMfS2VBzC90TM#iv1M=>Fm&rV-JmB2yNKFPk&wj$Y3&D% zrXzJHN^Os#fLE@<9Y@pQvI>mBj3Cd?H%56boOpgeb6M9QP5F7m)VFAR9+jZ?`O?wD z_1~>s8l{C!=o9|hzx)=Rf1kp=qkdDXhgQiF*!ehUEPbAy)cERLI+yshl}dvb_;AI) zm=W{hq7T&PKstB+;(e?mI$%%v#%=dQfZ6SyM1Pb~1I@^cEr8%BW}8G03M()&O&QIB zD5DL0A@0PJl(S$;ckdDHtOt4o-3WYFjlo5Mi=(MbEmfQ8RcTFGzA;~gGx;ZzjG`6Mabm=X!mQzCa^Ofw<1J^e;dw(d%yADA@hES50eWq$k2d)H5*9H1^`J zXW7P}oF+3-&vMZo!JVHd!YG2B}Zo>Z} zt>}r|zB%4i5by#`+8hkH+9QH!sr_hi-jzixl&O-)odJ||8P=V$&n_im$)?4!pOs}F z0ewRX7NwIpXGLziBIGiVt-~m?xTx>gG$jSRlnItJHYw12V?G==z<`Sz^OuP1gWCAh zev+vd?Q5k5T)#2p5;Pa1b}b;+LT&$HkL$4(YIQ&YxiI_B=t2YjDnTzkG`0M}3a+M* zT0NGUo#{>=+EQk{^uUF*P}NH)L=jF%k9{P@+}xY+qYfj|34|XYl`KO2~ZE;WAX` zX+~+g-n)o7&6n^W{^w`B{*;HxSMn8icIi#|Ek@o3$Sa}kk{C{UQ@)U&E&y`R^`FQ+U~l9%7bgZ|P6b&LxSJCn<7Cj^ zm<;kue_BL;R(vCEW9%>Z2ScDOAoEvkr_jKmZv`9>JE9+pfdn@h3f=aRVYc-{lhzp~ zz7+FJ?t$+q=B9M+3&{hmt2(tk(_Fjt;L89lAj9>FVsFLhD{q zi}1zPW1Ty6hqd(K!kC$L9i=&?CKkAka-E3~SYi}4yU(V+$zw?O?tput;%M$!%&%A1 z(wB{%Sqr8q;_8UeX0n%Ssq;<+UaS)nUWf5FQeld&V9+i~dHW>|j2&VX(s}AY;C=dq zYe!4Dm}XQ}D$#ry{hd+lfZ>a2&kh9!X(E|Y&_)#$@xLyj7&#Pp0TabTZ%!=`Rzguk zy+qU(U`KbjEhYGfcV4n4=4InQIA`)VXJgSzI}udUUaMp%YOR87v`SjlTx+$`J#a+^ z+q?lgf(=%KTbO1{fGOnTrN?qmI2*SF@cNlU#8$L(TH&EObO()n}V) zm2RBlpKY+K_#1!QzfrD`A~YfTU2Ce=2`ATn_9%$%TedTlWNK{pw@2NriJGyB&_);v z|6j*a%8swa&V4`;F2-$}>EXqR^Nu6mn94)na1tzx?Sq#LfiJXwdYV!Xi|ww}d8So_ zkxMF>091i(JI3Hp%C|I18Y?p<*U(Juj;rWweFd(^QWGB|Ft!dcUrVh^#)_VPOnRho zXyIGm?zG%rNe!)uJUfH$-$6ba@V-0`62Z~DL0E(mV;Tg!u~BGaN|>~)tBaO-eUNq? zMdu17XnC4+lyk-g>sM_|%Ym~riaB@YQ}ciabmH_>tDu(oL}=pyiYMil)0mmcft~Cg z_0;uc?lSsNPJ!!dV|v9mMq-er#ZsX4Sc}!|FEaN>RheZRetQCyd_Gqc8qTyz`VRRS zk3f0Jk`t)ZOa=DghmyVz0pz~69lVRni7h;aFpR=c(|1Rp15rC3zY`1>L-+ptua0!DLJT3#x@ zx3Cq=y`YGNqmG|!I4~!-8%ilouMpC*b3BmH^B%~{ph;bO%Et#*Yc2BxsLg9=e)g9f z2WSn)mL1P(TP~Klbnm}{s*gbN#5I&^*H+7h*YyZ`3rLFx6uS2QpUoxY3TeDl8=q0UnaK`hmQW7oK{l;liC`u?GSHAV-Io}g+!;_i#{{ptE_rLnrqibP~-TMA8D zrW7MQgIo{z=Zi7)@T^Lq(X_b1Y(`_wfmm{k8ckgjC~&BzoWrS~%?dRlQJzrS+Hx?~ zgUPowijAcmHJ^(@^Dw$*AZrF{XGRhA5|wbe#DfYBA@Y}g z#h=lMN-AJ{+aLa{`JNGTD3%q}%Kbh}Bn?4d(qipc8azK#+6Ji_Q^f!O934yh)+;b_ z35i+Dm?})|`y1C5jiDmX)z}~{KM+&wz%SWPL7MKM2gI7;3f5xzfbR1SG`8bdJ-YKt z9*TWplql3=Ek)77qWN=ZP{>muP1*%bZ_zi8;3U|-on$WEi}6grSNN$I(*|bQC;~n- z@?U!GvF2zGZ3ajyq*TAg+eMwPycT1+$?h*{O$efMHsFqvV z8nS)4=0ML^q4XU|$I#Vx%7HO15xy%pnWlE4je!kaLmjb2U@?pX6U$PJ(wQh_t8>qb&0{L1cBQpiMoao>SqJ2UB0>4@`piXW&6|06- zB9d6vq3xm+M2kKj7P0Vpi4v+b_6BTf1<^;Iu-8nNU_oT;kE%g}dx|aFh@Le=u6qr4M zYQ9wZmK+NYhry6>W8?~$NXb%}G9JsOohXn)kE*RnTTper<*SjGV-Ag`C{7kwLF29_ zlG?g6;0^73Wn%Q^f{^9ZbG8D9Gr^+Jxv;_zmaN_6!*ZH-K!IJX1c^+jY_KPJI;s|~ zKRlh}ek3OCq0oadLulMdHLnO>Br&~Ew_Of>KsMoQFbamP|>!P+b?$8YLGSB=P^QKSYolr_+&e;DXx{*;# zpV=wyB+58Kxqn7ynHWTOM*PujcWpVzD#XYoZ&`u1@1T1hka!VIyP~?ea7HOzY)8H^ zx*?-}-Fy*UzpvWH-(t($0~Gp z|FI&wpr9(;|1tUHOXcqT)8D$NyP6AZ4hE(N?Tf3Y(w`gEgbHg=T~$ysCGP8Qo<`r= zsr($<0L2^*uu9B5(vL-KAw7_`f+XM)Q!k?zJc-)d|L#r|+Nq2y5eFoR$osifqbH=M zJLtnl4!M@=kQnNSCCjCbl%#SA3ix}ol|}cV38B!O7nt@fCJ#7E zh7c;6;HY>BPpW$Px4fgf*g8YXczo=dGw?{XqznCiMa}I&#Vt_Da{5p~;Z=wgbOYZ$ zD7>!?+L#9MQ}Y!hs~Kyv_PDE;7HV1uH6g-%nwi~b{?>j}YVl3$7cEp?s^x(kY@+6s zom8!9Y&Uv?;@U%70&gp{kTcYe-VQh;e3#TN$cr(yQ5Ixr^+;!XNOz6V zC}N}yp>`vWh*)wnD`MxzKwEdnMhi94G;?M&8wchPEq)i;=o7^htH2T-qKJBlP>A?`yBAAS-kJUH)O9zOh3NPFUB*t+d%hu2w4bvmc9aEfm&r_?`hQmfCuS=ZQF zqKreY#tfn=!_=mJjNUczh7k(c6nYUvE32uEJK5QR#Zs$6<~%=O+q&&&YZhCDwJGj| z%vYhvS8@qG7^X68ep}6_euloM0maT3OXzAh1$Jf*;y@yQp!PpuOX$aX1;(PNta#Kz z#XqBZDA*MP;K9A0!3kqzYq`M>#DRHFu)o0zbRIkJ-=dReuIB}6{{R8|-v!g!^(wo^ zvY7Ba5Y7G#rR$_9TH#eLq`h)2XW5x(*e;*HHBEh+pI(%FYW3C<-2jUhB6~J|N&Sn; zK{L!9osNf5=6$8;hBEAo7m{1JPG>E32P+$&gs`vknap*-98o5<{o_ymW zlwX2SAHn^54xdTBMfOVQ(NZyd!Bbi|@ara_v~H3DL*GKxWz-Hs?U=cFmMED5LufMl zo|A7(KculO4}?;xJqmo4D@kt%Np)u{D+w)9uVaZFb9?;ldXkk|LM)WvMK6;k$ ztF=d~BsaK+N=*CGce>Cfz58mX4c2h&p%!QqK5}i}N2k+8Sye0x#;AT#7R)a!yNX&bQ(z3zMCxqOy!riR2i1dzY50)dNB{Bn6EJquD`$W)NaSSu5T7dPImqU*O5crCLlxneYkr6=ChUQ+ep zCs&5Jz{l4Ge$TwkZQE7d!IZ2|70Df1XvW--=XqW(HGFp0r|A{)PXv z9kTi>)V$LG%dmf7V)M=8ja0MaXL`MEt2IE|VhzNsp)jVW9oJKWmfvKv^9F8PlHk&y zJT;0BW2e&Ix+?6Q(JJ`}%G)4#q#M0~vc?Ig3mGR`?R4!|KFm{J@LhiR6l&U1c_>;G z>N3Cs_>(@YV@;+3z6$KfTytO;?M_Ul7ikpu4f8ER<*yk1&Bw5)WC3%y1Nxi3Z>(Xt zwIL6NC8Po6dApXUtUW{NdtNh7b#UrjzpyEq4cC6Ahudg(FwFdefRQzGZ? z@1;IFIBVO6VHu>-oaX9sbO_u6ASW;qa%&fwg4EQG<^zj zV;72Tu5q+50-TSVjH1w?M`OF=rzqlH_a?K|SZgc|Y&b7uUSwoZnl>zy#%-Bpjo`K= z^ss9iNNa5K>WhVR<7sqp0}w7V2QoTNgpqEn-3w{L4F%>|4Asqj163Q)lwa-zlqH** z`r`qbpM?8w5cgQfP=~!e-oJ>C2VvWNl|r+i}WLefWU{uISK4Aw;H;?`F~W z!wM`8#IG1E{D_n#_OEj&Z~X&e=MH#16pHtZ#+Bw!sp<;s1nloHMcz;DZxnhpo6KAa zj7fK)oadSN(-QElqvEDtgIwLTLa~d+z!??hTvu(X*~CTDP7bh&X_-s2*tKtLYTuRa z{#+}w?;Ba>k~_?2n>5Fvg|{(g(BOS4Z^7SEkqyN#GJ^RXC#O^0-U?icx1dPgl7Ov6 z-ZL8i7DV?0*3ql*=sc$#an%Q&E>QZ^9?{*eE4$o3RvfV^Y07Vc@);?aEnof$roBdTwC1#Gl)J6 zo2a;DDWx3zKRq<=wj~s!paMhRnj@Y%hgLIpT}st|S76v08dO&~Xf?dX655qQfoC(V zQQSZW&Gd5@dxEB)lc%0)(1bR0AU>{G z?vhc${SNR@mj9XOcdVp1xfB>`6tOpj#`rTUo+-+=igr|2;47>Iv9-dG+CpTb+DnFJ zoF>aiBGhw^o#(qZhsyiz6g!6^L@=Z9e>h0X{$mbpDXYLp4#Xt-!AN7Eh3d5?(W+bv zq_*zejkHXf&Aqw5@gU7yDq3IFzR2h#Xntp+%}Q`V?FKcnEOa@aLU6p~wJ+G(i_xv< z^P2*+C23`=t9FCfumt{$($6?}ye4HkvxYEZ0+|bAERTq*d9D7Gd5fCFTBth<4dhLB z5w)=<*_LZZ86wXN>rFB0s@m_lwJ7GGg^HPArcABPabDnWR6s<2xTP1huiZ<;5~you zgTVHId|mr&-+?fG)%F>%90#>q=M1LlW7OK5kEWPsf(;`f|1(pq3Z~qb6u1gEDWnyH zC1mD*k%YQZd#JebZid=gsO>Lpvd(C597b*?1Zlx>H`9f=?Ae&DydM7U`DIo!!5dgC;@=+5v=eoy``EQkYb-N*1K#E1Z;V+nii|%hP>QZ|t-PA@ zdn|o>xep`Be`Ff{sQkAagD`|CSCh3!iV!2s=}-Do+}LVm?>M8Awx0s|XY8HRM=*ez z5=^kv=D23q8DTBn*G6VcYG>d8Xq1MzYI6v`mDj`fF&NXYY!2p_?!VFDN53j_V2w%b z!60oFe8HRV6`JpKOrsgjGPX6}-Tqi>`D(s71{wAPkhLjj11+rhfnuH-VGYoHr-v0z zgkA=hm_!YCskwXpTMd!FKv_jl{Ngi-X3SFHhS~*zR$bbd*0rkaI<84;2yL9@m(BWR zi_)**Y3SUnY1VyB+FIZ($RWaxRbU$R-gJzxiDU>zCZ!UM+el*j)6be}YT%?6i$D(} zsOz?$6rSt(YF@yl(alX+*O1cP&qwn!Z?srP>KcfCtS<(8UGi+DwjV#xm9%ZF4!Z3z z;VgmG9Q{qdfitQ2g(!Ole}eM+tX?};i_nSKQ{mrK+e^7M z@$ZU|IUhITSvJI%60Bt<)W+PZ`5cs|F5aIq1*-uztcL-H!GX)q4h8v%q7R_)TNU^+ zqZ3n-^xeYldu z^ZsCL5DKl(n@Rj!-L=$GfU(XfQpc`D@fzo#cA;A==YxW0@SnkGyJV@=^sea}PG#p? zY4o&(36@(ysB3FYqvpx(%76>`n)IRh;Jcv=wg6qv zFQfBl4CEQ!fvXBv5+8dDjh%rTcwhLSOlr$Cd|8%?qmtMUD!5+hY32p!Cq5!PRen^2 z=G9F@#||{Lw*Nb|NSSOrHF%>Iz}~PiMM*8RG39(w+ODFW|NKqH(XuMan6RWFl&=EO#AGlc|OU10;%yR=2^Vx1K*QWRjrX@NeF}e2Z3Q9cqow&h1?FPK6Xxk5g zd~d=)3XP-s?|9k`K7zD=(9Dm#^XPHGOH}#d1?#Hz&}v{g3HqC|^Ec3~i!t2&hh6ln zL7QM932GNudzmJUkMFKj;SooT#`)@Si3SOU|{2sB1L^#<)a$m?zM9kr^>y z)3~eDYQAb)2V|oHwt-AS+O=!vAv#rC)y}Lp4aI>x*TQ6u-`qfZ9>j2e4;o}0XT~I8 zp9yE=LTLG!bLd44H4fDpF*brUwp;#i@6jCcTdcs?f+D6k7(}~ZsZ*xSrMG7<(ua3D ztvnj}Y&2V+3p2}$tyHCLG7*b57UOqR#A>RMX!JJ96Rg0_cntd*jeGbr=JTyoBck>c zb|PuJ7R1LxS;OgKhxaxtAC0{NLfRg-M|;+g(Nrj;Dvi5Q(^>*naPI` z*+Y4J1O|EMYv48t${yQ|#nwJrCG!|$=Y(GDYeQ+}P4)R`FjFo`hVgrq!7v9JF&zZi3dnv>8FI44^ z238#rGZ&wLca#s`9f{k8s@|MM!PZP`uXfR@+_u>Rp|Mqr$#nYY1$xydz}ldV2^p8s zkz=26@Sic1wwq#%QK~GrC_`<0A!1DZFoxC-R$!ev7>B}HEb`HReV?)PdV~UR=3Rfn zSWFNx5sWqLeUf5!h~X|Wc(9d1e|D3VYuf=}?9HW#ROYm&Z4jl_8u6u;@$K$J`g&7= zv+(<3E%{jHCVv#{88U6Vbzgdai7huEeLmF4-+a4i7UdnGatC0OfWxQh*k(DX?cmVa zH216m10*wp<@iPgz!Y_6Q}AvDcA^7Uj`*_m{-s$oYrLnnAb-KNP0{|tfLZjQn*uxL z@En~lpH{*NcA;A6MWUY@p(y$IulE-8Ri z@-EEboHde~{-dJeOs*|au?4#}Yn!iq&Ck%sjLF?KX7=|iBP~I!7V!Oc6KVcRHFR*S zMIchFdOnfv-BDl!E>TG}_>UX8Vv7TJ=wZ)f?h{*AOV%U(cQdwgb>`ixSBok7W7X9t zY8dG!{%86Fdp_SKG&@{@^J{%?hpHPxYC5i67=kTfOa^D5eTO--*mmimBeoAnT$He6m! z{$CzR*~ge!_qf1b78mLA4)4%9-u?_K3NmBoiD|dLrD(mM%s#B=*q;j?r!Z5TXQ3I z7J{eLk%QEGb7m)JlI9O0G2ycv{mtIiL5ew6fi-0YfBeNqZonp*6+cLMCMYnQrm~TJ z;3*MM1T;8E_h%?Dgdr`)1XXWQyf6+C9Z_Jd5qZUEiFC7P<%48TQQ#c9|E>gM;*Y63 zQv2s^cgdNg7u88LOYR3VMn9T=#pphd9QXHqG%^f`g27eE0wmEhwNT9?^on~W`(Cyt0DIzr|%x*#R^TQxq}q= zI_E-S4j!xn;Pn0#O2nbdfJtS5h=v9!9Vn!IylF13@hDcXq^kEJ{z5p#PSs>Htq zTn@E0WAjT~b@2mrT?9}3wIQ$jKI%dFo|U0LUaYcsAwg-3z>^<3$>deG(Dj#}=;D?` zRta7EH>jGY8U2kt)%Q}@=3iwyV|*5iP|ly!*v4EK`_c{p_k9KWacFGp{@jGq!x{iKz zy|fx=(h{R$9+sM!`Pf~WJtVyw$4(~X{#$}a4j-nCS7dCvXO%JZzM@L!KI$>iDTWw} zK)-Ig!}l<2h(^8y$RD!P80CLlAm6z0+}VNyJ*T%#W75nYcscE%d6YHPU$i?#6)UFp zZyfz-pJnSXJG2@~zkO8UzB4bg&y-N{P%e(Wh73l{)jI;oZ9En`pT>QO$UF!V;j_T}>^mtgQoll}+}%TGTf4a@ zlFTCktJ)p{|2`hNp30_F{g{KiGJ4m3%(va_+Kr=>LszFJ4MLV0&Rf653`Og8&7 zJqk+ej+QJ?<~+n&Fk@ohz{`8TPN#mS)HcpxIyy80jkQ=T&P;H&inA@QqdoxbgvP^B z_=e7;Or4gBN^gLZRLN(Xnr?{o2mcB3`~mKlbPDV9NBhD!q6&%Hk1X)a%~i z&U9$+4r*KbjnzUkb_iKyBBsR1+3P)3YnWa>2>hXCULQ_$954TsFPOS?Rx@PLSYsko z-W|?oWg@`n+Q!{#mdQ@!3420DhMFVkT2@s%kj+Pp<{;0`2oaj{?%9udwx=&gSec0~ zp{{+F^6rl9?z^L>)tX6@&Y~HtP>^?MF@f%WP$@K?2yOV-yC#M zg)Ew4$?ggd2zN!tOro}Ql`e<0ko`>Jz}3N+yf@*8Ke>u4OXUYDDsJbtm4I~jzWPj) z|JvRbxNsmPDIOvYjD7dwC4yH9?Z8LNE_W@~#^eFFKGT>I|Hw}T3MRIi{~LU0cp-W? zbOe2Q-^2QWXcGB47CnfL7TZDnc5k*y>A3b1KDGk>{k`lm>ec#&thW5n=8z0R{S`tz zxg>yIHdOi^q`l+_YBxrPph??bdzbYE_KPb$ieyk|&_wQDyT7D|6*c?QmnSp#J z?7VBQK&q2MA^)irS|3z>Mhm)o$Ff3Nov$@SD@)mzO}(2Lu?4+>Aa4jWD-1gyVvM5U z>(pG&Yi32PB@V(p7U-OiyD9Z3i*c*9*kDAR+%UM^K@(~9Rkd7-jtVRpgAjFUgZvu( zC(uk^1;)IY7_tw`$E*PV)(V|9WrAyjmN_r9*fx$zFlybaMG1?#sMwDaRtt_ef_wr) z&Gxu;y}3x{v$*bo&Cgg{v`}Lqe90n1^dcvy(&4z`W81Zl?FI4%{Ld)zYB-h5pb`_T zj|pk}(Hlhi;1|2NA3L)-X!bBNO}&d7$42+o$N^PXtmor+u0>6+^9R2QIri8YaS)lb z8{m8gmZIvQ*ZQ!sR)WnPFS}FX^=c(|C|43USc8z8ir;p=MK0F2i7S?t+Ennp2(jhB zk#e-=P9{p7`jAymORW=FUJc^9uem~NhkmBeIh#DQbFqQ95l~bOT}2sV-I8s6j?{Rr z)UY?PwU|}5^`OH4sCkDr95h90%OJHd^#12p9Hw$XUufv3TGm^g^(2M|BWBVn+0) z_7c=nmsTj&OIVHSl!Rp%bM%FWp2;wp8l6$AOf@xW&A`|=AlH09S|8}*elO}Zfj=Lu zus%1fZF9vc{crGo+DFAF`M9gL+ip2gaUT1a(E%ZX%?yi)izGHT%wdN4-Au zUr2W{EASG{cXv?5dmlaSzo%Gb7fe?!xW9P;$cN@BO26>>ca2`>T-q88-bKl0J~Ik) z-nulDIII%YIgr9C0}bPnq$3P>$EKB_QAftp=kh15Y8v?w2AGSndj56g7P@oy6OAjt zXFFi$EO;-quOUF~mW(2;2{BJywq^%ujYQLHjbCH%IMny2jq)2GqQx(eKu zZAciq1>co-blW9=zhd!@7IifR9@ZZx!8`X-hd+JXjY~eV~SSF&g*zFx193~m2RQY5=^z5GXa$~a27;E%TvZP$gshNB2>dfvC>)`mDUDUpq-_FKZBe}c zde!&u+Dc>SIR)hrL}a=12LHwRxsWEE#bprMCH5hTJt)50NKT%m+U9UCOgo#0}i-1l0FZ+C+5I1SKIn{%E;g7$4xm|&zi)(p3_&?L0Np@ zHP6uJ=}R|R=fKDF&T8mT8RGkmQACoOvlT-uwL1$wlGH9~sU<|Df65wj&aEj{rh~=! z)ep101!;Wl94}+99zw5ns1BN^>y%g$fwU_eG@a!X%z4anx69rSbW&Rn!4vI z0)^)Le1vv2jxDERv}UQvkjeAT{n~9QmP;j~n68tFBmwgo%8~i5ruz~BFY@3}ci21a zQRqr<%BQW~?3$yU$W!mqx3&~*eqlCYu;dIay|^vVKFiUZ}0jc>EJc zEi>G7L+;V^rL>}ahR$13A(Wp-k^6o^cbguy+)r|x_w4d~3RUMv(S7!AS`*KvWIP_L zp~Zq$jj2dK^41?n_g<(DI-3^DG~lfRzI%1Q?z@>!Lc#m9c!66P6Yyq%7!Ft5LyZR6 zgv=wE4_?yO9n>z^#gFnfS6%FP?)}Ayq60ZE>>GBN>o4E1J8q^PldGO;T#ZK<7F+DxcA#wE4BOc5*DA_1ms;)w4w_s_RzCc9j#2d zah4B%`-vPr!}UHCZm9|K+FC5zP_ZfpVB^*4zkL5{qO3(%HZr5)VA!KKI5`hIgtSI% zvgRoCM4Gg`D;rv)boFt}w_@?}f`0Tzb~Q;|QMaw-Y8VsdM56=gmx@Z9-v2ZU!2E;` z#T&d!yFVZE$7kNbQgz!%ieBlqJ;--&>`%EXs2>aGZJa2D!P_$7qJ{Ur2gyFo5dp~Wivx! z$2q)WTs@5}%O4sCZGxaRu`qq#s!ywG-(SJU{w%QKN7o!RMy)=8%CqAw1jRu9d(?J! zZlVxvVsRcxsX?XMaDrQbstE{2E$QbmW@`pCbj9I)(R~5xIEalf_G)TI8PS(ukXr72 zgSf7`2)i9YLv?#$PbmHDVOjO&4?*#9W`)#e+zpB{lX3}s8Q}4u4X4Zc3@d$aP)N;t zqLB%eqah03ip`3=)wmA)-K?|wiLakP8wBNS_(vR9oesRc_%?misy$=+x0G93?PIahxli+o_lFg;P z?1o0DyWMCn-*1OI&pd|DenQr#7+jv? zj%Qri^M`kBt7J~BJR#ccED)@uo)_#9GkFG^Wnmek8mFdFQEK1vqBx`a3cIj1ulm|- z9T-=YuyKO?{zX|F?oc`PULszW{dYtlD6niVG5@}H#^}J|oivtg)EFvxodtmAtiLFn zAK64F(7?Z~<=N+}K)unubXlxp?&>2Zf+*=I6zqScwQHN9?Sr@OnS6YuF^jcocH)^h zQ)cmdzA&w2UQJGk$1F@czXK4(*ULo_Y0CV}q$YOTzGu zY{#gX`}gefeD^E9O^KHF60o`VE-fQvhec^AUDiO3)qd#E<=*EYHtBX02%e#3+!Ho> zzDboF+vB3-=C4@nCvsj0Gp>lJnfl967G9iKC$n$)@}GouZL^dh@bhh2%bWr)1~XA& zW?1eJnOTqD8fd;k%u-~2*3=qNAgXPDO23xA2VDSj76Ya=EULWFum4cRw=(uTI<*uN zB(*0!;zk09k7i*GCzg3%E*~C)Qz9CmHMt5{TpFmwNU-oZBDDTRtNq zfJ1#dVt22*U0JrXb|mOX51&!Y?8d2qcZg@2=r@u@%bE znvRK^PfK*EuCEvwfZAdBB{D(6xBGa9M>(?g9?6S4cM+n$e9a0+RkM^9p(oMtd9#%PPga@uRW10>M{S4CTcOsHdpC` zD(*FPAq5fgyg4I;9*<)zoBF!{Xqt9*i_$HLQA)Buz_1OR!p*6a?Z@ZO%BypS0LD65 zuQ{1rZ=k5CsKN_gff<4_cfRmg?G9=EQk!66#Wqk!?=A>>Loc{hen63a>9-5FLW%+~ zvFPkiD!?Ov-bbmK%}iXT`~weqHxr`#2n{T6=3e?u{KP257)>GadfuSKe!4+zL%A?A-R#?n!4GVIBT z`czi^Ws?T5)dM-0+j)XFUbn3DTh+^)DBi<(58o_q`m|5>>u77)Q7vEt3~g7-Fp3;= zvDq;Pp|#`^9hfYjf6swl;DI;&yDl<6DU!%pI{Kckn>59 z=fj|PMZT<)%*2PFjHWg}UbNLmU(G*Bd#(Btf-$I6K zisw_kH85?OMPPOeNRuA}4Mhboe2u%8Oz{>M9`ZZAx%8zS8iTp#Iif(kbz4?Lr@S+ zLqCYa6v6XX36Bv$B7U}u(}YjKl~EZ)#q512AG6`~v9S>vyDlps_t*^L$8$m{6)+vA zCk_}8YI+lHmhLQbD8px^Pv!$?vT6zf=I9R3EyTfUN0>1f_LJG+vK2rYa&;TzQw=r1q+HaJ8eU$)-iXbmUu&tr42%-2@nW69nGydcSGE&zL7C{$N!>7v0l{zJ!a>oQ=RW z7q3a-X_kkr1LofRTuEk zptb#V>yIUj?L~g9t}QubaUMBSeW3Yh$SP3C&upw;p`;1;)-i_pQO5TVgGKE@IZ^KS zP!KEM6Ww@0Eq=JK!?{-5o3$k+UV3I!-T}Un>NEIW>0{Gp;fJJ^4+x`wH2UyXut?bz zC%z6c2>8a!^3rf-p021!B4#&OexdJSIZobQItD)9p#saR%Z=zYduZecaB`qXa@OKi zJ(TBB+8}1BMx`F|?oHquWW8|u-RTjKA6*31de6M2>@OaehI%r(vq|Kl;jEl`EX@>j zg#$}l>54eqRKvkg{z`}55`7Iq5PWhy)8U!_1FUy%5kD6^2-O2 z**fS^R@11+gw)MmrXb2yO%_5)L-JP$>rCdiDX<+3&5q^O^jZNd9N%usQQ*a&L#ppC zhURJv;$}z6;)|VmH+a+y?F2`)c_pSG8i0a@F4PXi^Ot@sM3mY2AOEgHm&m1cRepl6Jr+%*vloIl-{0T+ zSrsi&px*bc4E$%6%x?*Q!w^fHR37Qp`AF#$bdujTFZGGkG(+&vu&jsU4cbmuM3~*r z+WVqj0`F*6Zj%>n_)sT~4!jOqOdLL<$rl+d*ypZwH8r_}9RUwbq51)<5Awpxv|&$P zM}vf}*-dd=Qx&(R4p#0nCow7)-x5rM8Df3I`z5`h>F+0c)O&ZB+218z_%1{&;mgk8 z@*}TfKpERHi5BgN6e8j?@s}|>cf4?bhU~^2ATOKxV+%dhoW8<>WV=UeB2rcBZ&=jAvwZbfH3%z1^Kvz3DaYrf;-Rb6B3a z6RVLoNnQaFcKZz1oG<3?|5zUdT%M$x&ffw zFfP&shD#3IddZgN6zq{7o<03NMc!=~>$|I*EQMGw6Y0qU$z-m$sgfC|wRU&A9u4MK z-X%S`(1-P!$)CIjUhC$fZpWn*3n9MN(+c0)5u07&^re)tMIi^55tAK5t{Gw;jhaiv z5)lM)wlEuvC&JZaY%PeKmJVX8k!9!&N?7Nb{5Q^bpNMPz&X>wlo^i3SsPRuUPbH#r+w>fw1BR@ccXNrPy|Qb-F%dw7G4w$UDT(X-}xnQVYL?*i7vG&o8&f zjZUDR#Xe;CfUo@P*7-H*U8u>SMK&ukL2a(LwRRL-9r~)ZB-P|nEXEkXro$ULPQ{9G zuAM+5-b8o*9dE^1;T8_<=u-ge(f12Jyr}$Hzo;WvO_JH&wlpT>Wu);_Ar{+lV<8*> ze0G--n>CI5bz9mK<+Y){jlR1#2Z>q-HcJCf?i9Ylvy^r#>j|JYw~QJt-Sk<<(6Q)h zP^Mb8zpJ1KI&&)$Y0Ze3e82(2Xs?Gka*!qSKoDkp8?f=TE|*Z3h0&lQVxSN@bW|ack1Ur*?61$LOc4WJ(YU zq$Yx`Bu^PB*0~wVf;k!#I)#%(c(5UU`my2(7K$vZDw4tDGoxG)P4gH&T5wYvdiU+P zpSHDtUa>_yF(6_8G`f+D@n)hd@lgUa{xL`NHloMy^MN@6O8dnQ2Tf&oX3M%aOz0ts z0FW`9qPZ0(-#cRrhpQ;BZJxC(Y&beeC}3c^9cT3Ci8o_xy!@_Uc{2Y!t*lcLYECL) zrq(r<2b%N^-;-J66{?li7%+l@b$3bt?~%eba>ZU^^0$uaft7G;tivj>tHvY3p_=^~ zVCq*#o4i&f3;p<*N2-!_sIl8jI2v`Mv!~#)*xHZ-KN0IliTUZpJ&4k{nxfcTYh1-8 zioa9$N_%iP>!%H)Ubbc;b9bcGIKq;*VB4g28EUR9hrAyfA2|p8Dwm=@tlepQPVmAC z95RplNhWlF6Ti~rY(~b^gWjg%H|qEN+fSCo$LxkhzosMMYi&1^kmz-gVazPfJqznG z;rP3jix}aAC6E}U7)vX|jVDLSht?BGUndTor43ZGf`?zb?oxj*#W;k<)vXlBWrYDf z!s5z6sbxm@{pz#<^y0A3MTCk4H6;>=E!W2g&Q|n$S_L5hewfiAz{jCpg=g#>th=A5 z%{yTulrxCRX8uoVMF#0C0>!1#Z0l=K!*Gdmr^yV>$$#o|UnYnb7ZaJ`T1a-MfVrF- zJk?@N36pZ$D~aoZR59OHePxzlh~|;3Uva8^U$Yw=ff}@voi9jwI+TQdqk!2BB-980 zoo1~VX_L;vhN>NGod=`X=xHInw|iF-1W3;9LwD`O?)r=(6L?KdCi$WlgL&#iBu&Yw zK(_Ky-l`%Pny8HpTxop$Bkj6G1My)IO0N`KnPiXh2ywilcf|(SsBZt`Qpav+$cJ6l zlIGNlIJiLU_~cqx8~K2=vW(p!EI6QZ`DL{cPAU z6`C=I5@^it5B=cXIO2ySyr43Vx?kZFztvX5zg7@F;vnXxVZ%?^*2&GQND*XUT_0)F zTH)1DZQ$JL+wT0pLQM)u6@{k(4! z5P&I7$gRA7)V4-idlR^oU$EK)dFe5+xwF{fl3zV|^pB zh{(Bbx(!@l5UaQfCq;+cTl5KkEna1RO);z~Gy+krcroLO3unA44Vl6^Iq4~uce zTWWDb7ILOQ9!Z0_D#G9TwJTN}bO*!641NP$VWicswQsFtS!gjG^eM5q zX9hf2{nbH+cS<%%%<`)B>Y`%tPpt`AN#oQNm1bVT+V;<@?O}>~XR!=r4oiu5U)q8_ zt}?dVEDf{=v^B;gZ(Nq1Moj44A^I;eFsn|YwDNS;A80#`Fvae=t1PBjk!!#!OS)_9 zB6Y>AD%O`4n8R=Z@)N%Sw=_1Q_4Nw1*1z6xM&CVmA8s{i7vY&E*}jx$qMv}mreD5d z65xsp`5436zu{|RdLN4%0owgEI;Rk{($9iDm9d3X{hdxs$4rfn+enNrc%kg2ji(zO zH&zSQ1?w@4s|y$tirW%c=VP7MD}H=)TVYWdK^zcY4dElZACeNv;94~mYgojY9ru$SpF@Hb_QluHn6W`Gb9|d5PqaTCp%rGZQA4>P_>uJwbzJeETR0KI{(`h3cP%G4 zmq9*mK^?!XbA8haXOhGZYs{+YkrYjM26ld1x=zeaq#Sr60Pt$5R^;?_dPi_PXlBd8 zX6cm!Y4qp>@Y=uTUcB$VEqbMv-Y(hSyI-lbcLx-J2@!5zAlP_o!)*MSn2H?yB~0?n zmvU8QA-bODgE%}0Ucr%d-c;-dbrDdxiA{pFZKrnjvqH-$-D{I-s5Z;O4n*^xG4fDy zD}aj6ads;?mTY4O*(r)z_~7mL;Oyb!XJ#Y~3SMtv<~?7@j@S5yW;*)=dG^h2ZHRR$ zV`NH&3k!ey*Dzf@=oaZQqWVyXg3fpH#^VC4$1w^8SD_w!!Xb@u0kREcWv&cU^$X6m zU?19K^*yy*OPo&x9i44ysR3%l`}_inRebR>LSe0psnmqH_7ZVG`M!*@R2x}?!Qg84Mg_=ls=)IWXqx!DlW9xY zQ98(F5RRa4j!r!y0r^`v+}ha1Vy^?45HXe=X9VcL;GG-4Y^!f@7cpdsCpE(Og^_1Q z%Sy%d8;;IhT4hVGBO6b zmGV2+S%Zloa!8IDjE)`h=2i~d`Bv&RL(o;3gsz=C_$P~-30#K`(9oR@63_$nk+1WF@p@p^e4R%nwY713j7WKS?~qgKc2wT1(wuND6<&OJnwC|C|o zx1HKuz{ZNYskD>r5O0B(f6sovXU_*8xku{|F&eKt5;4EigCvdLvfn{)rTkq0%Dtu| zcyk5{1*-9dfR44Eq*yj6@CEG;1@Ne{hDd|XP6hEwqC1shU1H%IazLoI1c3isQJ@zL z#)mnXSODsQda-m<{AQ z_ZKz&RlO;jV?)2J-%b#yYLY#g8x6*>L}+Sggy8nvQk)Gi=7a6Fpp|*y@a^CD;ofe+ zOmm+c3p^h7t`-e-F^2%75R}&-dXZ&gvz|7L1_>+_jC4WO95jA$UI!f^++44*UY+yl z;m(VD|My@1E19ow;{6_HLrM7wh=P1G=jcJQs^gmDH)x5pgQkr*K@?7V99KAriu zYBU?fK2yD-1JfY0GqBo~y1MgEz4?@-qxtUIPGqhyxYb6kiQ_+i86%&hqkHi zwV|ID6+49T{HrAJjqAKbwWf|F8&oF8v1-)3h+K$dxp8ue<$6H|n1XT?8y&~Ow{YO( z!%UgU&?4CGg9X7$;?WhjeM5#worTUtOy)|$5icYFcKPL7Vq73_&P0Ta!g>^}*L++l z6go@JeD%cyf?3C!FAaA%xD_7l@+1C02ubZ*A%7wW)%IKu51aP!mAt&(xkxQ#$D;i)LS#OicO7s z4JF5Go^2Gcuo&dYUH2QDCejlh5mWNFn_uh`%AP$!zEZS=7kTx8Uhc(l-dG)S{-qMGL`Vf?VjUI5MEnHZ$h?ttzW zS6{r=JCCyzh9(Y=k`As8JtHl!g4_XI9Ywb)`x`yU9_Y-CprFl?4{}vzMhEdCty^3y z5-0wTG>%zX)$4D>5Ee)_gRY$55Vdb=nK=2~>(QM88{;)>P~-8k0M9MOagvlHqqc+_ zm<~DQLlOf#rB^T0PyY*A_E^`g07>CbrsJSDC+tY{jx-)m7n=s7md6kZ+Eknr{WR42 z$^@SeqcFVgQL&J!yfZi#w(P>S<%;E@5qnRu-V2E@G9pH0vkYQ_)a&2kQ@yzK_vi~+ z6UwcY4DZzUv&P+$v>33qSN_;MrSfmSF_z!iAQybg@y9^ctWA(_s@rQYxdB-rCX-xY zV290KpI%WXw>+OO<&imS%F5BDhMC*FDW6z9-P%p^(L9mfS~>f=sBFOQs@01&Eb8vm ze=dH#cUeV6(S7yZ%8r%EB9YK{TUp{mVeukZvmFdH%5I`r`#>-;W-8l7;VAD(d>D_K zx?`A{I*)pLs!l9B-g?~4@|V70&=~(X*2n^kCoTgOtdn1NlNMfQiV%iAz=U-@mN$Qhm`afrd; zoY}c3$j8@pKr1q#(?q}ab4`FU;_jB2PGK%@bBBl zevf#D!FZeeD3A*L8XpuUD_i~H1i5o3e1~DwppVcaHd37`cLj5GFy*UjM%QV1G1bu# zmm3H!qAI|Tmt~V0ezPH7pcZj!HsI>1X#==1`89ZhFYj3Ny950dsR)bOEWs6_mpK{y z*3`eViDst^{8iTmwUboHbP&r&u^Bk@^l ztWPiYMYwj==5VS4F@ zh^?ssXn{%F7k!BeB z)&ae+lgkS{Nfvi$c{Byt`M?xS;ODDQfGrXo`G(>)mk?b?-i5Pjz}G3l?U_KV6Jee? zH0q`Gfk^|;4E}J!AIniwEIcrQm&`ijO@sx5h|O7T!_?9t;*%(Scwjy}~0M)b#g_sxOBhs>?=0)s z&T9wxZkX@Wy@djfEh!)?I%W42yx!{!`vRMwW0yf<`3r|U=8Z?J6F)Cb{qmzu=>j1Q zGB_ADd@|lp{r5V7s7CnafeDq7R%2vV^mxAqq1rXwjyfx3+t60;lw8D1nEbpa&))ni zGIsKW9PANd;h&}|8iD;_y(mI1qNvW(}V^Ou@+ChR7hA)=;MD4pWI{ z#kZ~Y!o7Pi>HXF^#SQbd!It;;c>8Zr6 zRdkM4+ZKZ$h?ryebc!BbJ*Up=RnoS_=^RjSD1w#)*_cLRI0ca1sflkj8j74mQxn#& z@G_}>WsYc7cgw%@4RU>e!q`oT9Kjxs%sAqVxWHv{?Az;((b3-O9l4L~b6GCXNEt(z z*knLw4Oa*Sv+bKmI~dm9F8_|bG*p6q{Kv1KVoYf32I3pQrmu$`9qM(T(PBO#6kzg= zVb_DV*wJ{|J3W;Sn~o}{iE!>!_Zzn5##ee+fGK*?taWt+Ej!DaN9s4NT0da)Mv9I)dx?@8&hztn zDLFpAIoSigkP&FmNQW_(Of6}6<;|yemc@8|HkGb`a*w^8>H2a?E0}ctT?n$(!|eU2 zn^uBoIsrR7L@6gmKKDXSsd-a$)>9t9gl?<~hCo$`Hz69xQ4;Uqb1l{ha325ld7ML? z@BOr5;$O%A0T#PPN0@09bE!-S=%oz31?jZ!Tm8C!v~*-VWV zDG0e(4$k{Uaq+t|DL$LTBOzLluvX6M+EK)zPnY4Ijv^sbF+{F}09>^N z1eZ2lLoZ7M)10%{=+yOtQiXzs4#|Av7HDdQvSqv(p9PP}=$ox?!!yGrw$wzTF$9lb zQw&6A^KQ#C75-r9ZSRUjqJy_poJKa$+YwL&UFUj^iyZegWRiG%Ve(K{?%mH^$pH*f zV9b|DrUk0(QAQ1hQp53^A1suCKC|?N`4xSt$F{bytlWr(+p?W6)#V)x&pjRDvbfqEB8X#D8=bvg6+H-_P`WN6mK)_z!tn$4{;-*!D|#QGP+2ipx0 zUvLdOaZ6e>X{INy#!B5w59Zm)Hxf8yR>K`BJB0cx^0fD)EIsQJGQ{-GKLazyk3eP7 z-I$%xc6x^~>&n#^a*VU4#Zu*Z1Jdb}xZ{)OM4o*#FiQub62e}vf*GB%V zWFG8do|IiH$zuy==v*hL2}tCN`2#>4!j@!&2J5%DakNI`21RSpyuA&-y9j+t7!2nniTB7x!l7_=c;HW-POvzL2P64oYFeF$ zX;~Q5ygGTSR(!~Bx>6%4@O4tT+J+m=TQFujaQDv?;K^V?yA_FaQ zeRUxq(QkH0nD!@rr7NGz(OUZrL7G*p&JD{8r7=p$B-a0#uEXYfW5rxQ3DRN0`+jp% zO8i~)$T$AmE8!qI$K@|cP}qCq-% zKhbxF!xrZ}L;r0x2NFbbuorIDhws85hg&~q14X+EZ^p$*_=AVSF&{LUklJgM^eTyd z5?#<5v44Ga(cI_UnDD`Tkm=ux+*H6pWj95C*lC~k%~l?{dhVATZu?{Uj|rNW;MJc7 z6#U3qv4@Fln%7PRSl*zWCPxaxSF5;3X2d(#Rf*oP^J` zB;AIVCd)c}gvV8l)^eVJcQw;ic3E_e{2g=64-~f1rD;L}@QBo%KHlVkoE*O0EdtYX>wKIy#0M68glHP1(Scd?3zW{Veed`EK47bcnbvomF+jQ)J zo>VITnN#3O}Qw(}$0fgi~^9 z)~*aZvR7ArDHVqaM_a?@VEdjA8r#NuWlUx50=_L!4^+d7)woMVsjMF%5J!*bWpB~) zUr{iw59l^0=gm7i#;l-=MpV3QKy)W`>Lf6iaJsBW7`-iT)HxAu#iOdQw9Se$f$1MF zy%;v#dg%JrqAc`OVf<0QBBKIn)yL#TpnmMZbu;ot- zr^QZEyCSR``c2{0ZA^C#eh{w(E&%ZdKZ>%!9pKSq?d2NLMA)uu@F%W&K~JTCj3gQi zXQfD?T&Y}xNDnR;Hjn4hZghF;fPyC`>pS$H@S{z%lc;F4;Euw9ujk=EtG!y4_@!>a z{wy$cme|eXcek3uMwK(^btNm;xqMN7&VX#YrkZ!2@Chehsp{|1FJDIJ0tkIUdC8mI zeozsEsveX{#{Rk(C~u>;j13vW=jBuuZd?`ud{vaHCXI~&1SbhACCU=|^Q^R$jO33$ zlzAmnM$lcizsq4>G*0Hwb~IR*z+BI;ev#y2T32**OY}>6hiO|^$F4Jxt^VWgx{beb z5_CdH7-;oUjBm(x4m_nBRxy&HLdhAL|HG09;_rsab-XEk_emD4kuCsrS`LrQKbN~T zVk-VY)-Yi!HF|d}s@}{^_HFVJ<~tqD>20fDw`ev?`m?&5?{sZ$&58)i^cmp%Elf+J ztS5CAwML%4_x=&3O#Xb&{-{6U{;TR~9rw*f4*7qVtn1*iu+vtf{|Q9bMb^88Y0G33 zZGbE8!ZfG-By4q)m8}i^4*scUqst zJl~#WSzayTE{E@cvtd^%^O0J>ta==xmI1f(UNR~6?2d^{&y8|jgmF3YIYWjP;(99i z`0!>vX}aSm>9fTt^Tb&Raaw%mB`V%zr10!#gn*8otAJQ9USmlw6NpFNPY&fHZ*do2 zTV`(^vK>m$C@-VJhh+?>$HqE~hj^sAKup`Luv%qz_-a1>eH!vL)EmC2`k5N`{Wk!W z*>fKT&`;gQ8;rLN@z_~06FtC?G|Agu`39l5?K4V(>z0D6zYv9g$G^NAcnrKeo&1R~ zr#UNhU6ajEebpySfB>WIL!-6)U9LIsHM6=Uwe!8?BJ`4J!G$te=^ovQrtxM(1`iM!W+m;M@fjgPnYwO&(jA`Xf zGsD1x$t3P$EQF#8q4zC{jJ>v|uDs;prU1Om5xd60UUc@< z^W4WG_H#{9?K0n-z6$gAmCiI}4+1PdzPry6b(Y$LA#ajNsW|0y85)wXU)-5p@9seU zCd**GkuyQfU(Ju5MdsdK)&cxJF|Bn@E@L3Kn}YOcylI8GZzc)OtzeUJxM;H~fpx?e zN>OThf9Z(xocc8^V__ob%m$dF!KLydqBp}nAu5)+G#ZLyDWcmEa@eK|hjKJdnTv0_ z#!w%9sp3Ve6{C!puXtcix=r02ecH%cVz+4}VI$>_3;y_9t^NR9RIT&V6 zK@Gg}LUu4(3aT)F7S`#QVlGaG-=p`u!80qpwVs)fWK_53UFUki4MHRBw&kPowf=S% zbPO9{yhg)KmqvCvQ@nwwx{p14ly|d;1>3o=iEJuu-(-9nW_CkEQf0m0a-JpT^0cFPB z665Jee~?tk10NzUiq+k~5?|iU*XonU&MUB;>nK_U#S;}@?GOa|U|W2E%n)DDn#W~@ zcXh_~*WQdLGO*b^nm@DmoAK_9dbU8G8`!&%3ra;or>@vE2?jVSl*_M8W z{w-#aU5n6wPNx0Qeg9~n4C3OItl7sM*vHo3XZ%l$``4h8G?N4PD?>=1Yo~$@l8;|P zwyD5&wAl$5kv5JgL|ixOBMj=^_ZVM9R_+<8=qK=cdy21^hqA?%lP;GMLlV=;TA}9$ z0r44^HbgVjAC-EJS}QlMY)VVB^zjja*Im`5UbsRb{4X!Xl(r{FbwJiKeq}bvq7Wn> ze7&qRkNy_zi3|E>B%VZRndO}H)1>lLr+`9&!7S}ap;=bpcNB&!@vhf>9Paa5k#{2- zvX6kMB7x3Pl4S}z%WnTn)stHmnrB&Rjp$Ep9MgBnjiCX!;bbCQPNJmx`(I8K3-u*; zq}Ou>OEcO9?XJX;`-VC+Yq`M)1~EI1(g9Qs$|ZS`reDm0kr6Odx(K~V|5TVbibws5?Up6e2Ox>?uYi(@!fhcjO!<=9hO`t{#i%O=$ zJ$YZcaV?6Kmu|3*&Fe4;r)CTXD)-*LvoMwx1&XX|vD5G!H_sTP>@zkyV*r_B6hLJ@gfIHx3C}6v53TjCsiaj`5&A0O+I9n+@#-LeDqntPN0`f~~XcV@? zyhxj?RZ4R!8dMsMOiKcD+7@GUI}7PZrMl_$-&8QbbzV@)Sa*^1qk7guDsxAVboU(i z-X`CtS+v8Ug1>diIP{*I;?08k-h3WZX`u6j=32KtUd373IxzK*!Pti3sqa#*ZySb2 z57~mDzG@$)J`#t6p8UzGn|A9=QZzqT7c9lzna&RrwwL5+P2{ghV(flAaa)sQy@>i= zq8AZM5}qFpQ5n-8HreQtn@BX9*0SW++;CcczRaa~>A>$9jrvD^ zxyneeTX0bJ#A+2+2Vn~)G`6eWZJ8X*1JPlERIV;DD51vtaeB5FK zTBScjrqARu$j z2U~Mbj@>;*5ie$EyyNhq=#NqIir6$#cXz9%H5!3#(-OtqGXmJQraWKK^Vw8%d=+*f zkiPy#a7ExjIgYQpaI5IF_aw}6rz3SJ#Xm2@Yb-n$jhsKQKXq7NsZXZ{eRPD9h`Jk) z_ZIlHnA+d9Ny&W;-eF6i`suOKdyZH6SA1dTGLcX6NmO?%S5UUndot;hp#PImyoW0a?USZ-FD$I4z?WLu@vRGoay`JFtYL~ z+tP3_WgpJf!c(y?!Wvv65QoN5$=zTEi6-FECEM`x_os&BLLv9@OGE7Kma7I{t|3rt z9r&B$#Bi!VBiIpSQOl#NFC&-x;d%Of@XUMMwWT#$ZB`kT~?kgB5(o7Nmj-9zmLV35}skl(B<(987`4>XgP zoCErcyZ#lI%bRQ0TG~h}PLvRHr^IgNi`2QFCESu9cX(jSW?Es8sF=G$H2|w}2)n=_ zx>qHqRX;RK{N zDrRAjD1DeP9g9i3seVmjzzIex^UD&vTE+l232NYDjBz-DNnW~_Rxx6@`$$IY8P{U9 zs)#_~itKP6;mnFpn2kLEHrq>PBe-^4M06N)feolC~?vRYXAa>H1Yl^umTxS%%q+Et#<#-nf~s-$lKvD$ggt;} zj~9Pk&cN%l3cSKL$GmY}6rzVh74_@Jn7gyDlj>S@FBmi!mc2yaQY;YGts-7!T|34( z;ZwrYj@isGtPZJXD(J~=PgnXA=}mB8NibMz5*zCJ!Ff;%HjNRCem(S|)nC@spPQ~Q zH-X*PL!w^VbSE(S~*+*lF?SoOF>()xNfd9#s{k>MK;qCmi0Oo z^L9FRY?tWXm!;3j-)P&eWAy%*U^K;^h6S9LZw)A2*xBG!_Y^P_rNmQl7G0k$3l2#i z4e6vkt`Gqb;4zb$Nv>gh{2W_<$2n!xSM!4EK@0c`QoaUaZD|#B~Dg z)IMNpeKQwszTK6x2MUstic=R!vTqcu9L`ggdXDBaSEwyN$^N!LDLbjqtBIkm1FK6} zCS09UzDun!O8K3EK4R_37;E=i+dxQumHZ)4AQZ<-U@$j4!%)t6oc$Y+xcpC>Iy;2l zGLSfGEe!+;uio?E3W?EYb5c>|o-I0(sP<6Q4(aHZ76J9KP#U3;+Pi&zl)Vb{nHtji zgPt0xHg+zm%(zg7iS^|Bs_Ap=s4n#Y*TP1et&=J4=O_X}yO>!Zl7_)k9pA!_b+l+% z-+Y+%JhZ;J9^`nUM#p5JD#qkCm{)fwEhAHpZ`q);mZs7)L?c1}L)sOc0OhUNsCde2kTgL2V(Y}J&Z$9pYFE9@V8!MM2G63OC-%v6($vz7h|0~K zTKjZ*CAF~!Q5bB2-8~DoRE%M^{~rDg)vYP)1TpEJ@26!3z$DGK#io^-CzNQRHhhB+ zDhfSFh}od3gs6_e<-AKy>InlELEj_-I2+sa&!d*h9>TSz8m-~>X23F9XN7c4uifMS~&OBzpfEEIWSJNq< z`>$s!)EhXGsO14AaHmZt8Gf_qOC(`kQumRlEsXImRGK5ES}uTl#ZLRo&run{hutPz zD?|V{QZSqKDD}j9i9uLuY*Sp!Y|`~nhRYKPk>}up(0r(je+n-Wt=8|Bxo})Em&fpg z0x71ukQ0)*_sMZd>ikLIZ^urc3LdY&g%x7Tl3tXMk)xMTLWb=F*gW{li)c%7I41tK zbx79WZ8%HqHzLKm^fBz|-cPszi57!ixvEhgqeb{?XFW1EId>`v6NplfY_@K15PskdP z=YoJ&TRPlruDV;BX}FJwFTxAmm& zB07Zyem5dFkz9Ix`+sD62)GL%V%OfFd8$}?PLj8H-s;864oPL~ zi7t%jAO*C2cnmzdG%wf+CE z4h5?|K=(GI<;X)BTXzfs>qv0GK{HTp+|t<5mQ{dyFx5_x7H8ufF_n%CMIEyAAh5lM z5tfGB$w%4AouS+#1b0V$8g56NJIj;g9uJ{h-qE=B0kSxb^!S4`vP^HMw4ccx4(;dm$ASZcEEX~6 z$aoc$;JuO1hum-RBR78wR2+Uu71LkD>>fN#H>EMCY%pp^CKe~3KCo4?FOka+>bY-s z$BT`!7#$!Y+v4wt7wd8r={vjts!eA2-5}VRGvVHojI)DczIe+LW2oHm-3E`)WH}1m zq=-zo+Q&eeV)C{8SnTB?+_@3PNh>1rIw<*@{X8&7OpUnqx$@1y`+me>J*u3jm9iz) zQ*MXBM`>Yg2K#(Ac)~T&8};IwSVaZCP_7Y#L%P*lA~5*tb^J{6>bE*E_d zHk0)KrGAI#PAWp()a7dc7&A{(qp zrzvY=)s(mG3%f|TCgzQW6UWUeJ$6XB7~I;LfI7Ch*`(!d{tyOH$8Z3<8-%g$KX*H* zk9{VcK0nhbB{6KNHg7+ls^n+cq~tx8?!=%(UJC9_;ZhMNoM&eJ08~N~h`nZzOPa+o zK2K1+A?L{#6o}{t+Xsmbw_dUhr7z@VqQi8#dtss>>d$7E)d7SIrW$-ccv*pDgPkJ=zkOQ}t;69AW@`r| z{pp9Kj{OS$$zBsBv)3LaI81xmYw@ANw1w39F7&^(5%a+{W=A=qNLoR3bKK=La+W=Hg*~Xqmb>fGz6BZXBI1dltS2(F=lQ97gf8~?dRP~k6nSK^b z`s%B@^&;&|s4KWU%j0!X9VXXS&vp9Nq}DW8`F_=pstuj31#UcpqK9=oM$LcxJHp0r zAQ1y9#RNXAr&z^@E_B-ivL*0#Zt$y@b8SMQm6fiA=d9QC(8q9|^vq9iF>FuaICEbh@f58M~aPlchWpC=> z(;xW>Pg<^w?_e8*KsWsvG>&sn6a@0~H=L6AKi|~poLzLBG)Kiww@}(c@XnWQx)RQ+ zMKrVod!}sn3Q?vJ>Cs7#XLJ!MPPgYWYQm?X4!9xY9LjP?!N~(ia}bRWshqc)8I~yy#Xk~y+)Vm~kf|S+s<(1x!q8542@Ei&4SWp9+TBnklZBBPwUg*jK z!76qZoxR3t&tSq$0Bc(d>mlRKWeP#|RL7MeQbLR;H#JXrRPG=%V_R2d&x*}Z*wJ!`qPTBmv<_M(w#MxVXOgyi>LOml96`zz%Jj%%0y^YWgj_=%q4&#zXk3g{e&}AI3^=Pu zDtSUL=AbHTTB$2P-VRKqzsGiL6ga&=jM5>$UK~{$<^2WKJ^JSV@%6_#U$m>0KXZ)BIikfP(p#=@8zGl^BO-j1!%B~U1 zLdDz}iy7_Lj{Ozoxt9T#ZHM)T0c)1{<4DcxX+!#m)gRwP^^d&gkcm%Zm1QWAE9Re3 z_t3W4+EN@!75~F#V_cB2H_z>U@AOP{uAbLft98jEnvj6+ddMm#&%8U`=w@IYw|TD^ z=*4FGEc>1{_{+Y8c!@Z`Lc8ym(yl>YN6=?>WfcunJqqFFd||KtqYzy=yWUc$NEMF{Rf_!a%aEAvt2jr z6f=qraLo5RZF>B$4JA<9D;=M0Ib5$ zs+v#rdqsoV4Q$*)+{9f!i}=+~9ENMoJw?I!cFZ`z(KvJ|;UKjxd(?Yh&!rBQ+VNCz zo6?Phx(_?DCC!_p{0rk45YO3|A8$QuFi!5StjUo^bcd+qvq9yh^B1T(w*-|IYpJ-SOUI1kSA8LOLsgX+$j4 zd@>U?H`ZChFTwJMGc9hZGrAp>e7$m6{a&J4evGuZu`?7ru_K}sx70D=o)OsIyq{npRFi>Jq|g+c<6+TIInr}veRarAjw*%82_8Mqrpn61 zrWQw0zTT=S57Yt_=U!DeUmt*6=isjwc@bb4Sa7}!&I@VaE}_DTMT8jC-B{oI@ScnB z+l09@Fi>LybS3E)>?vOIaHErRHWX+BOf5j-sDXE9!nDk}q_KLRs2xnI9u7~N1+fhi zG6HVS7TK&~jXwVKI*5;>(rEDJwEBkPSBxV<9Oto>}6DaIE?X8@h+c_HbGvpp;EbKPwn@uR=TQ7WWMjY;`=i8L#G z6ti57LYdZ!hfvyN@=BG}B=*g{1g3y#@es&M|drs^cAo=o-vpcpG^hMu6lV-gWMu_ zs}%OJN`ze6Dzz^?l_=GAIDiT$b|;V<0TxsP557|ZScGrw`_+{_iQV2f-DJ_|Z=?2V zBRzr}mH{wy3ws zyq0&_DIM+Dobn4eH3MzYHD?vHM#rCnECGz>JZRret&7rrodAC8!qdl-vub4Ry%DAS zX~e;nfbi0|YHe#ODJ$BUU>^eQHCzMHh7^Qrjs#^&+UcKrZONjr-rt7j_ro?M_1uI4`F2`3NpsW=Nmas}eg>_jwHZX7eDHzyr1_LA` z1k}MO4~k~{?}#T92?EG5)4a$o7MSBVXZjI}i3&kv7)_X{E$wa9Qwh~7ju4EtNG>g2 zc@@YBc*3VZ2Gi}68vjXjXaG zwm_L+2wp9l6UC$s_mlRaL1dttpETGiis(^LUKU~h5Jf*$5zlDgkw)JS$0>_Vfvf{U zQ7?(8pqq6p*G7jgAbc*&3=I99P~%<42*2Um#Cr>}%o3@LPi`I@QgOFQ!Np~2B(=ms8mDT+bLJUof%Er>#u3oV;+aY-^r1xdGPY0 z(ku}|ItSJH>n};|)u?db$lb&W5_$;?rTH!X$Xo@Fm%~Or0W%FVAU0(3LchvWQ}TTo zz5bAbX#9%}#l;o3Ke&j%yK1MuqaSjpV9C5dGilDn;BJJ^1ce@f)-OXJFJ)nEyY_9l z0*!)r461AcSW8*~?sjo&^32!tfZpcu8FG{WD?J3m=B}|ulG9nwk~dlHhHYAelc^K5 zhb-s?Z0em>T{pUO7{hS91<1_?wM13c+;-@>?@)t=Xc<#zB49gF^aeMhds-|BW%W)f zxU$6BkbPRlp%;Z9&iE0gCJ9oFd2}W-lb`)8&^A>*6MlCj({sXyzOj9j?wCncb@l-m&BP1={T+v{@Qx=Du6lO^BQOqHq7mTPXY* z958v`!uk@-nGE zdI`_mTh>|K^T%fV5c$}vdtloN8eXkKGGJa|5Rwn~_~e5C!xdM)=3!ysaRm8&xCA)t zaa{+Mdi=Vuu}~Xi+YJEBWyTxFvEgL!5Amb zEe#F6ZwpT+RQMpu-mf51nSU6ZW*f(v+v0HPGU&z_!#+L1BROHfFPn6Gzen)15ZjNz z$;5du8@hg3-B$y2GoRoWlnB*kH!vGH1sKdsM?$jiNqZP{%IuB1cDTlK>qxQw*%`ge zACWwD2VT{3Z-wE11<^SylRV8X+x5!#yOfF1E;`NPN29rSRFH1@j9$+&xfc;_d|_k7 zX*UR&DE}jp9)p?(aW-SZgPZ)&&aS}}(_5`0%78h3nsOTD0E$xog$l-I8`VtV=c+ge zB{TpBj>83~w!9)xHZ3yyT8q8O*P5us+fRra#)LKx+P%RQQ}`S4o!WsO$`sCdfd>-x zQr;cB;UP#IE|P#HZm{~wXqhXv5FuRy%jcZaoGiVf)X&9tY%Rt*E{B_TV zx?jD6yIkwVuwa!Ri{m6k|DZ4BzMMhxr5%X?^h|x^z5zHis2L@pN4^nkH5Z|Ya>tJh znCd3~8{;Ywq>*o`Q-R|3$<|u}nh=D`x-t+fa{0-_p1Egoqf@&fnX;(vc?RlT09= z`Rl$hqJ(~zT{)f`91g?KO_P^*v1N1!(}N=A?Z0E-QI-=r547Mj-9Sisq4F(EfyH8v zKxOBJLAazt@Hm%(QsR~!_n$c7F~r@7ZSJkzUgMlB8Ss|fY9XV49xz00+YAkYVPtE( zWS%#!ZzrxI7_?83C-DeZx{z-x58~YVvkUTuGAe=LmtSXyGriC@k;nduoXAg^KrnR& z%Ki3m+jIDMM_K_u);&cEa)B@nwI&cTHh9iOQ<#Ul{`7@-mQafByhO*Shys9U1d)-S zX6sz;1@0*d!f|!S3v)P`V-)v&o(pqiV9O0Gv@lFAA<^?F2RV{x+~8S12u#qPRf4jx z86c1#g(|TS)ZqTi1v!G7`$UGxYRTekNk9mKE1^rE9l^V3S^9zjZ3uyEgklw#Ql1q` zaeR!jDXspFVXAFB%HpUk#M!9R1xPE z3QB>6v#v80QawAn(qJk9XNopXby=TfId;QV2nMT4s36Hx2sSo zfmerdSFsULtfjvt$cwm6hR_yMOoB(fP^s#xX`1@JID^Qe*Hp)J%txIJR;VS`nRIJ4 zIk7}E2!^4?C5um5Lk!Kdn7eGo%reUQdKK(kS1jHp10tS%(+&lR_&!y_zKoUBa79QN zZoF9Nnv;2v&tNvWjfba_krmN+Pi`DA!5Bd`O;lij=Fdn zRTDAz-dtw?&DWQ<91p=wzc!R&Zv1YiN@&WG^Dj;6>WG<5BWK1M(x0Ze&5$QGnKvV6 zS@ZmcY}5?F>24er|4sa?S_drTrQwZvqyPnq^|iJx4g`}i$3o)1tUtoB(1$7jb}Wy*|zMzV=}Cd3#bj&;5sW>9x(qfMGnjYhj)Uh zfcgx(w9?nhFWZiULwP$Iw(30v9*L9y z-TKHK`FiKA(rf-D_rWA}UY_UD06bNxs`;PXv(lh(3X@Q?{BNVYrXh zLD#u>tPsr(PLBv)6E(Ipg*n*`-A}^(P&8u4+5aVC(jKC#XSz(IG&c$Z0;kH_(kUdu zCmch06DbvArmaeByxiOKO2AJq%3T2(XcPD}IE?(P3W17#BUEb6*r^=!q=K2Q38`FO ziXx>xQHnrQyn^>23mZlf?#YYgFdByKdvwBJ1g1YJOUPyYS}Rjf z$9sZq?aq9DaHTq=yb5LJHC3)s#Dd}ryKWpIn=5PmF zSuFf%na$4W-(Omg=pPl=axDzzp!jYep=#9`8>F@~z^Bw|6B2Pb@qj`z_>9cKQt*}k zy}~#*;lTdbv)xfIy94v< zu9e}OM-PrgX*!L!5$K0gA)iwAYUaanpWjCRdv~inw43f3X&hO$SE$K1DNJ7I%D=VS z&mq8tEPX5=^99u=$e1}d3KTwaS}bXJ_@za{EZZ#xhYiRv;(utdYK{m^98;SRhTH=8 z3vFjgD)%FyA>3VChKnhZU;+@M#>@kELwI9bl-Xhf*!RQ*PosQvFt;-wTgA){Z{7Jr z(G8DJ-3gcRg6L>~;nSReD!pOnp-}%s{Xitv_@ZloBkf>?!h8*>|E8t3H%z;ei|P+a zAxUEB$wvh|fDXkNza=c8O>tf#Ft1k^50z!1^hVs$s57BA&ILI{|LXv~{EQ&Bc z_{|h}hEPt#^@q;V9hF}hkD3>n$mnLT`mVi33MTQO%Y=SMcZ%=;Iv8Zv(1qaoQ%z@k zQ*h6>%v0BWD1-OV8sfJN_@!fwD94IWG$7i0mY`v?yGj}jG}h?{eZ_Iet`FkGw@G4g zPT1&CQ2usw2Xs3gA$Dnu2VXCrL&dMg?#+ZHMrRgZda1H6$JK;32U?>{*=ipW%;k)Fs zn9W`4cbixQ^}KkZ`nm?Nj>fl@m*$aAFn7nB>%{X`{~0T6|vBE)dcmAPb>V$c}JrZ@Ugn>wK7IrTIrIm#~YI&`%aE? ziz`($o}kE7Yd!(XgOH9j`w^>3!*KJSkhr2RC!|UD0Nl;SRAGRq(XNT4yD*+r^+Nz2VbGcFb0j-;u9tF&)n%Zd9kp z2drajKM(Soa4S5;DFP@~FT>P~;MH%j@9TDMq19x{-UOCW9gtV#Z)04WjW{2Xhe6!f zdTwa@Nw`_tCgHwVWpkM#6KFw+n*4i5z8@X3O0&^K^sH_4rHINm%p6vb$T{Ev8 zzQ;OOFIsBP2iPDjQ>JP`>M4Wnb9B##DJSl!PX???ZQR?#O4eXZdrY{OU$svFx`$Dn zicNQTulX+n+DQCTuW9UZuRIY`tBt!r1LQVnCK3_k7-#5ZIgzkkJ@3ul=Qc|vW_YWu z0vLZm3ps~FXuqWq6Swe)GSKDaY1g&s2HzF^+cL{1Qwf0;SQRa6;StFcGk}Eo(U+LbV}L6~|1)suHS4SRahoo&P*;dE%ov@a2?LT4grdMLYeO6m5q- zBR9O<%(M3nme;$SIe65G1FpEsAuIT@f#bp{E-mu&x7HkDVw_E=@xcs%cDMkW>nr1L zPf9rwgYv*t%%86a3U-z;l#kd{wYJd6$a5*5?pLb3&c}&QOm71ikI3casUZVfwi}y$ zXbx8Cg{aCvSWh9GopT#nGs{V#o;T*Rl=itCO5z*!QB*t?MLXxA?}q3DKq5&rKJrdV z;y0qn8Pe#?Qs3F)rlu@Ib>Eim#?R>Yc<>SYv2x5y*=%n^#%JQf4F7x@Z=#FKz4!l_izbBd+dSX%$fV?MK%@Dm4U_x8Raw!KYe1j08ua{ z@i&c_A`k2|b(B#C5vRQ+Jbo%d>hKMq7X&X^F1zZY79>+(JPmZ~2wVIZV=XszyzG#R zo~w~fbtOLBZlxwh4AIhBg`YA8{WoZxyg~Rzl!MS<%8q~QFNsT6z5w-v=eFGqVmfuV6{>Y>??Vu>YwE|T{s8O z$XbnT9pNa$!tK{C%|aeZ_POEt8zzk$sAv+1joFy@PbAC-E6#V(YG363_utO1Fq&^c zRpU!cmCDd>%y1sA_L69s-4$z8+Kp482k~lxr{Qm@*nq^_0F4s;I#RnYTE{Lp#u45y(#T`hl;NM?Nbn&>ZcF|=f2S9LXRwd3mmb+fLYFq$_^xNkD(1`6|K z5v5{#C^j>Po;e3z^KgGn=x_MoEW{qsh8v8H#|`g@Af9tsMrzUa8)@#D97 z5L)cG2C#K%&p%p7dwGXF_G5VP%@w7bAo{~)J_DheHo$K$*rJK9~_*VjVXoPBY zM8y15jsfoXmY%`4cxui>#?#EXm(MP2Zf$p6CS;fGL$$9v5YOax4$23`xR=WT3~kK% z|H=Hb`UDzwtJedu8*lN6JSoid9pW1h%g+Dw--G#49Q8)W=7k;qr5y;KcSH`Zc5R)ddQ`5_EQYhcpUpKqp?9)@A+njjc9?1nCX|2v z&M^i>#_|};dd<6_yGpksqXTl#d3YEq>z`U49f(slO>x}C=!dCfU&_>Gspghq7sSC?3w(j0=jA4 zSd^(7r*(?J%_(j>*NR}YInH*s8RlRXU5+r51Rfk8iwzEERJ9o%%X3aCV{b2Pu)uhZ zX<)z>6aqdZ9Glw;m+88oumCh9TzCi!(jIgapAC(I%d3#d3X4t7>2@Pfc&NZw0$~Fp zme-2vwEzDF3vUKGL&AqaBN$M@;XuHn;&pQv%`sVJB!;vCA0ptu@ccJ;j>Bk6SkyQ; z1QX`JZ?A*@&tfc&3hevaL&Ad*{u}(irTuT${`aln{r^7(UxJ7T@yXgjw_65#k9W0_ z14Q3ihfN@9yG55ax$q|XX;)!8Qb_*lvzl)55^wvm9aJ^d_tJjc`_4=(1KvEz9I-Pq zrF2*~PMqsR&Y0^NS|7PF(Q)G7Ap;;O+95uAm@mbU_y+Y5BMe{x3mp zbOh#_ry>_`WMxzW`DK?QyTb)6WOR8<9e7F%i8;b7l7+RS&Qh`6M*oUd6+r?50udL6 zFvZyMapZChg{o=t6k|(S^&a2`i(qLidU}EY~q9rZ2ourtn zDQ|&k^y7fmRs>9w5t~=^1t&%ot~UG~C}1RI&`bXcr`kNyoaZV;_kx2yTzJ%=T*^7x z3|{EX6a7-a8iia|dTYW8Jj0ZjcW_dNG!!fce6;Uh2q~eZ!0XK8RT~JdV;}F%AKTW`xu_i$b zknJL(zZ5?qI?=8caNGjG5Sv$vEuxM&MDldKM|Z)Nke$@WG}Yl-DH)h^a3$6qbDN_2 zP|Z*(r1ccW2QJ8@k7?IG3t#TvvrYaKTd@%+tG=Qj;SDGbhD(>{rVV=`r0#l)y90j9 z>7wal7{%Z~TwDRe>$u5M{Xl?7DJpy5|8mSB?#1oq^SRwNzD7sU-qI@eArl1|kM zZYe&$*9yICq^2D}x@8yh?lRaw4II>lfV0 z7sCH|E=~r<%xRoOe9`489X1-bLH<>H_^ayfuIY|XX$#bK-u86IX|_Xh4TU zUw(L*SKBREc&1VV^ZZc_$nL|ZfTZ1@9iTfGLtf5#R}@bdkUnxD-&c})5x{C4PZ2Ka zVN;ro+>#V?(DzLVMMESF5fpjRQj0qnOAXDhYFCag0yq-qNJS;eO0Q+^!Ow(+Hed<- z(WY@9St}bZhl2L_CX8;7RrXgzd4T~L({hIo>m2VMw5=pmn%?;y>YQ10w^P1^~#I-Z~zb4uq4#BiF2wC@jFR zrl;%)rXi?RLea6>^E)NMiJxKcIU1vjqwb*#eKDl^r~INr8XaMe%3VmULJ8G|wZ5Il zeSEj|thc&};El3R68eK~oQeYPIbz9~{WF$i$3;8XD@86#%QjQcJD;EtEm( zD|YrnagfPD&|7Ru*!z+V(Pr{AW0e5^XhTISQj0`kqUvL3k`}wj!Wjw8*5}yhREW6O&Y>R_PHNmR#B*%Z#Cs-T0D^5}mRWm`ve(_4XSE zkdHzo5w*hz@$;ui^nRL>XiG)yuaIk4rh)IspCN#3lfZ!(6PlYu4jjV(rexp*HqkAL z=Q3)CGlE(X#S%kd0QxeqyT(B6yI5&ZZ?ox^9R^X9Uh89gq1(pYi;rh+-F8aX#A zJ5!d=*XW2IT8}L{;v^yI68pIe(+?4TYQa8Qk6Ujuu9$s;dgH8S7Twh)m)=1ql<|FA z$c1Hp|AoJbRHz?@m$~2>_#^+G{)Uch<71%Uxj=ZP?}2$jBSVFfi3JJ~$p-FSBMj^p zVZvYk=Rq0tyQ#CNvYg@W>e%{7^u1aA+VGpnvkqX-!?t)28l7I6 zj0zYJJB64}b}vzzKpsAuV%d66n=7C9auw zZWCFLK zR2{Il%HcVk?9pWx-JCzUAOGpIh`|es{EIodLV|R-DRjjUuBf$xnqHM zA2XF5E2vbl!})ILJW>#mNd5R>t^z@}A<_;F2zXq9B5QIc-+=RZ>8wj2X(QIh9osOS z+`~#b-{+%1&Fz@9BGp~>RYWA^A)wgNXUk(~!Ii>V{7~%J5-x%7`Cm8n=drOsw?8NZ z7do54duKeLq!$&t)QC~7hbCqS97h!g`-T@ARdoN~T%*A~@|jFFK`Kpl&iZK-3$%8c zT;Gi`^$IAWm!L6I($)-P0+)F>>7u`SKvm)xFPmnSKUw`r7ibux-R z3YdPc>M<>;d$Qc!AsBUl*r(7^^4p|Dd-GXDXNU%i#i50*`?au3E_>2nbai1G*I;+l zqU$|s(ToHdGpi{w+-@k=N&{54g_{WJzV@%d1l5b59|WP#-}e;LPdIe}XfOR)!ZWlTk<>Ev@pa3|={y6jO*HG}JAVY2 zI0?3NUHi8U?g$+gtgPI>W;tQ=JD?Sh296AeWaMYnC(;b4m8hwKQiqBCH)V@G8 zhmGH)e=$Td7H!FJZ$&W{`3)CRa^LYrEy-}|S08HGg;(>aC`)|k(y;LcC*kk>N^U!G zi~iEI01}PWWw&g(;H+^K%O6^NOAh0;`+J^prmPNtb%E0q%hW}P8!dEj{*v=#$&^88 zg1q_6fZzOb@8Kuj_~t!M{NypqLqnG+)?;cbx~33De3S*=LC)KsAmfrfF<>!jZK?X; zuY>a>8k$a}QTAL)%4vGxJ4^r0VC2_ij3*oV%yxSPcsRj`hUdp>sA40&evDR4I8n0b zg*2vFuI;1c)lvRUG)Bf@p)2AWN>4TBWa-8{ut@h)=Nn>A-S04KH-OZ~K>erPL2b|Q z8*{=Be?+aZZd4XqA-~+Cg~69ky}~6%#bPI=EeUa7n|$o#=(-_0tzp2Fsl>5F<_~cy zOOYzwiRltJ*6d`UwRBv>6l>pxF6i>7%BWA;-`>Dxd@Pn|p6`Dl3;U=o=dX9n-KEC| z<^X3FpP^t(X2kmQZrD=ZK34m;ZqMSsXSow{-BR&wH|LUC+HaX;f`iIcixW*-p7oE^ zYd|Yyc*VH3wR0&$-PLE_JBc_2#ccU1{L8=p$(Fcq25w!pR4hDO5Hfzwy-)Nu{}a+j z2@hP7zd5<|qZ5)FF(1)JkSF9WM{A*kj!UGdo!T}RlVS71z4KVlL>?dQ0!Y1Ads+De zdG;XEqsmU?RspV*cY8) zQypS^z>OGwnB&g%uYjvZrU8h$t=53#K$(SXvF>$_2-?y{8km)leHTiDPIB4d!SjtY zgNn|R#YqiCH$U?u_(=(%D1skT11?{mYSccSvEDyn)>HZj8?$oAQ1#lC6LwN4KhVD} z(ZxEm4D374{+{=NGT5(HIhnHd6qaW0`|-j+8}s}=KQABeI#L+aNr_&@ghw1p!nEtP z@7w08Ii@^IaFPxfe{G2&uobpvFT3>Suro&7mb!Id?(qe; zuzXD|0~y_t<-oBG%-J<#jMqeXvPX>JCdo#v%&kg`SAv?=q@T15n*f>ZO!&`-XOKH5 z-I&9APnF@S=8MdM&4?8g>TX0_V%RiNI$j{*7KC4N_AMT&x5gPEpGqy|e&VYf)9Y24 z-b`JlUf^bOVG9>*vXo6zm>A)s_x|HCr(hDk7uAK~h4M}SV-KNXThvjhv3^5h#z3iyI9Z@E| zP-Zme2tAf~kGR8+M5(Sz_#6v!yji~|P)ay>k1}VLGbQQN_|XKhJOme@1 zVqKWvT2?sdvVmCm^nMeD7xKTPmOd3eSc#*{uZyX&iq{V#Nb?A>VT0O1ItwOFT)jST z$LR#q)QPtCaAXg?O_1sty^9Xyp4K<hdn*Y1gjj)QZqY1SukqKxMl_Mzdh> ztB%uJDw`*?zx}`!7jr}^%8WB{erN3G40<1;MBY%cu$D1BO046U{SJ!IRwdvWP&gBr zFu|4L3R9cbn;6(re-@;BRWY&ci*!)NfVi=V^?njz^j3|2!MNzVHmdI<>`Z4LMr_R} zuPtsf!FoUv@3Z#VH&O0qvydIEB(?QzI*n+MXY5Jvhr`~H#y?m8in~5?Pq37ifvr`? z`E7Old))o2%R`P3yU}zW@Js&NtpKjc?vKIt0=vQ%wZDR5EO70>fwqj;dN2-l-f>bR zyrO46pV3L6b($ubkH+y`MU9zB21bXEZEd3>PdtTtjV-OvqgoxUx^UqL6jr&cYZ{%<(;HQgVr zfuBFsYU(e@fuy!iU{1MolZx)+TMk-j4o)*U!SRIVu9L=)sAXkObop|kNm8&S;32NAkq!b-TBWEv`CnahPu$g;jyvAH0rK@%)lh0#eF^RcS^2y#UrL0i0= z>0e5^Qxb+9I`JWJ<()c~hWIH@cmfSG=g*N z^;DFGF(ia@w)ea5r@UR&T}S7NTTW~KNaE%?Khxo8DrBrghnP1!6wCgO&CK^``AErP zF~*^I?|$y(0MevAGNxCV>gR7IHnq^xt){*~=}z68yLaHGQg@INl)brSs?dTGAyl9q z;0)?Pg?kSP_f$!5ubk)8wN2d)&YgTup|(o#W_HM&Ib%6)ww7#3e?-_#Sf#~kgF-^k ztS-o4i3sH5YNEfvFOo#ln2;42!YYWwaXrR3+cV{mX4lkBVvJ#&Ouzf68fX`9ZQb~$ z#AUGmGkG5>@O!K?75J&ZKwghe?+}hjOp(SgFb%b@43r&KNIt02EDxog!?U2ddNIjQ z#RD#Te3G~SRqMbA?26KHYmkbCp85Uz>% z=zNTEQ()6?PXoq6%Y`dFN@J7C|6~e&;Z?l$ZXa}RKHY~CXjzUKbumQ%95YZSM#C>( zmK#f{Sc-`j6A_pyKuJ#O$+aMwO4A3I9VDz~fXY`K*gRIhU$W!#WR}Txgx^=qM!V!(XTKJ$FnT0OUBsd{#uremE zG)NZ(Llng)oc4Kb!_E#BSf0^pGjUGY^4zYMi`G@6eZrO4fSuT<*BJrz$l2-}C z{;e+T;{-QCi+^3t6RGcNv33R%O`**JAgo z5BNs}XFlXSc?kG)yj}?&zcPihPlnWspn0_=pg+==Ks46IrAEyGSqKWdULIl_C6p4Q zk^>9(jSN3jP1VVJiNo;m>+%xDb{Xm-jP;)UsXSl~8&{I#BfE2Zv&%lk4QUZGyk&4W z$HMvUYVurr2jHQ}4myq@Cf3JC4FSSO(kpiqX+|B#XSZ+KH#E}Ws0w>Rm4=f|miL_p z!`CT$O_Ur4B3Ko7OF$X$cD)#c!u$l|MFjM`TggIj;EJx!r_s>b2dfI8P)MQ}%TjJq zx96!agVUPL&>L@m;{?T6rLZ7Y+aH4!f=gz#KT%?Crmik$=JN^fjMYXac|x4{+7HI> zGxmp>p^~*s7?vh zB?K?prmf7WsV-Z zyge$*%$ud4>esWn4y7~Kv?Gej$Ci7G-W*%qZ}H?D$swAwcUgS+X+)gkpNe)V3WCQF zXN@Kkod&b2SK!d0s@kn*Lt^t1u#8CtE>m)6J2RZH#hBrPK$f2@mYtLH_=~n`Y1kID zDl2k&8EXAJ0aN>*251@{B1X0?NlWlW2Bf?Ea%r!`VXFsnPGzl>aIykx z2Tb0`cq(ka6Rk1v6f-JbWFA=xB<2hVLB{VF>2f>>_)Kw5w1?Y=8Z!%>dTf8q4_=#{ z@hp*rPLFV;mU;dk09`<$zg|0Kv2bH&(LESSU%~KpgKy^(ek-J-JW9-_6(5)l--A&r zFO=@<$WI8D*!atr7hx7F>Jemh6c>+LL`Bp!%FUHc(%be)(=8nNnc=gJx>Q)z|D-Zg zdZ5ZOMI_Nml25s?1PAgEXd^@$DNV`f2T8$eQK~XC`hS6f8_52){SQuWx_6&c#{Do; zmA?Sr2(WRLq8#$FEa@O6%9zqY88zvLDTnbub_p~9&UokzNU|U>Tf1bq32hUi%gsyWXr`D-6y2K3DuxIf`7tj}Dl7OVAmD=Ad${5+l#+YdP5Z<%!hF z_D*u=`yXgG!Fx8WuqPXnem5Eoj@m6-BwfQPd<6qxdOt$ky|gDDrZatZLR*r;65JEtA8+mD zR42(@v@=8&FRKKAd5?xlFTBmX$Vw|Dz!T_Wa@GsjNdZHr*Fy8lPMlzcK;pJzFxp z$jH>2NdccXSBekf)5^jW(XS$|vIgSdrhaf+l@7|Xt>6u8jc?{yth&3i=tQ*QrSJx` z6mNF_(J(aPRoB+Q@+SIX1hs5p zJ_9ZH8jXVQ!L+N&wL`?4eC>>aUXEVR>m|B!G+3LdXk}846-^z|0W*GARlRcJ_fb?f znf^j5(UlJ?-ldrJp^#bqL#LX^EC-ce4lJ0k^0<-EFf#gMHSWg6<;%F7G{?~wp2CG0 zY3A9Ucea@g&cw;g={8c_Ew5LXMi(#Di7t_rnElgXFbh@ zkO4SrZP%z?CSL{lu6wU?m_+lvUj0Q zjgrz)?d|oN4URb$jG2IE$fWyxxbzdCFU4h>8~4u_TU{K;Di1zRdCVDDy29#$f8$sRLQP=iMANDF+)V(N0FDvYZv#1s60#M`_ z?!r0`L(%gx30smY2P~3e2lVo?MvPVsFV#?@g7wN0KCNKkHz<5t?O=v9z3hWd#zMf0 z&uKt@M^84L(qXfKEN3!j2J z58e7bYQSgAZgS#75y?jLZJiF^wrl#87@)2jbq2WE8K6kz89w96L2cTSyo2aHw8}QA z)QU~dTD>lZxT+8c1`I$MV}Ar1a`6*`v~C%I$BePpCBs?B&^wNsyk6QCs8OBr%U1rp zQ*~=OymoRjOr{^Az%?K1nNI%s4q>!p3ozKdS>>>md!}7?+9CZ?Eoc<34?|AgB<}SL z-*Dxy0T>>p_N?HT#L}2KzXc?o6Y<%Y>lQRW*h`#k`Z46(h0YhRG(1}Ga2A~kIe(uF znLeW1o>XKQi+&Fo?~pZ})Z8!rP<`GdWo@c7HM*Iyu(QLO6q|XIsYxX>)%J}1Q)rkt zJWa=;U1iK~oRWT0Ijyjk)x##0gGjZ?QOBpJA9iw~*)CRwHb+!BC_65_@}-lCnTieI z=`E>pzpIZ=AG+dXvwKaB<`S|=f8=kA=}%F|acW^zuErAWahMPL?0#C>_0iMQW?zV( z7>ur@sTpoEP<>Fu8*e-|S{YjX+3r&h>J})R z41u)xHBMV1z44@3(}51}IeMJU3&yxzD6~e|g0}xg@fA`MC+VI4(aZg0)WS8fO43hvXpXmE_qc4=@u;+2q#O3W~`l zNB%I%w$kjkca_QQqg7?ww8su4qW&pw79(B`JV|ma#4|4nP}93hvks%kvf&U9v!SOb z3j*@>3%u8Dx{s*2^q#fyBEqnuO+~}PJhn*6W%*^$)Q0<(3i=Sw4{|W!*3R&(vI_8T z+;g#%5e|=S24)rAm?BCJoG+i93sPzHD3Nv}OfiRLNGi+lGu>3I^Dd(DGeV&qQMdR0WiLDn-=Mf{Bq&%pn$@e7n9`-e;Cmf01n4gQ9u_~jY zIy>!J8_?ExjZcOmCdh3;2YTpI`TG5Z(9#Ik z??J{5@E5I&FOgN343FS)3O@%Qet^zB7+$p3yrepTl3^R1lP+>qxq_myV@bNw^>doX z!j!AkNKSy+kx&R?M9ev4me)<)DSe2KgoOdA;e*nmM*4xrt1++vdry8v~ z(tokKWPx#0rCFB@opJa4)1}8cA0EMwa(OAmFLN2?}!u5*s7Rk%X8$4 zmB*UzAz}d2Y(XzZL$vCr?B&FMoS%-d|A{gq;hSeA9;8h zx>p6L&z4-rwoqx3G;fqDbPuEB;Itq1!!GBkcBZKoq*r|4*^XvnO{OZFn9F53OU{NF zFFCk>$`tKSD%i+4jl7mcvw2y&I2>EiUQ5zB58ZqD^p{nd)BcVjSydiYdu1DZJj`6e zK2*I7s2#C;Z^Arqsl3H`erb_f(cX7WENF^ltKsWc!|sn&5GV7@!r5x@;lEWkaWbYN z!9qf#GX7I*qz9kAKstr`uUv05i4M&oXBoC3>nY#6jeV7#CL^KK%i7N~ypRmvSM#xr zI=$)f=2V?{9!?S&l`;IHDdnG_P%f~Uox_xM z*kQR8?bh9alJ7y1Y)FRm%O~obB_3Fi9@DlSWm*A4PPjNpy4Nr~gxc!th+)~O>#mS8 z=X>64rZ>%%@x}e}?ei zB3@2jxqbOmgRFFF5pQMXbaG|nJO1(|^Pne&*YWTv1|X#1nrP<1nQ+tzqAD={Q6HE}zvIS< z)9sx2d$4$>RLRLFzpieJCSx4KjbUswx>?IKOa~!vK&kDAB?}_Zo~k0ouABQ}_23@4 z$zl2Yg<=;fQamM|srkRB3r=+3dv?%rFbfRhrao zsT>2_^jvqZ^yqC4P9JtOj+QZ$3?Qken$$7e4GfpUa$tmr11f6Xiu;&qJVXtcxMQ(! zPd8v@g6f|*&B_J04!pQgTFR+491NK(Ewb1sPx z(+&==Z8t{p%xx9(`&GSc%E9dUkD<`R>MGb;d2c<{HaK+L@``q9(LQts3{k^xjOz+_f^Lry|n?a5Bwch@Opg?&tHmsp{?0~ zJ;s}IT7cRLpjhEVPYrSIy^mB|&?`C_Kfz;!*|A~H}Q!kw|BE8;$nh+IX6R6DDFwnZmdH!}X+(dr~I%@5e z`rG`xk#Q$l)HV&d4e((Yfm6)ASVTUxIKVR`CJ)Rlzjc0BHVx(V!k;9W7!BYoDr9mN zy|PK5Uq)518jkJwOLeHT=s!?jGwRK-uWdp{<3#E;5?N*w3cXQRM`Ks2bOnUqCcxZH zm=&3byv%)=84;%Y0veicO0tvH$`wolW=3|BA~G~C295XV_2#;CX0s2!_TGFdxN|y8 zEK;G2c5~vHX|H@nD#!2>$M6>5Go+l^1`9*$vbChul1$D;4mIgEw{Dzv^$ho14nOATXL0U*0!iowv*E?P!55pRuQ>PeejdD0)D-y|;uYAngOC(X z(cqAc^_+~&A^s>bbRklIP#<7Vwyvz$7=;HHyO4smIY&I7^g=YT4LJwqBEf6Jir>^; z`8j5ff7;uYkVbl=bX1X*8-#Jj+7}*o1H4gIRh6@ysW;P9oFJom)dyy==$9|_di8|@ z4JD*)T2E+%y(}Plm8Zzi%^q*bL>@m$HbhA)|5*0Y>H_!wv$_&3M6k~FJ?<{O{d+qT zgM-E_+^1{h0#?nKIbf7H%A+=-%8P*f(O<5e>U4{A4@cvHCRh{=z-lTJ3s>tsW6{~f z{1w(y^89sOLoH#`2gQ z*+=AKNTs&dsfS&9rBo+DrH?z$TiP|r70rfmdzV-FSQPB7WvQIpRmxKoIyGg{FC#=> zI~kLW78$mdjW;HSnGFdjXHrpKxsp2;B^hMRqXRvX*YrRWq)8?}q9l2L{hg}oj~ZG1 zjNCiv!Bxt+@(eZb46ktHds3E0QgTCdwDimI4DAJt_|B^)bpm{Ml{0Duc;T+TcsKXx>( zB-O2Sh>T&S!qTO@$~H#Z2QvCBzGL;+MMhQ`!YC6}*REVVYDJVM6s{fgp_jFYQy3E2 znKPU++~Q=Y31mZanf#l@Y;3-klW`psbmIc@&zyI@hTW}X=xm=>X7i{QWgi+DeR$_t zh&p2bm2AB-EbD9wyEC{nPeO+6%X?W1AQ!(vCwk+?lg_NZiS%~TgD$F9vYS$dx(BJ` z{bX@bn7LHywcMz%D7(0OjB1=a))rCtZ}_g~;_0JQ4ugVT@2W~!%$EdX*VyNK$5AA8 zr?u-{ixyGz=Fd;Q6|*9_%Zi5fEy-YIA4Y9N*;wx?K-Zypa;%Um6X&K1!`w_=6Igau z(tt)-HZ_i77!=TW#?hDpE%~0159{V4EJx#O$k4eW+D4b}R}s%{Qyig~BC)}~Md|#uqF!se?zO0qTt9wj znv_3$>6^l-f zA}Yc+Gw)D+;MohR1H|*6b}XLjPG0w>ZB}wLh5@f;(B)Jk(-EaNr)Mayyd=4Wwoq47q^2-CU)XaB)b7z_DQy{{yOBM0z-4s*o1m{ zRA}T&^?v1X&}xWUp1g)qmPdsu%MMSn9>jYTKz&8cJ_3zq#0Be>6E05ietAYz;gejb zE(4$7ee^L+wI~Md;UL%1t9%l9ZAiV)y|B$0TI9+ZfV}3S zn#^Enrahgu$#QDFyiO{g@c&i4o-y`#WT?fvB!jWh^8u(aWT=C-w4T`-rIyH>az9di z3{W2RPdza*9vm%2vm-Bhl`nJAy8}gZGZ`Lr?Uz;RHm4V+x@+qS_9JUV%sg)3Rlbn~ zU8Kwm`ISGvu%NJ+1P8!7GzwAE$+$H#HWO=AhNH2aj1gYeQL0y74M@OMdv!0Xz>9ie zZ-ib??q@o~1O@C-qg?csB*RJIC@<>^FRRFqQ8qgzmhiGJ2g4p%f}UaM=vP82StbZk zk9k>|($O*;E#pGep@wa&z~j1Ynx1jnTIu{=Rzb#_yRKL5u*0ZoFuxB#IWz&kMAHk2i{@=~{altS^=dHW5%}a6YLFGp#A-je_;HE;a2Wk}ra|r!dc* z!lgaKsgxk^lABIlIh7HZp6XxAJ3kFQLz-$d>>bc^mYzMf&XAe}ZmKlP*F%sJb??}Fd z@T#QXla4Cnb_$&b&F7=+vz-rDhecn7=Y9Y3t${fLd92Yhp>~0rshg7Fdk7hhWE>E| zo;4HLE6GsKu*Dqg+X1PNp-&&(;*GL+5C_f%V0gQa8-b4pabRkZnRaE98-ZFOaH(wF z8z^SWH2@5mY4qHprRRKa;8P;kG{7=eWiGB#x-?eVDU{D-eZU8nLGdN7ZMLSEPn5mR z7$0m7sJORUS(i|ZoGXWgBUQyh^~NpkmN+Osq$~>K){1os*KefI4e5@J6tX^#bsmNl zk05%MAuh7`_OSS3?X?u5S5w8>;gUr;A8zaQnj10x1o?6bgB$;~2+473h~O4}uru56 zCN+u-fuw>b3sa`kIq_G}KTS%nsOboEba~b%7Pbt$!I2}ZS+c75EktjLzz&5B4?*-+ z<;lV1>LEm(ga&zzM)MG&HU}k*nTimi=BFtaR74J>Zq`?OP0-0-y%$xZWFG3=Lq z0;&_K<7nh<$M*0^J;PH2Z)gQZ4p%1@CNVY5P8R%$M+IaIg!ctb+fkJf^m?8ubO8an z5QSfg5&z9-ov#!{s@*StcGGr~g!ubja(L_zHb=AHAaTNLxmBM^w z#jAXDs4|DXViJc|E-3V0bXzA!`u{^k$3i_^Klp11H`>HhJ6)Iu!RhqYB`U9 zW2{Wfr@&a+hNkpXE7!1%RfO#%qx+4i9^g?^1smYCA6Bsg(m4g)%KD1hS}Gh+*IE0t z!dq-=IBF7-{-RGzJipJ07G*Gg2^l|-^onzjHNTY5Uae5-ADhW8Ip(y&>tlPG>KiUo z_dY(IWuDX0lcf`^UWWnV3W79%xuUvuGt6eCtY=i^Fz3)u&=q6z>!8q8%Dchhgbw2d zpSll6f)m3rpNXB8Am%PyjOJI)m9?mg=Dt!KuNQFj^%5F+2IR&iWps^N&Qz}oJsupT z%=3E91>000-cu>>yk2hx-azj%N5qnZ)$6>_US6*+9T)TJScjH@v02&)lZ3C)9yfs& zjn7AIUi0~0tFm1L%nh1NC+m)i%A>0H0~!K%t2Ca*n!I36k@;=p+r> zu*tS}TN#-GWxW%<`A+BdN?8P5M8hTPI93f+_Ee8~hxi?Ap~0>`m7}vU`BqDlQ*j+P zY(&jC)C-1lQBF1xn#p?Ds+{zLclB|~!Z4g1;(rDb$M7~MelL2W{)nD-N!s?hPrS-U zU{D?>GLze{T{2~_6ldMnIcdfqey>*%#mWf1k*IBN{!qx}i;_hu zju2$gB%tw6K-U+_TI};`9JNP=)|6T?l}odFs=%T!n~8aEfSOZGRAJ4R&O>12EGokz zN#JGWKv^cB8(3Qy z8u#jyq9oHU+F}FyYEI!naPN&|$odznw-dOeWRxXKP<^RX7ao3dI`Lf~?sb}vlM>FD zZ+PLWFQBBc$^O&x4mLMqm5?*$ih7#a!jnfqt=^QXgE*agkX1ZtO&5o2A>$rhf`j)F zZub0)o?j1Id=L0=$(Jcuea}S)4v_Tfi+4!rfT7mPDlQ{m-pRII{HVq?pst3QVEi8mJyayv5mZYPpvP(Jhu9b)8ZOzQOuVX`cWt(r>IitA&XDtTI1I&cL-pyWR6t7B!tH4DvP5H9$cIy&k*br)eafaU-3A!1`Tr=+kDb5 zs>@^_Rx3iI5Tf(x74hF3_THZ9qfX($s&eIF3M>bsa3})#p0c-J7VQ+iPuowbJc2m% zcMUKrZGRzeyE6kjDvQi7q?I+#W<60auNSU&$r%8}nfmO%4I4H)Q$gHuvuRH2Yn{|> zDp)&YlH?|Gew({;FmgxIC`TY)9^P=`zoo37xwtH!yDg0qli^BVJT z99Yg0NI1gXOVBa-^6lYM6LdgE;S2yZEnH9zM&Ty_^*LPN;Re;;7~pV-o0*FTEp6mt z&QPxMA!NEO%C=k+^ZjWrOW^f7v4%G+iaK$S!X0P|!lFu^EC*bfWAbGdJq`&2hW73y@S$UABxNr*`fu zPyaijQmwTm)N49g@h-?@(g{&N*~wTAGFE}-SwdoUX&NWP2`#*I!jk%VfkJoSK?*EE zXx!l2W82Ij;}+WBHVJ*`^;UCuFGhaWU|{5vX>&M*XM(Ku7Uac9ir$K+I*9%tgga0~Ea^P){nY99Uat_HaDXV|hZjVAVSQOVJN)o=o?VqRQ=~}c zW$C<&`oK8^e30cmC;la>v@G!AfXarbgY?8KSmwGb`_n`&MA$ag6J3ZlSr@&+3Ig*0 zYe-zq)|AA3^(QCtt_X9`hXq9_k87hQ6H!>IEH07Z3S&frr>hzdrMod z_lVld#t!dN%r{)V+oZc4RyMEX%it}t^|B6fwfv6q%7NgR)AP>Twy=BWP+mC$d80H5 z4Vx8N2nwFoudq(k)q#2*3N$m!T6X@G(l5QQEXZJs$4m!qS+x(z6_2@NMjt2C2~?Pc zC!lZ{%3|rG=8N8oL7`4&7^V|7Kdt?gc57n&Ye@At#4k&Rp6c4kTW1dzhj{JH&9~%0 zVff@(k)e)kQ;wB$$)F+C>GTfEQ3DS*OQl+>^`s^8R(3MRcyB%n#oSJT zY{&^}vlOumC$Uk33UQL;YHGy{_3iJ<{hNfiVH+h&;I>Deibm*~F zwL(sO7gYSxr1GY`+B1BX1ov=3h!|Fc3>P86N?h=0CBvPa!h(1gA~8d~j$(tdtyl;- zn-wWiG2O?ft(rt(o$mDv)k-C!-IC(U#35o2wXZ7KhiS6>F&MI6E!c-EyQ-WK3||Hk zS6@AxZ3+spH&_~buTjiVUA9X?+NQ2gVJaAnqkKyB`c&|S^KF8HwX&ucyctsjOu;?s z_0lX>OJxrI3iO0}Z9;}4IpQ*<|!WoTH`JS3%27#Kh4XoModO(-io z2^gv+OJ!NSw->Vq*0sF?GkwGbw|Y)X2<@e#csLunPyn`X)+l@*qoE_r*3e0Pg$eZ` z8G2ctIQLG42{kjRwAyYvxq=VORWg>6mq|sGc32}0c~}OF9)=8$x)nz0q}Yv7L>CFS zFyy@3dE;Rek&G#`*{rNpoHw+$y`}Ox?S;qU(4kYN<7w){`mZj^H2Z+EI3D@>jr*qi z>4^=%-{a|$*a$gU`PF{tLl_d+@?%a|wlUG}puv=BSpEoeuy&fyv=~c1iSd?a{Wuw3 zjj@EY5FK!EF|tyQM3ufb?Y8Cv)4}I$ENU>RBq=E$o@v`OGa4~o% z6&c>F`5Wguyx)tAoFLb154!nXBH!C+~ zlV@}>XlS;h#UB*#+HP)>FOL(q=5!h17wd7TL5MmSF|HZIzAY_kjWUKR@5h^7FYRZ{ z1E-N>kCVMm&+gV5liY+#Ie?a#e#*zI>)-H1)lR1H==?uB2^c&MbL}vUvc9EWEE8}V z$y(lCM)Txk$cdVq!}+2Lw)ScX$d|LX1hbOY#^mZzcSu#5ENe?wQn{L>uGuDH#)NPN z+Q#nON#jS~X>w8+2KrFi!H`uif{uqpJ!)Gds*^JMOob->fW(7N49NL#4}@q}UUc1i ztT@X0loM$bePOe(94>TmIE{MEhSd7l+;*gp`>tU09#Y%;f%)yr*J0l%{Z0-9XX)Vj zGr8b3Rk^6>$*Vloj=fX(aIl;DQ-&&ghC3^jb-rH*pqNhIXwd`HrD{4~t8IA>wyw+O z;Cwb;>nQ3{mu;z>*}}F#dukUKqCK6AE0 zat=`@Kixvh>II!Nl8eJBz2 zI_Z|}3E74`b8%==QyOpl6CJcKWUz&rK?3huH`)^nZ6}lE)_O4>JCg+Wa`C`IhKZg( z(6UbJfNn+C*hQ4@$$H=6oip$uo@q?q-|5^tGd;~3(8AYAm|Nz^OB<-#0^eh-U(X4x z3YM}EN##hFkLdRUqtECNU0_*vFo8Xayf)>+dK7*zJzZd_Rx*l)Ax=f}<7X z3xy+HVA)z&k&q3;3(ofW!q{fp>?7oJ`E0GI{WFf*1PZhO*b-v&V$M-lLA=h*Dx~rr z2kHYgaAiW0`aGMRsz4qy!vObV9xT7-H&s4=-og3sM1a}=L|HfI{HP5@ymi@qX%CK( ztMDy9dZXu6|Dy9;N3_(`z=+=(3Y2||66WDq)!)QnPJYiRplZe`#-fK&uQydMtuK$S z16Y!@N%b#A9F~*w#(mBJ_H+}p4f72g19Gp|%c8CVW6l=ibELoeY>!3Rh1nkJwTP5V z-E5C%c(rHP6`q!Nx+ZUSna#c`%jRoin`_V;Iv_${OzqUq4sF<7eM;SsbGlb&wR6Aw zIExl!TsKp1vnnr9m5q$&!Ej+NZXbTiaw%aUfO?J#y@QtGs-t|?u|GiAOH2@I?@jue z+RK=25!CLY$}o?&m(;HO?@nG%>Jpk#hr;B{Hj)I387+(LR)z2PapZR(!4o7!DmmT4 z=4x9rZvDrJe;g#bk*6x6HFmJGj~;U3izwC*zZ^L%eaDGE6AJd^QVvFZPbfH+i-)+qwJ|GH>MT;m-W}>6IS`?aLb_&Jp-6n;* zLEPbG3Y97s2YuD3wLM%B;lXAcwW(1^i7d2kv}lE`EA1Vt9`yXMzyJ`A%@Is}eU2f^ zI1{~*0S<+@QHY+`u#aP?|ST((S(6 zBHc+D+NAEO~HQ}MNh=|Q&Qo|H2$MsRWJ0W)NFi9WqMLg z-D5>NC-4rJFZTInCs5$YlXW*2bPd*W`Fcb#d^0Eu>*fLZZh-I}s**MHmEZlf+C#*f zEKf#l=TJL@F5qGB0vx%*SL9-mQLxQ!vE6!w_WFhd`uhhk^-nIGsf(W1tMz)`#X)4`3YPrx zz!E|A!tbhZlr@Ta*p_4+(Gt!jp2#)!l&IyKAl?rgSl+W)8@90@J!YNR4mmvCfx0e%Get;K0B-gbuP=x2Qu7d6YaSM zxId6Vhscz~Xn|P#06!eA`568PKuZ=XF3N6kyVIlq;5tO|~Dz_YNcQigF z)udRRtVlCZrPnj_;A}B&B7-zPl#+8Qn)I%*&2!{+Ntq1DF$x!kf~~oD2pZcTBthHU z>Ds1-T$eRLBt916$i3xG+53|XPuUK!dTYj^72M}HY6G2_^Ym7$qEO;E5R z7w;Xmb@`fnY|kUE%wG`B*>Z{s_WTvf(0G1Ah})YB-suoOzg{a;C5dW+wUA77?F=ug z$}z4gS0b+$CF?;d#a^YbDjGuPm{sT8ZIx>9pFaRJ?AryLqr>b{IAeS`0(k`)PMIDh zkJiIee6ju&g%3etQ<*|-S7b{p zH0KP?Y(?#KnoD)fr#>mU&myhu^G;5~3{?^gLevVZVc=d>TmT4A~wwMI#z~2734wL(|Fhwslfdz)WvZ zxpFY#zk&GXGV!=}*a-0&82eIExxpE_fUYq1R-~en!r+`vWYnoj&Yi^__hna=Q$fc2 zZIba7WXxJ7<7emjf@D`jkGfRu2Zhs+E6No*0vS!Bb-Ht1XG_qBMfivcQlaqoFJ?eIu1rs)^QA_ zqkkS7A%7vi+K(9lVj-*)kLv7byuqM%XR7QP00YL5pPR1j9DsCljxk2vu-$uyFm~_~ zlCT`So{NJ%=+jaeMSK0S{QBux->;YA!)m>Q4ll?uwoBT=6X-AKXgmTLUt>t``R;0V zRBSl#&B_{|T$@hFS@dK_-l9f>u?y^@jRMQJkZ_W}+85r@0G&X3ah#|W+L-kj(6 z5{B7%a6vg3yrZd-T#Q;m%&!iwSt)FA4_&|m&Hzl)n|Hmlp zNYx3AWvSFYmwYDh3FSuYS@mMhq{3n|WK~gCAQpWlfJvTsPClRldykoevOtLHDOHBWrCi zTwfm`G-enMeJ!Fmd6F&w^T0Z(BFZULPhB6}Xb+00c!3U)#c_^ew(h2gV#gC7R+z}q zD56{(YFBS99!10<>DM%pfrA{&EzH3hvsr>2P*mOZ^`DMY4NX5(Tbe1NqlR0O+t5Zu zsjZy;84U0N7Z1$-TkqfxivP<<*h~@0*ly){UtJpRifCCiO12?9?-+);Wy|O~xWF+i z*6%|h=U-H{Es7{u!*EN8*QS{k+X2d$S%cV|qb`H)U${6Qifi%#o#nR>WH7P7+%lHA zwesvdWqC0uqC=e7&SEIOm(uFs!Q7Yl1@CUUplD8j`0ajP(2x)MP_D>2wgnx?umSc!`1?JBts2h3gi`2(?9`}|Fd$~m@3Z=h8{)>s0ljh zm(}kLFmygV%h9-!+FAm94>&&}99r(#Xbn<6?R?h2$%Q{lDm91Le%=Ici9uKtW$B}BvIu`Zb{H_|icUdy@k5hy#18<;GE(dK+BxPK* zzcMsKdJz=9zK*D+=hh{p{anv~kD@ulRAuW?u@g5|nUAHyaFaOqwpK*{uwaJ{*d>*( zOumFvL^=1Wx+U(lcwfrg&$+iqB??(GN8Nbk5F9`9w9JW!4m;W~| zM2#6f)u?S;U|(`^STI;b3`X#dnAMfu3t@_lum5rQRbLTrT|iNmw^tihiccSH;6hZS zdhWSROk=Z>RcH1%8k2f^6{*~G+=6*V!V!V^@3vez-EzZO)6`S#6^NgtWqvyeif_=^ z@V3a1wQSse-e~M@I6R-t2G{_Ws$1Y`oI&WQcJih?H}JHJ-u>Q`MOi+Cx!Ieth+>L> zvu8W%kqu!spsY=FDc;eY3DG|(>ndGB)GuOyIw5j09&Tg+pW&2CK_1Q$hRJX)xUDj8 z9)|5bgkf?3mwR*;to*UkA6?AXgSfRq@6nol;I>*XcrTV$tQO^D_FBG+!3YKVbThs%%}`FpTz@ zVRLWFgPo&3pu0Jqi%*L^{9`t($LmFMAalugAGjkdI{LRG1F)czua zJqR6aUi8o=^@9y$90>)>l)KR};x;RR-Q`J7a^+*rG9NoH>#aNh;?IOPFxv47+QpTF zCAEtzFZ(oe@E83RAhe|3VxK)_QH>p-wt=DvGCl!boYq)STcVZtBELY!X1>U^8yV_6 zgO+69Wp_^5E;~f2;NA|}Tai?b1JQ;mKPuw05oP3Ob>u4$Hy#&9K75=#gSatVaH=^} z+hv0^ye$e$1{3y4&wtmB=`fK&huGl^nr9CvY#`$hC^)f9#$-;$a5B{HJ?#;H;8G3S zukYO7t8C8V+tChRy|tA&)p(om@!BlG0;^1Ref}BELmZ9y)bCX0Qdx}*ApfXgwQP6! z=vD?OpdY&TtumzV?`#G0cp33VUS+L+*-ZNoXVHg%_kC)Bg~FU3=VP0BU>VLzfu7+m zN@Zs1ha~7E?zMWMmOF@e>-AQBD49!Uk~&dCV|cr4FFp9zwm|Qu@j<)Z0U7FMZEOCwP~ID2N^_1i7*0 zsnBJ1f3nI-SRwbAgqd3lIuJ((cb4Vrlk$81TK<15O*upDo8@1j%H@ao{aB(-_ojRe zK9hG}Ar3>lDLcVu-*CBz4DIE7-KEtds5hxcl40{7NF=GVAI1vDBn416e?O^1h+B_)W%^zi%*Wu6Zh zHf9*A+!!?$G6IH;sm2mD7WwMx95srLVMcl?=cosqqt>Eh_?Vu`L#VPpDEKlaQ*z)*sm5 zvDu#M(oKIAn`dMXJ%3?XaiQ|cJ}1NPk6R(->%4nAg*PJR7mzE9gq)7|f>XFIRoa+b z8!-Hw1ZG)y=}S0;)56m~QQ)xmzUE`8o%eU`P!ZjgA4$r3CErikS7g|XbVh=SNy;L! zxuA&*8**9+O(bm~<6wY*zXBKO`%U@1R^YVvmb6Yr{t-GocwB9o8Gqq1{_vWe(?cAM zDWTwYfZ~8YJ}w^6_yxKbroaX=CLq;wr1G%3lkq*N29nC#Yad5LpU=pW^Pydb0NCN) zcm*38+aoETks)9I;oHH_I2zxQ;ht@xF*#%$3y@C6a!!UnkYu#7%@8M}h~i*srWf~d zG>WLv{r0z0R^D>n*p@0S)+QPkLH847G`ct$}s1n0~qh zaL#jhi+VY{gB!`eFUf-%{2|<+3i;ki+ugeD!2$;Pv^#t-U*+hn~oOuqw^z3>+Y4DrH&9 zx(HPXW9x7kn@lqW`ygelr@R}PttZ7aDJn4A_%5(#(uz-#bfVRstWI|Q%bkb%q*GDl zSw?M_GHZ6Ac!No&4ogACa^%_o?<3^(0J(bl%e~4gkieckKlw}5Z)Sd@vpiAqa9>Dp z@?`H;`5P!QY({zs%36ub+%N8B^M}Jl1~bwf%*a`Wsx**sKV%$HMqUankknf0V>jJg zy{PM*odfc%&-Jh0MITrDEdFM-MP$^?Er0&<;VI`;^%5C2jkyZM_2SY%#$Awc1{V)) zh`D!dGy6Nme;nj-Tnih@iYR?)$gGt8UFm6Okhajnhu8q?eyF2%oJAKwn(k>6s8JAi zS{bM?)2^fBG{?%t=XXs@<3^QL+Xni^LG6R0 zYg?mHZ}6QFI{LX9rIOXaZ#s@hQ?K$yw8zjk8|7N6d~mr@-fAR+b2#O0QPrwOPT{WP zH4PVhQ_-S2jV0{8WmFu`);F4j1OfyL5P}DHcN;=*NU$Ne1a}WIXn^4E5M+?x7M#H* z3GQx#%P_dxpzoajInT46cddKxy7$BV_O9QW?wVb)cU4#KUDaK^3$Hj~mc&5#2U_Po zkG64dttl*1bKhp;EOnoeI~JBiJPA}RY;W2cYc5%4D+=OpV%p$n`pG5ZBpwXd=l7fa z+6Yel2tIq&B<=K7j(VM=XI71BiY(uP89kolDT$w(IJ{hZjXZbsLyxY(SZ;c(YKt%F z3t)JO91l38cyBLc%op|{btNE|)nebiMjOu|;Yrav zRp=og_fOS}Slnhq+286n&h;7xUEO@I3Np{ajj|a{HGJbF(pZLqv-|9PT3&WkF>v*N z1*Cj#nkx%dvTvPf>X^I1eY+#34rzT61{~cg?a0j>Gfp)S{={`Fc$I>y31v(;;Ul(N zMYhtIS#eTTdFI{)cJxmV*k7touk(0@i=ZXK3K3BZd&Dj3ADuk{h^V9%`j$tp%X%1Y zj_;J8Ev8}`$%%Y@E}eB!)nQum)or%Dalb0boA34s#pjNN@-_l{7h1HJ6tgGpSu1{y z*sR&tUz{qW*}!tTzORx}R5~K;iI!#9j6;A{M0Mh@v2*c8)N7b7l+<#gUJ&rxAirTiWX-!48egD zkeYkT#e==EQLPQ@(frr%XrF)TTU`hYMUbQ^quD-bbHN;XiPp!(egC&y+#yM`XNFr} zI_0WhK(?xdeEStQVXZg+`;!;%M@nD9EP0-vI=uC1bXuv(K~Bd_?`1`kn-meQK5JyI zZHjRTY|z#|75$Z!*H(A>xBBV1iNP2@g$1Z!4;dsJyU?o%mq`=#nz!r{a=K=f%g*s! zufD8}e6?cySZO=z9#B%X{Y+oxWca;-`CP7MZ`jxH`uTa>BTUx%Y=4LoTANfyWNp^p zCr$+2P4q?vPU>g+Rlr~N#uikof+=tl%~H79{eI22UoUutE&n*4kj1T0<)h9mak71J5p36L zaYrosz4a||?${?8x_kl#hU51{VNYZ=aV?l>$p~UfC;e5?9SbHP6 zngI-uWIa5(2@Y-iiT<{GG&}uLI%O^oYu{vxhL93oLjDecqBV|oAefu9 z`efWG26OMM?3TKTW2<`yeKWAP8|t)6?6iv?C-M`1U1WK$V|ojRUfATKVyI+cCC&Q{9`Ry;G;^>%CpO z3AL%?5fAiShvT*lQ-QZO3meX%K`FKKzyJ8P9*nnwVsK_`m&*~~0^2PjzrubZjM^dk zRgjJR;p`u+=Tl3jHi-JO{A^%hDdymgwgru$;I_mspHYBc%f-RG)A9T2eESSXpY!Bw z-m(4EVZkNe&d)+RVXr#yiSL-$tDytSE%vpdGpZupMoZ}DjL>Dj6vZolzuU`wKnZAd zxgEoOB_+%f6GZ79zJDkoCgcRGvpVYuwvc66D&lSQ7QEV?^*g4LxW(NS48JjvIv98Q zMs-CoRp|*1%+6aodo95xjfaP6@d5SV5Pyy3ZHkwjgQ36F)f`(DvfJ={=+Y1sKD8jnYBoyw%c0&=(D5CQy}nS#Y=sZszOBg?JAdwKbD!{* zs_xNj1$80`p9mn2lF>Kp%qZc?=E$$4a=2I#I(XVEqb~v5tr8j9dpANT&gWal<9Y_;qZf-%OltztiW}qf3%8xp2%HiQ|!&$oEln)w8n!IV^0N$ z^FW8BQRMIBnC!2Cl`Up7ELl^2q@&=<55C4nb2;D7Hf)nS`MYm>8=HW8j~XvOpL`g? zwX4RjwrqWqorlNLm{7cDYM43u1qh+~t~Lq^$JoBBb|lJQ?@@{Uc2$pSnJK=Ja6sE0 zS-Zi2ox>ohHEx_qpj=N<nzQjw8E&_X72W|h(Eyc z5X-wz==zypmhlFfIpDE})UIDyQLly;422g)Byc8hEhNqLr3FyL$*|L3j7O(JE?2vnL-z@Jg;d+ zSBHEBK8l%Kb=S!?b+I;z<)CmA4OO@sd8Pd~@w;39$bhiQ*{x>`+4imErQ)@pnAGCz zXZ@1xA6Jv%61Kzn;^{A2n$-MFI3;YnOcqNSA6FbUn-)481pk7w+*`A?GP|P1J_N`Q zxCRSh_lBZ#LAg;qDOzkgz_so^V(5*?hn9!~oCLZrrXhU<33RrVE&n?CGs)N69aOLm ze|WUb6F0wJ^3%^`#G3z;Lz7(BbIb7E^|_Y!OZZF6g%8Z~ca#^lO&^2p9mjXXPW)F6 zKQy+64D1y7OS)ukiDAA%&U6y^BaEhND=)b4zHL|YK66rgmzULPbR#iCat(m#7{5KP zHu^%nrQ`OtDVTeg$I*@GXa2%U+Uy}F{!f%wdWW<-Y>_ojsw8#C-agE3&+ok>n2%c- zw9bb*q=kltr`pV;E0ycop9O;OA2=@-9E*=Vljk}64&>_XbngRWGizS)TMYACv_{zy zl!t%*m5~2RkXt%A4qYLi)8^aeit+OZ-{~i&o8{;Vw8jGOU*DGeJBEHY@&9AsLHny> z>!478{`cyi4Uo5o2@X|DpiL+^aqIqy?au1t8;|}TyqbAqr5Xv&HeJ+rT?EQeJrTo( zhpb4pma_aB=Iv=`=#{W&?TQvyuZ|yw=0^)=np6lp)&Zk^lw*AcT*{A)#{mJdqyZjL z54YQ~{}2PfN*Z^>2WKk=au9uF-LASZ`hjU4#YwAS63m_47WlCzd*z{3Bb5>>^9ASB z?Dtb_Hx|nZF8;@I64+26`{QoAE9>d#qWcV^sybus>kpe7Ph|c14ztuOCoL@mwFDiK zupf^^qQx#Ya$YY!&=V`nn87!`xn#sW4sNBvD!)YM-rG?~JZir~*6kCcRa~4xzfCvW z6+Tua^UoWP8wI>rxhF=A=ymSrE$%#Bwwr;s(5{65LDjp@I`>>tXi0HUJO}bk&Y(lL zrIR#C&>hcTPqx_(&z5$a5yeEPXV1=eu-$Sw{V@4fs=WG#+MVyIW#{Ix<8o3b=2g?Z zQ{qUDTa_SSQEp;|1Y_|6@N?pAvJ)~poi#tf&1Ex&mq~`7mW-1`J?c%n@pAE)$RFx+ z+Z1zK!@b5WUFyCaw zOo@gZ{U=~{AG;J-PD`SFMf<&lcjBgBHl60}W&(Cm2}mA;+y2ab?o0Xtp;7E?d*ay0&l84$)b8n_fx`FxLegct<$>{b@Ue> zrpPP3`Hsf({W;n5`^amKMsB05osvapVD1+Dv`)$6;ce=2bft$0 zPZ&&hex=sJ9gDk8Y7^y3rg?bRs!>#}s5ntvc69 z0ew@WH3&(VOHzhuTxjcUtuB9^A|I4wq^j8S)bi1W%zR z2aLUR#wPu>w_23Iy1$9^<=Y{!9~~ZR)WGTp+^jZ6tNt$G3I~h%38y=)I@?qWgir-V zVPduGCpN|V0rkZ5iljg6nWgUV@Z^Ka_mo<*hl;L>(tv~<(<1(L;9yunfNOvBDKTmV z52^EqsnK(YER^uJIv*qA-e;aF>fUBwbqUA-D-THxzx1s%=sHo^S9K#7i&Y);u%rk` zf#;8RHd29=T=lahE8C*>d_9xQRiq5;3crDn{Z8{0IHk*_nIejbA?k&H!njtxN(xMA9f zRM1U>k;zLh{}@bm^$3Jhk}rG|rhEbJJqs{gO8TiM)Oza~Cvk;r(4u}*i4Yfb+;dT^ zwO`uRw`B9P$VMVk3Mb+oRrP?^9IeNdFo6(yh^DEAoHX@YhiwcD!L~EU&ZKnJJQ_B| zv~dw_k*kNC4zWSbRH|}^D7nEkFyA!PO+i|p;#jMae|C_YQ8$;Wz; zJ)fOi9M}523l(jsj`v28Now}zrbIy1X-9u_Lt6s9cBzXk$lcU^v_W;5cnNj&?N>`& zCdmU{Nu-!BwD`+kB)&==mF%mD^oEFbk7*wq|%`>`t_;FsrJrn6E z4WbMfkh_KXcmobu0#)Vmj%&qJ7ES2OiiuTMGh0dYKKtqcv40P6IL1mia;&|qa^YZv zif)RzxuKoad^t|3sV*oJUP;KqUO<5IP$!4F>&X&?2CQY4ng6c|J&(q1>QoFC^SDS8 zH$ko8US2{WBsoAxe5I*jqnaLMn}0_s=lHl(YTKJb&L0hZ6jy{uPoggABiDw3Q;n~^ zfDzO;YY>=YKHrIazhH#=#rJdT zI-Sr@EtO2${y}^?5ta2=!s9>NWY>HBNTO+{kJxXS4`y#Q?n_-!OjOh#KlNr%q^f`@nu%klXse)c#FuCF5l9;Zbr`^aV?y2IbuN|A1M9TBHzr4e`jZRC1!&y_~ zlUf|Y$`P)SS$l~0WaHuCvR%FslBYVHVUVI24c%OV3msia`C;R7J~Lxo1ttA^*Lu!@ zYZ)JVduth=3aus??8YIE7`im;v$T_lH}-*Y6xyi{jn;os$HU*)J8%(l%zbb|rD10o zrz%fLwvLN2)X106>S2PJy&yx8rpsL{eh!hlaFn(=5+BqA385m(h1Rj0bWzC!JrpA@ zbx6V1am4>HtCsVr7$Sf4yVOZN$S-Vg&3uDvDL&jDw|kF{&Gn%Ni4=>;`Mwj^?b8Ol zW7RmA+HKGg7da|kZx=@ZPR-bXCHKasdhC+O(E?;2`VXPIxMHLvHDWI^)Dhm|P`}YQ zg!u?+JE^vrX%gCNyIsGdx%qve+QF8@^xYt-ZRIy6Ay(%d&a46&nQvx5oE({uM9aT-_;`kIrdINr?h4wZVEH_bz-Ro*oHX$t{bL{%po^5W9otQ;vd)vr> zeM8K81KEr!l2@-~1f||S#f-I&l%G+5|51%d{e1*?P|&kTQQ9a4aWwVc2~TP<{yGvp zC6>}$ZH{3lGY8_+IKRdZ>v~d)RU5&+gM{8{yMJDSt`rzUJMO)aH(3iySJn5`N5-}g z_w3dR{qqYb$)>t@MHC=P&YTJ&q^=jl6y)uSe#@12m~V9pnnwt53mPh2WnJy zOT_N6oMf(x3a@DRC)7vNH6IT~4`PvO@`Zk|==N=M;|j}tSD~s-^!swyHO$0;;hbxo zUZ0Gfg?XG&-n_9<^671bt3*J04J5B4uR^b~?TD>sdtDSf*;c-5|dQ(s}ds3DLl>ON~!=drqD29y(Ruwwdx!!=N|H5O_Naoo zLByzKUDCF-lhVKU19F6~9a0p3)g4WFCV-15(+>enRQ#Qze5}5=-lsmuKI7sz6X{X# z;dat)C|3sQmZ+-OFyWnL&9;A#r_T+Nly*#;Fe=eIXkr+M*H@mYd^Q_jrls6y!qqi#X7$s-Iyz5Mb*?C@7z1RUfzZs$n|p zSRHEvXb_l(K7|u@4NU_e2OEI2{Co;ILg-|HxCG&dnc$#4RgV&k+R1pr|2v)B18yjIO3DD2*E;P<_oRf z*)^zgRQ?c-w#eF8)Xo}SeJcnaekXW?M?O510d)Ea^K#x23de~B_VB9YEx-NPK0b7Z zjw-Cgv1X5@tLKYp0ck+6okMU04vgp~ANBFe@HP%C`Og%27y1PXvp|i5*&%PNdz{^v znr&1u8W9^=Al6S^=rwsQA*01I^v+Q04wxfCwp55#4}E98(9&1kGHR133B8Z18OA zHBkb;5K12Luf+MQNk@A`oaQKO#8%i5cZ0uNJZB~Lv#vRgIEC9G7+M0Cxc zv3y`fR0%Ro@53|kQEt~Id6}kthuVM+#&Iq2WeGyUom28J3;95n={H$cyL?Mi2o)gp zDbuBErQCyz@z{?xH?uS34e>k{iHSE&P z{Eoj9>@z&Mh>>cEmn8-Xepr(&0z|Q$;gtr2gUc@P;B2wNS#=L?OvuUT$3=&musa(6 z+yb%~)y$fsYznZ)!ksGLrC&U}ob>K%qU7*uaneo3U0#6jo5%PYtNm+I^V{j@2butl z$M`+51o8$wzMJBtYa7cu3(wbDO#p?5=n8N@H~}erFV2f%hzi^#Ba;r3N~enky*-s& z9PR9JPN*-2M$g5_H?Xe5;D+RD!NLtc*kNg>^B*oFzsGHz`OULEQyQ`oNE!|Gzo0(h zpBDS*$LXJ!HM&uY!R1>I$ZX2Ovn8?BDZiJl{lqeIKR<=ID$E9t44;6$`(}v^srhT! zwz|#sw|s`D#8e)#%3oI?Jn2@14-yu+7_ zlwOyYwf*YNp5nL*&P`4Zo04S7CtHwOXPT4R1ru=$->7$u_FXF?Hx}6s@v3jnTKs?5 z+Uo-SI`$`N#Stc405tdg%e?HT9K(QKf{9XDyID6ZFt^wM6~C$mJGY<9H&T*)CvODq z#@gdt+{V~zhi)JvSXtR;D66%YU(U^ug-@=vCpmW36JZS*of>Ww5w zx@4_WAnD>0;?^^+6(LecC5A$Q`!mNg57Dy0R?l6in5@#%UBsq@xq{Nuz}i) zoR6VyQTSh0n-1XjL_`uZT{ZYJVRzFdmLFM>z^$c!vqeu=IK<;pCBUZx<`bzKiA0Cf zAhTS9=TNrB{^#O5!G9~y@R(%sF1Z05Q#v?$O~U`%=xu*{ilDH}J9#TEF_GJQ5NOWU zS*iCeFuIEe8CBE)gh8QQ$}G}{x{_viWtVB}qLwbS^3u8^67Sfunz2hm z7l{;KU;*^Nn3h{m((`3H)$2lmuZ|9NKSV1vr4ff$7np@&O~qUiGtPl4JA}+55?bCr zerfrH>1NL9d9-a^AX{`(B&L!(98rc$<-|@SFSG$RU+5dU2zZ^I<}OoODD7Cb5=gGk zQ%uBkDa||xIl3VW)s%Kretr{oIUBpC6LP6pvrrr8KN%85#d8r6oC2yjt#*?K#RP zmzQd@VF6}7C!AHCq`^DIOea6KWRgIh$x0<$G`|Wo6~aWHZ+xXo`r=z~;9sO@H!vNv z;cI9sp`XdHyjaKo#lFvPKKJm~gf4CtgNn;Gg;fNQV&ZQRS*9H!D_e^eZwH$xRIufc zM>p^pkF}%-OHxbKYT_jchke<+wF#Y2Gj8T?%%T3hQ(D!=+eWo8|2N5J?&@g#KdR|= zHR_#56$fJ8iH*H@=xPV=T#ZD#QGZ+JUol>H+1)>RF|KU>d4xt z8u^;`&eL5E65qsrnw1np$;u**pdaZz`AV6Rv|2bf>27UOz?i@nbSKVfeZE&=+{IGIsrE>tR`5&?_oTxu1+37g zH2^H#x|!_v!y9))Y-JEYS$RlFAp*ay_t{MLcVaERui@+j96$jL%d0TU7m<6#E?v6@ zR|}IK{WkwP9?Ty;K%_2rtld$IvMb6_sXIpdd}UrGkdcOfJ5D9o8hHu(noNRSow zlSZi3%L;(vJDMDzqngvt#aw?C$auwaANY^2!MU5t+SyX6(<1q(CmU`M!g&~L4WNd8 zZ=U`eZ*Eb~!FWS}<8c?C&Us&;&`Kh!^`XDE+Fjn&K0)uyDyFG!>^dWD5W=6w|H=KA z$mkjpU&>!#q`RnsD_wJiyL5!Vl!^ziNfSyQAo#$FEU1|7co%?D=aMW!%*LqxJ1NN* z{Xn|Ha}i5!n|WMG_N8CWVnFLry@*{HQi1T~_dxay50q}HasWpj^hCfC4;n?$M{ffx zD{2v=X*h6AX~?wX@b@S1T`I;Ac&KYb-oEXrZm-VTL%Q2L+=eq^4v(r*W0z~PjqY)3 z<%HwRPX!uk_7wMxycXS+gUKyB01mCwayS zOpsMI`)8bP2Gug^d4V!sPkvAJ7pAcp$t1tMNqtOMj74fVx_5SjY0H$=0c5_#w-k&!`JAWEJW<@Z9f0mf| z9P1_R5=sNCnh);yQqHFUcE%ijq9X13G?%1_*a= zcZygt9@9wAcd1nvb_{hPTQWE{?@S_7rE(-IaKn_zDIC9Wt5UoU6QvuzNG8HGP*sGC zQ}1~fllfgcz~K3rpV6%2itklgvs?=dA7~C-2R=uMDwCR1Xj!F|;zdYL-+4UzO1gCj zmtKz-MitEZVo5SUC);rAp_2&nCcqr)zB5_!KyS9dPz9nyQM8yFfU4qc&1Bue%?0Gi zZYR;%R2Yj;2})cw>ew{E{$>Z)e-TAX@yf}$&LH3FRUy=3@X)0zS&E{&@kcr!I%v75 z#m{*9K=LQxWjpyL>4 z@nSAY5R(I5goDO|GnG%8#gbs9t9Q3&PTc7$Bhg(+ zB<$YRHe7_gu`67HE*^XZ7bld^CcBQ=U8HQ$L1p%+*3xx*i+__<+@0H(8$adABXTn2 zdfTTm9}(j;*8)*c^$56W)S6<<;?yYH?=d^!YG&lNtZJnc0Yv^VyD85&)2{TRHkVKZ zsS->(oT^BGFI8H5j!?qQAMJY@j&IK*MMp-9UlyY(n46=DlH8yC#+?OkXSQ(h?FtAc zMFjWgCQ1Zn{uh=@$O@X?Sac0Rj_W%@&5+Is_QHpPfxtLYvB0 zd|d9cPjgIjZf$^$bNBVx=X))~9i9`_<%b~B0iQ@`R4d}J9o^Sd*BV~7w}8k|v`!BR zl74?xh^X*`Z);B2fowp|XlGG6bZB4eU>33QW9LeCkk#q9(r)hrpq8`nWfZstX#Jw} za@^~`^j7+5^S)4L;S_24o!6~wn6YN0*yG>eCS8w*wji=~T!;z+Jd6(paP&`MXotOp=F1@=&k7xI&>3sXt|(<{A~{`)Tn4 zAbRq5vatn{d;~%CA-0y3kZ-2k7>POL99MNK-*}+|o|n1BT#Idzb;pRjb6-9B?rorU zGCn~D-y`dZaUVN>iZ>|v8K7*AaTYz@ZyB)9^)XBOBgIxhmX3Q&F)D+!p)9uHkPr zko2#^pVR(pU`ye< z7b~!>_K;N)aqQ5kpq10;yRfhzpRQ)<4n`u++>XymEMaA@-aQy#h6J2iC(yyrc9mS$ z9?3pT2Q*6)b+rSl6qXc9UQXjZgosTQA{#@Jt(5!=lq2SvXX3dku}{6*5uD;brDPIP#&vCq@CAKKsc{feyqvSz(ZQsz&O@5r=vvB>!IzX)-IL&wc?PBpZ>G#Iw zThbdI9n9FPKbRwi&g?xk=_97D?C3GvuSvG`Cz>e4S9pgkmk+dA0562{59QnP0JnHM zr1z29@ue2@)D?4mmsU`%z&ol-hMJ+}EsmSlB&mZp7L_l{TVQYM?St-moyzUn6{7Y` zeW$H>0GyCe0aZiN@6ee{yPQ4c!DM+^!to(*v8$5Y?A0kc*B1}n6FohWn^8b;f>W!O zN${J+{@FC7Ei?gd6KylbHLtvA6Ak%pU9Bmz3+?2+_-~&HynE(TsRlaad%%10%~r!w zYG#sT?12!|Z@%xS<&5qN+e?;>z6f4xL5^D;)G3-{TkR}T-R(8a!zd0@vxxSZ^#eX? z4#`*UBLX&TiAx6iLs|l~s+e`J;~=IILXz*WT%;U0FzQY749qrE%pQ~)H#3fNc|6I0 z{dMEY>>#i}hkg zk|9M?&+dZ|j?-m%O+6i0C!lz9oIWsdjRouYpm~DleYJR z6xYUrQZ}7u6(u2YmM{P0YbnpKGh!CT+XGW`H~A#$OKje++qwmGH#rWKZ{Bqk`qOp? z+(^XL`58!9Ve^K%u|DihQXWZL4YqGZsO=NzY0NnWR3l^mq}N?mIr0qp|c{nKiBS2zi^(Lzr4FI~@RWG&7)1 z5I3|%t7;Oh!aKuBS63MAZ8PMZP7U-iG=`Y!)2#YPNi6Mu-(GITQn*wy8~>0z z{Kc4Gn7>J!NSd&A9Ok6}slWTfC0r)5s=~{>8>^!vd(AgdxSj3(o*njbSI{-^hEkER zbldNz+=}e($b%%kOsQVb);Ffr2Emff$dGv|Fm*YewDgcYmAw0I6Yc! zFTQ;BeXF0jYl=Y%D8n=rJu4S4w^-11Bogpj$Jc!K^S_V{!mrb)V07kqSM|d=7x`>uJcibn*Z7s8)dzR5aUDf7u?Dbh#Vy7D`kPRtsBZBAAvR5)@S zOIfU4B@$rt{jT-2d}2S5b!eb+7dmaUgS{bnOPbR+)!4;oO6m0 zg2{i~U_ntJ4@aW8UtY~$sJY;2B3Cun0@rj439FpQTZwIuVwrwYuOphIwn1uQ& zlur+`Qx`w3iMsK~aezbICN zNmFthc*O;||D2j@^cc;=h>*Yit(ZEq%SW}j>G`~dptMqwLs}&3 zy=lyOqq5*AfOdV&Ib_@IkKFUYv0DI%*2bHGkQL8?LWj<5*_KeG>h{mf>0$7E2t0|8vB`_5X`7VSO2XIOZPc7Pm@H^l9Bd(3ks z0UNpj?=9G8wZ}cHoGD6z79hBO`q-OkIp3Q?j+G-SL-7Kxv>e2(On+Q32bMquOmu^Z zt8CwVPuFDEvgk+0PMUHpXyT~vUHZd!j#hQi9ocm<8~Qv;lmS+8fD@m72Rb? zAMe;Z`6tU~qIp!_aieajc-`dkrm$Pz?FbxcpGh3T?oc2>UWtRVq>7LzI`u-aK zLZHFZw>9iE=<+2+Zb)Isr;Fzt>>L%Y-+l!C3uZbBPvzk*-@7viM_x!VmXMZ^3XlE< zp(_NAFnlL{VH^4|_R9K2Ra%X?dWG()iX_c`%F#D0gY-D*vIpHdWSeo_p8@UjxH5g@ zU)Ci?4MzWht~K1(Bqd~_(+m~7rd3CI-uLef~#b5IvQF5W?~NU8+}_ zrB^ntQY_uauke(k@Q<2xV&5dyR1otmzsJ`w=Ch<-lth_ve) z2t3Rj&dbUF2fLU5V*4Lx8j#y>ovT-{JrSss#O!Jyts1V^KYNYJ$vHY~Y$n`}U1d~i{7h3zV=bVG{txZQDj z3dXDL=q9W<02s#IRbHNdI8D3z++JC%`x*~5bb5mKlW$oZ9&;)ODroDj0s6P_U!eU5 zo!421s`twOjRn-Mh1OjE6X%*6C%$_G2R#29YS{Nl#5D5=A~4qTh{eA^Pb*#5t|Z4~FL6i9uhxo-I zs?h*+VW<@TYgi|2N7>ckcwN;4x}Q(w?pOmNR%~75?zE!#*3+(uJu42Sv_ry-2Gbs- z-CYA~9tR&l3IJoBVMPkAaN|n4SJAxZ!3}R0FFJLfm>BM$P2{lV1XQj=^o}1EI`Gi_ z?(|cPdwt6%7k+agl^=@ug8ms;zUOnz(PzkOLjDGQI_NIz*`v^&G&-+s&-Kss-?q4$ zFfM*z@ZYHxOFJ6 z+KiBV^wPau-)2A5_FE+6-TkUM>?XX7IKE;(8~_{wN!>xJ-N+!AWBnU3=sIgB@jK$? z-{3wjA8r1hRD4iiRj}4r;cYyX`;?o!lm%tVs0iXz>@>ma=aAZ!Oq3KQXHkKpdkJ;v ze-QZ8;G9|{hlTq$4+%v`OL2Uhxf@IW(Kc|bI9F8)90ZW%19bZ@k9=Jj+9ve3Boc`7 zo5yi$T+*%^o0KHUk-92f8ajC5VJ+|TW5uM%uld*FX-8u?W>l12i3Qh-(uA`O4dW?` zM1vnpB`;qP4@YRKIkzXPpHQi*)hqydmU@AsLnX$?Q+vF-YdK^Ip`wc{E^2WC@JQr3 zrF?D&O#xu5wA_5ESVG|R6*BY^?jWZAA^s>Yk@2!i?!!*Z!>e@iejjS|u3A(*%s+ePm$1A_z>{MlHZ6duzMo^zNC+ zYeko75H#>5{j-SQ?_OlZJY{ljaGVr=y{2I9D}Y6y@ceZk1-4kP06|s6^A$&Gb=)J8S>elu z{5$VM< zRSUm{us&nBbkhcnS36hVPDr~;3zhb_(~D`N6-*+_V?2C6EdCzK7cbXHi)|76{^{dAdAH#Zj*OE-D^uFa|=eWdi!xCTkxE#Y7@P+Bev{jz z$XU;=^Ic6(dq6`B7XpI;CG4 zfiE{hZKSs=LT!%DY*ek&1;6KPO1nAUO&3d4nZUXM=hvZdz>zebLptA7=x_sV!JM9Oh_wsZL7AGXP-b%m+gl(X_!}9n1B)n8Ryii3(=x$ zoT*MfBPOiR8#MIJrY{zWN>32ff3Y@yxk`0Q8ZZS*y`c1*W6JxwXc$pVi`rkHf7jC~ zRY<#=y9h1JIe{yXuuFOMIh@%!$eZ?`pQ-QpbER(g`Z=DdpN1X+o41}$4hc^6e<~OD z%1&|G<8PmyNmVU)Coc_9`i`V?-iY@3{uI|{pP)f^Z8jE;s2?0CJnBa$HljwkJ1g2k z-*rXJKtD?Fnqx+|kRU03DT`~=Xj6z(#FWT#ByimU)r^PM4>^d`rp53s?gNVt6S{Gx z!Z9|nohWv+QrJ>2e->}~dJO>6M%U4elyd87&lyKBBaIA&&Mn(5Ggjts1hDVrvP#hgmG7eznxkA3WtOYAAP<2=8^Od_(r0gb3BAWZx>#^fTao3 zjSv|^I7W`b>%w1;OtKmYtCqu(&g!RinEqA5TU_0PdX+9xRG}^u_!8o=LSac5v1qhP z!nkV5&J@30zA4EH=mkHJ-q)?gce^|R<2^xNO?$7pDhbAWNtSfm_~$7gED^xfiBP+4 z1J3J{FO+avlmDw^X1XX;F1{NpmvdghPvpY=_w{8qBC6yG(<+~ov*4pOudTXtytgqHuOyV*+=;8gxzs&R$7058JdtM*fbJrOt(O}?iD+w3 zb0skNGAnH3=Welyt5$m5UpS<-X7uxuPVhy^VF(a0w$8<9^@~ORJ)G1&W=3Zm8bbN{ zQ&5UvmcD$Bh|mPYeRF;rq1DHC`f(Io;lTk$u?y$ZId6yWR-K{tqfY)bz-Yl2%jJCw z(ck6!59ra{Ze(OaNszpuwts(4HVWC1@POrD4d~@5A6UBw9%3wh~o16M`o1MwOoTvMl zdN{s1#LmojpzVI9?qZA-R0pZ^Ik0rW%F88!(q#*UzxkNFXB*JC5S#eK#u9rVEV5V_ z|0ZW0_0IohY%lzRdA#T2>j^FD+5WJf)GqT2QS?Zg@&^#{WSTK3$z*9e22n|1% z_OqxlG_s-)T)FJ4E9eiDDKGDzO{5h##MjtppaK z2WFxF4*&rF|9Am>lsj+KKoG}gLjrkSUgSkymj?m~3LZ*F3Y_y^SaN>I*a;EEQbnRb z0SSQ!K_G-gN4ikZAU*&GqClcb1LPARM4AYRP)AAwRp!5r9s2}Wn%ncvZ)X198GC{R ztuztcZGLo05K$*vs2uHBt~DbAtsK9?TSRqi1JupGlNXhXodO$BTLrQddI9##HAwZm ze%^Rx<92`z*sNU8p{IRs9%9Ho_~ zqfo`ObAo+MM$V^)VyE~Qx*`oNnoXYNYisAw*WQ|(kvr$a+#%Xv-_|=Z1+IOcTbK#7 zE$388@D>%C|4S|0)27emi9Sft0#j@vKnWR%r1q(c&4R zE_1Z1u(dkDkJFWGAG`f@?2QWNAwVcfjl9EnLzNabczi=GsGz)*5Z z(7|AuuAM^mM)#|`E4<>%kfeh?(UK;4!Y;H6Zby{@FP77q0_Z2k5)#_=kgSiB19%Byx0RR73+8rAJ literal 98408 zcmV)AK*YZh000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*Di>3jhEB0063e0RR91 z005H(00000003x!0RR91004La%)NDV70L4lIs?I3+!lAZ1EenQvN$a6?kw(379vEj zKv+Tu1h?Ss?(XigxVr|JSD)(iy&>QIz5m`hZ_Y{Q-l^%T>awnu>1*4zZT{rqNnC?3 zpNja)%b#%X|Bru86MPy*E<=6z@6!AqC^wU9sr%|PzUe#abIdzGV>}-d8uRo2;)!`j zJ$Xy;!mEUw8wbirWu@CqXnnxUW7dei8_N#gC$r zSnhn6T1qmChj+ridj5-ijvIqp#ha2$%==0{F=h2u>ZXvoALVmA`K&O%k~^v8j^av5 zRZ&;96#kf&tnes4V@gWY@)P1(RFc}8)SR-ROniD}O<`B6|sMb%Q6mG%`y z#m`g0tlFjcQIb(@QJkpzNrbNAQ^{7|Cz2>+^XOsL}ar9hR1D5(0ZWUKs6$x5|X-H&NkN*d}JK%vZF}3VqHJ#?>9$q$sM%QRly;os0b&QPq>P3`u;F9= zy{GTJWY@G=3f^`#reM|?mzREy8Y`Tae{XvyLBp7= z4>yXD2d*gTSumoCfVUZ=CJk+kwe`W$EWsmI^NSmfV zk=n1grBSGT4C=eUwapRn)=^lx3G_5_vLi_%2H|3$xA7SK?Y+>5;?^igwWklY?lN)@ zApZxfWlg}v#c}@BH}tL`ht{O&Nq`~=8-?-ncYi9?OMw?M=ifj(1*d#Q5iU%PJo}VD ztLP`&J3f#TB(fN^yA~Nwo%=l%ntavq5O;Acgf5#gE@R2if%IxhYwGqr%-Sn3E+X0B zjl6jH>FGIiu;giKQnrS*Nt??Q*e>kR@J-f+iT5*7zF$(k4h_;mZ z(=ln-JE~Sf&iJ#lqoHotGeodctO4Uv*@~3CLpDm|8@E7PE%OZg!7Tcdk)I0xMhE`L z@4VBkgwsU8-GX7?Mc+!M69-1Nzi>Djb@C&o%0S8_(tvGeb602cC0k&kcm{-#C@6fW+utU0G zMPa0(fd8y56>Q?R~nofTj4qYYR9gZKn?RpFNoK zEC_ouQ%BH08TLwFGE-Y>r6?9^4v1w`yNAS3qwXZWZ>Bo+Nb}wjEyZ_7)x;~%R*COY-|z^_Q+HG^;Xh}=K=EWV zslvecPCSZWCp}S4Zi3wuLOmQ9PRU=#6R_sY_#Fye!VNQbm!3gO3aHv=l0DzI*sF@p`+?#^JdecFBGGbC2+1MGd~RestI*m<7b zHc{ZlEKA8e9L$B?2(`7;c6#lw*sayo1VVj{Vrs}*D)adnJ*?8kO2D=zUFXAt87KdEPav!nD+J217)V1S}-C)JhtpAQgFBq0N_3TD^bLKnc%~i|FsF8PM z7VMr3WdZrp(r>CCtHsHIAWsi8FTrNu+qzU|ayTu|y51^*8@T>JPgDy|-a2k1U2k`t z`q$ZG_0wJKQ5e%SByA0!t)}KrqUgn}_SSA~4h`Uo??C(Z!vNY>`JpuIWMZAKq1Twn zR7@YgRg~xIH`-cfo0X6gQ4vc`sJ0{|d!Y9=x|BV=m;+-|3M~cXrX9(zHzdYwZz${0UrR7jL02{^@=NKV}=YC&JN!GH;{!@f7ko>^35` zJ#g)nFl6n5DdI12EYif2u(IqPOu$UFqXX4$T#SmueP%`IPST4_+BDISE44mTr{kHN zTQAPAYJ(YEFkw4C8b4|IG@4TTAieFj!5Rt>uI=Dz{|_!Ec3MnJs^6g$wO3jdwK)`E z9?fH5?fRDGlzRLf8hs~0f$1822@NX=6z6s(cJ`iG%$cTpEo+N*!C+MUn~lRqv65(wd2zdqOki?X`ek&wbt(}mx+l3lqhbqu^!yY_ zUp~bXFsqTrn|u>3HtzE`^Kq`FlqWfmXc*Ea8l+~TyQfuzr;Rc zXqo33xXc=>Yy0BEVOYzWxHBo!rP~zNHj5P`G#Qc>ANn!-X4WrNsSy&B!Ep<;g3IE% zjbb|tZr_gYROd`dXSR|nthCH40LFPR`H2g=ahjRrf9xLhI}u^s*5+^(WS^t|Hs?o> zx8nje$Qog#We=60IYHbDtvmVqYMOFSi3Oq*?#95iAE9-to2{l4KNQ&26JQiR>q)STa5SjRf6J#;v?$vn*?XnW1^el)n=3GvWAy7nNpRC^Xi z0GInjQr<_ms0;_}NUkj&x)_a5$)}kj>w@zLz#lQebU~ z#%Ms+;cNYXy;OgI0z+uh#qNSwzG85H=+Jq3om7EYifSDC77{22V-G&Gm;MY=;7iQ8 zcql8&+c*eg&#-d_?T)xjSsRC1t(bEeGUa4>nj6szh9wK7iDi^fVV`J{(UQ5cr7?4U zhSCH-1%{U8k}+^WeD!@8O3A$x_#!Jo@|GYN$`yEf%&dpME86ejyT3zYPx|+w{S#Hz zvzD2W+MB=?4<~(GqxZ9c#~q!u*mkmjOipsV?I(@;QI5<*Dd%P{>y|d;$;h~b$@^`* z>0HV!6ncM~RZ7=Z{G+UqeiQ9&`Gw+tuWcRG?(YU?$?r$)cRmnv*v&b=xPKm4;Zqc4 z|MsE$owrcttwGjL7yz!+(03e&<@~G(l>O0ens|GOwawL8fa$bc7(?v&l)KtFT3+au z+n5A}6u|{AQdkP5P!^>^?gqEId4bF?g+)CuA+Z(#A7x`VhjiRWm%6IjE{zGe6c5Vm z$))$v&=v{|sfgg(L*{|7iF?N`Q2cia`6+Eod!W#^F&lO}Wjh%nOWyJ?beRRMyAaop zdN)vA>@*!TPhiND0Ti^x+LhlUK~riM{|rljSSDxPL+764kcPQ}=0F}Qs%BK5y_+sJ z$m(jh2*yL42u@!5)@~ZuTY*_BN^0Z5+Fkx;`m#F4^;cjHYce&bWZDm6*;`-_HGHFJ z*W_>^`tA?fm(j;-&UY=dtw=4^KcJjcjLh^ca*GO-NbhXW{f*_LZLL1q)EEsJSpPHa zJ)6<_YLK6mLMJBkA(5G2^ji5a%Gu(L*c#L!3T=1#+Hy}(KoOa-xKywpO@J5XSRHBu?h{ZK=xPqB9wxKWCe5j=7 z&G&@_JcoIe0oNYrdpSg>S$owv$T1b@aJ|F4!#eZ^r#sZ6b9Wq+B-<`4rDjcJ zO1_Jpri|yrJkupT?RXo(m}?tm)FE#gb645)KKFvO zdn|WNLVF7;oTKVEF0^-~L#r$!C)2N%Y@B7qcia4U^0(b8(Ck(XhB&8}h_ZL;}L z{5@*&Zl-QnE;P9#YHOj^J?HP}r{`1qM{&&_{AV_+U6=Mf%1?iPs$*qjC=K7IiU1{;V_4p-(r|U_mou}7*NR7ou4x{!@t`NDofqZXCg@L zot9b!d@#8%uZ|o~8wRhY?;na-8?@Bgu*}U|h(F&Vd(gee)k2%6NR>+Ra+~a6)YiS= zkYeR6P7YKrcojxE1EHsA`QEfJO!b1Jw9KP9j^<;Is@Ru| zlVvGjf>B_C_L4%-7|%O++f6*9s95j(&Us!ft%F+T`O)szKyf4O5X#X|xxsvPYjK0O zYz`&|RyK!q-)Z~T{LcMnTUqC|LLVVA-2#J!uMVd#>$Xw9&RGi7(X>UnQ!(Q6_fF>g z9$wpdaz-gDlg?91K&U*4?_-=A6-22Xslh#~SsC&lFj8;O)?V_U;!a1TMjinsW`TC# zh@Mn#XcAGL_VY4+K}scRj}BWe55vy5^eJ~8t<3ei)N1GLx9Q!TASF__)a!MqF;U(9Xf~F)u@ES|xWTK6dod?S8^^YFvn_(?#oRyW zW{bHN*0khWh4M8A3$ym`%jo4uH3MGMLqUz_5I2V(+fEnnPl^f~FRZydR2n74g4rcK zTc1#!B&IT@lk5a>b7$dRHe9>%fn%j+Z4QRNLTKhFe|oyHJw2}U+M1|Yo5mpf2R~zM zO!tm@RLJbS+p4;CQ494Q4EYBfEh7IIdiP3=sE|yEP-RAhlH&pE4tcEO{lh&3u>=8hw@xVwkAKRQY2$NmM=taRJ>^kpO! z`B!z4i&%`36fIc$RfuLEj5|7NQme)BBVLp z{z6pZ^-)XrutpKs@D{FZ-dnPRzLfYvZ5FSyI1nqtUdrjaxq%JBE>vU@#q0NtUc3vm z?kfv1j9QTQ5~!_j=MXw@U^5*ZkhVaWro9m#uA+4rFD7<2?^4wnVsy09=$M)uV40-8 zmwhT#{;uL0FMz9ANBV;w>1v zwzXkLPB@xrTMInG z)HiEBI=sG$l|=Xc+n}tWOv3(iUmZ#jz!^TTt`%0IFbsc?Jr3>9s#l$U9}uhe-;d|y z*D*1%yYNY-=q>)7tyW1rM`Wlz&4k?_>6Ye>WTFNTg-H z9-%xn6nfpNPa*RxdNcKul}1Z#ChOZi1f^T~_EVZg37yFHT4>rMKz1;Sv2WYZt~AP0 zlk*T+XqN@;jVQkTc7djrOzXrDIVsnc0oPs79s;G$+c}Pc7pN)jseD5Qn%SXCmYO>v zAB}&)@l>-WqLX6O{a*C-Wm&3pZi6*QH_Q!woMIIXzy6%i%_Y;E2cyXgKs({v0BSYu zyesqw=e!w=H94@d2m^)#v`$B`L$EfYJBW-nSmFAp#EW_sB$~NMh7)(E(JP^*G zaV6pW2V0Ao9V9gJ+P$}1r!;alb8mY;x`dGQ5!8O#ZE7)Stu;sswGq0o0g%m|$_J=l z$tb$LbBqkQB;2n?#no&M=DX0vG+>z;U^6WhnLyRJhnz`E4dEVA6MYL`LUs)|-_YJetwizL46w^2Mpl)yYEJl^pa&Ocf{Y6PnZW{u#AA-i|pJ2mS*p8IOi( zh3;fKpvz0sUMMp9Cnn`xYAwvnbMzd-K>)V8+ixb_l# zXaWSHpY!}^>I1d1=g*3goXLXOa3X5EdcnL?8lt08rYP&co~%~;dOAP4^(87tQ%dv}qoCgVX(M(2J${$hoAJRTGtPH3riMU=9PT)TW1jh11@v zX{|~?hpUeV7iAC~jyQ9ZZ@FGHvCS3>>-ln3g0;kj1K%#an(ExRMy;|fur_nM#hAjl z2}V_>U`}{Bi_U)ZkeLQiqplT;VKk=FcZ%-T2j|LX^a~YFl z9-k5Mf@6lg>7|Z4MrlRG2U*^N!u^1?;)GDMsv;}L!E{kuiy*AO}rk`lo zSRX5kPN}d4ZC(;7_)VsZUdjz147o~i?~fHt!$?$eKGm=AR#^LsdsgvKUWD?tH}K%Z zMLS{8GRu|D#Y4k-g0+_?4$!yEpJ>$m+17TJnv5)>&?d}zm^Hf2rD+ROiPT)v>%st* zOO0pOW>dO~vDQoW!@qMe)GknW4wdVwz?^?7)-0C3aSX_{wR9ix*0DlcOB$$TJxr$N z?Xf&jT#eSxn_`U?nq2gNQ02=JGnhQ{UZ&Plu3KBQIb4Up+M!|b?CsPvERnO^>Nf6G zrd`0h0H)Y+LLjAIr+R~iJZzEZ@DD03L9s0EF?zB(p%YuJT#2YZcsv5m!>f!Y-x?~z z=4mw*nV!KEuR;4dww&(z(LMf71ONV$dACEZ1W|bVDs=q)8_PBRz77w~0rtmT{6L+< zf0thHjE>0JJ-8w-WAhYuh4yr+f*J!?)h_rBv~Qx&wEsGo$1z6>74_8h_Xkf}=oyE~ z+|Fm6(o*AFvBZua1c@PBa6-z?xPMbbqv$Ai$Fdp@XWqP?PzF? z!c^(0kJSXh1{cpTb94avzLUn&ip_iJ>c4fZQo43&7)lfNI3r|Ed&;+91HI}y&f1`z z=m;vV#8mJ1%F}2>;7jpPEV2k||MCabZY`Ei^%^-A>A}GqX3F;ChMCLfRHVqQS!KOs z9wV1-&Iiax!^uZ=982FEhXgrC6lKU|!CFHoRL8YRtqQ>Llz$&W?KS9*)*Rw3X8#P9 z+F!V~uqKU{LbJ9v*Gk8JJ*klj$hkN7F*4TaLRd9t_cydN_je zpH{53V`jw1W}zalP`YayTgOrNu4fK)wU~Y2=uV?WB##6I|6t;djG_ z&Yn}XD{GB4g1V+LZkI95yVcPVN3-UGXq%ZMr#)(S1q%I~mHghFvvOz`ObjEfhm31N zk!$2An8>;HSzT*1(-zJ@N!SC5+>QWBa9tU4D)w*T{C`!_c0_Y`-r@#dI#P*R^34Co z7oQwyHElPzVuez4EX4cQnSVF9&R~lsckCkO;L2Ov;GBoDdM@g${ChFCNBlece;V>Z zZ7tQgx_yuzb{TS)@LJYRZ7mKDh9Bd?j<-FK?p;t*LB5PrtmO&YtZ^Ww%txHP;FzhS zgX|4|$05S*`+Hxy`gjmd5N z-Xs0#Mze>~?w{IHrQQGVH&Y{j?;mUhX-gGwU#t_2UOa_%EV@VyTX(Wt6UBGoB{N}6 zZ~p2-#a_-Nk3ns$721JiQ|)f%&Ac_PFa5Zp^xaDLM3FFurSNZ!96eD(Z7pShw<#Cq z={WuA&vvReXv$I+YvJt%-o^?pTsiXIjFrXxW97)l#*L=wSKRBsBJ+XZoclU=j+|zp zm!qdFEODbtz~DKjv+X2Rs!`PrxkPFW-bHUP&%|vq=0O8_d*r5>0iK=^RAO$5SW6A` zB_4Q2ML>6fugQNZXswZu2tp+_gnInlzserc_({^=pDW6<&eAfCth>$kb zgDKR{*Pq%452NLgLoMDLrs8=n_9kO9!hPZQfix}8HY)JX7^}MWl3N&SWk8Yg&EMpE z{|vpD`r19Jna50+(;%AzRbSJ_ewm%+Q}|o?^n^+W4l8yl#%*3sIi1dKRa0PCCvrP* zODI&cHvYk3%U9EejMViZ#a{Xznb?V0=$1M%jR9F+{^Yb~X&?rC!r^G;u1ic{^bD3m!< z^QnD+ycd6U854F=b3;|&lGhPB!p%^>yrMfD+SVIRrNeh)XMf<4!TX)ba8`iM$ z>h%3NVvC@tb|rvT7E*C7KO=W{u^-^T|LF!&F)1AQ+qa%{DU0$@Ot>k1Lu%PjTW5Pi zzt(WL=2L??RWlbwv5F?;C`I`iW+cCb2dpvL*6P6u%X48A`h1YKH%sV5FW6k$S|7v~ z4y?R4_Cg?4ouhK(aLxHt#1`zJanatGX17CLrgTZn54N`W$X^t;n49}bngWmfF7ExOYEip6R9p}E#?TIMSe>Aet$@@HQ` zo8Dd$OD&;^_h2;kS;V!klWNkuTZ*>JQWt@^7~9BT-dKN9S!#clhFI!(==%|#(dO_d zb9={fP5V#8>d{=7ollmhcZ;*q$g3Y5r*+=42e|Qqi`^n9A0_TzUsz*XQ`dV4mGeo% z%)6xo4Tv}KKb%OOZ8x{1XMEp+0=~pjb34&jj;XFm4Qv_aw-D5I4l3cv2OmRk8E#f} zo>f&(n4ZRS%OSO~NmtT6x+1KV*Q|9!bSQ}6mMWtsg|~<$wP+gruiMk?o?i|ssqv0F z#oBg69junQ3^~cql)M|7u64&^dC}_2Vb_&5ipZYHsklu!a=!vH;zD zaLRE)E7T3XnjsN={!vw=mP1P|8QOgTf_FA6Lg^0%|H|4PHWsrGe4+oMlAc%pQ3~y> zS^Jx**t~DU$7O4EO0;uS(a8b7hT)A3$KFP~PvvP#sjPzBl^k3K?HefKrYK1BimBuP zo1ny6c;ZI2JJ()T2P`0Cmr@aWV!aA_d(R*?w!ry-!oKq z`4?$7>2_ZN*`{2WN$S<7(^+Hn2AqJ~Mi-WyonuBx&pD@+wG`5<6$e)}VzRcnT{Xu- zt&)svRmNseXjri%wTSwiiWE)ZcK=6+wzW|^MKYqtt-Ps$#~#Zy=i%1aAFoeS)$|FR zSQsd!IT!GCaK5Ecd73dJi&JC7~tIlF{9;?o3w3A z4c4svc(ldQSC4_+;1VhRBJY&Nse8J?)@+>w3-~L>f?sSu%8)|kEf=|8mej(DutJE) zx^}(Rvn*bER@YfL@Ktmc{}fw7Jr7=xJtoM{WeQ9lia;0pI?n(qAN>{e zHRnZ+v~gtTL7rS=A@1Gi66%aCPZ4pl(#hWbRzpTE8P{JBUj-z=p(!R%qms`=p)Q%q zhkOXw<=%~_pqvUkRd;hKVMn+4n=2vnPu-GN?xJ69D+7J^MKRZZIIWnjy1CxWxrikN zLKIJdxu@T-%k#EQYhJRz%=*lMYnSIAyNX-EEOX(!I#;%{aA7{|Gk{w5iWJV%v7Drn z9E0q63p`2h+uIa1+v=xnjq4c($9#VE?%o0_{pJFN?0Ro)`~~dG3>ZVpf26$MvN%h% zALHKbD7Co{WqZ6CMlG|d8Bv$i1T01NIMeBQWd(l3f{; zsm=|I%q(*gFOV3wGlf%lWmS6^fB%U3yn~!Kf}@Cexf&MARP6VflzzkoPJuhg`OkF+ zH(XyvKqdT|97`?6w?~d@Z(ImHfLg?#(cX zULTnGt0B9=8NUV7_caQ9Rhyy$gSK{}s|C(Dvg*EsH-PyVSP!+sn)Rhi5#)}WK4e# zq9A{Tyr@P#*@NpB1#6n~zMBU+mT5zNig}!ZDCXp-LVZr=pr0Rqvv`xRx=sW6H58qu zm!$D&eSYnzion0w{k@IkDXLTd?f++5(>kQ2=ZZtBqbkMgq0;Vwu=BjEKU33&1NYIP zr$6&-V0)r{tkfv_9<@~3y_KIA2hIwnZ=w&?4J%x8q{I5nEiV1(RaHu#C)U_s!+7IC z#oUxET57zMqsIRHE(p6I+O3T#S%K#6!1alPk`W(5%JXO_bsM4r*Aiw;0#`#1R`pHf z7n-%9OLsW7Yu0`uO$-9^k-^>Qmb1jK3dNp5yvgisw3}6x%sItnT4EwUFH*Y$^RLUK zT*#LX+U!`Q(>d99e*(|ku{%+|u_ffK+BIMp*Kq!`Yk~9gS=Uo!@=s*(9QI=E|9`Wu=WfBI~vnk_|+H38qJy@F9iSYT(}`EF6T+Va{&Z zFqG;yy-v-W23i|5YpVbedeuLKP>vnvgtdQ}wT@t|3(RZ^B9r5glddFE`5JP9xnNDM z&FKBLLa(RvcDPp0&migeogcC@B&8&UQG+1*5&cS^2X5ekE!i>@s2+DLb@x?+`z8E7u%tC!klIBgMY#gj zP*9(1bh7gjc{f!isRJOe)bNrzgV#`AU-kNlj(Wx_aP^YC-RPQjEnPUTz${bs;>>9T zW8q><#2V@_O@Xyc1)z$GPu5V&oeGR)L+QWUKr8~+!J}By1`<3ZUt5G+Ou$V}4x~IM z0!2Mo;*^HfM(w;X89mQbY2_+MkY=5=;cZ_>W#lb88@ zjNvqhc3j&;GhX;wVLGPPWxnij{G9p7e+u=>s)PzX$qNNDA^n2Gcdd{k>skz<5u(~!kP{5 z%fjtYqKgJGGd^>2@fS8aAM-ogt*d*-4pRoG*;t^ptKgaBi+WPmi)tDQFF%M-?=aNJ z#I1Anf&=z%bZpf=#v^mv+=6AptIax5%{V0}f9N(#-Y@_koJ;dal85?cp}4E)K}`#lH>ep#BbZEhm624r z_A#**FTUA^|M1Ejzh{9@u`jWYZ=hWl?T&c`u++p_qA*e1GN#o2>R$ne0V$~^<8~X7 zsGVb|7ae%3c5z2>ZE4tN8SenGmihMY72O(pjfgB{w_ol_SDq=E^UA$S=iXq1(hjt> z%rS>OP7n50A;9H@kn|ijR#VIHphrw`FuKpbQB-<0ma>0PbJ!Iaxg=ylb_^KMZZIrC zm?KClRKTNP&07yQpj%A~h@Fqqc0P%@GP{Gdqz4C5w(bh~6+L<%$P%%`Ar@Ed@=L7r zIwA{lDZ0grpmNnN2y$49aNZEe<6~)_;*O+Qmz6?UP>SFGWNh8sxG`=SjXZX{}-YO<8<;i@(g6R91_rOi{5 zwz;&f zh7T*x44k`;t~x#tWRCSQw;P;6bXXBFjM{mv6`42I>)(Gs-&4SO$$sl7=g;@lt8IvN zMf>+j@ZS#}gxMCPK3U`b*7{V9eFlwvezAyNA5_TyUjmnxbC>1)zXAL6)=l(n|4TZN+}FCS z?R*Sa`yH(LWS&fGN52+xfQp3fD6sYzUR?k3WZD&_z%GT#Gea1KnR4wUT3cL!8}V)F zzXd#jQT&faMWKP9%|m@3c`inw6e{*!fp5E(YO$A!zpMV+7XsSAjM~lCb|f>nxp3Z3 zJJAqsgINnmwfjS%A5|>lBY->@k`t}d3VokuiG@WLxmHFo-uFm~;uM-mGvb`5E>ovi z=d`|aqvCH!KjKGBrNuYZ?p8=m1|F>-k*R1{)4)h7n^xJmOKL$NA&d;H8cAp0tJ;fn zrkM=_>m8G|bXS&I0onx>gD8tWUPh%drTEp>Vt_9%ryuQOfjRGwj_2pouAmMB71(8K zuVH3_V%NoG6p&Vd9ek%P?j}ah{*^_n#VC)WkQ<-aiHrp7p}*++IBQH2G8RB|MXi!o zofLPIT5?h)qMumG5;TRATu4M? zzPnbOD@lg!V)T~}iMY1(V?bjbfW~x6^`d-L2PgmP>dF7?a1SoK@G8@-klzU}wg>Sz zR62_{Q0<5)_L;XsgQ&IrL`+e~YojO<9)x?NW94uf{;vX~jyy7odujvo+-9gTmV&xG zmD;=mStbAc80Q5shl)SE`bZip4Lc3a<(Zos#!?ewvde+xh?S}?)mA9sLpj3LdMGN0 zLT|%n_o3afFTt4tGOvgt=7qgD?(vvFwA3&g8=w=>)vRxW_qzJHW@GVw$#X5WFpziv zsht_yg+e@)LM!ovfhaT(`8m#)am}ZGij&G}sy(y>q;^rvwNhz1nZlQ>un;SRkxMsM z0&S{;xaM1F7F7sW+q7MA?Ks-B5pnHePy}^7r`9Z>4sqbWTwda_ID*!`R^aPQQWEgm zU|KHS)olIUM?@3DsUgm&sk-kwT;#2%j&3^YSiEqrtAs z;|}ZyyRwziqrt8(^8vk$$-BJoD^@j%3=-|AbhaD2_L3PHTG- z^*s<|d9zTZVW_9BYy0QWrXFg2Ol!ob4{`IW$38}}S>vf;U1i9~deC-b9mLHC(->x{ z$T{@Bma2V2+xae3tO3btq4sSv(c;H_gmx8h#k*C#?Mgc)Q?a1AB2-sOmBuB{!QPW7 z$GbTKhFHYTU%0w=#lO20$(;f1Jt$&b%FYXe_8%xTYem{m_^(%A3jLTwUmhyj(3lug zT7Fht5VOR|}k9D>RmRR#btD zNh_%dnKtmlCw34$t=wA2K=2=1@@lG}qDJ9yGP#+3-Q*Usl%+>UHCw#5<4wP{Em z`i$B)2kfAO<|}%eX`qEkEMct$3(VwsFdw5q#qreoFEy{YpJ~guWGR}=Cl9zc)`RwE zw@fq-nl?s~{atRNp+;oVnRI!{8JT`_9l7xgWckG>A2a;~FA7_EOf=Sng(^`o9mu|8 zA-RNFAbVdqUr~Ako)P8E&H&G=KaMu|D5;@`mEK?jWPSwf*X-&;TTjO74LCdEGk~G7 z*q5{|32GOF#$sN4u!-`L8Q{Ej%&{OAC0=T=ltw&19>s-Tlo&elq%~BFg1n|V{Ncxrylwei*s*0#3)SQq!v6K-%lpFpZGR_&J1{gjc! z6+BM=>qRHtsjXHyxRxvvfBetjq1$EfyU0GqdT7i|CWeQ|5wPN8F~P3D6@|OyV}kJ6 zg=!wYVXFxKyROMBbBX84p^XYqsCEPYY-1eBbjzk9BAeiEo_8G@RxiK2%urU_c^^b% zKK7gUz7HNq1)OS1WGf>VJAV&_)8}(znC)B=vMA=t=H*iN zKFwby$kRb%anSB8se96~6l%LNaLT~r*?(#b;uFF0vIqx6gymS5GGw0C=e<-2%i5TJ znQl#wihL?Kj}4Ocq;(!@>Te25O+54|D)J#s?&yGl$8TCCZi;%~fg?A{{BL%je1bPU z8K#n=l6rP-sLN7y?TnwdT649`$Gh6yJ;^3Y+2|$Vo!nbm-)`E2HUv`HGU~n9p?q7y z{SUP1HHz4mNG&efloCbEYdotkRx+rihFAL7MpXapu9Ki&fBN~|SK=DqRlQ(B80i-* z7U|m9InUOkBJvj)DZkL~ZJ(;%pUSpSwb5;hr7i>0oiJVWpUHo7Fg?e$F*ur)E!x&9 z^9N%R7|^ue7xu!Nnd;5f-7wODV4%aj31sGo^~;Q3c|O$XERanOuD)MnEV3g?pw;!48Aw{b#^-2xO+wcA7k9iQk} zzOL424VK&{#DgE)^wgJ1L~fI}iCynOzvtR!29}z!G3(z{;OGP4yuN;edj&i+2?DmJ zJ@e!;>xjWy|V)8DkU?f#~qh_fzX=5daFrycE{)Fc~8G3?b>z^R3M?M+e#rMjA&SYCgvRRMnnNq@tLaweh2sKWrx~w( z49G(^t)(N&6&U_2>0B{X%!MLt@LamsQ;pu4A;tMZ(3U+#+L+4yHbvY(hKij)LC92@ z6GD~Wsx%g~0Wjuq6U;Xr{3&GZWJ)wzf%OR4z(!_Uj$d4`a|%TcohJ%)^(6&Su@s8m ztSMBfr~+f#k3=RPgnK?W)@_Qy3!-?5J9s1pw!g0bXuQ?h4ACnoK z(c#x=Qlg~J%v0C8GmmR%^lRXn)^0iNv)++^vNl#69W;xBa~W2Bf8j@7?N!h`%1$Jq zX9V2q2Fu(<8;9}sVp|ib)k6;I1u-PN8}C`j+h!T3CTyGUxMKyzRS?Z2Q?e=;c@i)=pyZ zE9`t2CJgy}j~%prhyo|mhRkDs+Z@gexT0dawX1MKQ1pZfkoQHqYj0XgACK=BQ&jfD z?P(0WYtcIY@TC-Yn*wY9HF#=3{cea6v3wStOQ*nC5Rp7JFYsPRN80}QQhISkfpsru za6)F=n7c8qf1X9&MHKj)4jz1*2EV+5m>)Cb*3xzk((2M-FJYQP?su@$gY*0-O7%HF z$)0Bxnd_bL#wc)c3PL>FWk2N@l2gE}17+vE!E_P?P5Mvrahnzev4*{t?jY~A5M6Hz>ER*?4B2&T^$LM<=07Ktqlm?tv34AW!pFaQ+dHbwqA$A?7<yVf$(zqOs!BJ z6#5v`5x5qul*$1^*0e|4o2^&6dRO#te3F=9Hpw=J zLhOgKdY)RZ`}4FOA8!a0g+7d++g9`-SI0HpV`mtzz=lqWHV%k%+|e?*0?(fgaByHV zDptD)9ZVNs?bhakeGx_~Vc>y3wXU>T#)7VQa;w3=+oMR9VzggXrtG05L`ESCrs^X*`n!?~cfmb{%sJ)T}K-9>9Cw zeGJcC{b*6GA@t$Yc`G^EgNtWFcq9&~9a?#fUhPWnTu^+D<*gOUzmvfyqvPRke#@z7 zuX~jJ@ms4qtP58-1{J(@-?r!8_&~c~=Xd@)a;vpW2d?f24B23=LG#v80k6BXq{vh& zGxz=yQw4<-eKLj;`KZ|POz$Bpg|T1~xPj(8?u1}DyiuI!GTV^}H{f56h@i7!>R4H= zFryb#9UodsAt_$e?R7Y7c48w5aVPv1n)*2xNtSG-~+McN#2gwks*7B}bm2&K5k z_gK$0n78!!7_Cv93tmU-zkiUCh3gbE{FIIwEZ61%ZjPT@W%S-oN5$SiGZ!*%RCFYb z`ldz}e1e)l3?+%9%xts*yDt=zT}-j?HT;2ZOzTOZdmU8^BuCt z;s)W9*|8bLAL&VcO~zY4HHf#taYh4U3b`Ll=lCdsgN-v=ZFRfZL%j`8F!0-iKk4g( z$<%Z3KFd>sH5NXOZ_947!xB5aiuSiU>*(MH4|z3_HkN)Yc0Qh|iI?!cMjzuKXlu?B zt_X8v(bMbv3m@J_IndtHq9HwRRX}z_wPfUCYgyra<5>zua|j$?G9Z$2r| z+ZYO|>9hvZj)Sx{`6KM@L!kh3K#aeq9aCi3Wi4l85~j2AbLMz9IAg)IEwpyp3p&%N zqxCP04_84zQsC3YEd0W6gDn*HJY#{@P$4ck@wO&xVs}`2XY)!moF697vsP#)+K)E1 z1#7u$&Y@(5t`pvx$5Fnq)(xKd4W^zSkBZcI%|CWeq^)Jp+@3P?FHV#kLM?)xvgd&y zN?l(~TEa_&wfZQf8FzC8QNQzQZjXOqPIXiZAoEk`NVQ4^)|ueAuJt{Vqk}OYg1kuPDQq#a-TUro#UFl1GNWxo~4^P6FFa{KIJ}WOm@!mwjcf>y*_(sV)@^l z`1OcFKN$Sb*le)MFE3S1$2Jmv=L z+D&Veuuxk9mwMtZaT5919i%^KVy{Bqh>EmU?Q!wkSc`2H!LN3N{)6t&bYc#&%xGk{zN zE}Rp*N{fYmGsfHIeJH$PDf*mXKgU0op9c4aP**e9jyG;G-FkYNZbl8Z2J7xU6h>MC zjSspMMk`WWpr4;kxu=49z|LDR3Ny#r{ZwPs7h3l*P65}^u6WrG2l?AZ#rFxE_u3S6 zHc8sSimwA#e<0@{XW}0b_%@Ov6Dvy{!yXzdh1MR5NowXScJ5GdUvKjGO-))JWwD6H zUV^JEd3BX20&vP`IkojSi7{f2y!VpwVg*^<~8zvkkg8z zeXKu_b1uN=khLmC>9$QK%oNExh^Q0-KNJG|KZK+SVqPY|(7NqJ) zC%>-|4^7Djw4}YswPn}eIpFdBddV~Vxaz2ww9+FcL(SIs789&-8}<25_A19o< zSo?2qH*TrW=GUox%nocEhL(A&?pX>n)T}o}M>UU~!l-}g3oR^G+}R?{R;!~{Nho^Y zk*GbzcNbNA@SF2h*L~IyO|v(`N+4?A`LvpzUjIh#yG^(F@G^B(hyR>{%)8YKq&hz@ zQe;%16{Xwl1A$>gWz^0ykBYZfLDN&WJ0&AAJH!2_e*cZqlwI~~2YnMR$V;!rFJQ)| zG*%aVR2jTT5wkX9Q{eY#m^Wz01h?jS2ay^dhtEH4019i1t)YDJqG)W+LRM!jHGYkp z-%SOwa;Z%z$%c7Tfo+T*`IYK*F7B*Z zrIO{V#c~-Ra-+-q+PMpx!%1m(1&urhtmQC6&6SfbdhXe*=8<=4&KbbJXA#B7+ZX*t zAHs?|LjyxBoN+7HBWR-wKCZGNs7`vd^@cR^5N6usmpE)Y^~0nzWZ?>-T}2yHYqa|s z(wb5yzEaYW#hne#9Zyo9{r?nTjXm2XcRHQ%!_K64`luVei#$1HKNkv?2OEg33knC4&w*S4{)@Y z;I6-Ub@-TlS6CZYl1JQ|Gw*2;+^A z$Y5B@)ld7V;=82I@IjTV%FYvN+9KVhK)(LN70+^Ql@qyS zUI)mBqlgJUsNDd^z?i%#kWa;!{*8{TFYbKSWUMtvr*pw*a(pN#+t%q+nN;Xu{VR~xf$TqAm{U6?ruS7;;Bs*; z)AmPUaQ_KD1DThGkYa*etnpya+vo$@F~K^)Huyw;e%ApE#02vyveH;X$a5nXt{G9E zWJ_c;Cn}I6jCP*lTEQ#irH(ZK-RKMWX94 zEA|^rGk2-An(AyV_z?^v3)eP7(TA4ocgZuy+(p8*cAsOi7o0~zZN(dV z)7e6*drzi0$N4r!N|5SN_p0Zn+senZ(2Qmfz*TOD858U>rk0RrdbB$x82%1^3_cyl z$2bg|hzW)f2$=IVJ}3a;J|>uNs;ePFm@J{h1oJ&r8|TZK!FV(#cn(Jgk(vaL6=?Ux zpVw&joIuV&g%_Dz(%jlr* zym+QCE6~W>;D+ZQPo-}c=eN)i;8eX|z^-=7(boMDnPS$~-IzpV8*hC40-lGttK5vT zAd4G6x@@>sTe9X0b98bU8(7;9CmQm)0S&2FfXaPMWwp{8Eew_1gOIX&m!$RQedQGp zB>(BquuljK$VQEQ!`oBh(W}Lfk%B$T#W!VsdIA{G={t_wETfU%L~nq~hCXg{@Tg4| zZw^s61+oX`km=5O+7tMRQu&2jQ+30Ou@#y!Xc*5h8)iHxR0oe8i?%xW z)MRx9BeggOjnV8L^!{@xYH&Kldi+bnTEbsvpi$SkwQ7q2u?(WwLd`x3tHxvGzp#GZsc>~rM#1R9NH3k8^S8F|N1(Ps%B9g z^kAM|mvDcKn{2Lzh_%f7FO0Olvsk1r;d4593kr&ywKtGwR`)Ny%KUj+ILIwnOOFm4 z=yo0j)*?4X!&)kX^OIZG)AFZkp9fP^Vqt&aNh1C;Gu2v8^`l?P>%+aj3^Wr0ujJ9% zTJU>w>zFn7l&3%9PuTPz>eyTD`3G{X6`<$qaO1J``)vh2quZ@OC^hnd<}f)w)s1{Q zI+RTXC)3fNcU*m_SmRQ(2|rL`Us~fw_Y&R}Fjl1`jctKM?*Q1>gL^FHu%2SiS}cz5 zM|*ir6?~LpClZC?i@J1lz#$4xW3`H((1$2oZ#350tcAXenJ_-Hott&|_kq)WJwuoR z!|x)CF*V0HFA?l<@vK04y6T+J#%jMN8vVa@(;ymCOfA0Qz^z!UY5%Sfa@67SP^mfq zQaik>FKr2^KzF+xw~Fbwwh4xO6H+UfX)`5nkidEH6mR5Ug-T?a!4Pu;r1s;YFHI}0 z*5>g3mJhX{lmv%+F&iT z+a1{xuOZSMft9oE@o;m^w2uTU9HW3pbe>5aC0Z}e_WI%b_ug8XjDAW=40GP zaLYJ$0)2maoC4miv-m|wb*|f1cSJSWD=C314O6v| zlmUxD2^H@q_tf4y2$<|vP_W1BqJ^=y6-ACcn zDC|5PeikZI`>~d=;-*^0zca7asGw!>qb|T(7m;)GnWePBR`V^1w7zEn?*_JJS5)k_ z>x^T6qG*;6yNqd6uD*03O?la_?4iYy1M+MPnfovDr)Rwmi76U~GD|tPNq(#suIBYdD`O7gZ~J$}t#wY8diR=EU4uWjE0W|$v;e5L0`k}(4;!MEPgvo^@8sZxRyYu-&P%^WCzs7Jf^5*o(yej1)NxT{`@&)bfu%7#C@|gByjx27HXbFfn8HUULf<88)jzA ze2f}CQOH-aqQsDof%b0pc3aDQR{z6R9W7M;#RnfFn1yO2xPO)&woB_gdGeCgT-#a_ z)E)#MTa)wzz3ZFAiA4#-R7vN)g6W?qMsembEvrff;Y6bE1RT&{OHq8t}T|j z3ACM{y<}tn-F~PNQJg;{oX3YTm4LNq))rR@w|I_LT{k^gSu$;s`fLaV?z=2QCj9EE zur>|jx?0fhnmm0dw33Pr_|0`;Z4!gcK0sco%VH`r@HXM>w?R6#pq?#9*NxfTkHS~0 z&SC}|sKiu$|I#r2KzPy-`EZhl?uA}{2b=fx0LR_PS>#{mjJSbIp-&lr^o$qjFGNts zV=DASF~0y9k^E;`_~e&lHtiUvYIAGV)dKpK1=$+|X3<{-Vj&kQ75Nb}!|n*mu+NQL z+$;+eYI0n&pT3<%sYj~XTHVGBsDytJ8>Na=Kmt)(l*P3=sRO|># z-7|a(1vh+2UzW_Ux@y{6QE@ITwZ+R7RQ{m~ny4IWV_sn988j$`nr4Or3;AQf8;aIl zio25Rm#TJc&3Q@S?TyyyOcN*O&HsgSX`MbXFLT}<)*_cq1cah}oK-Z{_dQMP+TJ?E zmg*i^$Rqh$2U287EH+70js8_Ye)N zl|+8}FgeFHL0*>?YG;K?Mx6{)c7qSIOIbJcIfK`r>Nk+h>?YkQ z_q5UC208Q`S2ho}^AwQH$~vDsb1e4~FuRU&18}CUm|%HDF*o1mIYZkXjD~5U;$ZiL zT51g-?ujV$!R}vzk6ZJ!r3&KN=u3Xfc$Ee>Oz14Qtg-d%7nO9zsOH^=0NNCy5;81^ z$UIXORGa|XpBDe{ta?|yUxHZ+1FY>r#UY@rd;hJi);nA$EbT_c=FrPi-@ho>wRBWA zWD%-MmMvLm=15S82_B5}FE^tsi@CjqFHKZaUf*+kjL|6%c&4C?v_RhvxPKDToqOW$g>(3rR7Vp`1DwYMyA%enT{m{^ZdxVT5SccB0c|Za|C!`Cr#UZ-io8#pUqHWG zhmw_aP_wd+to54nGpLw}znRsFzM|H})$SPl*p(V+vdqoyNDk_b8%qyEm9^j}B4rBf zPfVulhe~2!qL$2vm!-KOE+yfy?991Lfpy`E<~?|YHl{yroN{ntt*#sRP!S6Hw^Dz~ z+kY5U4qjnB(r&O1Ts=fiHz*&^-56%$b_1uBxu8*69IPJ>ey)#wLH5p|??T*YG2*Mv}pK&X$}e zHut>B-I^7up{kFt*AteybY*vu(IY!1m8r&<_+41)aAXSmaPRth870(Pirm@(a9-eQ z3()S^z_}DY?;(-C;XaI;nOl zs%qB(RC?ve<3#AP^P*YXoVxX$y(k_YufP^(e-=)=W5Gv_9vC>bpxC8+IQ-RqLIgolcR3xJydLA za3a*p^7hw6jWa2Em*;EcB@BLsdO25cxOlo<3Wl#&ayw=d_q}2h{{)Trqb>1H^vxsr zvT;(}O@sPVK8BCcl3L^|yDJFd$`A0x(k;dIF7jiv8%Qne{$(NeKqytY!P!j9-20VQ zDi>UmdgCy1p_T-tP;;AUlCXk`KaRBM(FbkvYc}Vi{5Uz zR#S~0ZwQ?KoS3c)W%H`A_k)Rvb8f%sga*xZ9U$)tO`xhICY){OfVa7D0f%C7vHI_ti z{s~<9A@(WGF^P3pv1Zd%lUVn)Gxpag8C(*!7PrA+5$j3}AJ zKU`!LvMs?`&TMOC5p^1Z0pG-&#{uWreK~)iY>k%YsR0D%%GPjpD@9V-8YBi+O!wdP zJw?9+!5mxuCb@ZVkI!^@vY`ZJYu)ZIb01N*78zD}5hY|=Q%C&TK9@)vx=bGvQ7so@ zP7)*AUg8>irfSRR)aq! zH`*~5y&&q7NpF6c3X@puV~N3ZL23=8H8U$RW4Y5n?r*;J zom5%s1q6>y-a)fS?qTkk%Ex994G%huuKKwvi_#-?F|TW|PFrnd>Iy?S3_sj{XM|W} z?%@|_PEa?N`wliQ?JM;ZZ5GL4%Wx|4e-ahxI~jr61E|hBrJx=pM~7a-nky4jr+f^1 zf)lIeebW~zW+nYur*ZE3-at>UoMuKdl#oFnCBgM=TvRi|`gT3m&#VSL_7rdBad>LTn5-b*@b{&X0ntb=)EOrDykmpmOkqSNVY#+0;^bgT8JPpE}CQSEnQ zMd>U1`Gf8mi8byk?u9^s?HTk@Pl`Jcqp9MBr|gaf^mrdjoU26ny*tSPNk zDLc$fCp!41<^3v&(h2=VxvsnP0?L8yhhF}&MpTRRTC99?UEidzXQAv}RJN~k^h?LD17VkHF&Mkn(T z>^4)E7h=-fG{&*tR_N6B$kYp+%n67s+h4B~jfPzjotsb6zpDyeh_c_n*6!6`6G^%68-jM$fSBhN~{Bc<{H`wxOhneNmaB9_v=B8Y-!jt@Y=j~^$N zy$V>P-N}LBo~fEy~$?q{mL-vQF&3q3QwPbKlbR5p=1m*&4i8B-H< zEvO|5(59^XAc}QPWpo+bfZu%c(c1F$UBw@-<;22GD)N64?rVZ`91akU^Sp|4Isrj* zbp%Z5eD}N|?pKQQ%Yg8Ad>?MAEIwp)i*z5>=&cmz{~)$>0_PcPZ58ct$mPJ zWNHS)@9@JeUD}IHFJ)xvqik&s5F^14mE21s_i+a(!R#U@_?HtM(`FTL{|?F&X(~Ld zsi}2yqj-7owK(SgSudopGl6qXH#5BwFA~eW76{n95L5u1Gq`wxsUmQT>?7x*JN8}+ zn)nDSK@zeZm`;YISzan3tOyCWJaje$n@cyXJ9xnQu?g5b@{xElx4;#F%_}|LU|37k zOzKVtG^EPT$MxRtww5&16Ph;mL|bw3a6#UJ!kdI`5UyHmiel&`^YZFBHaoMOpR-05 z<QwAc*!Q%mnoNXx)zrqsVD+i_Cp*!K8IHU~u5Ham4 zLUGMfs(C7wZjJp5!dj>+Nqc{uj1tE;bQQU^VfqbaiZ8%gR%9pWThMV2ZWT2%jk3Sm zmH>Sceoyk&sxcyak+b|J)IufL8$??gKX|kmgNBRdr{r2qV_Gid<&;6hl?$)VdlWX7 zOXm?bcd7XGoMO;g<8^`J$xYd%ibC@6^jkrz#uVqd>V)dpj?1YtI_eWq>CI+s6r;Di z6L{e_lzO?Wb6UjJTpd6n|M5N|aaB3}hHbmd+5}i3(^13^`W@9VITc1;{re-`PH|yl z5~Ja>DLRh4^-1|hj}>OY3!>Q4je1%o*dW-^8m{ym^XbD&as^wtx7HsOS{<4l*k`_I z-p^h==Ndi*MBZQgaP?;K?`#QH6{gYg()30URk!Qv_|0PSIGZ^0-X4&+sPv_?=8GXi zB=|K|6f3nBAS}Zjn#5|=>Vo+CX082cQ--XDQhR>iAkt6x$RFX2OoFkGts#g;pFj0@ zF1;HnRz1GUt>Eue-`qcs1@+O!TTN418}oZ3ejS0a6B3?w{tIPw&khr@s>zm!ZpvK) zs~{Mi6s5V^ZyY9$7M0)|)cP~$)#*9c092a_*jm#y_7ZhIOR#30#)#_U&6g~*(Jfezyq0clA&nR(Gryyxk6O#A;DI4mj^a&rl8}xx`l|&*JwlqBqGPg z6bkGS-mSs${88<9_VBDszw{g}N~EhQ{;0cOA7$Btf@-Vd9}`uhMByz7yGiF9?k%X6 zzUN>OXOe6MkC2h@L=t`IAW%yWgHzadhppA0D%R(tO1eX{{o<_@pYy!tQOyzZ5_Z9r z@V@rQW;U28mZyOv7q>SyJ*`ox`A&DSnJ<5@o1yFiM>6fV6;8mclJFseTn0GwmBm4r8W9S$P+%D zSiMmcoAplA)>8XV4#)Xq(v7R$^rS}eB}I+RS-a@5Xpxy~tQZ`)Jr>D^4VfzH?v+t7 z9&ye!wiljHCQlJLjQAftSKB*^Pk=5n(K5?M(W{+YZNZ?2SMGBmq=n#_le0I91hXWV zpmOD&5o_a_kLxyyri&!l3Lc9r;`JV^qr?0p_@UYr)DVbFLe)3s6|s8G)^d@swf-=N zOwEEs$ocE+2BYZ)TT3>$!5|2xn)f6b{%~)#e9+SD#~Ve(iV|rBUUYVoopZpppjx)- z0m8qwlRR}eV&-ZO|(OF1%?f(LX1 z=iX@|J-Sa;?Js-7^AIART;&}4V>#Vgo zN^6RWrY&sSTde9Wx6b11BW^5;BRU*F3922#Aea1Gn&KWrhr%e~e6c~|>?!OSy^C@y$VyE_#9e=*S9WpqpQ8L=xpmg08e_S)l14q}&?G2Bp7c*9_jqLvc~ER; zWVvDp5$UjtHyk%tHD(L8x_58UJc)ec?+S75qg2Hj4hx|Q3uOO}2f6Db%NKjB2plz= z{Tpj@td>`ho8B0(jR{9LUsI8*ofWv$(alMA*Vof2@Dv2DJS4ZPY`!L<>5}mxbd{ff zajZ-ug`(J#U&xxjRr@YJf68j$e1~1in98HrZ)m>?d-Mt|Gy_SL(_LHihsr!-6u@0c!3LPT;pe@6=UQ8UZ z*65gCkCqm?!B_PHD?f*Q9ae?sIxkoC?LB9={(uSsVh*0@S` zSUT_8Yl9e_>8_ZTZ-w4pX^qa5^LXfBK$^&2wn_}Be?wIHYqxzSRueqOE$a25N5$#M zF^wFJ%Io_TYkxrJ&%k-ZT|r`vpPYqukvVW9873e7Q?UhWHIJ3f8T^PCb*Z(Qa-X}| zOSP@Qc`_=&GgTiU>g8M`(iN>`FV*skDNkS%UFq27MqzWgN!7Z+Tdk^?vqL_8R0+4fxYKku-G&2H_>axK)sU+9=2dRCyx_R zHm?y+a@W@TD?_%y@%IOa-`2{$LrKM&4bJ}MLe3@w7cy&&;P7D0PO5CE#hR8liOQ;n zY+#lNqVBq_;^W+!I=>hVOcS-S6xl3yfLMD+2Cjmt>^&H8y++8rIrMVQqI;!UGN`hb z`4I_zBU_c7GutUWty0TWs3i)rq~e?y9g@U|ox7w?ZsVIC)AV{OvKZXAw$@Dt(<7kx zq{Tnv?C@a>gRwh?Y6JdMR19~-%5V^8 zIlc@BQ$HL8E8so@TpEzXj@z37x- z86Aqz?f=Q7r3aiB@YPy=5{%VS2UV9A3RJNLt7{XLDTY9>5b&o~*KRi%XCMA#vsPix z81Z%ZSy8A_UAwnugGV=LEjH%cC<@HjY@bwMgOilnEVftqEU=1vn4%)f9Uoe|26KHj zWQutDt91V*#4yWU9O(YatZiChoxycr`Xe&$^i;`h-&7Tf9i}wXAk$5h-5X`A9j3by z9I-ji46Rjy)(UUBAlg@q&hh-HisxTYY+*Rj->(OWi#uiTz-J=38`}l7Q5YroCN|`; z(0Ks@@h|lVEzZgs;~S%?1Z(V%?`Xo#x!1$s#6;ZH=}~(z=%zH}Hp-A0{1n(qZcICp zs(q81cBI1r^~1^>?1$=HexlKw}z zXOi5W$G$aoFk+1(7)aboqN^CHd1dO9|!*;<f%BXQnu_?Ck^A*=%D=0E^M6s_U7H;ht@?fx#f&pLzjAJ= zBoxi?^thWug+d?2k+)6to>aCy*ej1tTc7^JM3q0}T+cc(KJIx~6tp8lHi^59qth{E zRdqT=!#6dV(n{2LKTRx5(@0ON#^3fsL9SuqSu;ueEHxHBT?@r|zxS2&<5ZzGYfK`0 zr@>-%Y1v;}OQz_=A@$T4B;35OOIYj&m*)83r0Pa+u)k>A7Euh0Q! zw>L&NE)E;0ry$OK!d}!e6GiT}vcHy^xZ(BC_n^BG&9HZ}TqY*yRzkz$oh-2TP5b(P z2YyP-M9pMVqbucOLBR{$G&e2NO)y=QOiK$XQb#1;ol`VgyiXsld~7i;Xt+k(+n)X_ z=FR!Y2O#6E99GL3Fv$b#YfFZT-U+3Tp`qg8`7!uUOzy@;Stq6>9VyUP9H7dU93WSH z#i-3b=!sO>HaKyT@8aUaR6LPneP_=GZ@$o6T%Rbt1T7K!w+;5aV#Vf#=U0Ujd8bRt zzt2*baIJ$ADXsnScBY$#wKDCokODVV7l+&C6eG^w)2&Ys;7~{pt)9?k=RZ$~+cRPq z4Mq&svnU^{4Rc6a=6XsO$uF{xPr=iU*sjc>=Ok%F8eWZ^qv&fl2e> z`Lgyz&Gp!<{cx`5H_)Yp`Y;B3~!8^57#?%ydA0bnb37`2$ql~Ezm|lxa9qj)5zD@cC#y))eu-la$rFtNPA{j$SVaA5wIH zSXxRRD@)bvH64QuhSqD+XIoEo_fa~(47&b)R3-ZtRu@5Y{l#z1L+v$-Zy4qO2Dw+J zJt>L~{=l2Oae6x2nj7xb2W1!PA1r3qkvg}&Kmt6O6mfhE$9kba0cpg3v9+j|dO2Gv z^_pdCP!z^A1=Xf>j&tDANR}`wBAE3f4coTc^(& z_@*Pb_KA~wKkx)vJQcX?hgvonB03e8Ar}Yb@y83}K;+z31POcE;yf5PdclFiApFk) zV`Gz+(Hn$oI&4-R2S6*>9v6LJjMk`vj)}cZr;C;2O6r6oTcSdA;i309=@VwBJBZE0 zqDgFwA2}k^(e;7aaA5ng$2US@Og(|^ z4aP^GI3n-15LH`(-aYk-Wh!AIs^0y}y7B!FMy!%51tS@i{qym00Gr5(d^#p)4-OZ> zH)NH>q)M>mo&^SmkBetC_-q(QW3JcfC<3q40t^gEy+OQ5a!Z^ooJa4VqJ#a=&M`g2 zxx8|zb`15`xFc$V6>&`U?p6M6ga3IqMx+jwL#7v0B|g}yO7IEa@IwbCF>Y9Mv2jLs z_V3N4R3B`mRD6GIlX76YZ1w%Ib?$BYeHBx^zy(%|M!_$+6WlAJo!7iERQ&zwE|*<} zu-F`$!yM*XwQ3WSPCOgt(A28cFz_0Zo6R8|I$JlWf^0*k?dg7Girf<2!rI&~2#t;F zw-kjpOSxf++!E0^Jy3h@+ti>8HpRs#J0bkrJJnI9$T!h0S@9gx%=y$bGlOSRaPz2X z<}<+!^&X@aW{ttaAa@cvQ*vh96RehfM9%KM_lDf6N^s1Hwav?BNF8&6!+3cH)du0} zIUU{@W9J)DdcsH>l_tB(krSZAn4G;{JI%Xl(;B z?__y1hf@Sr>kb|}NHxYWwHK6H4}vL69lAyECT}}#|yEky?0MLWi&i zy0GqJMP%%L>#QtwG{r2>1T-k>J&VV~hw7KS`IOgL^YjuG>a7xa-mlW*M2rr7$FC7> z^3rKP_s-cf!8Vmm^x@%1i<28TvN~qC3gu^JR_ZTagnic`VZ`y+_(>PU2znV2{{11M zf7iGaEaHBUq2~wfck-HR#VEg<99z(S+k;##duVEkEv?tE)*_LfZ2#U0TT{jq^W+Hk zc4es}(35)$uW+rbC{|9-Dj*mRm1j$(g9Pc!NYv&pyIP8;Ic4YIs&b;S1o2>!+}2On z6&t`p)OQ2ADl{p5u);bHUy;+a%aM18 zYypvZQ()rohK#Z5>mxy;xl0a_a}XBKGqph3d+1jL)|uB?D7HAdvEFG)xDI$JDD{UO zaK_n5B*GD&PjYixQW|CdiA>3@FB{^Xr()`Mlsy^Qn?;pH*8*-a^xbuRNkmJ1^X-Oc z9V4z$-BnLdL2~<;!@1eRMD$p)8;duBc;Img#V!Nq-h$zpZx|?+;#&CBSk)Nbh;+Td z2wxe~V_Iyzr;Lfdo8a+`M-N*qw%)8?RzIaI^*V^tAeT;QDco61&n(wND}=LDE?B7r zUrxKH7F%tW)aS{zJg%${B)NTp$MaELMESy!_)=;k>`9G{Fb9P_sm5iUHoSPHN)YVc z+Vf(28rcy|qTIlKC|Z+2bU=&rP``-&t@XSjMjwxDU~FK$glS{@S7<9v?3S(ImWXmI zr4E@l&^;|&*MdnLTwhkif163{J+MWm*YLs_7d=q(_wAzBrmrIC#s=M*8o;?-S|4;J z&x~CqvMjsFOVo>%wOmKM9Ey=og@t{@sjvl+cK?|*oI}=KdFWs?zNZjD)H!64v_*P% zrPO|Cd<{5}JMMU~b?1HY*Qp(PbOpB6a<%sfQGZnoqj~a1I#}~*iPA0$7GEFAUe7<| zg6u^1$jn^dVa?tySU~M}NJVDmi3Ef5PB%#~yF14arStCDM!V^=O}@#qsDfyG!YwA8 zIiS;$wr{$>Z=Kka>ytq9j$MBoH0h*3ifhP5zndVgtdN}JeL-&C%Ymzs$dsMqRfs7O z)uLX7xY_5t9_U*#HONsniwZHL8KIxX={+M_OS991#otlR3cqd*_4VX}cF%)Jy}H~% zOe-dv_lx8ucF%*soqwSvr2Ns0H~FIY|6rN;#jEJXo`16&3k&Sg?K3(n&qQyr5&>*{b9 znza@ioWDZ0rpaItR-`9(yJUoS28tY_ecH%v`WuXc?F+|=E_J4@2o)7=upFGdU z$COg156ywC)4pe*UF_3bgcOs#J-qJjgRML?ZQ=QDKF%V1d40Rq7SZYZMDMe%(dmVC zncRp>SQgTLzdc)Qao-nJL$2u46u7n@wRef3)C~t8iQys14K!5vA|$t=SdG#mfyDW4 zL88|OsnoMXj=NO!As&y1x3DYLQhsmlrg;jPQb22$p|x{`i;MJ4(ut;Y!t&S=&IS$U1KdFS!U0{h=xH zc{sFvFuWoELt0~FqOZ+*wAXM0Rb&2>Cc8tG)_4YyUQnfNG*7FEdT(^z6%?q%$uf+bzVq-RT+wc8|^-NR<#lwf!RjI6=S&Xc1;H)iP|+OLsIcZZeE_d}+|#Ge%% zK7TIio~C*|x1ey$&bYc|FC@l=rsP#*Y{X>uZ-CY{1=)nBN4H^O`El9n$wWB+<@GWv za52*nvj4B(XH=n4!Cw+o=zQM_Evh2ZO;i>gd>1~UW5N|m`>^T#ZwRj{v~+=yZfiwz z1`&7pqlBpyDvIdovx#4{jCwgmY&R_R90Kd@{AI+km~BP&_1X2Z%7K?yrr0xKaWQeo zczz{d0-1x|5;3XvrN_|Ln-^>j$%r*>iT>a@1(dDAJzk`^r7Cm*&ndu|)Qc2J%MH>; zt6onmAkty!bQ~Y;t=YZ*ArpV2Ysf+5#;Z_v$x%-j77 zDr>Qc`&>!vRHzb~D6pG%eEW>FB0aPVmgR72rgmWGFp>84Xx2G`JX7lqrP2wBn(ILl zTFLDq!3T&OvlbsrQ={kc{E)SmVEP6!?^HrnDBny?B&_LmSPLDK>y_>jwntgZ>n;`a zN6K1eL8g~rAS70>xVA&OzZK70fb;k$TScY=OKbQbXQzA9OxwK-`_4@qCHSTGsI z+9Iv3{l5P-h&+#oyHe07cIMww=SP*!nS&-I-H&x11bs>_y>hB$*)bUa;tWt_Pcv%q z3^;S14T7Zyi4hI{618b}EuQ_XI3MtZ?BY-CT2gLsx#oKJ2-TM0Bi60}cwFk2&BWz| zc|?T~^?81cyB2E+;^EJe4X8atoNaP|i6i_oLorZU*O7I|Iz(KkD8ZGfN_?6cRVByb zEV374m;`6#_cs=4&gB-nmJPMPKW2m5#+@Q6(F=*jlJa&`j0BPCBv*PXuvRIWmRl!w zUreHxP^^82*0!Vjap;j-Jf8ZeC{THoJ|B{XPhWOV5$XTDD3*2VrVl1d^$D6i6Au@c zZpaQeKIQ1seOH|48Z|_Z6ftW#shw3)RH(S!s(< zt4eD(KAv;)VOGE#1$8$>R%O^A@oroZ;r^$%UPtxUiUF}9OwoUTdl9&Dg1DP{z1z<( ztkAtcTm(}L9dC%f)0#XlCPMw1vsyxx*0w`yI15Ib zm%?8}u0ELM9!+YA1GSWf)^MzW%NvXr9N$1KOA%Hs!^c$Fh%MQvzS%?TL2ml$RDkxn zMkn#Og^VrOslg4^2&kL+Qyz*VFFz{Q{-Uxy_3(^3^0LM0;r7;eMqG+#3N0Th22VdC z(pLLTrxj4&w6ano(R%HAG5zU4eVpnm)&|{+V1L823F2EDx#9Q=Ny3VYNklx8{YdVz z115;1H|6#hdL>M@CG((`i6~of9(%`VcVAWZU38cBL$jZrR}y;`W)u5!_tCQ|!BV4F zQ3NEqHybX>f7>KtM_;FpP@VreK>Q6^_Z;g);llF0IVg%h2frMMTajh#wob&WVuP7J zeHJ57D@&Ox_mXvDX#)wi0@oY_hHdDGE~vatY$z?kkek(Z1{&#zvb#21FD8$aU{Z;c zdz>FV#p{;Jkh@oH!5iy2XwC*#E0JxgI8-ESJBp17I6i5`_aUNSb_pid{!{;a@$Z%O zO?dwVDJqTgTt^{YlEMQ;<#N)2tEjEBS*aKge<$(j(la^d_LC=xkz7)+AUG!-TItce z!PXHgAHk%{yTA6S!+7@-Rb%xaQx;-W8=bGJC>ZP(!51d#u83_{$8(cJ)xJkXmOF>t zb(J|(gg)s&r9iDyrSzi0*77`eA58VlUa|?q>9yGa?bxEmLdz=`22Lt3i3vnENT{fY zJ)+i$94){!Gotp0-W|DI|3!`VCV=VlDB()owIXNN*L*ZwKUFqsma|=@dx&IVixRYi zB|Wqy6)T1G?lYAkUU^gl?RtI(Yu*VJ$~~@GD0Ve6Wi2gsw6YieY@GLvhl;7kq&eV= z#XfUfKP=nE_Pz>L9{M{%+AmO@(i$dn`l$+~^9!lB2c4_QoH%b1>TOhw(f85mH7jIC zXA~CIZV#im?la2k3M(k0&LBS8})M{mTBl=x{K7 zAHtWrPQ#XZWkl6ebL`JW+pJwn8X}@LxGC~nYomuKwbqywpiKc%w+ z3Ud?tTIk*ZqCpwCxji1S_BbP<7KNpzB=)Q=3FzfoC$P|#T+OwEti{u*Uy#UCM@~yv zA22wIxfEtV35G=|I>OjDsYR$Q*Z1gj7?5wO^<=A9lIodA*x;G{SylU?e^$O0??U1m zcc%sE$*3J;!5I86d|~Itiamo0ov^xwNOdcRh%)_~&abopvn7hnfeI~u{EhfHC@a6R z+gepgJyeN5s$}w<4kF;X9InxU?Ezf&QkcUz49^wk=wYK1h!+t_w z9}iVkf-w;tbLE@Vz++|g-}HQ>RBkLVR(8ch@CZ0MMD)%gI|qJ5oV(C*NG8uK@8FR( zw1hp?YZqZo=qEyx%MC1_|9kMDZ$QexWn+6^?V_@M99Rj~apfz!BWmN^%rjhMid04n zshUS`tYV7|)`(|cyYx94MYY}>YN z+qP}H!#C*Iw(X9cys?c=(y`6cGv{~anLlAJ=5E)1s%ovO+WThJcYRhC;WXY>uAsxe zuW);AT(C+gfotuoo&nl`aSV??p(eQTyk8f)hP%&ue<5M3zkUQIvHD8tO7_e6GC&c? ze<1OGOTTs(CHO=e&B)o49I7K=kLx|p=!or_R(MWHCMZ!9#^K zNoe?YX&?3`1q@thxNYibR8VwutY$>)To((zqTj=O%=Q^`8Q3Fw-UWZW(W%L%QY^yA z*p18K#=qAT?X-J^>EU)b6U{eVPwp6oar=wYZmTfn#S|S64!$INMcy9!uh?(Um;h)f z!#G#Kb1KDcJvohAAjao?A#vg0&QDj!$BKs}XewUz_$QlC@uPbO@wl!#=SQdd6R1(~ zRT!1CZ=KF(9_X}GH^M5(me`7^0qfzOfq50F%)uXXZ-p#sjivkPosPbSly6TskoK+^ z43Za=q>?7d=XhgitsRm|{2}sxrDZlMKYS!&=h?I?p(k`LEr@Tp#?>J$ss+`YixaQb zmPT10$A8%fOkTZFR#Cg%o4nO# z5vgn>k!b@v+!Cg~L_HG_5;u4kf~)amy)k&@hxHf-8c*=@wwBDpbTi;abRPuAwy*c_ z_tjRQaE43naEZ*3CF000rS1%g7=hUBofjU5{eldMgLzyg#f|~MI(!H^X(T%e)p|@k zOo4q5WBx$k1pDWnGmD z$LrnXkyCTjt?W?BDFKjB0?AUkQpNimuWvBJ#1lE62VO>l4$SFg35w~F?!q~qhV17L z;+>COK~nO2SxsBVqSIpaZ~=#NF9D-rT)po7@Rz^BvfyrOKI(npID0jF9m_>8Ynw$X z#feX%PUf@{vfM)J5Gqt%_(9)tp)PPA2$GX)vJHZeR2!k|!$coAa>Ix`OmD|mHSMre z*h0;GJHjlyPcE1j=~1CH7h3nd>-mgr(MA|V;XV`?L4gfk9k_q276{I%p?>xd;R3jy zGvCy5{^%T;ZosOq&|Kq!Yy0%2!Fie!-;c(NEwbd%dC$r27z>@(dF&&!QI0cMb01*Z z2|=lOOvP9`E@yDvYX6`+ffMk?8W_T}$8n*3U{@o%hx-1>f!{Ft;6_TzDf%R4|F)tY z+=iUVmGO(B%~S;r`b%cr7Q}%pa;Oc0F1$-$A``*Z*@&+@+(@G6yFTXuu{lm+SbOi zK+kPT%WhL6;5)`qf!C;(L-nyX1-18r>)XIluCmftYH&3}Jwdh`nveI=PJX3iG-0YO z>Kof$XX+cxyH0h=fZ5;&)L#cg@KoKj&^KJ?GlVv7C3$5nexp*|<2iOwJ}6hsxcx}^ zo#7x<-@t<}?M5~AAmJ_3>A=x$d$~%=A_uwMUv?vD$x&@=0b+IGpJ)d{ph4nRuXRrA zn71d`jU`VEe1mkN`v|X8u3nnQH)boA)NU^~U$n+V^ggJ%KSXZRR_=%^TOcCzK0=4&J$XHMJmi zQvvTWngQP#FV){OuSyL;gRe^cI_PrE#`04B)x(3F#H4*Nr?r>>4$roAbKm`{VX(gu zl9+E*BdS#gB>n+fDrvmnX}W2wfR}=-)t;*{+ER1V4 z&_O)q@+_la;LG~!I6@Bm1ldUnc@bwkwRM~v1OQC#kp13)Hhb)gdvz>zxcf1m$2$5Q z3Lf32@QC7>j0$xctrs&RKndURDVGR%j?1K9>v(I;n2Vdo_LHqt9mW$E`dg0%W{_^c zw><7bNg)d2ITXY2=Z(h+Sw{dPCOfZ#Ucs}bqGV*cT^+?ulD=u#T=s-lq6x42Vm{9+7jL&bs>Mn z(E`_|(qg5STe7iL0wISXQMR%a#Z(gno^d+TrAX1Rb0f*1l0wj>PGGR6QWegUp{}yY z$W*a{dQGbt(*+n|LN9;mr{L#z`l|5Wf8lP>%uuBqv~Rp zOk6@8Hb6soG8d9FI}6=OLnc?=#$C_@zZ9BmW2dx9K;ooh8u+bdnZ2)t;P9$veQ~Yk z`6d(@^&_O|AJN$!Z&UB@g!;OjiCyk5nq01&C;4_vs49`|KZ&1zIw@NiY~tK;j_>I} z1ub@QP-qP!$HCb)tDCxqTJ?zU8Ts1pu2sm}IpH#C`K;yyJ_$cK{bfyhv8-ZSBwhP1 zef6uTB0QnCudGe~3T=^Q?e>wFJ{wjzDQEl<_Cn8E6D$22eN8qCP~jOp+fG5N96JeH z3X6y`)SA74`_#NCP}pgCgc^>lfk92@9cPf@N;M{7j;!0cd}e-DeU`!C*Hku|PbE~? zzw?h47Yv@N@uY49wwjC+*{X<>|%IeB# zYCH+kYChGg#|@}5(IFj0@uSty$o1q+%m!85&GpRr$e9;4SeC@-LfVjSo;d|z6bV(8uuL)vH){Z9 z(b-WSb}WJzcG9}#Hl~aEORmBGUS5){cyBav9bQ2lV6{D=b>D))m2wT^D)Awbb>+krIssnd+^z!o-1yO}+Vv z#{A{4T-tOo@~{zaWlVcAE0e*k0psccV?7xgLJ_tV_K2)RN@gY4dh^z5}W8SHBu`eg69hkUvvwA&&*!Host}c_c*5H{XP@| zmZuRaFB0fUP-ee;&>6Yrtsvg_`u!$3P@@Q3?uwBqM{KOsP}49qtELU9HHf0lXlk{j zA$!e_tx#8rR63X#X!&i|A9eB-mY{%gwdr~#*y{QnWnm{(*MTQa&&O`$nON_K|EGQ6 z^dsozNe6&G5vM@X*QiRSwk|09`BITCy&^rjB!Q0ZpQ1~yCi~}n<3LhV7rN|T$h^p* z@u3df^z1|2Py8O9P!I_(a(^bpCkz&G0tADqlhU7l;{_F0?M)t_Dl##>* zyxF6nECWG7qAWFu-2{%Q-jv&Kx^do2Q%3tc?j{>LzvwZa5@U~4W2eFfXyXFmlsHnW zcBZ1)^BG@tRG?w(ev=z?0y0DVByL#ctM_{3GTCrD9qLDtVfB6G9Fqhmpd!6DCTw={ zG*0@j;Ba>R3Dd&yKXJd1O`O=3>bN>yrYrc%vw%g6Oh4vzxaLpT7WlRv%{#|TUW!hV=WhX8PhRK?;+cG1vpIBG^swr3K)57x8Wi_Sf z^QkIj{f)$DN3x~IMYvDvmHS%HB{xnO(`&4~xrU_voi84r^TzY!ue+v07YT3T%U;~} zsR5^#R4zf`j{K+1a``2L0ie|vMzfuHeNlp*)K?($JQ3<=epOC&Ny^&$ za_mEuStIPE^)%x~rvQbu3vrEk@&HNqeD=Eh3Ktp83d#8p$bS`VgXU(d-+N0W;d^>n z59N(uZc($&K_l;?fL4px0=o>0T68n#1z7871}B#WX=t}z{^+2BB!_4O@rx`}T6t4U z+l~p?1n9R*GF)d==(l{%mv+{s3A=_QgjYVZQYF*s9BEK&lsNOlD@E-XU`=0Bgt~yV ziU3>s@0FgS%8p4ZatYNMj_?G7kQL8z9MUVqWLpuW?9>f>vI*eMB1`d1y<57PEsg22 zb}Na@NSBL~#1OvQLp%!j(}W?3>2Sk6skJlvH+xldk9f$GL`=B?Brk`$#69-WeO=oa zg$@m?50q&0@z2*Hi-mX6n;Y5O_`*~hF6494Cj!}KO?1b5oxNe-^Ix~9!uk<=m~OMm zEcDvF*FwZa;93AKql+Dn1SIQIDci;&!gRLwd*oY+zTq9Qwo!x!mlww%YlVq`^YrL9 zuF|EphBW(|recaTfJQFqZ90lsHRTh`!#YR}&9fApv=hiX=XDjvmd@7fcj1|8c(l@Y zp5jwfwS+77cl>U7yZS#dz~5FxjC(16tV^r3e{r@I`k#<2~b`5Px~;*s5G*cTKSrh%#BiA;uDTCD3q#B8-TRYUa3;^ z56(;^KGNm@F7dl}iKO@^)JA!ssw{!wei&b(>X|RZ^sTb(Rie+&M)tf$uN&8%F4i(y z>n=W#N}lIB%&@5d6sa_?1(u|T(@Oz;W>xnuQo5yti!d>y>w!wRgS_YJk+wV|@vYeJ zHIZaRoE7%0&~nL-3)=aYQRv354P-%{_{}*N<}w=Qf08fE=rF1LCgVApd}Bl!3*TMe zL~CBD&~jQeb>V4L`=D4#-gwLB+iSyzAEWh|*UT;#E$w|JBjlJ*fv)nO>+H33kb^R)!WrZ8V$9HoE4xF;_{9ltOVr`s?`uT;RVdPevI3Uf;4dC#4 zum3MwJpatFCn=Jh9zp)^=ZrA6BzMWA9m73w2`XCO%`i51=Lkf@r~fe*SF$MW>l5;y zk+I~ti6!k=+$!_YF*{Ft@B}%9Vd(<@@%-PU{~R^^pOIuTR^+Fbkc#T=MMdob++xsH zCwmr1|1r8K%^O$pf7U!By?`U*`~Thje{grbUFo4|$n)A&*&W)t`%LuD9dd5H`2+Vw z2+TCN7XLvmfCmdLvxK3!3oXL6HGn3Gi8h>agX~yp_f>G^pn{!3=+@o8KXEbC95_)I zJ;=A>Vm>ysd}}68wxO8G{%|gIIJt9i1RkIKp55g9`NJ2R z>;BCb>?rEo1O9t#vsRM-n_=|)+C&=x_5vpitUgf29*RrWKEA-Np>&%>w~p8Q#w=3W z^8F7{?3H*Z55G8)H!djq#{yTeMffw6%lUrOMrfE3?A6=CY4$wFx*oXf!9sk<8Kd#G z_0HlN*A-J(UMdjo7sHP#2D%T6D2Z(y75XQ_1{8z}jMYF+&$LhbEh_pIf5@(z3rLag z^~~v1CACbITYOapWy>C#IjSuY-?Li?>+W9Fm2OwPd+F;@PEFP-rnGG^6qo35I3?1h z^1(p_N*MT6Hsv@FUwN-1F+S8Zt}#0b`D$96R5r-2N|+>8uvXh~bZaz$aZC*O>9@k+ zxqzP7W7sZiG#G#nA{ZdDFiyP@kW~ltQP|p(^?^ISBE?(h?0c1~)GyP+$cGyFWYOnBX`!m$R&dDJ?c8G!fyJ z$Nr6krnB4{yO|lC?K&pcBH>!5CY{o=EE+y_wtb_Ve_3actP(dQpT?I~W8f5tBSOm4 zIlQeo6QT#BXvDEFYW0~90a$EM+n-R9f?(?SX92kCQ?Z6sRX*}4`racl*29{Z9NVBX;sF-UD6nL^|2{ zW5f`i`C%jK#les)iEPxUmp! zM@ci8>|^KOJX_DAu)@2&Ji4f$r2ckV^@}QG-KPwz0(>tBq*pKuqNl)aYw0XBj(1$} z@3{p%!Pa{4qpoI&+YnGmv@l3ym}MwCODul1JudDDQ4}O_x4BY>uSBq$aMwX})^1(}wv>vKg{!y5 z$+E!CG6>{_5yA|R4`ffLTA3!G9+X9I1Lyxl&Ee=;<#l9c3APO#(jCvjpnE$~Ex>yjU^d4=anL+Yv?%PwX2%%0pd_$$ z0bp@e$1<7(lF;j<5^ICi4#laDOlMBTWTPLO>nNcV=MmFw)O7efSi2x1%HM$IoyzIk zxX4klDwW1M{VVE9oszOY-(-+rmq$amx+O2feUl63*4)`&AT_$){Ee%bLscWJh0wrb zC5?XXnlOIMJR-?RJAV(=*XI9eiH`7U{18?my#%9zhZLWs5O3M*TazhvinzVlvK4$i z`dDtw7I*GriQO@vs7|2ho|@rRtJAnM&THE$(o5z*M!8lHRp)cH`)xg3cehb6nYvMM zgT7Y@x@1>WlPD0x0A&N-v^m)dHU%!ai+C@=smgRowYP&`hhkG>l-Ndu^Om>tX4w6$LBXpkf8 z8(qzX@gE%0en6@mb(Fo?)=A>DOD0b zTBv+J>8GVUk$RF{X4tElvofSQ+XW1(5&_Y$1&gNc;kihIUh9tJ8;?OpnvM$51R8%> zLDHx$QS--mpnVU$tHE3hLMl5^MJB<Hh$ z94n|wnq#7Il)wJot{P4A8o^~QQv@hfLz|I4Bg}Eeos0_flLStuJuitf;)8=P} z1YQf2WCAn;xyElP@El0Q0-RH1&CKQ44+b~@PNN21MvVDo}{c%2Y^= zDs&41A7r;Wv325Rj$8Ay#RZV}2{r*0JQPpdQTnJ^>^t>1%0qFd1!gyWTkVw#VHt1V zD1UWz;ON(Nj%s|rWMcBA%aXmGf5m!FW1`|M33w-|(y5X#NAtAaFMbt$R#fJ~{MJHxxRy=Tvd2D0A&D6mm>*srdJuM*iUIc!Q{hlq)i;rKK8 zMfyX_f@#FxC}YI$#QUw&2pITX!fL}$w#ZF7Ii@%(QYFuXw&uKc9z-^Bp?A%>mb266vQ+inhh@ePTwhU!$(r zkNN3m`oMgaa%Cy6j?Wk=ouI5)M!p;?V}KKj~ zesd;`h7I$x$0(d@-H=IgMM?{ef*6$~OGQ{;iw%gyDKzk*{3W57fN%N7*OLb7xz{;N zuZXANl!rLc!Uf)KHB|c8onI;l7Z-{FE)e1E_jX1O@zRNSf{K&&;?6I9T`+*cR?)C_ zJMpT+iFPT|&sOBS5^z!7lMZWXr9ZckilgR_KnY@eF(?J$o~4$lw5Tt?HK4&M-Y|<0 zQ}if7##?{M1baeS9^>Fcf}e@~p#;mJIp`hXQSynCNC$LlcB5G~os?wzR1@J!o?vn_ z^b-7J0oM*|aE#r%^AMI8N@j0b3B2H9N&sDag%NR~tpV?5q0 zk~k|_->V~W5<3Kpt54ScBwwR%LO;QmNEzzy{AIlj+YRP}wdBU1x1r+&sPmsI`~&2m zN~Xpi;dNwVhQQ`d-$KEuT|>JR+ux&6wbV=vHSJz09-~i&b_kv-kZIoliDh|t0ln!H z4(iZRq7Z!GycyIVAQbDY2d#3o{=JhKFhc>V6>Gs>@eiXyi>>bFAqx<`PljsHDQ>hO zD@R*6(oKA)!gH80_=lo=CiKvc4nv2cp*GNxsAvywBH%nS$Yoz8t}>h>E1l z$!?a3mHeBvHrCp-dLxT=LJ+Qpvl3t>hQaW5qB(>H@0gaMgV7q-A3}dONUBS*1x^O_)zhr}VxeP(#HlGkVPXSa)+#W9>K)efU)Z$04(=o2E4T%>O(zTs zGlusdWpNF56OK%OdK15(Ycuspu|d~9voSb`x9KAZ2*5K$!6CihaV`9=pB%K$qZd+s zKdz>JI!fHj)_`iJQ7-_WF8{fG0%{ZoVaQxG%f<1-ZG1EhneYn(qK`1nln{6^vhQa6 z3JQmvm!7CVs3_V%-tUV@PwzTVMgQp94crC{$vrh3GdzBYfOQpx5w{=`=(_x&aEF-0 z96)?i+Im zECP;@$r>8hZ2Si}0XjOZ^Xbh#snWu>-_<0Bhh1)r50|NZ$lI_3FNDrRgIwnahz&sk z;KQY&B5CJQ*~LcWi^ldEW5tq}a85`F@$2@;Wo1?!^MyrFv5xc$>8ilb@pf>y1s1lC zV)@3mvfyL0_lT4InZ1rfuQbHP&S%BtJ*wO67oFYL*%XArEqDfLYp+VmFNxLZN8+;1 zrE<{rq6aswuPI=@+4Y5T$eBuD)nPY~FBRfs$&(I%EE^@*uoQnY!}H)B;`SE<`s@`m ze!gRZFGuh7v(VB3#QRzx7(AUTAnx^P(uo&f3ZAe9`>|J<`%h|N6eAo!WW+dDcDHux z>V?RnV#i+g)z#DJSbnJ6P#e&PQa>vL)zH2BJR4Yq%zw>CPMS_DiD-O*(Wg9?Ces68 z+rk>RK{UhO_~Hx_+SfHIWeXhDy0@Xs#WZw%Q}%?)iP(Q&Y@4x@AbSBv3v1Ag7e|rI zdf6s@K{zpBAToVC#1<)m6?U=Hn&GVjz`q~^z&Xt02VHUovyy`Hb+v;e0$YhhlN;`q zN4&5T6;kep3sDVUX25do1{JuPb1a517GWMiP$O}0D@!oppSLP#zAXd?pg)aPDG4N> z%Ja8A4Z8|a1;#@i`Wj?A4aUAvq;P}k#kl2L2V)*Ad^xT{m_xq}coc$Y$uSsdGL(6M zNfL6>jdnf#Ytu^X(Vi4XoB-nLX=0&F`?wyKHuJ(DLVL}Os-^SGc(*EjO{H;hhL;AE zD(r=sgGy@42H~Dfmo?rPwfr`lrOZtLhGFz+&hC?Bt6rpmvN$E}T$n_VyIROV9QxhtI#{~ggPj5ghUYcYJ!A^{^4z`w?&U*pOAkvZ{eXWT-?tAvF z1WHM!-gGjsd%s`?mZFOQJoOG4^RFN)@MVo83S)#gG@_I`92`NOMCVa+WcGz z=eXGN%hJX-r7=weq7CciHbvozSgVJGoFg#B^Rd*B|Cyb`U>RwYwCMk zG$aysI|gR$ydCbW$X9?({(SXvU~%IhFKFaP+#aDjQp03tm~c&;W`7Y8;bnfM%XECu z2&ddUf~VURl>_MSIXv=BJR*^bgmIDj8{!SGW=ok>s)MZqN& zk)*yPbjzl{ZqHnZy&AF6p@A0=mxWlNvB%)xabYoHLFmn?tXdDMRB?C?@wmo$jofQm z#J|Q`&pU1{r%=K)+bxRx2x}n-r3LmO%nB7uI;|xlDWgnci97tSg(Pv6VZ`9y+pkwW zk2`v5<>wkj%#a@%w0ln5y^lG3Q_Rqd-NPO9XOkC(;Y0ID+Y(DW0*2^s&md@~RiTqM z?p3DxP1Io0&*f#=tP;#Qsfp$~{}A~&$lm)snjg#iW|UsSxaGscf=MB9#FXJ%I-|k< zJf5Rp#%evjjKFmff^U@q<&W}(PO2Ld2a+7~$Om6HVLd1i)&GkoxV zB9M&SbF$WMqnH)+CXR3Xb+k|GTbV1_qej@HrXGVtk>51iRki9mUPu$gt0mE&uKp9- z^jC`Q-rj!7m_^^Xw^A(DOwNZQAjx$jb3FsfW?~J@ z;9iV0`&;qVe9l^=40ewnWBv)f_o$h2gcZdj0j^r$53Vmfu!Sws$ApuB7@u;)k2Am~ z7&m>HKr0ny)x&K?%j_t+oWF94_d-d#u8+F-cGXF;%abB@z&-A7D~ENyC~~me6Rya< z-OqKv73;vhbM4MJ=gN*8U6o&~eypgD5)d`01P*J|=L7{sV1nck2yS3j$Y5;Z?iMU8 z&rCvC1x-+Xku|~ZMVy-%BF#)TKk%$-Oc0|9D3`BaY}Vg0QcwGx6;R#c6Ql@>){gT6 zg29i>TUN_GI&8WaH$n}jOu2Zc{HI7UDS`(NR09&PC@ z(V(o`rk1^2Mff*S?F}e(kE^K_t2kjc70}StR~N5MF%2Dge*y z^29?qpZ!{8Vcdvw`qgVh2KqKI{%3eo59*3T1CA592@BqPK`$rqT95!2RD@nmcpDxH5I1*>i5N0dvZPPp&$xNyq=F6qNjEK@86eefWW{ z6fz0|+GZcpy9d4CV(#z@L1BGkYoVreBG+$gJfVg`;G~Ox$j-)pcUp>){Vau=b?8iWt$}K*Xp%)nryadYVRsr?noBn+l+*jDwR24CgQb>r*O$zB zgG8G~%u`E=6$3tGL&v(PEu#-8#HSkof+X|6>Rb0A2SW}CLI1@dJjM_1k;+TJP-}i^P z06yf%TpeUBgt_S!F4Q358?d`dpB)m^2vPQvz(Qoe@+Bf z_(t+gccECeAPuMxVt1DnXJ}G@$m1hYI1L}_p>>w7j5>JgM zhZDlf74ZPVm-6}$pZn-N8vm+y`YfH-#4+K+XBmx2aV{&Z1^F|7X+(#Yve`tSS!%C~ zSG-JFm`)AUJ;K!S-vIIAlhbzUxCjK$yj>K2^`;uF?S^kX&y3aXD;!4?g2z_u>A@!0 zN;>!GT20LzcyIO5watcD7u{23fodDB4R!XsV}@C~;u;@3bZ*|NXu|sw=Z&?PFO&G# z*Xddg*Gz`X@>5&E6bJQq6HXiK33_zICEPyd-CzYf&Gtwln)o->+^4hshL^EZ%Ol|P z>g4)8r@t3_ODo@{n%Qd4JJe%B4^-85L2c)ySS(K`7M{?_sYTsB=X33heHxXhruE8K zgnp!H_jK@}4zN}Hmz@vuMv5PE1CS#P?;M(ewPt^T-J9DBc7Aa5Tw~*kh?UjKn2?x# zT^<>+ngHZ%gB4>s@ckRf@0!3;;`ZnF%-#>un4*dd6lN0Vw*oNIjD85(B5Kp&;2%)P zWVBbI5F)}T@)a3wGdv_v>OdW2aLNx{7Lv2AXSHSyH|?d}fUBPG?aWAmnasSpy1?$L zt}fo3T!*`vHSgGRaOt4KO~NB<;6Ebb!#b{oVCM3+byD@C_F^(NYR3+$M-1q?gH!k zzf@oA09?&SS83-^+lyDni$2+D=k03^{BWpmX6Uu4id%~OfoNgvrQUUHUtPzaUnXPe z&=A=JT3C*Bfqu>ff2X?UIn^g}cE_Cf*q6?|%pDyFhvMt0fCsZ)=R`B>p2F6! zER3Dn9Jk^Y3dG*4zlVmr1vt7{;A)qa+&$cn=ZXg}oS=>YE11Qjk2bcx+DU~L*7N|} z?~vE5#{nf1K8A=oQilnE1|UPOYo1pYU8sqg{`a$1bL7h8U*$~+bnmfBm&`aZcfB+? zECE54_LHl6ywqFM<)B6Kn4@zho5^x1DtG!m@0DW{Ra* zlt!~IeX1;~?m1He;EDlMn)#TXLPC*#HPcyn=yKX=Gp!L!TwYt}xp62gYA+4lZ;{eslj63} zHPilqWEEZ~`{Y=RF9n)Nea1^B2V^}ZMcP#dKYrs=DW`~8bppHT>K&V*|LcHy5o=$1N=KnN z*=>ot^nlrCX*!k8DL-yVbUq+txi`CE`{zWP^|YZNPVHu7gmB-A!@b4$8zWgo<;=Kx zLJ9oNE%jl?!Nsp6?@Wz8dtB_)=-(~@E~0ZoKcz~ zA@0z*eOBG+YGrxdCO$`4dZ{SE-)Q%_`^FGGMah?2?w@aFq(t; z^IhhfjIpmPRjABNJ~+{J$moB025_lf;5BeTMKJY0IsXO!I$-Gavyjd8=w-U^^p3Z# z6m|SGO*3?|_nGT8DtICga>XM{GgiUM%q5iK1(oZug4~Y{ExxKAxc~ilB=^2nsE@GQ zB`e=6=H#qQ79E5m0Zo73er49z@lT6J_!rW5Lq%QxGT2mK<@S`_b1bvs=b ze;Kyp{M?}Z^gK>G@}vvD(-vsGU8O&@HS}7W!2Kq;#oboc(WK-QM@??^-mI5L6rx(S zYdFI2EGp==Jt6IW4?;CPvy=cY7 zWb~mm!MUbehc(Weil@@g=j4z-##J*Gqp0&So2$ELpF!nDrvChH>xLHGs=;($ zEP21A{PGYMFqsa#P98(bFU*+OQhfd0TPsf%iKC6ymix$1mQ30P3|^_)LhKa^JsAF! zf-J*s{|mRuAAAN%4%}H0vT=VQo<@_vGZ${PueO^}dtNP7yDPef_J# zP|LBhk|w9s5Iz^9S4yt640rqI=FV}})u$Qq=*~g5LBAQtFIMCL@xy1=br3iD z)=MPVe=5E83&5M=qS1W2N~c5T)OFB!Nf2wL|F^l;6%Z=l# z6x-fo=_XlfD6?D;m(%5Vb&C1pW5C^w`W7Mi)xfw;sC{yZ{EUQoLnGl5Z=$dA=6B>J zAlMriV*ck=+B$cn_Dkl}RpjEg4^5Vqv}uZU^i;(z1Ve4u^pvQz+o!MEZc*4qd9@%2 z%^w=x{K;^GDm}4J<^)J!E(zL}o;%GQ%-9zmH!5lR?|P^^hNKy}qDA zekE&2;MFUJx_ZiJUOyp3h@VI-=`V=q&}yk_;|(3WT)dCcCkDWpTGq%8-=dy;PiFT+ zFao3Ej<%xp8LaDP)3P-kzmM6`{wbXxr;h%GVL#^H$n0%_YcIL9apA_h6U#Bp%NeaK zjXH>k5hBhr2bD)xaAj%xE23LQiRXNd_1~{98GUDwpkn3}_T!P=jnnQ?Lf4O()988& zxm$XJDAn)0UT>U4Lv`|~D8<$rqmTBRdt-_>f~CW1`=!4%dhXKCzX_VO>r*|5?7dYv z3pQ0dlQ@+hOO(VLVxaLvs(HBb?aY+z7pie8a6RK!Zwx(gBK}p?h#5zA_tKryIIMHmvAXkf)g)Z--3Qq&AQpJwBg^KWP>6S<+?Zd#ej(eoYc=&PU{ zF8bQ3)f3d$m6Dlw2r>`!TjQ=tdfgrI7yRjigK|`p@;=7Y($IXmx;W>X-P@ET@yABb znm|>?xv{trtdlX)=P=;o#sMB->X8j6evZLJwCP+Ifj-01fD>hL zDgmbst#HXF4GKHgqkh!`w*6b`M4{05_bmU~M`F+xa=2`lt{-^h%GWPL8jun89hnv91sns6b z^pKU56B5_T=W(~hZI~<3Iw#tYqDWwN5*FFhHlh2(h%Sx+U(y+9ZRB_29{3z7V^(zJ zNol}j@g%Yxj<(0$BV0Aa7qO%D#kg9p1X;eF`e;c2oo+`62DS0Ek>bRVKF=?T^+MVJSkT*0O_YJ0nSh3X1eoqq1R8M()4M@=Wo}@ zTAijG1(Pd@wVYP7?z{fKoGgdaW4&4>NmmD?W_iBrtr)=BN2d>^pOba3bE2iJ9OY)M zB#U_bVP~IGD+>#V-4J56BbJwV%@J|%{+bOxQ4R75L<8Tk857x}D{uBK2J?f~t^N&ol zRlQ`W=p!yHii*-)lS4G&!SW9yh^x6Gdx#rFG%{1bw(~(YUb2!HYyFPKmvYWwqt)vT zi?}b)<+fkoI>aND39UzE)XdaQ^X(dlrDu}FcbRq`hC`Q1rC_5y>bNw`QB?0x-@RbC zKD7SGvp(v{n#tHzF8f z4Y0c41)|nFsh1)QNw00I=d!3FI%-W>cWShyJEm#N?M1d82k&+IA48Kku9|Eh+y3D< z0rU@%Z0fC4+Ea?h<0C0>sO>aA5m&~P)+r`g?xd^V30ic!HRWZ}oOSBNn#~1$Ty(o$ z{bxs?IS8Nm;iftkK;O~`WQx9zT#yixj0dE5Ond%718wT7!n zfKzuETxtF<+yZh$+%ap3xrU?k)>Ldu{1p)D`HFbP?@}w{;#DDQ3OcK`@79D#UHQ~i z$hfpEL2rM%T;zp$`52deY5tYY%4HqYgy|m_kZwen$1hOt~2t+}1pi*@1fI@{Nfv>Aot)G!Bc^pVyd; zwE@pl)gH+Yh%wn;V@W1!vG*#kQ>P;H*GJnp*1>oz;r|E~j#sMXV8#@zb3gf0Q2a2Z zE^%}I+r-R{1<)$a+zKok251U#`9B>H0aJr_!Y+EU4+BSG5wy z1iImIa2RwTLV{NXY1a4p>0^3&KasNeYL@aeG@@cp3Blvf4w%Vh!TuINW7A%NoO`0I+8<5-+Z3&JZj(Br8WwdRn{7dI=LhF!(kO7 z&Q%(Spt7iHP}8hWrn=N1oU4|)dg2e&{w0vbZZqZj-Fx{p(fLSjmhR>C^VOlSYxC1@ zZ9`owv_xuu&!!^jVJ#Xuf+NM!4;+bX^=(TzoFZPe@q+R=?;#KO8itT=E?NA)*n7w4 zN`vkFJGSjiGO=w=GRefoj&0kVI6Ik%ZQHhOb7Jh+&Xeys=dXC`SN*bI^ryP+ySjU= zbzMual$+59@L3z!2&?f~xxV@mRb7;pe#hHf(fD#ecaY=#6?>3L&epv-`+c*AXg%g1 znFHU$)9C9&XydnG{p-1cv&Q8DXTTMq5)|~FR>I00^Y*!|5{n}U6~Zv zu65WZJ zP31Lr<Xv9A4?AmW)0mF=&ZUdcsGzChmEY;+*l>HbH z<_%k&2&dkM`XnPiZ*AiZlQ|kAcP5^>YE-RWaqc{_?+y?3&-J3rTDaYYXH&A!@D6xs znX@z5tJVN3^DNwC!ENL+KKIvK^2ZDN{Plv``w^>?{O;YUrxnNF#T&LKpusMCP@bqZ zHTHs_Qo2Q*3}m3CK7+bm1nFemk+yzhQ>uB2W*T%MHW!Y0fghSX-4f5 z33aw=+w`;UV6?dLP?D=#OMRa1latwbZD3*JcT8sj5V3^_G(KRt+*Z7#pQFQuS1txS z@Lun(5irNrl8j~4KPXQ~IZVg*m>GsEhZDcrj@mfyTshnEg?9=ZEfA%`^`>djlo;05EVva>Im(XVKqTYON`yb`q$hQNTr`1(fR(1dZ9--Q|r|IMT zTYf)NlPe9IV8W|5hLZH?Ki{XElT7|bh8fzyv=(hWyR_a7iFsCK8rl&$*?#ON+|;|r zG~N@swh`G{&{RLpZJ8Evow^kE3#Dq2_}%dygzmDC7HcAh-e>CgHD0dVn7*io{d;ZR zR{XH;aY+YncE4S(huzft@M@$VKZ-EO{28i-OQf=8-P{GEN^Ea`T&a=t*kVvNn15=q z0?R%{f4OmTc5_dcFRz^J7C&}SDUsx^1W0u#uBh-_@jST9A_n;B=z}OGeRlueRJLzh zYLng6)SIRY8Y?{y3U)IqV2PIBNbra)Lw?Fs%h3w2{mZz4>$GSU>cQs&dm`PoGXjL! ztUTYk2JqQ-!XE_NSJT#`6vzWe-K66`3^VF(mg>j(`U`wwofb>${AP+etdjZ1nHh8s z$ViFxvz-09Z-hM#8LQiCeFp!GGineAg}JrYzJZF9lO-c^4F7Dqoe8(Tsf@E8gnj*b zCtX8(eXU$+Tb6g z2pnHSZqy`4F$^iPk4N=~QqI@F3zNpDnfV$5VX`m?t|K`!VGuwFU>}bOn_`I=%uhoi zy4?TFM~f9AVOe~QEHNs`5Iz&dW3fPqKnX)4Hhh`|vfw-xltjh<%n#)%!~AEhX|njh zAH-}lcex_@BKa&RsYqC-e?!}AP9Sk@IU_x zO=p_(e^6KdqyOLT?EMcU#IfXe-hZJJ+5Q9N@jv=p)c+Fw7v%qKxzKV-=L3XGsI*WD5`B^kl0 zY>LHE_o(<&i>+Da#HaM?$1^SPAmncz2ep+l&9;qFrGB>34ap>u^b7O0ifWw>tEkq9^k$c?s=S!)}<1+9|2?au3Sy58mi*3@R zuW%Z5FRVh0Kb2sqHnJi=kj(>m`>9xQ?QYJAA?K&*8~8CP*eh?1@DhE>D0ecndYv%a zeY+Xey+uJ~wrTc%lIH}-RsoEdJXKnEg%!Ly2lVqpy(S8OpMLdD$A6b-pG!05qW5J8 zQbX$i<65%LSwCKV4MQwC$Hh6ZE!X;9$EC%<6}s(Zb1YQo-=a?RAqt@XtSmlvjmrFY z*iE%~Pu2q6*qK$=BxGp8W4;b<2sn1Q$8sK3PiQ;^QHRQpDv55#cVkj!O1<1~=n=X7 z(ggj5><_gX6Q;Fpup+djsLD&e^2l}6$NOU~C zb4po$#j}$x9?i0dK(uw3jrxMLgO!A#{eD$Hjb-8<)RvxhiDOYzwgqT>c5NC8D>f4| zcse#SfG9pZp%vp@OPvj4*!&4G4|QWK+(->cptcr{{L9$FJ+&lGQ~OTRPUtyjZB%4! zc>x+1-KHj5Z^b3Xjf{Vz@(y%zv}KF4eBImnWgjoAbAjX*rz~P4L*8VY{6vm!E2imW zq6bA+Q*n1x#I#o*!V;nVTl_Hl<#IMmLBUikmoJ5D3n?~43Zb(s{`ZXIzgaJr5RD?1 zBJ;G%7RIuBhKAxnA*8tCyo#IenH8O0{I`g|ZP>KKCG2$?MNj-Qml=uo@wn#0JZ`&x zI)sF+hxQBUauR{=*Vd)?-8ShYL*183;O*PfxY0ZJnc-&#s~ z*9v8(0hJ5m`21G*rZmRjYc2pvqK#U*vt2f?lkBmpveQI?XWzYf0c5OEn?EY3UcnaXw3mPL%M+t#L|MoY9Kf)Wa@z}#%dUHvcr+?ivihePHDTS3 zRuHeJ-gTWmTNm)by15;16y{1loCrdD4q9cW#j`=HAVFoy&|r z2fG^V0k9sTe3KE68sGBoQtLg?)ciumY+sBZQEf9p8#V1*HO2{A?w@e1gf)GV)XT;ad8@csYDl+ zth~cl*qxY-5)#Z8u3alU(=XD#z`UI9C%d`gZdTrm%nZ_uM~k-KjJ#S>*P*D{q*DU* zRETAV))8xe-T1W=G{> zvx&4iyromPwhUMIJ4-hMIU<&{xC(EXDgle6fR8e#Ye zq&fu7!3R~BRD=(|wR-(DFHd=b^60d5O3qruMf6Sf7o~OaOt{Q6Fk4+9J2q1D%y?4l`xNp4d z7%6xW9`eWC=Xz9Mofd8O1y`Y9jye<(aAmnamFD&j=J((J*yhEJP)oLHuFm7T7B2uf%SJVztg}}5QyFi$klh1;k&HSIu^|FeHux>5sZ8e{fLV3Th>hrSuCJ6 z3ojzOfK`MIk$o&4=9M=e--6+v1qFq*LrILGq1qfCa);=eK;; zc-ETSUWbE8_I)2>m6zw-Zf}1-!R8c#!pJno;yPNybK1HfW7=>2%QDiBqU#p*!p>7A zQ=JMSXZ57Trr$JQQ3&;U#Jq2D?V;cOk_-Ex7A2wBwC%!;M3_^; zHEXA)H0r4a`6fvEOs_i&9f)|SgrtZ8EAb{=aUE;HrB2I@Lt23LUq355Llu|!@9d{` zfLW&AYP^7-;=4-8b_DWIR`-f~b+d?FkHbz6p3O2(wo4C&rk6g|MNP>x<{VDj?EQY* zF`OC$1P5qNw!a4i`9Vk_fFUTJ28qA+`8p<>5B2O~m!igfH+?fu-WYT10d)qDvz8@w zNc|v-1TpZN^m2)iTKepG??gfoPcqf^Ehnp#md_H01OY?#aj{Yj`#Il==BDwTVl-79 z>c|DeM7+z&Gc~`2V(I|7^caPNwu~E{(kOZ*#JXwpbo5Na^asQn*4aun+S&dUy&!fZ zw4x|#=e6vDPCIanOP^wqAQ1IX;#b3L_}YJd+>TrmX#%KrE(E{JwvxnC$zI^{i*%Ht z*V!F=rFMwGt?o9#{Q6HVxt-T~c$K8%xvS@C~0D^#N=5yB*^FlK{ zb?blgHG&ZYs+kx=*<3Uk-*0VA1%HJuOF0Gk^D82-{=C+2`{|<0;$MS#RuL8<&J*F4 z;Z=v8aX8UjARQJrzHaRx)^$Qqr^yR*I{m}pJl$SLO9raax;;xGwYb1!z4`&EQhl9$ zWUUB7#Ds1wagCQCmcJJof_&>oDmikv3_)A+5}GI|VydWuWOookXM4NFy~nnb*~Xuz=%WYLK!7~n@H7q>O15HrN(6Yd)IRbH1q$Gh&Q*S0*%qlh=eID3B&OGNn4 zc6XJ-qJnTKvCE(Os|q^{Pia?y*oY47l1w%^@9^>>HRe8)KeTb|gqiS>x05b{=U_EdM5w<#{1dT|olHPje}GfrG;K}WK89k> zpBbl(QrvzCZlXW`2fQJaoB6YqmFogBRY&sn?7S#f4i)@M6DpI*PmD`dZcx4))$p#H z0=}Fu?ThA3g4|JQ$Lf~VEjQS)3dFP+E4F0*Q55`f9EL5Y`}Yva)jwbFBC_P&nju*N zOX>|hQ7l%0Vx7*4MfJYS52$OOK`!0;5{#xO4GZNFkEgy;3A zXP_Ncd%Lx$b_SQ~W$i;%yXAc3yf)vF3T-jx)Zmu!!KhmMbYUOA2>5#&+FA+0qWGHy ze7xIoCp~FP+}G7{DQ@n$i}ddZ4uLrJUE)*}QGh(s&9<|};x?OA>#hD|l)&rIt97nw zX_Y+t0EIH927+o_`O79B5&+xKnM6Za4PGu8hzA8y2!F*#dY8-Gn6IDg`^Oo5r!<>S zA^g-(h*!p2;hja4pDof>Gf_!4T2nWgPl07rur&m6F}P{|j&n~=X zc!K%5?%^m?C1=fR7CrZVJF}0D$>zK!F0PuQXwRVLC0cxSjE-zRJ6vKHs@c)iBM@m| zqH<4@w!(loZ1q{eP#%~ht{+2U(0dAk0=nKUN4A=ft~i`59xXWIg%DVuDcV9V0t8QI ztBXj`3&pL$3J5h%6!{cf!i5Ix;Yy%Vvz>IYP@Gq5O0f_oOg_XU`z7+n4ax8%#@7-$ znj_Y}o1@Zc$cpbDK@vjaH!M5|eP3qn(g3Ei&`=Fs1J>#yy8^e7dlXQ}n{hn>kJmeb zRsj>s{4LHFr(9zK_=p?GU4A*Onw4tAkY&`lVsief<|6P(<&xlRCd=7Y+53fhR1exac_P-L`1VRVWR^naZ8VNhO7>`o`0)*56lu>8W+4 z)R1pwX;8e7DN%+S?VXSL<>#tucsRvx&fUe zJhC;jcbQ%_m0Puwm^~J9snssD7g;a8|HWj|&AJsWql8znlX2vi^uadEC8>`3yNL1B zZc$)ry=+9xphPOU@BIM8q*|8>pXRx#bc5hkOqi#A0zDB>Nq!Z^WpTR_@Y2is78lbT zR)*2(;TC)~lkJ~K@{lv%d`DZJcPHy7@9kiLN7*w8Ya!>n9b4s{{Z&sA37o`iRGFvP ztk#q_to1S!d*q%fI-Q)wYUYyU2`lb@RMS)nk(pdO>l@ec?%!bNFx}feg)Q1`3Wi#C zRBLmUpa&rKJO%Rdw>RY{CW}VEsR!>smLKQoXt{5GV4s&jS)XO&FAg&0EC2|Xrx7AgN!KfQu@=4#W zz%nChGt*q&gbYo%USFs?7&C|YC@IlJ!cM`D$crD&#O~@Y;v*{68{+sGczwFB$J-46 zq|()8FD1ch$vw+=cdHRBb#t?g|-w6GE1Bz_G(*12ZwO2fTv|p8JHyx^t z<(x1udOp96T%GsKi@9rrWz$%ra5odq6Vq%dFp~yMyK!JOR!5*{q)TFwcu2?(A{KJ0 zY~&py_=dxhe^Y%d8ulLz%kUl4nS+YkdhR0UGvoGy>Pl*eZq|Tg2Fbh$@AdN!bnDb` z+9#hHP&i|x9P`bTN2e16mLN}NX}a7>81P%RzeipII#6?*~BOJBzdFj)-2wqOSj zmG9)V;L|5yjMVu&t12!2iHYuIa*{_CZh(T`1@NmV7@AHW%)?%Xv+>|i2nn-K#k82Y z#g|*z`E-#ez7P6TXxhW8cqYPW;VMG*)+@3N94;KaVwB5<*jld~PjwUiMu_fBvEh_k#R@Vnjh9Xl z*E@*Y6VpFQ#_x0|d!p&!>Fw76Tk92Nwu`o7;sv$T1b^?wtaA{>w8FPz9~|ZPA}BH(YrS^Tv+h!gPW~Y|B$Z37F3DY;aDDnWATr(qIwD&fUtYK z2#pacqRd|pYifM19y+y?&8q^xOTB}9%G0pn6L>qvFuiXB+a7|CagC@e*o!buzR;wu zsi8tF@{PWe7X!m%BK99c*iqLY*Y3Fg{S=-`O)lQvt$jvlIhH=dOue$M-OAqZFe~>l zq?9{NC>iGaJFT&<2(>(4+jZQhX^>oL*xcAU6$d zPIp`ftx4dpj&~fCt6KPw-DkavEr0XpusI9f{ZQUB!JdtZNN!&7j2^6YraYC*=OO&w z!BDH`jlOQsu{_P#vx=2Zi#1t1AuQV8GvAN>qra?!%##2vV?z65X3gJCUo{F&l%|9`hdEz>?w5oDM#

4rk7Z|iuJpXFj{&$oIbBVt zIh*!;3y5SiC&%(hSz(D7i{G%5b;@Z7ucA8d?ucI-(H*v*F&%Gv!}ksP@yieseWE=* zTZK|O`NNFd3SV6$LPs3lt>7G*02Dtin8!fEsdU}{)HWEjp8sOrZgUnm;IcSb#Kzy% zoxkNr*1e+N*O=DfWSrF^*NL06R z+!H%H-P9hlktj3ovAXqIoK|Kit-RG(y5J81JlB$8^C^0=lH6$r74lsoTsq0nZUnDh z0VvllXJky%D3T2E-iLz6XxMXq94wF-LKU0^uy=0e2|z2jRaeZtlOoYyC2;%6}gVjT3vL@H}TgtqqUZ(GGMzm1Gky~9{<-w}7 z3BES)Bk@kjH3Xc)5HL_Kp8ib$O3pas-KW-=)+Tr+G?ss0WFw6w<&cJi%NH={pp(by z7XEatf{(4r&0Q6qdSU?|tLbd!md;uvJfM>Nu0YUa(>g#fkldkkLt%7FZ~LHV2`QP+ zdhdn#4WJ&&AD4SwK)`Dkl`%&{zwzjI;$Xfft0d3StrdSSzS$w>gXYm_QOW1DI>I;L zP6HCN3nTKhuqnu$BdRJfi_huYiZ4m~ll7_;i z^{Sg`%}6(mHXxG-%ihN7K#!%@|sbse{?z;m2F z=*&xXbyagI{U&FSu85KZT_!c0%$54v6PsEg1>*e?Ev;);<%BKeyD76dX()Jg z6NIYyYFz=S^t`#vRAJwIX~CY75B86>nv-XO=3F9Q23Dfp-H#`5fSW=%IRqX>_mNRN zl~NMogO{CmBg>D!0JwCdi+IO#=XG))?jxa$u{RQ}{b0}x7jR!L* z05zs=Wl@Z~k7t0ouPmMI1=Mu8a@V9`Kd^t2$wr_3!LHwS-CjGfMn%gZNv~Su%GXX) zDReHC)jrlw>b~qda?OwXH`32h3D1(U_(PQnJ+Z(2zy*}rWY>)HXS8da+5swF&pExF z0$(>&)DuCKyn6#X6yHepZLdM1&^3if3})V?@$IYHtiGueO$CrsAg;hcgc6b{f${jO(R!ccL|_h6sH2@ zX0lT=jh%(eu$E=)UZNpG*59MqQ8rjBw72*gmgB9pX{2(?XkIcZ^r{Infj~u!!Xg>| zV;Gj02Lpp5tu(y`Wa-tr_d!4v6=b?*!LDzuBa6-cGJ5$X-Vo~ckUFU@Bq2iaviN{s zUCr$`^F3vePn0s3yEEOiBb$9FKu5jDm3|>VF;E}^3^#lNoABMx*fro-JWy_XmG{?Q zo7{1=np&`LdL=do{F%t#S8(3>n&uC=Iyy&IpR!2q@KgUnWmj(P0Q)gXGa3X4ENv$jM#7t>@2D4Z|{ON`a*S4XF#6$CXN9bCpyauh!I~M5XFOuv{3(0 zaCys25aEJ+NgERriKhQiCTR;ff6zYnggq!sybCx^8#WQ#!kkmrF})(qctpi~3{S6H zK;M)#;SNE#=Yt!m6(nDs1xQN3Hq*?WAK!f0H9*Tsgv4$U8As_N4{5MiIiLgAU5#1} zMy@@F4HeFt=J_!zt&OGoUaBEW>nPReDxL|KcN8=+f+Y{TQ>a)Ez5iH$Pg{fiXPo(y zOgqy@=Jsv7@e`DV1*BbEV#tvJI1}S{skwJFD0tG74ekb<2*^8JLrdd~>INr)In!@C zfmAhG>>=W@Y7UckNiW~XN+bN^eHeEh@D%?@xBZ>c>wFLW)HBL01Lstron0#vUE&wm zXRB69Jp^!GL|*`!%kjyz$q<-tKpeX>S4 z4%S=x73o~Jp~c*m1X~WmV=yZ`8BHaj$jqGN_1zzN#;uzaWit7-#FZmOc}PGRHDEP$Lua8=1uff1CM(slVkuqtb)Xl~pptr^l1{di5miHqFiR2{4b01^l7u0FF z)7wh}(eg_w@2Jectzy~Tkuy0(YKl~=i(755Q*86QR#-Q*u%rFM#Ih4VM&PafhRWuS z)fW=~^9Us&DiLmivLI&2ren5V7N)UwKRsBs$Th6&NHNe79&>V+FZ(NDM_8^m3*5h1 z^o>d!Px(Y9U9(U#vKaY{Hm#=!vaEn#K+-*p^yyD445l4tB|3uqz0UfL5IkV`j&J-g zSM4knR!CmUyQlJ{!vb$-9~ZfWdA%)LZy!OpJ?NtNMNB6$qh>)9ICn*hOJ zoY4?>-vut!MmZ){@DgBe)lSkR+#2wGToX)dmFEn(S3BVOX|KXvE3H`%Vi%HO!#IOg|o&b|i9O(^4acLFfwcQEcz3IfG^UZNOf)XRfvG zS34yqj98NE1piyJGBqm32ZNtcrCKb87nxa4Cu}%Ho|02ElE*~GvlhGLL4NvjpgoR# zU0b#g5;~_?!Ds%lz=YdDqBNoc6=Vc;Cy2Z)DJ4q6!5KZ#plHNDP*c@eZ1Oq3HG2Hk z0xN&8K-kb+y(>*dphJ3Mcm)~NaT|2?fm8{_{?CcW-_irVuls&U&rpF|JYF#`>TgM* z)-yv2J{(2MKy-5Kt@=l5))3E~`jqa(GA42%gBL8-@8hGhO{u6w zl6aaZPuN3-O#{i{>#37{qX_i)YnQGh>#~c8A3{ z1t8;(HpLX5bf7d6xFODcn0|;~ zo-fu~YY}8y=)$J{gl}RIiN&IELd~u@XTdh}gVnpvj)=1$#=iw@KN;i|I%)bD=2)V) zcFYQtLi-?2?yomAmRreT;JO8CtYszQ>JpD%tJbq+FI!Si8ag*I1qye)O@PsRp9J3P zC;3#>G0oB~(HPUn^tTgu7e6F%MUL)$X$mU`=Z+da34%qo=!-B^WA{{H+6_?AtFNoj zoEBdx8?o0k^$Uv`O9HtOdJWs{v>CJzPmTc-0p3?_%^LJ<6~DN>cU6u?wt3cPSdQHM zt@xo20fqfk)kwhFKaD})ktau>jqh5d-(o6Fj2p_u#*o}&lnq0qs0ckVUWt>?2%pm$ zAJ7ncj9`|RkG%)_>iBx)?$5tvReVJvf7S2`Jf!(PD+LjA{wXp!zt8)_UHk*PV)7r1 zTi&<;VQ{>qqU-q5(04O?m36x^nU2r=&Pl+FT1hHdowD{W!z%S=)57?n{S##7q;k7@ z_m?6(liK?YX{6ozTNryM%t`lt9Ni{hzb`4HmY_H#@Jm{Z-^3$Dj?-4*px?a_X(ulk zQ)&Vuu;Hg8Y%Pu1yK@>xZsf;0z6nUq!?r2yx1^k^B2xdAGY8+O@o#31S*!DJ$e<$m zx?RQjX(O&57Q}GW63yl9-26iM4I3YQ@J`dlo6!{iX$A^(6v0jK&Ju`=P{ z%g}5KT-~iGB&vG-KSO?Gq)Mfsl2Y;qW%KJ2UmX>`fFbShMCR|j`cRdK$6(v-hTIn) zFB=XEtJrRPKcBi#+pj-bt^;>CX3{W-Q>B<+>G8?@a>ys(&%mAC7)_I6r&vrp#+MM+ z9yIhmBOff%Qf&pL)a3W(d0!nkrP3-#oCAH{)xBDU#gEOt zN}kW0R^sW(VV55q<)F~-l*Bd8`xs@o-u_ka8K9ZJ~$M5PwO?E-FhZytB=Ia``iqSX`%L7lG_1*Y* zFL229T;(bg3MI)=K#6!u+?E)Magnma&wjEtnYIxG0?LK?JLwK`7dbp{SKa>3UF}a4aU&!cWVJclQ0T22yy}zoD57j~ z;}t*|Px^zc{j24Y44s2l=U4t+kmu!EmQ(7sE~!z&lG{uT>JHi^mYI;kIJpB5hDXi zmTtbo%3bLYIWk#d;t)?~@EQ72=XMl)7b^ofoU$|q4q27Mc+oJZeXZ87q+Ig9DchsM z&ao=@2PAgpGju*LRTCd7r7V#2@Kr*Eo(lnz-=UZHfvF=23@ms?o}?MwXVB$ktD0Wu z5Zl$}?{xjWMI=|E6loPearMUTzY(_*TK6@6hA@_Qd6sqO(m@rCefQr|={IGV4H%CB zc3*;OvlRYl!_NA@ycxcRc6^AF8#_KTrpB?ufW4JmSVvymZ zW0NE{aov+)g@@1sEAF6ISt#>VB&#^v;nhcLm3sJ=mZLy|WozH$wc&$8^ES?>M(5YU`pwa*VxAmmwv~>V>h`SBQF=-? zGb~FnDfQp`y@jXoww9h-@Ut^oAILBKxX6%iR-{N2TVX?L^l#;R#%l;KvSJmh5??D* z$F#Jz2mVyybuFt!Ods-+@<~IOr~oFExG5s_?v>*5m-BeC**af$a5r2O!`M*oPym*5 zfA#m!)H$Mt2CAsTt)!DS;x}v+8Sik&O$Cm+0OUic>}r$YxU47j-9r{1R2lJx^xB9n zPMv-!og7e6uE#gR5ZU#eKkiAS)jZRU9Ft3D0?nM|v4bUdQpa|-SeD_$eyd}|kMUG6 z{`zzr!f+}9n!6s%tnv)(@DQYC^#F68gn(W2nYrpsXuVl<99coY-3j~&QGmWmj-xW(?|L#_@izCT7jd#+TI5cSU8P@y`Du?;z=$7j_J!Ne6$V-(P~^m7;coDhh}=t^{$ovE$lcU~3cBY&Ff&W5C{ zD-gwPZvG}v*5;`hC-(F(XX}pDpUzKV9QecYO{%MCb!L6pH00`HzCKxN*pCqhxc`w> z|6Hu+$kB+MJ#l3V3Pv7%T|_I|^uOtr746Y2qj0-FzErbDnybUqCC*}egt>5x5jPMsiW;XH1$1Ng)w+{-4(}Tmp4ReD(mxAXAV)aB8Mi4`>n)9{4Qk2&GjR* zD_>K;mvcHz6Lsq+h^dL9lK-2*&2MgY=d@WmtZ;NA*@W}!RrGhh--NFcsmhY8(Vocb z@lUvpf029CJl0IdZ)M|#e;JuYgrudn_BE+P8-u56H|0(ZO=`aBHBj>}JZr;ewQ|%g z{zBsD@-s{C**deb$I4_ZcNAiFV!%kJ`M=^@re4p*xCsiw)#=S!{?K&Yych|xWyy+~ zTa|74FxLf8DzL7?t^Fmb{`@!{x~s^Da0ms6rgZcN(pk1fUuAtb+@eqQ5JTnH@Qmu( zY&kUMIjrU9@~kJ15d%3N0msPCnG;(>&EovWqPMN`4Cj$U0?p^4cn(uNOyVA(8dPKINr!`mOf#)Yvm#lVhvnuKlk5S z4cck{_`2;alg=HMrKyQl0Z|zY9C{0KUX4`6RB2Lxshu_wt%Z~3MJ3#^8~iIja*MiJ zk~9{*#^@C)OrcG|XiRu(=FDSd;!FsFEz|7dGH!(U8xC&NlMV6+mP5XiOif8Dil+Xw zy}!ag6_`_ zBrV!8SW2L97HcdUdDCmqjzW1;2Aux7?3Kj{CQZ!zsxvbhV&aMfIvvp^;%1sh$-uo^e7L`;a1bAy_P z#0$%6xUrlSE!>ZSlwMv`ACIAnyc|}P%WSX6oEKUKRH&DZ=I^)NEHrD}Y6#%wJIWL}_hUs-><2n@Z)b4=*<0Y+*IhZ}gMD{P zp0@P>j6^3!&hS@S7&z%P6N=m@mU_AQgWs7)n%#a?DE71(F0@daJR28kTPjXT7V(XOUL&&|Juz0%STzd%=3pscd0^HFf@)J)#>1Y!!U zlP%zO9I7G({%egR=I21IKDH?pBX*zS{He2`CdgHl+rV5h7W&rgP!mAVB86UJ3D5@L zKE*mVH>#0e8EXS~Y#z_-Dfa!B|6a8?dZc&{KQsT^hsnk|%HYTE+j-On__~AAn!vNkvoBIgX3g%?|FDFQ^WYL5hfwcuO78vFXtUm;nb~2+o z=bfI?Qk=h^9V>Cht9h~@ctecv_YQX^I-}qd8O(rMCr|@(UPRtS0z+8_juf1@ro#Ra zrbtih!?LR*Xtas@79w5CnPhf7hvHyc=E;qfPb!Hvr5(6Ro8bGO#Eh?^rZ+*0Qljw5 z*HIMX-p>`AcrFY&yUr@W`^+nwWEJ4(=VDI1(Z%GtwDO%IDQ0iX(4E~)MdrrVgF~Ja zu2K?{djY0Gsl#1t=akAK5({nBIUW5uZT9gV+;ARyQ}iik=e05+A(;AdQ7%=z^5#be zuMS!;`9k75qm7mJSoe2(oaE++gwg&wBFH>J8k_O@+5BlJ@pLMqqGXflgj`88ND$of1 za7Q1+LJfU{n9fn;}cwhIK% z9J9a1e9}=1hh`VSq)Z~z-19QK$^kgGIsXWY6`YmjLf^j6v=RAT)2tS_6pQ!qZ6}!= z(-7h~lfc*z9gl1ehE*>lz;C7{A^z?bD5ZvI6|%4Zco?J``Bpo*!^!7~5Je(CcCDI8 zJdt$%PRkygj90ZA^FhY@K+U|OkmPnVnG7Ya~2YG$p1_FcP9aLM{|xw%n@JM^@StG_>nI96bj7KZZc zYq!ZPR5I`@UW?2mt^5Wp&CvSjE%`1yP-s2Bu5+OT+n*5V-4%G3-V9rfrKoJeNiiIm zk`c_xp)Gg?%ysNlO|Wht2Ni$$!KE_gi7ns`XdUP61)mn%WE@wGh?l97)&PDrt(_b} zHR?vbaY{=ysYEzVx63#8JQdR~xrK)*%OY;Zu`6uySy=on->SlL4z#QFdyzuSwV&i| zU^zg98&Q_3R<5oD>xpOM;t(l`iOFYBaQdX2BXOvdYlN^KpF2~I^s#4YQ)spsDwdX7 z>UWb&zU)b;n}_nax6(3g`Nb?tDfQcBVqROD9PfN=(%Tt-`tF4&i0@pJx^6jZE`zwx z=^M%fyu-+>PR5>pkj#Rshd|1Dif+T3Y@fW9DM~C*i$+5rQRpYtPw%=a+Exp3(w%)k zZC1lds{PL@%D9GY>EiB_S$8NGQ=VH#X`E7)^&9%t8RNr(I^QnT-W_0%!Z)B;DFJ_2 zC$!80)Xhr&_-9MqzJd6wa&N2A$`>82iq{%yw0f>y7NTzc*)RSs>%1ci1%*6tMyYrm zr8k%_t^VD&PA?-Wh-^Wr?Rg!A$XpL2(>WK*!d%w0;$~&$YA49yW?z`<7;l74=2h|) zq$ty#9ux(fF|*H z1B9pLw1o0->IUUdAT+7)K9;G{ZhwYnp~Nf_)H>|zt9N-q;3FoO0pn8Drdg5$k4D(D zzS1uNs(P^4Z8wV^pyrG+DubCj6Z8vQZ9Qg2Fg9iU`S@X2GlylmP))JIT*5=XHlHOU z|FjRHl&ruX3G+4tg#&YZa!_|!0%I*H^Bx#ZQe{;UHNm3w5wV=wVC4;4sZ|IZ!bloJ zh%Y#o$_8R|QlXNLp{tR$ms|m%FY*2=;mCHf42!+}j<~Zja7g}S5e6yy2SSlxXVSYv z#CsBQgy6k=2eF7FlwZeAl3{rAqWbBdU;I|&4^K0+LKjW$f2id0j%ZR7Q^b~eXbom; z2CmWO*5ONomKVXv)CsK!jvKUBU^8)H8~6#`FPM3{d3W4xUg*+c7Qq@z6~79QsE~MEpeO z1X1)hNsO*ufp0Fm@+=c})qdT^uFbFs-l=#OPz@{0l+gX$N1<|2N)LsKWm zqiPk1dVl$3i4mUG?7SpeMw;S56Ob%y_MH!b;MN&tJkA=>p8m!fFVL8Qhk`79m@R68 z0-Ut~!uBGKxt?pr5SwVMomR;>IqLr(d*|3(*%yWR*tTukc5>6PZQj_njT?0AbZqAz z8y$3vj%_C$Oa@_i8 zt(!XW^e(Z@f4??(!~`E5SQ*?7h3-iYhZ*DolD@% zyUM+XuL#r>nZn6J$#0B5iSME|D!#map%EO?X3G~gxEhJ<34#Nwgc zvE1}<`WzZ9x|?_xTy3#!Q~i~%cNjz01$uJg%r;A#2tQV<{lUleLN1CesYiK?dLW3o z=dJNj6En9hb4V4|Yf_9*V^>Z%@W>e?TD$!g?&JM0>8!d4Q3gmT;~a>P6< zSJj%@U`4gHb#J56N1$;3t?O)kiYp4C=ziPBZ%N*Kj}r*!OLs?>8)Lyu6)&^ zJ$8(ONZ_@SVCM6FBi*j*!$N~QcUWV*e9$F9xEYOp`Eqw$M!Hqn6A8Ty-2k zB>ye41md5@c|=#RYW*S|znu!aFiCD-0j(M_i|@5liLfl4KTF$kUe6#Wz&4l?UCih{ zw`E6+Rm8Y%NR>D>5}EgTrNLiR!EIQ8fd3x(TAxa&yV{iK z@S79S{vfyOMy}6_7)PhM!!~O9`p#uI@ z3g&%SUo~OrI?txF$@Cw7^3gGkd0;+DaXY*-CeI_jK)U#P1~Ft)4rH08`k5(oy7am( z>fDND33{iJ)KQF|sak{TQ7ltvXJ2QdQw%gYTwAL)WOjTd3GeU6EZ1*|kg~2`Y_b4= z2%?|FXTL!NVlG6B=6f)DtbN%^E`#P;WMBM!uotrRzQibGcPKpPKPJvS-aqjP@(Qs@ zVPp~sRRt$q)Bh$kR1^5t@|gQG6_Te(?3CrOJO+?DEcI)s&WT%S0ry7-j~xj)K7qdg zh!b)Y>qGrIooZ9=B_yM@@?&PrIB~9*>q$>d@I+l;-#E|pCInLWnIuoLPwxzC7hk7DbrL1kG*o`3Jj#BEho`=<$`e(eu2kFlP+Hu=jYhOc@ zT1K`qqOw7F8(F?P+5XYpELM$>@(UV4r*Zx5>U3`XJ{Ku1_Jub_`$1*f=8P9VR(o6y zqFAY-nin8sPfZjPB*s$nt9Kqc*K+QLK%8>c-4GtTPWzsfIH0y>yiVv))De%+moJLA zsI1aF@L+ri;B3R)|D#N~lVxay#7(k6m;APdis9LWIXf`bINfw0n}4BsM5c!nNYqi; zO3d+5@lr3LItOR%UR-VNOZqN6>alyep?}Q%4)G}{e+%(- zTSaW9B=nvx(gYniTb|WA;8K6&7v$AgTI^8t3Qe?Wv@A6HSx~2@nQV_(@ynVk@8t9+ z)J1+6Yj;ZFH!?Q#zAIA9rg~Cq7)0$ebAn>p(EhaYiScse0(6l!w4HoCV&-k22$Rxw zEhb%^_%}|!pzz;98v)l0v!f^$9lDxIczhhLe#2?5R?(ywHip}49VF($FjXYj6E|z} zCc_nyOKD1jmbTP(#L;CBbrxZAmDu-`5stKAsqkJwPSrbbQR~v>C*EtItTa2gL3<|) z-wz4(5e7zaAW*v6jjurBl!RGJo{u=Y9oRr1>nw+tR*K}En!3g4Mtpcv7XjUo3DFBO z_ClHZ#@;6EYpAaKp++rEhCs)0jscKXBclc7rx#yBOwX=J!L43HfmWEBj140C@H4ml1En`MVo=W6%A2z@95JkDjaX($w7c&|xIk%LbST2~KatDX z)M5$&^2C*wMiH5ZDWBshcjpHX!w8G$KhQ#Jo=^2D-PW4EX*1wLwe`O{#^~PiI|>>E ze0>=bojF|+d3RVM-#VO0%g7TS-*Zm7xYpsc^7yG0%|ZPZ(VxS>k@oAPDOwJ`O6H&D zq4mN$%0g_jNHt5N6tsjxFtA5xUL8Lwv-7@SP$DUM6W`~JSbr$U+;(ClhwpbXu`r;_ zxS7zqx-wvleAdHzp`vsn`cU$b#t@9nxLw*PoH4#UVPzWNYF4^C9y{Ia> z?vAjWGC25+??zAGF~>d7N$_=bZyT5 zb`uOjsNF>6w$8v5{JW4KN%4#G*W`u&+mDte)Gx2p3vEt4`b^uckN)dN--YwC7zyaz zkiX}T*%R@uYpt|+m~7-?n7KnREqf4-P`iIS&g=w%i!~<{dM+N0j>mm6UKu_9OCL!_ z%R=0m?@S4i8_~a}17S@eU9x~bO4vz9w%auG5{x+uv!qD6#7DSz#i&V#ifFmZv-M7I zFYyRww5ZnhzLfJ4AZ?RE#0EK1`9(kLA3A9dvHNoyR45-0AN)PmKTXGn$g8Ue_@nAe zeyls#{bO{X5Gk=H+CCxTkgYGnqK_gTG4RJD5{T55JI0(>c=$Jc2X5!ZYUMJYD>(|f zTnTJ;Z#r+Hu0by=!Iit63eP>pgvujGc zbhWc-9`kycKAQY_-d&o?`-xPI$sulySDK(1#|x=m8L;%Y6Gho7TDu-_XxDL^I78ZQ zDSXy@`eb+liL<`$tq#=q2S`DIQth@(=eK;{OR?>b<0+ewbjxO$Pc?NHn~zM-Zzqx! zdXll-yJ1O6?J-)T!xe}UIPTNR%fx=H?tsGEs3W#`{#Wgh#{9=Wzy<~V8! z$@kuj@w${dB<-vcVd#Ql?9Ah;_wDFc&9U}=7UqxTgsTg~q6R$M;sU5E_VCpsaOlof zxf3$>T<>BCH9he|*t-ossH2R#fJ{_F`pzd!MRl~T#XolYZCn<(X_bYmeAj{#DL2!8 z6rMHR7IXF?Vce5wq#)xI-cfdXu=rBocn6)2AD@ybYp-i30o9H2HC`w1^pR1XBv3vU zJb!8&^@W59?u?vc+lMLSO5QV@Znuf&L}heK#8tWNR*CZ{$KhYa!DKZnawtrTNJhlfQ?UhbZB`x0i^YnN7v7u_Ehm(t{z32viE+HtP)S$0s>b`9DUJGWCl@r<5Joq)QO$HO4e#7oG5WK~&}xn0G^fD$@+n+?kiV?@p>%|;LmoI;hh@rnnYDg zDCgy7&1%haMlAd3P_-#`2l_~L)mC1QXRvo=ja~aA*Dn=SP%&K)#`(Z~_vk)#85)Ww z&LhyPG=$#;W@vSeupiaet6Eo96EO}WN;}RYy_SGQ7fFX6C2FayRZoKCf70GeyB2ki z=Q}gqr175{nC0V28qy`xii1U#>Ke-0<_tyrkosm1h~3yD9`Yz|X(_2wi>Ii@vJs4B zHL3GqwiNI3_hMkNUXo2LB)7i7a8M|_sAo9rzqsHg@#O*EpLa8+kW$2_5I}Rb9@YTe zt*2n*TF2^%g=Y_SA2c9Wx#^ap|2eQmqpX6KRZF01jB8<^1x#>0VbaXrFIgi=x~S%+ zJ`CpHFol{WAVuS+WQ;`8 zU!3E$wxfe3n(T$Y<4~9*{G*n>8S(-cJO$tezg>KpT{HAw(#<~QCaZ+n7mYXuQ$V|- zI+n>h+k`@SEgV4DnkRq_U=+#~b?DEVF=M^bt8A9dsgyz~H|!CVLN$Fo&t9~1cDv0U z9v$V{`#k$3UcB_&uXS`-|ChwjD9jMS_#ts11EaCLvH@1hWR;XFOQo$>827I$b%vI1 zRN-Zi29gMhOKrl6{A8=U8(^f9=DIhJm{~tJXg=27=rz7Cr?(m1R?o7KoMy=WLZPN% zZphGB<71-!NjyaDyCPXWzfrF~)iiutYIU{T?DXdB|7~V>bB!ZLeC%g;yhbjY} z6f{W@xV6@R zj<9fgV)z#iu=ydwc~O*`WDz=+o5@8x31vLuUTlgK{VF7fm`sM|5O+hl%XA=$50w89rZ^!w#3;1S0Xc0DO zyZU!d)COi+e)4E*-iJJ$3?v*BB_R5-NUfe|n{M%03{i%w{JH?`to7nX+j)@9zlb+> zpQA40rw$UTSSW|Z*%7hIAp6e%<&YHp6>p{!8cqcFHt}*S6huF zZV9pAw-%+FEz4tg0Yxzf=M6=NIk9LO_&G%zy%ex2Lk+-;D@k0x06)j23@eeELatlW$-oreKp21ZF@>ML#B925-f< zC?ciDqYm^Lo905Y6_jkJlNuem`PxVr1F?tDia18j%B;eDCdG^V$cQM|!+*+W8gL9# zrdQ5>PCE4RXbZrUYET6gv)NnezuL!GCW@)=U2I?1|1I-x>RHvgg2xbsiO^p1lpRII z*K5KXHu`Leoh!oj%}zSqu*cn4@zX+Z!X7(P0bdD285#?9rqywheVz0dSz@V(*P8+g zDpaB>xiq<&W2zkErK_y;=hE*oIc&zkX_Hmq1O}G9`jrWlrQBIwOAL}lUc7t!*1t=; zK(7A=+_vnm+A{<($FT*VBaJ1Pj6z6Gd7|z6f0HE0nS?^ud>trlspE?9-b@m*TmQ50 zR8vBb))vcLlK1O8MtMzPR#RhjCu*F%AX%bEPEMVo6yG!mb1StpEybj#MBCLAE2cQy z>Rf{W|K*<&p0NQptkJX|N`-V8i z6v;fv1M0qU6+1T$bM?b=WQkKJ^hjp(3<*r41m{K`sgO-HKfglp8Z~xyXtkL|D=$6p zlRx02Z_m^-==RU@MCl0#HnOg)-y3{p z08`FfODX?zPR8iMksFva;y3aSU4u2|BgP#QVWk~uz9Zi3c3*kw#;$xL9)e(|D8{K!q2zK{%neHtNk zzIvy?3mpLOm#XFCGxvZIUFPMMq!IZT0sCl1y^F2@+(y)IYpnfMfHUMLE^nG@@5DwJ zyGuI580Li`mf7u*>kxLB_qE)!4A!K*kEmbi4A&3ybLE3=T{P-N0;FvCaW{I%Rd3$~ zaP*AIIBJd8dNt7Kg#E9f89{wZVsZpFkDrL@Co?5KX@nrUm>E1&n~JH{`tms#)>R?f z0Bh^b-%tT3usgn3m}Yl-+udU*4-}|7Ip?pu&x4gRcUbW8eE3Y<9yUU|xadUXCe%;6 z8bayyRWqU(45H|!%DJ=#rJOHt*MZTM%h9dF8N2%e3CxL@Pt4l(z_orqN$O{*syDGG zz1nuujKU*o_RR^}r09T?Nd)k?TC^aBGK?KDi)NU!GWV>6vfL;jP0>xq6%=P4qy`#u z92_oOGIcIfboQw*NUDNj@DrkRD-C+$sU!MuP;71m6}eq|=}XP-RuWPiUZEo}dem3V zTmR5-9%>2<@9>Zl1gw+Xt(Vkw*Z7i?p8hIUhL;BE(r+WiMYufJw3W>HeU$vJYU6#& zk|WfwhYlI7CS!DOV*By{VNEYrqZZdzl~&k(Pfdc4_y=SB=3n`!1}h3hD=h!4C~mZ$ zl3C|Isqn&CI8SOTX;xzNrQyRzyat3C9Ob{%5soX-u8nyVBb(`C<~e^PH=}QacOQX;MKO^(W_M5^ z-C2iiV=<@R4bWq~j=&sj(8R<=nIa?^O`3)2T-+Gn)8EewQ>nUR`WV&r-y4HJRK# zvWlu1$v1FIq*J;(-+`qm;{{KNeT-P3cj$+yuu2#zhbi>q)m||D2EPTDg=J_bo=6#8 z=(hwx$Yq1+y~)Is7n)Yoe8>)VTI^DT2#fn6VxL}#5Ou|4ji^?fWEN}52P-Ke z6xjym;RI5a_3)#i4POw-j1b9q!M}s(*WXkr}G|>^!Q_g zfeMPR^drljSz&dRj)Vvzpcg!CpJBfko4WtlWIRd&{-(DjVu@atz6nF?B*$C~w_36B&rH)R)vsF0 z^h>Sv-gu)i3;L!!uwH}Bv_R}Q7X}4qCmp1<11+XV9J=!yreM z{A9(M$50y@6I?AMQE?vG9YE{rqyiW(?V3m&|BEYSDj!*t`X)a;znYN&& z?w-<`ae_amdWyWGO%bNXL{i!tV|QW8co#d@;fftSW2wDZIw75>TlMpMVEMZ?8w~=w zLX`o(WNI4do}}w&b4^fWm>vhk_q3X}GNtEC*&7!&7kT3fZ$vPL;xM(?l-#S)JjGuj zj0^&W+QH7wJ4fNcP#zt}6| zO==pM%iRPmgcEs2;?u#51|hckK!UcC{d+ft~n#1IP%_N{HLtb*dya(?GKb<}P?OV>DDW1b7HUcBuhUP#^FLFZ0 z#tJi*LR={nMSh}z@T*^-)dkrc8xfQdj;3ax4}2eHq|XIwZEC`Eu-81plb$-10o{5; zX>Te4C|)J(s7C>kM?K$G$jRTo+x1_?4;Ndpq}1Lho3h(b@< zT~KioNiU5#QY4$WsvrPVyTusAGASQ%mtoths-Q|6XCOBl47RLE%K8@mKnjxwlmBJ4 z@9zR)e~-*#mK`?IIel2hNI2|tOE6m;e(gO$U?JML=X;i8YPefr589Oq5&jI6qW*x! z!Cf=u<$g&_nz279h|)8M5#;J^T^CfAm+&QmDI z|84hQFC?C-r!y^JsDp<=z-IktPk4>cvJ!Z=^54J*CVix3aoF6`SFr7`GZ{w?x8E_* zOQgo@bZe>^ws5Zl*?4FtaYxa$uy5?axM{W305(AsHYlGWQ#a`r%PzPzCRj{Bft#z$ z4h@(>F9+qEz$T??vpph9ny>C!H~!rl^M5TynN{&!h(37fKUKeF0j7&Og6X(_?MzU4+h_z zsK%BEC1iu3^cEtr)yI~7l%e9CMBxO}xi(*&T`NR@NWq32jVEz+fNa#@?65jM&x?-` zafD6|cp{IqCCYMP0{_o}JZ=F=C0C?lb7fRdZJgp124enol3vZ*DRvc3&zm2k=S3{1 z1cIYh)1S>a=3ckq=LiA?|GacY>K-EE1UYKs-+`*OT9weimxb*aeix9(+S#y~(x^!X zR+ty;SZzEb4Vj4(j%UNjO(T0|Xaqr8gl}(T>u1B77#MbWa(<_?7(T5^-!DxO6iq$7 z{}lLc9{Xq=RYcTm_f<4bf4nx8=m5b;yd7yzZj6fY# z-)MB7Ry8W;TScUr1!0d@C&I?OS~S2&(-zCw{eWn;QOgFVh-#r$2vq-E>p&pvgXXMy zz3G-T6f;60jA3U{-pW*>tO#N2Wn?AWbidSZd5mSD4nl@C7DJ6l&}W5TAOx2Y1c9%g zgy;+-GP$UUR_?P)rR$`YO}eHS7@s;RXJ|b=_-0~@5gfb;U`a|udQ6!DPpFV!8+<4UjX%B zo3TmL`+z;t z1=fFcaBeZ-ieq?+KcY%TAz-vkF1#u7tqvakXLt3O!Zn#oH)Up% zJ~b$_rbFG26)b|K%g8GEx-OF~JD63k!tam{v^s#0Z)jmqi%<&rTvw=eC8ay_fvb|Q z7FvZ1{HE}oRK)|?DuZ)WW|PYwFg9pHB(a<=miN?<7#p7(KV%Ndie0OCCJ)>G!a>Z9 z)X`S66~Lkg(t_dT5>YPxetM(TuSaIi3qff$lIXqa#MBH#bA@DdXQR4pW1z1sXtajj z|5@svx%jqkWLv{^SpgJ@!uqd;Z?f3Oq0%RSH9~nCQf0m5x=8G`r=bJv_^j^Nu&2<1 zFR}3|SG>oiC=R*#IX8*z<6x!;p$%hon3JW8OJfF9&jS5J)epN1zC*7pnEnY#ncrPe z!7a84&??>Xa(<++n@-)Oufh(N{GOi0uNe4d*@Q@^iXB*xgjllS{0wBMn9hz^{rC z9f-B)*Hb4V8TK0F1|c&dYJ?&#haD5YowjLczPJ;^fg&!{pL%g2j@=-_`eKDlg;46O z$~n`H%s*x}fQEuUWTQ7WCKeyql2kV4#4%8{nMc&w-57Q8gS=!60!cRYPOagxN|_mN z%vPTuDP@hdxT!h?%zkiR0nAa}nhQ|bBTb|1;(kjPIO(;`iop7GH{`?0O+-#YV~$^N zzdqWOUAYzHI8W8b;Ea7%%q;@l{ZRT}HhyG|G@dOLYW_}zE{IIpgw>k`?MdL!Z!iKc z@fjBX?XiUF+SkB+%S!prfg%na;eUR=w8ha(QxUDtNm+m4D#|jOwr82Tv|uGh>l)!N zA4l=8V!m;KL{*oYkF5-1GE})P$iJ%{vi!t+=|)amoz9F|NBOdXw~jZP-yD8ZS|T^3?jYIa~X*he#tA!rMjc)A7^y z!Ww-xnG?=ynIpT&YA5Ju-h4YAoV(JOAt<6=a}I#E_ZT$!4aWu?o9-l7F;Ba{imNolJFIvFW|F|0MZMvhba!0S2Sp9;N~CdiD`K@ZON?jD73Ni{<*j)K@gIkkfRG8|GJ@6SrNyYD=*;=A`Hcc zctl75F9y9z=PcwGM9~U76JseSBXk4Cw-Fn4Y)p|25wL(c7h5b}2Wat9`0c7Og=zYL zumw?7NL_IgRKGQ?OxsDY(ATo|XI<9-L>@V3>r=kOfX2d4n9GCLO+I1^U0yh2+t%eM7X3V{w5M2Jz`OgOV9w01f8H&NEY)m=$9t z(5Xljf=afjz&q?UgGq~45;OozFQ9GzB>VK~sSKa)qn%hpn#wE!2TIfCD{`{=Ou z!8jvRz`OaF=P*6wZtMYqDt2^tozAs9KHAU(jz0f%H$4e)}36j1uaR|4WnJg zN&+JRpv%VJUXan-YKq+rIA=eeHoDCVcwwZOX{09{52v2UpFrsVF972da`5ciBno-} z)Q4$K5sp!!I-`gH8w=rT94bxzww`ZdljVHRalP@SdA*Rn!9fpm&to9Cd+f-dn_*CK zul>cxA@@21T~QSeICr#Vn7@z}zh5N>44FUEd0CT};K+@H#XS=Nw6#<4(j|r&l%oVs zb%|==A2-78-9xYlFRy+^uZqb`CYFA1H&?cOsGOChZzkwGw|Z>oB#SdbBxOVJG2|^n z{4D)ULE&gEbNh!Hp`Ri6q+Ky<>1G*pe?!Ls;yHL1AXF=MmiACd1Q8#n$AAt-=E1Z% zKtIp4SuiDlU4t*009n!OyuKv`A0U!ZcfF-;yX`0JGM#iGUem-eBaB+4&|awOJPM&y zJ;4+;6yZD*BQc0yl90#SN^~XZK$@<%o`&z*SSQW+59#o4*+S^@_9}KQu(u@~TMlca zpWzC@&4KR^X7#_i1Y42}lIi^E1+@62I+zVC)a&QHE;V<`PNPIVx5Y(epX>b zfp|0GWNeYxL;LDRCG<<%`=7xWK$Q4aqqWGBlH`QV1S!xq_2Q;5a}JX9?OtwUpqfe#f$=20np>b8*^>fv7!Sv`xQ|tT#KMdNXOfb7)?yEjUp*;xRTc*ZgCUQg&d3mG66lwM|G#qAD5G zj{ZREXaxs&(-rS&QNl%Ei>BeOt~$vt`c6^0vXM=r*C+3GuKRWGF*sB@M zH#ED6avE(*Tw`Y_g&4#$JS(jRU<#eY{j^JWMyjewA`;gO$K3-6jPxFQH|>Q<(htZ9 z#w_3Gjmd&zDg7H#vXBdlubPGtgS6(~x%lsvVol}H(Yn}3In=iV14R~o1qHB*$UTuC zME)INdh4>V`c?C$*RL46D(;6LL@+2V*N|?HO-6i7 z#|waGCiBoL71jjM*75;j61)Y|E@6Q^>vVT9C_r_6+gyN8+ zdC`)H!L)WVqVJlXn>?NsU>8te6&unl0&Lhu^Yz;Y6fk8F<%kRzgs=usrN)(^eDcN} z<~N4Yo>lqFDw=sFq<< zr5vktd=+IW5ZW_1)I<(`z>WN&t&R|Q`5V95llB?+su`e|v6E7m5VYU{P4y*gXwG@qLI!?qkGTAAq2>BFslxCu}Hn#!dfubA-{dCJr-dem^;C}+@B2gk<7 z@3Qt1^c&X6SfgGW=1;24KNsU+x&ciX#qbJ<8dN#V;;IA#pH}0?2A2R%;5O2^X)1-%R2P?| zDn5a|m@9Y09^?L@e6j&<~R7(0$j4 zC4W6bI4E3~eKna?hJDRQ9gfjGw_zjpu}ucJ>AYBB0Q0o9kc>G#Run_C5#|~`+wpgk ziOcpR&-<(@c>y=V(O9}WLaLM#pX(30L=@6IRZ1$bya(~^Zu1UVh@-V4i=c+6;*A^R z<%rYfu(msjoh9Q4B)`74J6Sejr;$t%0nYO40Vht)S)FUkKj*wfFOwKTNIVC2rjiz7 zhOmATj&FA($s~#x7Q~+pGPBkTG)UK<)^GOKS=<~eTqpd{_X|38geE;rs zA2E0^tFtk9_FIdOe9_@d)Zmu}wjog-!iy z1rF-5u7gg+DjJ(Bgs=jVce+-t6}A7q=!uS{?eN}`Zx6TEq`Q!v1CT#;tP{^Qon?|Q zTR-INY!ahZ98gIp+=%*E`^ZNc3}+inQlX2`N<>t7vG(=+PwxfBQGX-Nt<}Ap-9AVr zd^mk*UrW15mh+%Ft_T>_QRr*=Mdwh~lE1{>bz#~XVyRvCxvbhe<+3&^=vk)h&Yq(s z?@;~HUieyexa@7;2gUi07cBV5p;^mKSVkfkVgYBo- zYYb+lEU%fuTL$Gaj*_bI>Hh(syd)8AKIl1RFT4I8z!-pjbDwlTR z4lqRlazmeaNM)kr#n|qXr<-8fvSwC=r==Nhe)Xng0B3S-S{RuH8@Cqekc`(csbUvf zES!7%a~4KdYr;87)kh6Q-0azbn|{!h9eDo=v43ZP>9Qa2X*_>bkfn}&4`qI88snyD z#3a-In`*Y|#?a6P%S-o~;+eCnP(kXj@|<6pz(L}38DC$ing~k}G>|F6YtQ~?z)e95 z={jOcjs4cR2(JSRj4}VKaAcL&{;(1+(-O~aA{+yS@;)yVOurgzqy|e%+S~R<$+U8r?m|fcGWgz+%8J&+s zZoiS4_WLtE_E9+L&W<^kS^u(9w}jc!mQ0S3i`TS|l%X5T!C&@oA*-32d!fow`{Pmf zurry`psG?n+rT#`{+pn zmZ)b*MSKxY1{mmguMw-)-mInjY9WNBaXF7+M&^BZ*FTQoNas@hB~uK)9dfiT!b1&f zC^18lgy#uW`D1N_ruK>dHqPCYeDURLe(6=z>(1cj=fJ9alEd&uR}V>D@4vu0tf}=) z>7xu9P6(LFVrCh0g@D2CGN*4QSuYNS% zhvuv<3LYn$VI_}&6Y4m*m9Yhy9^m-(lm6}bwvbb|YzAa3Jw#*ASb!)auTeKhxZ%&B zAC^=P*5oY9nz`q8=03sz$`R7AFM$@4qxXi#IrG#r1E%i%S;daA4yb}9$mUftftv_k zgi(aLR$H0Ar(*lZJco`+^!;(4=9$Oguvhr59GRaWokD@7JI9GTSvNM_S-rjJN>-A;sZUy;m93=u7IEpG)BxJN<3WJp+wP>IGD+by@$;)6H&1PeJ&ayoLn=d5(UcYv3 zu299Fso#RDOfIFv*4N4{$646l?a^1$%|M23J%q~$!TbhU52uj!WU_Mi2HqQT@X1M| zoEZyxe<0L$5`(UX1?0rah62!Yp7z1Ay!67<5w0DhiVNJBEv6Cr1R>!n$R!e=r{1s( z@4t8qogkftsUL>rJxvRvzp0qVd{=QI?ds_htm!9d;V==qI_td_g0ddQbOF{?s<%oR zxGh6JoNBd20Eh3&%{^qFnQvBkuFqNO&WH$ChA#>~7bt>Yqfgc86dNE;%#C6!*G$14 zX4{khMzepdjDZpwI+su~g#VtfgcGNnp!K(VYT^8vAWOOD`qAwb1iW4z;J<|C8Qb>U{Lfc=`sn9QAJ+iyKy|%Df+L3oieKGl#5x_o zoXOIsQZ-BHNlPR2FcZm!>zB$VzIi!8y^54u&?T;jGhT=cq-LWw-*lerXjZL=@jD>YGr zxtqPIa%4mt>&;D8EXz#%px|{9@WK|Ozl@Q6KUqAC@q0q83|{{Wn7Xg3ONT4BV9k$Z zBLXW#0atk&kL>D^xDSZ(TGCl)7**^9`fc<&s6{>+nP?H^wx?#y`Rz)8!95td{`>9> z3;bHmKLuyolB3xyOi<@_N{ZSOigZoJ;}Md)cz*(kP)0({<386w#*@_p^wrAC(~2av__1F4y)a-4cbD6Th%o z0MbTaX9m78hibek(sIuph`O^=Ky?SP73l=tCXqD*`DEjp3sq=#GL2|t zl|oNjLXErcmVIZ7Q()BwZ$g-Ihit`!Gxd-&U98W;HS&#Ct^1KB(ZCF%#ee<>b@2_PUj zk>TF#Tnnh0P?#ZR1FgYDD255w67im4a(2OGwHYlw6vYGNF^P)|`ze!z&+s&j0GWeA z5F13u!_+4;gn+EiGttLA)jQR@a$=al*J&;3!&u8;3>le-zsUt$Ekyr8IhHWb5G&4Q z5SR zY)jg~K^KuZa|Vb}6`sN@24_f;Ng5`Ng%NJ2m=3x%d5oS_{F~oOrSr>g6pV053q)3Y z<#HgoWquiSMsh}C8NIXeByCd-@QS=sn+R_WM@SR4;02Pnc%%JLgu0>`M{3 zK`J`~!=9+iq1gT>{oKTUhsh$=$#nQXf*Eh{<~17Tl%&uV(=OJ^SpuB@<(B z(vc5$Bu;JG|LQWE)c!2co;pVZBjTB2{Qg^bw|ItpZxIN_1~3jy8YsKG`JR!VpJ-&U3-7VW<)l z{04^EmQp;xgF*GvGA(gbwtJe2)E1DY%E0>95yWOrNv9j3mq1YFqbgDR7Yo=14jm!! zt4^;k!568)!{U6nGNsAK=CN@>cZjr4-~1wESw?&NG}W!FGM9TeBSX~e8N0!`TcP2V z3N}Il<5MqGXQ51O1fqpdhYRHopO%@%1^g^)J{!=#a7;XGKiH{bur6|3D^n<>^WYr@u?#VvMw^cTOc%do9KZT{*h3T}(lS_gr z#xhn+bE8Purd0T@^y(KeD;mIzK0r2DWyz5yX(dr6nz~UDy^sW#jKTBKo-coagC)4#pG~_+8%uZCcam6Z0o6!io0^M3w&eosiJqne_ znl68=iDf>kj{RbBh5T%|yT2l)!A5%+&^7_TQUc==-m5P48_~~l-8IWJ8`)}QD}~J> zk+;eCWROWI2^r2WO?Pqf6rEqHDX^D3~1SujY zE?zZ3=$e;*15**0SpJ2}x2~@LvT32<$D;8YENvuV@RLA&*l41C=zp<)3MaW#t*`RY z8_!5{J=>nnxa1vy8Lx4@tMEn;8$lta&^cJ7{Gs=~8Rr)D22RmUP?jKDMAItnlKD9C zfH`sldHPRC5rXqNXUgZg5-z0U8K2^)&(8$8tMfNPb;zk#ky{+(;F*OsX@Ih7p?wlP zJ_=bAX~ANhGZ+e*x(hgi%_9{56=+bJ58}l9tx_lwzNMgxXhOlCGK7W;e6J>||EpD6J)T;%$a{HI z#A%C7JG9iix0&ZV>#xXlJ+0kg#AOo0{9zX-WcACSS+X~}#;bLjSRMl!Mr;%ZtWzZUwx3LwZ2ZsPr?Xk9 z&03`YwMdhcg16A*XUi5duUBv$qyNNttdeC%UCFp3_HFU@(OW7r$Brp^Mpyn+DZSE$ln27kP}<0bcohggeNN!QZ-sl zv`}Er`Xbb_2ArEiv2p4Si-gst7m@@VZeO}pzuTrb!!Uc0ey zrrHkjnZherRbLx+a%)WpQJqGb;>FqUG0-@Kr;Bk#N8Uk~RjvdoRfzG2h!id_#(qhj-tW>zd)^vlsj7W_rMuEG#m`(=CuQbhvj*pY5bYcW8#+ ze8(`7&X~zx(9O?nY&G%A?8lG}XX+!*)YzHTHtQco`8t*kyyh~b*vM0=iT_d9dq6ey zbbG@HA_`JOiquFG5b3=I>AkD81O%k_-a(}IDn)t+sY(qcNDVb~0uiJJgdj*K)Hna< zx%YneS>N}rZ~fNE%IXr8+CwlKljx?9dv z^+<(DBenBQLye*J`d%r(4iBWtNI}LSst4H-qD3{feo>*DUDh(2{PmDC)EgZAz>Y;B ztm#RyEb`&hbEk0IWDz+b6*?x`(gZT%X{G;(o+3wbWIIs}Cyl^WM3SFY@zaJk@sUin zr`+|!pNFG3vz4jyz(*3Neaw;Q3Kp)|-T2KY8==(A1L>pa&tv?@+hA)}FjGCNQE3Cb zXd`KYE=)WkSzpb%OOD$Zn3S=d4kl`3Wb^og$}`U&S}PK?AU(YTiso2qm_16a-{CTt zFE{mI5H{nnTI+r{R>C&yUq3!HKFKz0HTCMPk*|1--7tksRlMEHzX7SQQs8<_)!T8)9kKikJ;Cy!6+Y$9d>elG9AY^Vx$UX{8{wps&>y zw)Os;vH`#e>b(P<0tvdDo0&=YhdZv#H~`}cM|KCHgO3%Phk0HD|0rR<9~XXB6jrF% zN(@~nl(gb8S9iGz${NaD1DtQDx=8bVIO&Su)9Mc*aU)~xQ4*Pd z7>kQe%7orYepykrrV;Ye@g07Q-Q!IhR6TWV?SWLeH@?DljavFTuIs(fH9Zo1D^Z}VoT@b z!QmNuZGN{S9v3ypF^`!t#he62Kj$cYEFb@d?UbDH@kEnKDwnd8)H#ts7PQDgbOYqF z_jKMxNuXg;)IAUo$zLwTlLQ(LlvqRy*swceH$Q;P?k8FBf!U1xn;7w-kT+#D)nk8(mXz!T~QrvrJ*51s#+suK}2Q2 zHq0K8{VR1*c*NmGE{oNON0X)s&(AVn+r(&8U%+sbCPQ46gu89XSG`E;vwi5(#^fYz zh?qNhiEMv#R$b}y1aY8eY6fU$s*s)tCCCnn!kF9;$1dlz;fO>6&0i?qPzROxQFI`TDj=5m+Y2wv&vbqi>OxOirG_fLE#{ z$yLn_nm4$DZS8Z)19OqVf0!;YJQ|(14cE=2QGB=KFeEP+{Ts}4GZ^K;S-@Hi=p(0X ztgZ2S43;p>j#9K@gbNTjj2SD_iC#r&RXLL`R?z8v+T+-JFXodVki@$R5-6ZPD{>!u zDxhIi{xgJ-T1xA(i$V7w>BHL!q9Q#{&!*T8w%lon#kj`7Q@2#_xk@SJTfZ|?@myGi z`5L4)%mp=HUjna?a=Qo=Ru|_bod{wMAyFQFUJ;mu-+=fv*X&Ourbr|nfdis z0IPf}d0*D$T+7yqt>CuVRtN8!a!6j@>-=g9dqWq1V2@N4QD(qZ?d&L~y z+GsOIgZO-ElF&rxswP)2p4|iROBi8_=vJ@X@*-7iiM+6L+&V;PaEWppdk_Ycn=h>{ zNgY$u3u67rtewrhM${6K+2zDllCN&#D;-g9)Fxd*Zl)1u!N3f94V^S9n%^O6Q5?em zQ{A!A^5aXIR0OPDax2b*Yj8w;Xn=*TiUl6CjShBs3yx`*6?`zN&iWE06|6F9H!)vJ z*(1><6}iE3r94{g$ENDGTu3?J8LO zCU;s1n}4T}^J2JR$XzGoS_H9ATLsO&Gy8m0EDa8e)7(gXn7IeA_!HYvd^b@m3 zGyOo_7Fopm+>v%_;lZ~qYGy+c3xFig#ItZmA~lH z@zNi|kQz>>C%>*gj2Unpc1WA;akk=ZFy6uQTA5qxZoo$*B*hdbBplW}Ps?Rt-& zz&{)D@QgBUyDOj7ndc{1e50zPWUz1~QWhxXIKs!^f?lgutMw1x$NE17%1VxS+;c+e z$myAd+dh*IS`#05cE-EVUC1!NPd0%+OFc{NOp)&WM&;3F92qfEca6jUCsNm8gS_a( zt=(khuZc;fdbtvH~V-S*_nN9sl`lGS-YJ3*lVflMi?LQg0Bn zopa<@B;}WBY>}mnu@UV65`sdthxc?0Yd^D|Q-jp3?XrjUX34lzKe3&2nl&|@XKHW9 z%Z&_P8{!SsJM@%onn9_wDc{-9HX43OtVeW4EQ(gS2xSIBXITr5#&<47D0=5SAKs3X zaQX;_@NDL@R*%Gln@g}Y>fY;P^=nww6F65C3`*ePy85#l?EI$Jck9soQOOfnN(!x+@?bXbAwcV^-^0~qKwCOeN%BI{L_)!&eKX9s@Cif**tRhdtPc{ z8R~g*NY{3O7OWO2O|Wm0#Re;t=+gfkfXM!5;JK6Qm=ygoDp2iTMjKXP$OeQ-L`X!q zTUw)()E%c92`h$KhoK%4&NC2WOEF~tTwx68aZ;L)ykT7+?mt3|q-(Tr(QzKjcQ0YP zq4-B%4QKdjVow4&E5*h&iGE$Ao8>Geo_+v>%8f6i@_X!3j3n5*hc(F}vnY|PzshuI zJwQU6FQy0gROz^PDw0L4wUM?lUD#-8yD)c_+5BeY@x_)L&)e=u^QhlvBi)qq;zOoa zy$mjM`${7Ri!$ax9fHDTxzT*nHi~&duh!{WtXy_|^9^&3<~VOp1dh35+U zSnel{%w$}w2G0ljxlYi$EM{W`GCV5SX7+y(;^(YJew4Yr3y#u6}*6@DTFk$-FOP!G6QGL8mI4= zZ-lgE`YZebD_3GBYK96jCbdoAq%Hlxc!PbnYnaEqZSCWmrL#OUY*9xxub*=~bzpe@ zt$Lia7m!3Gs5P(N<^yhQdXf=Ynv{0EU0ThNB7uEKBq5|KfXu{KmmH;yi8Yi{uriO& zW2b!s6^*{>@RvKgPr^OE&Bu*+jJFPW0#gGDPux&O9dkS3OL?pXs^5#^_<5C4e#H$V zvsrQY34t|-DWkO!?R3Y5@eUEqeAfFM=cCwJ*pZ+16d12)%^2kR8i$XOmPsXIfg?|{ z#FH@wG#_uAeX^l!pdzF8CQ(3DhJ-Xq$x58cU34evq(rZ)%s=_0Bw9BlVmk6<V z&_m=y?HOc`ruMflJ2FO4+&*qixBIA7uQL!W_4Jg?MFnd z%I~2NbRAH<VuYmFtUU23mwN}>O4d+3M{OsOn2px1!-8`a<-JusQ&VrY~sAnMO z;}#MfEmv)BrbGbN&qEzjJJp}nrtVGI9zROSbM;Jzuczewb^5>#dWJoRO^9~F&2gfF z!G%8c8nrN>`Y6778xnJk+9dkRnVl#z!GYT``DxG07h^dF=At?sc#;B?2KBeUd;+6B zfWA}k(o2U+rvR5PHZ3IRpvD^8Rh1!^yyM2f;C&B8iL#K{k+?YL5s&>7l@Q`MJyQv_ z3WBQ&Dz>P=C9Lp?F}q)U3IqQFWP*Rz;aEvU_T#R^;~!)}}h#nVk~%(KgP_ z%CjYm6V;j3b|R`s_d3CFVYa_?q@$3LN34`1tKc5CO1zOAR>UxEaMRt$4I74&`9}xOa2(dS|m(2zixJ9QUy1 z)28HG(9zEQUXIV&VWnaizNf{W8IM1D34@tbh9DsN2#6@{n&fk}Y%A~CRX$eu|ErVx zf9Wm!|8$X+U=;~dhEfGU=acW;%H5>tg@K+WRc=|0{S@en5lwh25X4Fa`rCHo8K-n>BG<(`OoD6(AAChO zUQY5VeKMC-HdU4-LnhI_E zJ#Lz(8`{f-6CgOU*Vk7q=TPdd)WE3k*nT))Hw~H=*SMRlJyJXB(Jk zMmpoB&n%VEn&qJl`$kBfdokf0~? zbz|sJRUL|jy*bsZR6-B@Q=dWj6}HYW-StE%wK1S0M~RpkeQ{9`Gs8;qPe%JD<(hZi z48s4a%!yX2E4N!TX%&w`*moXLm`em??~gABGRMe9LadUBcFK5#&@=st={Jgy5FN)Mt!8H|3n?Ed^cD1i2k|ps9TEvEWL) zy@Ya+lzg=M&ak<;6ku3Uid7z3lsUZ~xaBO3&Sc#y@JI5M3yzrX&Lrn| zL@tkt{1I~+;g53ljIbmrg05!C!`AeXUEm~bgzFep{HOIZ2{C`7vvaUTozrM#xw4Y5 zbc^~}^D^z+N%vHZg*vinWAxX8`-Q|;yMO#i;rfwdYALEdmx=KrfK6Zr`5sO5$BZv(`n0rvCf&5+^*Ab7T#3_ z)2VyiII)#i#%UKrcgP6;wGOje9rtE^qRaWbeYXazHN|4oe9^Y$6Z)_GZeGv_UQCm) zh@J#z#=gk`%^&ZA!h4&DMY@ng5N?#vm>DiRwS#>VAcP@1W)c=*WK4n{>$qk?Ik&a* z9V_iPK84VWcV)rZbw_T5N?xHtQ%0S2_lb40!k9BtQsQE!#k=@Q>>s;%H$dJwNB^TL zfu-AI#3R@-!I6Kv31^vf7%&bXhH zU)5xDWrZZpP2W^3c_pcxDHx>5`r$?PWZ2ptS6oZ2j&2>&bwpcS!T^X{e!Gl=i&D{2p**eV}F?GIU1aO9b$e4Z8_gsMc<9WpfcTjqm5lWfP-fRNQp zY)h@>)P#v5!QK8-Ry_%^Jk<BVT6jmqv^5cy)6lvSLC#PT5341_av;_f(Q+S5~s zl930csvE*SBt_h4KHCA|aEEAXY0mC}MwW~DN&!*HeNiWA^76m8OFV&(E?9?&4C0Z# z`_%b=@C*W1k>m6&zllw>q)$e2tEZP;t|HBCSRX=ux8$He>ed4c0U)=*$fWZr!#d#% z>9a5fXBqeRJT?5IL3eX)oYXfRWM{JkP>^3eIET<&Tg*Gj=D`1WSZ<;D@6vYzv(55m zj_jVNrc1up&Pgcy6jqL2f!2K;6`$34YHiSAsIt4crXqc6ser^T?R?t@#)K`BIY2kb z=fth)hFabfuC-5Glwe>UC|yC{`DOcsnDNXXT=vW(WXkOo-*=1_^_SUb9;z8PUooL* z>`nW(WmGH+!45b7uWL#I;x>bm`2cD&kofhp^t)=$z}hBLX=bkd{^!ZnSJf%tR$U4Q z?w#>1o0FvI-Yky1=ha`SPT6%yuLn3#)Wv)U3MF%9ijXAI?>@p^LWGUBA9^0h6We94 zB#d}~W?Ms!JBrzs1Y^A%)33m~+_c4}s^=VeX~q6Q)MZOtv8Pd$_?d~lC(+Z7zJEo2 zI#>GkD+w9r`8%1FA(cd4EgBPd#Md8n#D`VqXcRC-sY7hK;XsMUL4oI+DHKTP@uoDQ zDpTIR$dqKNFz8va>=mCv-;K+!GB$rqW(u1WQ)(1L0SQbwC>vJX%rHC3)a)79HFoR1 zV7kW}WjpJ#RPHb&pZGI;w)e1)ETOI79|v&W?MHp4FBd2Q4N~!Y)fS7x9xLbTcZBTB1GJgx9*B?s&b{B7a7F_U#h3h4_eB& zQu^tB!*s>TG~RJH-qBD%zTOv%?UG^%|6;IL5q^Os7Ec{sae}tVTB!et0GAZ#bqC$U zhV|4Dh9Un{ne*RO?z>C?97#oia@wh{#=S_K#Di73q|lxhnfOwecO|9(xruUzJavG0 zq?ToSr*BIZ_Ca>D^L&7R-Wz@~yBpsDx#FUHDc!pNYTr2Trp>X*`1Jd43TQ>N5)| zDMV|=*-xc@?&DS30a2q)ZQq;&b(a_SNmSTL7x$THH_youGIGg&(qL{XQqmV*r7rZ$ zNmb>pND@9B#W)o6Nh<4g1)&0K{cGGhv=erJwLg8xxh>CF8caH68xwqI_TguI+(p;M zC`OpMqfDqm9(P5{2j;z;k)kNX^fdr&J#86WUjX)TdUJjeE{*hJG8==R1tM0@=Z7(M zJqLTRh|aOwfanLP!05rfSKA$fZJOx4_PVKktN~_Pp8F4z+=KWHk>>SttiT9L9tYGS zy95&_8kgmV=TqJ2cF)PaT@GU|C`#&Wat<-X#fO-;#ov1BBuMEFfONllkX^y9^9F8vVSsWlsV-Z0lx^~gywEybHo9WPZX#;PjDFFQ z8*(U^A5tL=C{_yqDwJ?vxjBj7{hB%nFoAbB0;u)GFw$5mri**qT#~lC6(#m(Z|vao zCvTr$Hg-?~h<>@a@95KN|K-??iOqA)i@MfCn+|;rZ`-%`n9GvE4 zR;>hQXZ*dIg)o3uf9}ZM)$#nh_Yo)#NxE!v#cPH7uE&x}O>eW%wD!XwjQ_1*g&l_yt?8Qo+wXMe!19(dVdN4;)P!A?B+CNy^ z#Ip3kSgHa)9?7n~23w$dxt?JGt_2r#`Fn%wz=nHudR^7C`OKaH1OKeM<8>ou6XgK3 zR?NKa5>*5ZLxi~mi5*P6AKTSDd0H%cOE^`y^zc6}+q@+mqLH%Fce+*xOPPhWGb^oI z@QR$FA@uW~Q78+MdFIt^wVOWArB4s^jbuZzvwd1?Emxvb@3zxb+(4i7=5dB$qpS2> zJFQ1cr%&VB>Qzzac(M=mG5@3J25D68r_@j`VA>1?)pu{^>%$!$KUo zISppldS$i!>!#j`SKz0=q|v;NS)`7!m82l*RMJ~s&bocTFS7gQ1!+k>U`@~(n(=x@ zG`$SvHIuci;x9=3wCK=C4+=6$o=?*EH1`q@xM#lgl$ZEGE%uT`L$~csFLvGc2NhK* zJc|Av;~@8Nx7Bu6S}G_o>fEMX!MH`6)oVWDj~uQ3Frikwc-A$pAD$xzEWSwNfs9ba z;Sld8_4C(sS;|ak!PB4pE zTek7~Yx-~ofvb-vul=7(|L}Jw{ll@oTFT<~oFw@HhO#A{bdv}>>f1YogQbj5i0PZn znwh;-lR>pr2@9Fsp0qHJE_K3s3!%m7pOr@g+so2hom zS6AhnZgO2RlkcdrfnYoadsXlMLO*#L#TRU`ayYNPWCbF1l5#0p$a z_n`E9NkRHzN$|ld2<|Yc`?Ru^-p@0N1uEwZ*Lh^Ipq`gaO7{<{f(L7&6@7;-#0zYa zDIYRD$I(XX56pb1=zJes}SYF%`7y!Qy5@RT|s* zH}3M%LzR^)hkg1)csHMUK#IZoN5VpCZ3|mt*3}<^e*WwefMy9m`>M17V z1c<-yiv+Nj$x1eRv%Xg5;Ct{Szw**Wb$0G?-BUJ~>sw7ZjG*zJfI4@az(VG&PaQQKD6lrj1rTRUW)Q{Yfa^eOT+G#Ho(I=luYFR*{ z8KfZI6tQ2FwMh%Mk_YWhmjL>85SWAqKR0_-ElePg$;|1k{ALvCzJ_hJl&(jyn(@^mAL+k(BmavN( zq??$5QJWu6GiEjs`l4sjKj!i%imhPwhUp1bqUFu#$rwqF=o6q|2ZME_^&9Udl)nwP z83GZr^7{GD=Di;@r)L74>6cCK1Z=TWwll@S25U*5dob5Ul0IqIOpV`u_SDWqarsE% zaE6fM^NH&LW783Sr>_YiT|dhqd0Be0yu)*d+Ih>{k;6(r@(1VIB!4G zphBvOgoM4b%(JTSH*zmSf0gfhKhxO|3noi_I6tDJrS~sL(BhD!r=_P&KKV)`Rz7*O zs5=lZLhZ!E@CQe8wdW$+q(I|k(YRtxoJc0(TNA|ALsq%c`uDX6we?2%5J5M36gEff zlEmh0?KP8&UaoQoh`bTt?)!i_MS=B4V%%l&Jiz>3PW27R`RXb$@84ceeqjusyAq8Y z3emP{dsKMJiSt?a$efN&pVa?7T>wum4dyk_yQ|*U%gjpkHSo++3Fv(kXnj#@YCa6x z+?XVh`qy|*5}E1!9EITcH4(1%Iz~6Xr?wJC4D+T z3 z9E{N3lWBRP^CRj_g;co>o7m#Ecx2#xiK3dc9GUwBy!D)2H%{UtM7u1{UlXen(~Uf` zH?%j5!ePVv2UiWNxMw3lq;V|l^hCJYIs!TZuDPKbk5_R;GYVM<(;tK71q!Jy0+Tq2 zByB=P&ns8PprJ~Cdw$bT|CSIGBPbUX%OW_Q*Sp#3;j?Lt+>9}s2=T?ctExWk13lfM z=RZ1keg|xWvjO@|CAKfUciTU}DbXBH&e*fLer*m+E1AsE`9X8xcg+L2C3=^XsTBZi z==k?fm#66helns*@$Tz_W@^;U#h#@xfdNB+>-FWJ4PvLH6#(sq;?Hh&VYxQIFsuy6 zplx;LIpE-u2t$e>Xlzkl=+i@(ZG*Z~MAPk6v8MZbt{-y~j4ToN?4nKFIdjw76C3L) zNrBa)u+bv;60UKHz!$ExnmmY-tdB%A$EMBGGcHSmH7Z$L)W&ZxZ-~S zwf(@vor-gd^0jd7%AddnqUU+{@ER>wl6Ccs&}Q_~05CyP@^ixPqmf9dp4>gU*G~yPw(y;qFy%UO5|EY{?-h2;U>LEZB zeXP;`w-jQ3{{CK7h^qW7txV|^Bv1&9*lqm9qhem%Bsl%&H#?j&2-V z;#2(pg$PMih_s7wF|hnUkP#EqAxBA`g0<>wiKX9n9qAwS9Qdm||0#dy+fHp6mWwW_ zLcb741n42kbI}o1=)L@XK~55V6k_A2dIRq6#5M?_1uhta)TOmUvpfbgXH?9+wa>X_ zBTl${mJyE#rt3Ev{SWDO5Nqm}wY+&L!Dw}xQnTs$EX!%aL(HiVdccLB`<04k9iM3FZ8K2XLYj22!ijfigl}BOJ6HUZ+D-phoFz(7E z$wuHmP4|23Wb~E{#k-SvQ&fBtC!WN3WW>hs`3~~>emea7!ET&asH?Ox@Iu<;*D|d^ ze-P)XwD764==PP`Zh*Vu3POM7Dc2=5<&rV;GN1hbxYDY>vY~I5zIe6!2*TnH<&Ylf zj0w*(M~Ao0pf>CyTiM)Qe*Cyv`vAJzvW^iN2hNiU;#}?jbdtPVq=rJ;WzM(w>Ow6`sez~~#~%IxkY zAT6va3kD!GS{XclVH=d)i?JV=j$KH++Khbcfc?re%aDFoD;fs4-{z4^f69qUe$n0` zZI}S)jdHmc2Y~axAd7b~8T=t+a2n?d#77~Z&a{#??xA{{AcF5ih@@!ZwAF9wFSk`Y z)qv5_+^{mxC=Lgjc!%@OklyWZl`a%|bMcRFcC>ntdC);pAygF1o0y zx?D2`gR;GQnhBrE zSMwM*Ic;n8_a3<{qgIfn#ginZ49})nI42LSJ+`?jn>I%7*J*sbb_~d8!r$V4 zT$k|3%J)}!<;2AkEc0j6^j6Q?5`)aoBHjwDQwybJKE>wuPf(WWqAA}deg2=4hD$%G zBhB@S={!tD{4K09xSlVTfr;yT}&kF0Z{V z#Un4h-8su6h}COZa@*f;cjTy@iWy2mFfH%2BU^jof@)IC6ae|Qvs}d#;+|s;BbzvTso>*IB_5Zn2zNiDbG6OMVde1o zZ%9l-xz()x2Pn8ZJA)V1|3XHN9Ube2Z=O##p|He%!a3g{=RJJ(JLcWIjlTg>GEpr$ zvF~0BFA#i}D~KYhGw|k~poEYR7$y}GpRq2az1QwFAp|XNZuWUt$jAb*qFme;Om$_H z$h(l{D%QZh=88?Rh6BF$>gbGhib-Nk>~TO*BI5#)mskV!uInmXISS9GUY!2F3BP@9 zU>+cGmz_ac2Ad@*Ho)~hFF-W*Q!CN*OtSI8SDV7F^l^8l<3B-}f3QklpzDO5{K#ZobQJhWHPuE5;;KypsqhJP7e(fEVfQM82)mi6Ni zy@N;YYx-!si%`qSM3VLgB=q`)`4#y|r&9kc3WG*tKHbP2DcWojVNU=}o{-z|UBO{; z(mBsQ0|$v}1{tUZO{Ds?W)Qf2lj|Eyxx0|&-M*6B4N%$*a8_LL&@ks~B(Uamn z^%5m_sG2<=i*++o-N@JDue;1Q<=;o!Ev3C2e7lmSzamBJQtGB%`Rsgr_Pjq96P$LL zSeqp=I+t>~|}-~JzEh-m4qyhY1kH&b26 z=+)a;0?|MnfpoMOR#6*LK0wICRud5b6fN#`E-YLeXTJ_@ATlwy?%Sro`LKK#c`6TQ zaA{o~jqC-`aR->lI(r@m#}kDAW#WmwzoVG)9KcDQ{xtSJDx7%==#C3nKMt6(m@v5zbmDAlAXpCOJA1ZH4m)eZ`g7DhWa&tml6y@)v980p z0X{3zAoJ56O6t+}*;R@9z*ULbVu!Gciw6A}iiYFCj&)O!(uP-`kb2DEg$mcae4>tm zwjXmw+s41D^%2V+=5up1c+(NWiN0~euHX93U^2SpKNzW(CgP&uKJH)b_`vvx$~;pi8J%clHUM7f?o@dd@b{4 z&P#!-*z6Jb5+&V?+h6|({#ZeNhDZOo0h{9EM(m{uG18`K;;Syz)x9ME4(&%Uu6XF( z5}=Sg4c!uob6ymFWz|L+ag`T4kE}V}eS`exf3H)%+ZId#OM98rUteCfsd_%Dvp|0!0(b@n^JeZmzUZAxs9UX_uY0LqFup41DU<_ey#V($26Kk?tzCYQmqXK{ZM@sQu+$7lgWJc z#;Ni$P4%1%ky^xblbzknba{m7=Ax)7E)oi#PAm@K-TzVI)c7$xW) z&y$O$JxQKe-dS|MVZ&X|WqV`#y+drZBPK}DdT#by<7fR`TTU62i@+_9M0UwWe}!mM zMh^}P0-j29VGX*>@0a-o{QE?9OM?0<9`0^)=X`EDw1}5ad)PjUjjciTz2RRXe$Ji}Aacymv0-*<` zP}tj=sgv1rAIhL^^&sW9C!g^y9ih8969!VX2Y+MTn8I|oR?541ctC%RKv3(21z&l? z?L<-f%$=3r1RBvqcD=gyFrnA4X%>x6!;l|jwEsW~i1@?uix@hr&T@?%tM5a9z0;{y z=dS1kmqEA7jagh&E9boYcXL{+XO+Y`Psh;#&2n0mSw}ysgbSgVHv5uFr}XoIP70l8 z2K;8ty0f2#VG+$A+gP{;DYem(%-dIYI5;?WcfR)m(>t^&WhtU2o^#ZXs+%6QOU1ts z0m>0Xj4orpGB{81qGqMCRJ1Uke88gnZE`_1Dk9;K^soIZjT+lGgbtRaOfT#;-m6guDL zN!5dF317W-q8!rujX#-flf3H})O|WO==~9YAr~}TR1xHI&wTkiOgs>0i$(y*Th|f@ zYl&jpupEtT&g!GHjZT4~&>I}IcY?mai2M&+x_F~ciZx~P!O(}}su_2_c8JC@9&q%M z_~aJOuI5+m8=K%Yu}edNd($sZOT{!i&2(m3&T;BC?(RFJ6^@vveYny@XkH|uf*e-H z@8j6yKiS=GZnwD`Y}qmtIHlp$EqzxGUB3KySs8)v@>C_a=~)@cyNjNm?44P61cM7* zDdmgqem5Qj-L=_|$=1q-n(50vSCr@sGzt!!z0ikubXc@rP`5J^bAQpyo#UdjnL?Om zIF1eF`B?0eIel~5{+#sy0iEE6KR{+2e`Pg#;^R1WpB|#S^f8iP`M!eHI!D6M*-j<^ ze>KYydpbQHrx#@1sHmlO(t>+x+OC+#qv>ly~5cicFop zL}{M88>EzZ#Qz?~jvF?N(7y1GG^nlT>LmGIC~=otZ&UnQRnmyB%#roWI?o9zH@R$Z zIIg$NuS=XfEjM{&Jd#S9(>JMTGhK%u%&yIwaFKSoZol?0Hizj^)TM$t?Iu&sBu^H@ zTf)w^+ SDOE.CLK C25M => WRD[6].CLK C25M => WRD[7].CLK C25M => DRDIn.CLK -C25M => SetLoaded.CLK C25M => SetLim8M.CLK C25M => SetFW.CLK C25M => DRShift.CLK C25M => DRCLK.CLK C25M => ARShift.CLK C25M => ARCLK.CLK +C25M => SS[0].CLK +C25M => SS[1].CLK C25M => MOSIOE.CLK C25M => MOSIout.CLK C25M => FCKEN.CLK C25M => FCS.CLK C25M => FCK~reg0.CLK -C25M => Bank[0].CLK -C25M => Bank[1].CLK C25M => Addr[0].CLK C25M => Addr[1].CLK C25M => Addr[2].CLK @@ -139,18 +138,18 @@ RD[7] <> RD[7] RDdir <= comb.DB_MAX_OUTPUT_PORT_TYPE SBA[0] <= SBA.DB_MAX_OUTPUT_PORT_TYPE SBA[1] <= SBA.DB_MAX_OUTPUT_PORT_TYPE -SA[0] <= SA.DB_MAX_OUTPUT_PORT_TYPE -SA[1] <= SA.DB_MAX_OUTPUT_PORT_TYPE -SA[2] <= SA.DB_MAX_OUTPUT_PORT_TYPE -SA[3] <= SA.DB_MAX_OUTPUT_PORT_TYPE -SA[4] <= SA.DB_MAX_OUTPUT_PORT_TYPE -SA[5] <= SA.DB_MAX_OUTPUT_PORT_TYPE -SA[6] <= SA.DB_MAX_OUTPUT_PORT_TYPE -SA[7] <= SA.DB_MAX_OUTPUT_PORT_TYPE -SA[8] <= SA.DB_MAX_OUTPUT_PORT_TYPE -SA[9] <= SA.DB_MAX_OUTPUT_PORT_TYPE -SA[10] <= SA.DB_MAX_OUTPUT_PORT_TYPE -SA[11] <= SA.DB_MAX_OUTPUT_PORT_TYPE +SA[0] <= +SA[1] <= +SA[2] <= +SA[3] <= +SA[4] <= +SA[5] <= +SA[6] <= +SA[7] <= +SA[8] <= +SA[9] <= +SA[10] <= +SA[11] <= SA[12] <= SA.DB_MAX_OUTPUT_PORT_TYPE nRCS <= nRCS~reg0.DB_MAX_OUTPUT_PORT_TYPE nRAS <= nRAS~reg0.DB_MAX_OUTPUT_PORT_TYPE diff --git a/cpld/db/GR8RAM.hif b/cpld/db/GR8RAM.hif index 64cfa8d2d2f26c5c5e69145cd782832ab0b2c8c9..4af01ce66cc847439a1ebb7ade6ebb361aa190c9 100755 GIT binary patch delta 536 zcmV+z0_Xjx1gZp(9e*IOH=2@!DuFE|>Cpl+Nvsms8i*8a`rm6H-G_qO9@s7#%2MgUvF!Q~Y*LD3(x$?LKRzhB?5gnn) zRMe6K+lmZOy?;FnkBFz5X={mY=vHiR$6zML*4C*3BU_27i7XW+@(N@gXU|zxOU!GM zISV#*{9wIe3vcPI+F^GkxlynVCoYTTPU!iw7nbW{JAH7a_hx<&x@_Zy-XfSiWt;?l zvgOJ$ zcRf1Bi^GGG^WWN&4toD;kgL@oe|j}`(voqr9a}r$BaQMDj9AkR%NUz>qHC7T(=i<< aFI-G_qO9@ zIJ^*PnUp7$tln05Fla1}%zE`*L_GhvxR?dJ47oPkV34(_PiQq=s*kEJ%47p5OV6{w z4D99bt@dun<+?|6gY#k|I4kx|*Z2Y1tH@`{g9XmSpLuTNc3nRzSDsYBDv*^L(Gi+V z#i8WD)*=UVSby(^N5nJDu(VVk>tsl$Y<>ejqV zcv+}2>1!5L!q?w#GEoAhD(ehY?F8b&U*MvuzdQ{^(Mo_ukHX?5Dx(W delta 58 zcmdnZw1;Uzu;exd2>1o1L!q?I#GEqW1I!^!I;>BO9DE&z`tq_{ALnX;KcO diff --git a/cpld/db/GR8RAM.map.cdb b/cpld/db/GR8RAM.map.cdb index b74821b7f1e64f0b5c0fda60ed546a38883b9f3d..f5d09dfc51f80030cd02a575cd49aed78ccbf88e 100755 GIT binary patch literal 19761 zcmeEsg;QH^&~=NJ;!@n57WYDMcXzh}#hswVp}2dXP^`GSyF+nz4Uj@`2z>nB_pkV7 z-pNd!xk+yBv%BZ)p51$~-@SWRiTeKS-ROrl+FMd` za&c0!^RjVpvT?F=a8YVlxq8}KSW&8)S=do>P-@6i>R6dmN?F>vzny6#3;*^v@7{&W z|IhLc74d&p4yFHHz2Bc1p|mhdd4TS)q%`4LhHj#QBL{vEOG#C<IB+vzc`bsbH3)R4+yCM)3JJ`@oQ& z*|go*t-F8fkwe;#FHL`pnf?81_TF=KOxH^%03jgeFYhw!HbiFF!WX=2qr~@7y?#_e zr+^B5qVDtHU}#l*UnNC!w*z_m&0_p4lBeiN)Uvl?N?JN;DCH;ekC=m)k0i*%(I1as zF2?4DQ7%>;Ji`W2QcBA56^yk713zDerJ|%@ZpP+DQ66-QJi|u+_uc>b<^M48|2rSf zJwj@}dOBugXB&*IrA6oOl^h*@!^-ZV~iGW--{wzwgOQ!F=|txe$R9unpw=bD_{pvappzdij6R*UBDU;WXo z<(7^)%Pe8B;E7C5tFBz$h`?J2xBU4wggjjvIi!yr*18r!Fqa)r$0*#71&1D{L9x$eTPybK=-2BGbeog-p%Lbvif@Q z1}&jDx7F_&ZCdhrOFj1G%*GkoUo9$m{g+hAIV@le@|#c19(D~rz7RC0Xzjc6&IRB6 zg_~O2(-M;tB^cL)_Up1>zrBZ05dkm|tzXmYyqmo?s_9n5c;$3=Szo`B%-5_VYWB6t z1Af6ekS2n>sH|I?fPtDdt`a5_gV*VO$nMme*I$&6d{}PjMIYptinE!Iwa?Lyy7WC8lg+RknHYb`(|{mi=kIr+9Q>&W z)c!ED#~+S7Bzt)ev)$fvt0zh_gb~M1#dBInBy5#&ZKA_}$Q%k+XQ8Al8sx)Ek$|US zDZSoxsZSD{Cf%DYj7?Xg)x|IN{&#T8e{{&Y_#FO(Q=8x#KrBH+S0Gx0v(@E&vf2}_ zAbyJ2#MmD`kvj)EHvyuT%Qsln%i4w*Uocy)-iL%hLP9Rv6$a8Z2^rCzAJ}`W9V5s+ zkKcnaq`l@};jCQTscDEATDQ`!M|bWkVsyCxQMKThomo>;8vLI+rrCo-gMy!)5t{Z| zLI%*9aU&ou--+C^Bl{~`IC4TKdfLEM<+IIHx|?9oy=dK$+ZK))n4Zp@Hkx<^nGYKq zVd_c(=a;#p6at2ILtLw~Wpo4cvbe$k@MwXD)_hv%Uyx<(Is>-i>8BgD7X~5f+wjYJ zAf2Zd5Bd|CBmh}nn8;C-ikmn>O9h%SN<%%wbUW!(rA(3#S{UDltZu~l*sz%|X``It z6Lwh01r~DBjj1@?be(fWM#)2dcB`}b)%!2*SG$DfKiQi82!6HvJ`?MX9b=Zw{P5wg zN?LH-)|pD=*feN7bw)E{2ygpbfND^QHALBgqLnXn$L_W>8YgzOGhmd_m&6h2_q?|Csc1 zZERLJ41?Mjh0ejg=NG@Hl-xWoK{N7iOVPX3cV7e>A=n(|ImEEWuZ{gA zAGGjo8*qZ^dM0UtYlA8ol`S>zMIw1g@x96pAq_JB{1il4Q2RGvMJhBb5}U`_Ng;fF1Jb6K1Yt0yPVEE@v zBq4`#>=~bnKqRGROsRROa@1-*AGaz6UcX<=2e%cWyli&RY!lfA1NJ$eS?TP~cj8;Y zNPY@QdyC?!mJ%UqbJ9<=w|3#7!~6JM@7 z%;vFpe2lCtSg5EAeAeQ4+-_nw6n^dF;%vS{394arQYEcbEXgxIib3}kO2^wzWT&78 z{10}Y(2Dkq*lz0){?*7rz^BdFyV^x`1k#w=gXHp${Y}bBTfIA(E_rNui%V5PO zIKUMvB~-%>x&&Cnl%thT68nEP!?%v&A6~E8`U3S7D*8fInD*QWh+m%naQyFsI19GG zAyZJePc0RQl?A&`;s9G_34_C3b)h1Uu5q2Dg7};=SXE(w8z3&NFNN@-JP9Ws`18Y} zl9x@XRm%DsVm`(jfl9o92vg_-Q88m7Q(q(KZenJ69Zppvp^s;`(;dIwzmh+iBV&i^ zQy1@GKL=6KS5b6nJ@m`wwiQ<+cYG%@`0o;7^>@GS&{XF3fe5D|<{8m3V>GwyeCAWr z9oU6+yT7n0zD)Uqc2^u~7zoi&o3~WpZ=h&#p3Th6rIMP88xUC~NbX_+R1-sb>9b>Q z&q1-+O#oiQh}c2rEgbBnh2i-T`RGW>(zdScKK*TSBG*i;1ip`O^iOsNI)Ht`>p4S)lizPLsu9+j;6 zksTD&_5|LNk?Nt!$sc}hY(CS=u6?o|JFn!@(Eix(dXT=k?K?n?TU*Xt`z1`vf;>YL zAU;Bgt+=|EwN$i`x6gNgQfMkE^2#VeD<)xUjw^_!>8_@&0w-Ntwi(n;rmg5Hp#^*dbgJ5IJqGUQSZoElkfM&8{t}z4 z-;t5tx`s$nx1ik`U5D_&Nm5+dKR*vqJs@HS@om}))Hu3;a*w1hR%IL_dCRJ1I*Z~! z2M%35mjqfdp`JfUVDwx0IgrTeFh9j}Up)GMW&JN(VqoME3tlb$Q%v2WgAGZPH%Gqfe zpUSNM2?}=BwOvJ4UlK?q@^h0zfqwrXT}9C0^ZTrL)fZ!OY;P3TW!L|qX?_P!6(_TH zgrshra{w06r+~&BQR@3av0~kDoL>j>aBeJi_B(}T2v};(Kj@Mtwt5=fDJXApTp4Rz@b%&^*hCV(rS&_gU zORV%U?zYZ#WF3m6iMVKoL$pwnaX9~#2%BvDRBwU2m9<>2;TD@iEPua+55Q6?Q$u#! zvSGjG@B|FH68G?oJS8Ni2&eYV5G7KH+MJ1W(QNCh+BfbD$Z)q6TB)&V6_G=D!mzI15cQ6?K_7SUSegmfK?z{UE5=O5v6SWJZ(+A23+w*A5A)>Ua8d-G2w1o}z zz@cINX6;ErZu!v}L-g}8=x#qljDq_>M0`H7r%`Cwd|$FD|1mMXru0q>rUH|!db8#j z3C__W@+j);7$UuFABRtSlPr1&KmNe5ygWI!mk$3`9F)A`^s?| z@ot3P`nS3|j}!Ei>XXfq57@6FlavlKQE50JD{Dgj`ZZkT5ZiCuDCLCuEx3|-Jx| z77c~j`T`x*Cw359#r}ftHgcK+5Oyg$gHhUUem84&hT=Eokms&J)CWY06@_TkBgVmF zlye^P7CBc~Kj>L6$ohz{5vWG~HA~=ZNK1OTmL&`i8Y3>?mg+aVPcZ-|e#w!x!SqVR z+GC76sx}<$d}P&JJAWkB3j9peRN=5iW9E+0o|0W0iGV--J$L_O33k>oHf>*;Z7z!j zDOk5^FBdd(`-k%Kd&eR3xE!joxXBk;;_@LokiBoPqpO)o1J<*MF9uB~Yf%$6mE!)(xBPD~Ib@pEi{N`yCUDH+fE!a|X#o&3!i(;lXO zvV^UqD3tOV`zS{JX6h=-hlg!uK7HvB&98m3e6yonYxzPBQ8iZI!zULy?&;#yw%nY^ zy$Vb)?#mA8qm9+;)gs5@PzprDreUMpO}~chrs{H1j?kgrte1}N%L?0Y_I|`JJASpn zo0PRKi5)vkkz4Y%+_e8CwGqsoCcKWI&nK$e0Xk#Q@NtUhx5R+%u>rgLojv%cpoCZK)zUbMqd1|j@wHo;uk4s^O|L5#} z;*ZCC!oyvq8)h@@_||TQR(6Tq%hUat8X8eYv~kR=U1knlIsG;hD3x^VQjXPE+un$Q z->4-*j(;Xi4fz9w{3r**i+05?obxLHI0J*_LxO-H!mv3QLoU^!+gz$cIe}sx0vB;x zIQ%EImZ5iaa0d(g3`50uaW~9)$zJT`M8On9pnS9hSrIS0hrLSvkXA^vf9RCb-=72x?Se1F`7I$4zjlR4fssS4^dynH0*HLA=w z|LcJ}T~d!_`h-VRfa~ADFVaoqUKO}q@7HVV^b4?^_o5)Im7^lZsyMDf)bWv@#^A0n zs6RBK7MEdBO>*&`E#Qz;TzZ-)J563i&fKs|d0>ehH$*MV2UMp?8V=qjnwJyOE_fRd z_)7X!ECZ+s3vOcmJ74nHy{yFd^8Jk%$ApyhCzWgYB*_L?F#EJZV((RzB=z%sPkq^J zd0gGALrdl@p5Yo8Jdlo>BtvwjGEL!@A5eq`XZWU9HfX^Z; zUjLOSGmsw3=J{szsvH7E)>TAh>fW1yxxCn|5+R=ty+uD-z!&8TFQoPU=-z~0&YA%n zFd(wym=FjOwX#(HK9Q%*Hh(^2yJBstNWHS(m}uHsN}o4v%v2$dfVXbeKO`J8+?_ef zAkHHwE|>|v(s*6P>>~_uL31%vLhvv?^IpITNy2D_g;-D_zCdl(nH zvg=(`9mYyj-p$9WTB5DAve}m-KXbS}{oEElf$s=I-k#fXK4az+7^jF#7Iq<7c?z4j zQ`_-_RF_vidgG|Z+>JG`b>h1cinjwUIaPkS3~u_0=C34`@OzV6Vb#&? zOGP){Wt;lZv;A6-Oa;+eLhKgFOb zo=4Mn`5NpAL?HaCu^?_?G;MWtGK$pz=631WYLbSE zFVi$)?dg97WGN+gR-po)3f9T+0n@wQ6VMwLOAHhrVqCDjKG@_^k7+Rp!G|cB?#Gin z+#P`MjbD;n#GAX{YI9kPDnjMoK8Os_f+xAfC z+kqevj$gmfPDyF0ARg=&f={Au$F zh=dwTcYKsy8hPZlTS*t6cgELu2*tWdShyi5<%K zhS=`!F?mwYUj)v|Yd|HlHl*5;+x*+?Z@jS19qbe!tLcWIOa4Yn(TLFdm@E_gh$cnX zVMdjwndiBx`YJ~C-VhJxBg#zzBZyr^MHaCqYwWNDJ*LT%|BLq05X);6^G%EKj;Ck7 z^Ay%5cUgVpu4X3J{+HX1B>N{2!X@{*Y=OiK0t#Zjw=iQ~attIc>)Tjy11@e98;;Po()=@R_bkFkh z0iI6m0ZiIk8`$kaPCFo`7EfjFZS?Ch$ch)}Visy<$iEejddUrB-1g#eG5fpaTSP5* zDPx)Vr|fE$&`H)~^jO2G%_lrAfkxdvGxzfzrQV+Gz~+O?j*;qBnp7m}>XUpZ`P>Hs zZ4#cB%p>%YBj5g@gzim!Fgn}lUAV>$dNW%vUrk`+((sedGh^5&3C<~3O1dsT%YJ9r z!xWAHp88PS9EU(1ZH&B&n~ir*%Z#`U)EmvxA$RM{} z%(R12ug}oh$3Pc!#Fk5UeHq~Vd!zn2;aYsZ`2(ta@FIt`Ue0gkWmjx9qafB?l4_YF zP$bNJEG=;dJ>0 zh!<^U&OO2w+(#ZJkhoOI-fqFGrl}YQcah-)7`D*T@Gn9~w_zXRRJeMv(GeYDS`Ae_ z(wMZnwZ<*lr!ACV9o-4Iq=WFKggb!Wz1x;ZMDg&@bx!llGn}@LhmYc`vNL*OC1OJK ziA^!XE`NoUF%b(^iUglrsJi0#Nu6Q6BDas+RWxZ+&`1TY-QaZ-Z{(qOfn*-U62X!n z4}4+TMj$-Z>H91&(CBL?)d7max6Yc=nAOn1K=Mn3H*5^9=ue+QDg8h)e4<%H3HdQa zP{6LjgT$^STGSEiZbGR~{((eDQP?t7A~CVY4_bMR=_Tv~AVMVDG>vHA-_|&9?*Qj@ zil491C~^Gt`d?3N%xj{PQxFYUsl`8$PC{xMU|deQxdb2YLbTcGSSSNK3sG(T;HLdmU$#2`kLdxGWkcfz81$sdLv z<4;PnVo{hZqU7ilf-C=v+4>Ku<_WKz-{kqmy`V;`rVt4e{8yc>hdXcB@85CwrTQrK%5F=3-1 z+YpY=PREXq2y0Erw+E^d+JP_~wm#-x=hbqKAr_B*w`bq}c1lW01plwr#`&N$$!_YI zhHW~1G?*fEB3~+5*>P^@E@{w)cJ$Y~k1^z{Ux1^DJ$NtU={ZmD*~-<@jmgDJifo!r z+p2?L7;r?G(kXU|nc}0e)Z|*#dmP-hfuQ%iWb)jY_CyoNccqm0im#Bww$95}KPeFM zqrW%zLCyO2M@9*g($jql4!hKjPbd^Wkp}eBI2@aDt3nC5&UPYTZ+fQ=@#g+)W@4YIDsoZ;M@ z!?4_Y4$DM6M8K8#-#o#*tqy<3Z+i!gC4?rsnjD~DA^W=`6N$sA$=cB}YXG9}GAmSp zFgu(3X5dHtEXma&6?AyUEw^}L$T=^5!fFQERD6zm0(2T#S32aMlgSBY34+$a1T*+j zS!mq0D;O%r*0GIAITZhmDvtWbmK1(IEI8u&9c2i(f;tDBRj)fCQ zLXSC`%|ow7k0174Y8`1>I>-`}EIsOP&u1kbXK#4LXfR;8-|x3!8hi93868Nm)Yc(B zkvdY3Ho1u+92}=I?lJsO9@JYcXwE6nxJnX$^*~Q4!l;AW|Ff4;zYOQW&yeOFb|lV1 z>E1>dGCmFVLtqPuTiZyA{9<@w8UF=`A`Y#(#|HOft7P{8?j`c71jBR zPx7Xle5SM}x`~(_=$2}voAY!n&+|ljQkeqw1+lCikDYPY{sCo(WYovcS}ujf z<(9W%;z;c7%p?I-Oi;9ul$(OiJOD-ov%am5+i!w$`@Ubp9U;$-b70SA<^@<6B6D9g z2GSb-EnD44)`L#T&AR)u;;HS@XtDO|Ne15JG&R5Z+b->YR$(79;0AG`{oab5InrG# zzxt5U;<``xHP(CN zd}>k{2MDr2qm?p!V+|xTRpiF!*>oc7{u~`;ao*XC`N`=Y%4GQnBM_6)a!%srxR~p7~`L#0C#x>DjnbGKi zr)#b+Qoiyy%JWCOX)S>68qj6yTfirh@J)C&dn;mskJkZt(la~^d#A`Ylfps&S~B0o zGhC`Z0SGCUnzm^QP;0vx&#H8aPJ_%h*c=s4SlME>wlF1I{6|ExEY2mR@eXbl4L#1; zZ%@S&TDEH<3n>p?JYb>;i_`2@u}9f`c7=ujUr+FTwZRUeQW{QYD<=!rY_`ES19utS zYh+upG#g@uvY%8W`8VT;w)U@s{LoSqRiVbmL0VhfL~kj2!x{Trh$0bVV!P;}loG8m zMO5NiU%|LbIChT_bBuk#1cMlT8e!1Ew@&U5f#k^jaB9bW@%nf^ha>xYTCv5R(_|;# z42iLJI9b31T5(2u6i>gEDEW#cJ#!nD?8pZ^OXfCJ_ir3H2E(sj*}zcBSgFJ%><6-t zifJ9H!_p)<+P5BS_~q)-Vr)NL-H|tnT3>R01MRPFN+hp#@dxv?jQB{+wMLeG*Q7M8 zR3|}egd8I8rdcTNo;VYf>tvP#qvC#G@N}ZK?kfHJH$T0wN!fx4J9eiF)=ImtuIm`h zQ6ur|{y?p-HkcUPzbAHz^CbxDe3{OKDG7m`(%!04GyLH{TsXHVxGTNAh?bR45_wi)Lcs zApWn<6--=aPd|a96Lv5O+svrNyNEdJpHk-R^#jZMBy6i~mqi2K5z`Yn^C?kuK!5;- z!uWvYH{8~Nkb?Zm>^2wE11d<^dBa$KOSY&wtGGv4%J*+k?HmoqmBHLiA_0bs2O9|0 z?J8-3o9{>Xcy0`ptz@D&&*eQdlk1Kh8aqr?*U5D1&7&ZhC?)zz^D5c?l51+XH7obP zSC?P&P)qjLt756WoWhtlDuSCR37DyuQ~b0~|_h_e3V zHZG=*2rhaerx9kh2wCDLR`-Q-I;PRRq@YC8VvkW8vUMH>dl%TL_*isV|UtZB6EqCRQ;JPr|W<*Wi$Th4YoqfPR?1vF95ECOsP- zNumfoZ!$5}cWQ>+72kMf8V0JP+OzolO>cQWFiDRm!_*W`aQb5+xqF z`ct3bZ`G%wEFyBz!NNWFd_L^xm_QIGYYL~!gOKH@4Le3fK`hrW3!k-FI%l3%GwG0> zyQm#gV%~^j?wtdbck zd^}cWaXjx2gyfMV0Lp*VE2vAuIjLa9k*#uY{x(xjr$YI4lWtYt8SZ3Y9|5OXHXJfd z@(YFbCmhzgy*%X>QYP?==w{U45*_Nu6`dKmV)Q0^2q0T{^;<{0vwF|CfQ0bc-rpxX zJGksC8h9)61eWBzTx(NsLe%R8sO$(_Z#88(-a%4V*aWeESdvOgmH^$v$;Vi?H zlgZ*1SZLioF;{Q(H^64V!4!m%vqn0o!X?|E1S81AgPSioT6nzr28P%*v2qC4yq^=m zzfk+=H0fw`(Ss;&b2K+qa}MiDH5*NrTMV?h=H{J|QKJ5PxxbgqyhBlflru3|=kA_d z(A0W@j6}-~i63ftCG@4|jM`Tj>TJ{q`eE2y4xj)F- z)b5h*mfl)N47?lS@AxW+gAvuhOeC}wT0gA8PnAvAnoP!+p9}2ROdNeK%>OdrVwWfv zeP1DyD5+2YqsKnEe-@kEYYtP6EPCfuj_axJ+Bf=$b>vK+k$Um5GZLe0M3Ey9rQstl`?`ig+l?B_!1ozH z-`|YdN7LT|pUUfPvV>pz8bBuBZ@;~?IU>{4ck;1KHu-~@GaE*P*D49CL{zPC6JwyR zgLc;a5q!S5+6Xz5lf~#~Vw2YFvU8AIWHfN{pJrffG%ixe?JS2&Hv_YekNz}-=Lxn| z|0KKlyOjAmF~~f&8IB>V}`LZ-+?cjIu9|Yy@2yTDe_X3 z{o&$+`)N0aJ)PR*+X(5V#140WXyo>HFG@G*A_IAm6vWiQb*RV?rQ`E0vP;UR@h63m zzI6IOkHc^Jt792yOo49KvXLX9QSW1M0P(`k8KWAgszzGNg^}zL_Cfu;=-_Q$Otq$@ zEg6PLfK45N+N)4S!M!FemlA2aOO1s=09Uf-JFmZ*cT~P*g0^+hlc`qcIg)()L5)Ix z{X@c{N>x1a>ztpfkif#f=fpJrlsb=et8t`uZlzhl#(v&3syIHg$rn2MFp`f+WC2dh zVG?>Z%O}m*TSuy78n=JLz05k>Nty_vgi3a@8%xXzW}vi~(~>awS!-()3@6}V z^$HHLwrM+GlZo7G@hY98hW!X~HBR8A^T4t@7kB$teUZ61nwiZ{HSi_{IaHg;cb>Kc zCOIDlfSxr|#Uce8$Ac72*v6mFEFw$NLon3&Ta8Jdn|fE^42988d|!pU##31pr) zKv&Gs#y#8Mkc-NW;~Nx@{0s8HK#o67gKFVQ9Re|31=FYb=S(7Z(&PW~-h#WG{_nkG zQ%)TSQ!p?>35NQ?&(@YS!mV%0JR+cc6&g|kH_WHkNET0UHGF%C9eLxt52-)iVg>3~ z14#n+9dYdkl*hKd)}-EbT(@7;*u4QptLZBHLVssv{Ej0E7&;+C9>im3&+`Y0&$F{Vo5}muBc;pQeGtjI4`fS}ZNxx|H4(KO+ z@6+oW@Xw`e2`co`VC?2(+yfz*?jSe7YBdIIHeu85&6^>;w-Wowt9>C>{EtLK+!PjDPtp^ArPo@$1%MrD{u zI=UCxcrWm-{Fsi6u0p`WobOA~Y}G%Qhc>soj=d1suMtzhD+(B`OjC35o>3XzRy)D#ihZAsW}yp0@_Pch{)qn)V368qQ$djavHupw*h=&eZJeZMDc7)=&;c( zLEeoh{}ov-=lTp*IYN~-ItMACcCv^<{&)VqnKA5`-xitueXZl6`pBw|_w6q18;#(X z72}RT(tQ}t)h4Bn&n3A){K@ep&f3nTLAfM(*R|Ft9mBeP0cH-fj}g6hl~U&o)AV^8 z`M!4t18;1*aeK~mUNmnjeSsmHu!zd3hra+5oBcTbF+t!#^ul`)QG8|$0GonQwQJ`C zy8X|CC#&@WynEsM^X0ZfvD;CKn2wY!TpAU*2Z$Wpn*4^2w96+0#~*;FNwKjQ^&39~ z7yJ8guRlgPTrtobJ4TwY!hoDL$3bbdWG|y$uxpjstz%-u+?NSGY2!aeyQS%;L!KJ^ zr!s+$Z1z|5k>EfG!tIwH7>Vl`^f{i>i?`*V$2D4gfnplrLOn74FXmC`affAxd3HyY zHw|x<1~85R@;NbTv@L1lTZF?}vKRGgyWV1r!}(adL`b{4Vf89+D`b)@Ub0<=24uWu z#um62As#~00)*+^tTFybrMu@=ueN^jFB;A$PN|*CrUHi7q+xgu(&ozbE zQGJ;M$0z^TBq>~#tg7=8C-H@%SRXQ-(J~cKdQEacgZ5TUW-tSS1ALujUay3g4|-1A%&P;%Tun))(>mCU z`GqSS$l08bBzSFn^r;~4i0$(6^Fp^xaob(pr`0a8eIWni!cdRVN^oKK1RPZ2KKkWP zs~3gtjbO19`OVx>&3@?UTs-7i=iP(sPU+T{Ulgwz+cJod2Ph^r5Y)ZQ+nRR;-_%aD zdLYE#$WTO~jH#p2x}j8LluOa!3EVoMG*wBw{bhY61XvYcFAIk5W8dLyD_`^^a%Fcs zsdqpok3I=h`<(y9s4}^JiC=QMww|K+3Eg)Uv^>(_s;`~-}*VMlJ7b7&NQZ(zE^LL6O~XvR9^5L@~Cc`9#8|vkA7nq z=c^pBIRr?d!M089$LhVhFCzYeR(BtQ7VR!#w;~FZ;!F-!_Tud%QwRN$JY9UYpFVpN z@6U_?teK@Pr;WiNAo zAzQQL!^3;Ojp9W%?~F0mHuimxU>Sa_FOrE8ne($y_*)e4f=avdPn8|r;fyC2`>{Me zCtF|pY}>ytod^!ltbgR@@xv6bcvv~?=%)JA#E!2ufIxz+>R022+|AsBss5wCIp~o| zgglT$UPMu$JDM`StK!_}*`I*0=|R#g^W;i#`md($y8 z9o*BN_%>)GsPI+I*8gSaWZ0+6VA_spR|qyTj`@QooQV!|Py+Nt6*cbB1$O0a%SVT4 zr4?w1*1c+26%T;%J5~=DgELY9r_JpRC3mA5{7vItqL)=SF zmP~+3$(7Zkd&=h*Z=p#r>SEEggv$X`@LkCkaO3CP+US7!Osc4c6HaNbwt*`vL>(l~8 z6tes@1NC7;?f+fTEtlURcHGP~_T_aEoJ=`!vNoJ+wwI!sgahI{Zmb_a{-MQ@~jUWC$wgJ9(X^+ib+xI#C zGWM8Gy|r836rp?S575W+BWfAo^E?!{hb)KOdj&@Gdi!B8$q+VCv)TVW!Zg?#%RxA^9(ztb zc+tx7>V}>4YzD{Pqd0y0*C zCjBt4uG_}@Pj2jW*0-}R)|TDLj^Df;*pXJ9VmBKPd6`ANA-4Qlvu;n#MoK|g&m{or zxItZNWylw~evJj*2B6k;IqnxZ+o#{zvc6NkxjZ}Y_*vB(sWd9#a$^vrBK=}&@4#DGqp0JwL|RT@a!)QSP~O!KLN3c*y#wST=#Ex*iA#dF%r zPtG-@gZsy+RI05zE}y7Oo2CiVr$YhHPnk13!ppoCrX+EMRZbw&)thH22y*EpfAbZQ zP#WML@EG~m7JkAcr<0$3n9o$XE68^PTHOO!JWfwSCR$)S-qbPwWL11!*!k$6QRNBD z+rinsX%l(#q+@JsoqO)-&hc<}?;?HtqnPG)%YQr!#2V+JT>EylY6Vzc`ggSBEp(6Z z?@nMJ1ZV;}*+V$beIAWaVm8!p9KuJpSou9huz$~XUMGeN6D)EK zdo9`wGCQL6jk>xkZ{ViPxP%!w(jesz#C8+4rTJ$^3YmTS%k#J6=KA~Z@**Qu&vDR( zm+Hq9z%IX&5z0EUBq+jS?Hc|e-cAk_wR3-u;QT$WgrXYipeWm@0QBP>`Ko&Wyu1Uu7*PYTw{cb1Z3&Mt0#A{!Raqq&45>pzs>9-#R7%IHb@Gj6< zv7P?e+Sv78GkUA>t50epG+QZ|Zx^eQOCu5acim3h0_oT8oazS*w>mPbZ8G)vzuoSa z&^@UHSBb;aijAC%?kE!r(TmPRH~u|k3YhrtPn8*h-ACtqCObXImU6!IDy;b_!@A;P zFIArU>%Ec{ebcRXZzZ!kwtg=ek+Xd-IRtg4i{dZcf4$|@7Sg)DK0COBwk&Q+IKQc4 zFwYZ~_B;JJ8OLgQI&^RkB>e*^u=et6EQalTvgO76T!q?_#gkAuUl zx=QSwar;>{DwUJYESnYE>K{-6 zkDC7ypS=2HkvQC%#n}mVyDD(tyaNT+h_sxv!M}+B$3Hip=aOYWOe!uQz&YC>@an$e z_F#Dm&ldnBPIucULjW=;$&o{>y5C(1&rmtFR&;BMIOPPQ)Oo`^-_nuu{=qx@lBR8b z*1U*w>Fow1r;TgF#6tdRkuFrrVF>*kV#&$S< za}YD|jlCwsoPhSf_V+wk?or@!6U%KqVl^CKv#`NGoRO?6D$r!T3+1c4imk-zR3EN^n* zSD#u_Hlgn_Lc?4g1_X?=-J;ba<2LR-l4Ak~PCa^D&)n*x99}To;Hr_Eu{yj=AivbB zk5u|7EJMLHuy^Nw04gF=2au4spArDYfa;L9OcRb%TT{8(;-oGr7_?-`Kxy3?v4(K7 z#r|0IHat=_J6!y}ua3Cv~74X5$p_=};xVG344K$ zWZ^zlgN)Jt$ri2l)o&zmTj>o;GEBgf{w`5N(wEJWk}cmKX1-bKSE@Q2cfz0hG2&bV zr~XTbd=AO2C0kyzhnq;*NfC1rRa;IN!0vv0$>O`tG4w%|Qj)pfF3%e_$#xkbMMe-I zMq!aahQr=|tGFjRdSZLGM*JIw);aJ(o&>!@r?-mnY5l1Rq%B5xFcxe>y_6pNM9*06C1`24s{R1#cSNyE`^XoF$t z9@_b?-;*Cc8<>_q<}FdYqN8o023EyjVnzfal8j{2PgmdDJB!#?V|QWyzW`+jn)jCm z+@chsWA{3R@4he|+)Lznen9`E&Cd`$JwMn_M25|q2jq6I{rol7gDX&8)&*PczD}Mtv@6f^X--;Z)R+*INY0on=u=U5-wh%qN zc}Ab>lM^PdKRyguj6=^7c^)ozUWmt=H!rYV-e>r$j&@%m^|ckg9roQT&tBLT{samf(c=Hz9{T#NgdFu_*UY8yEJ-#*^^}8-} z-qPQFR(W3h(|XOld23(TnmccCTz2{CTZETs`>Fb&=T};<_VzVz@m=MddFw~u?hO%eHFMBVvjd(>F-mj9p3oOowr_tHaTx?A?+u_-v=^++Tr0iA93Dq!_iMUSMs}% zE5vSZTs|-AU(a81?!SPGa4_0r?ATP=U5-L-Q3}y<>wLuf?K$(&7lBh=JU`%gW7}Mh zF`v{Arad1$3wm*E>h*``SI3JTw1LUZUNN5WnVHJ- z{PbzSFt%+h{CMv-@m-|LvFZ2pJUd<)+3WSkzL3S|YSSFQ*F&B@@9}t{t&CSs7kfQk zPLq1&&l|t{LzZHgd-LTzfVM@0VO#c{T) zYht#Yl854OQKlVS)2R)fJnHvvh~s|%R_A@s@2T5=V0*H(@A>`fB6~eMo&#CrS=*h4 z-}4@z%Jcl5@sHzNx$*pdBVcYdIM45o&a`(Q$YQ+ex^85=;&p8sj{U}X#p^O%w|o7j zzw4v+di_QpP>kmTs;cWtVp>w* z`pVXOaqR|-BOCL*IP_1M{;~_&T@N~H|9azy{$l+gg|q&mws`)c|3^-7p1<4{@ses6 zGQv}V!@F5QDT`~`L4#LRxx000960cmae|&1(}u6o1<^wbp*v5Bp`1fTB_(RHaZ$C~T6+X6j})*_|}zGzjfY z4?T#vR6!7=f(V{OycL9c5Ioyck5U8=MWla#iWVXWMcU$fui0&C0}ecP=Dpwhy|0;# z!2rYo;GX(;0Y7jSrD)kxQ(4y&zDn}GBs15pCJwgKgyhu@t@xciH}B9ffs)RobUG&s z8o$C(UPX(WN_dWG>#UiVc16!hQPwhFu*n5lP>wT;wXif#n&vFh`5#LZb_{^z)7Cy> z8A3Ul%b~EHFo~eZ-ue&pgVDM}q3mfTZJ~XklyodDove$t{dJ5}U0c-cbf%Ua4brS& z_NH3fn81B^<`=1&6Ev$eBrtehEj_gvQuUxv2K8=B;fKHoP>zr0ww{wJ=toWp&-Bu{ zO5jon9a^9h_x^{uOQfPM9;uBCJhBrojJw^HPs`N1APY}uM<`qRig3L8IExN{yO_cA z2nvy!%FT0Qwem|2-U}`FdpwDB-LQ#S{B z$uK}lDxdXPQpbbc(zo1 zyAk;ZC_~_ReDgy6GaU<1YXJ0RR6{c+J}Y literal 20656 zcmeFXg;$%+6E;jsTZ$A)X_4Yqidzd5cb66@F2##ODH0?=(cYX$*90R}!HUVZ^y0X}{~1|6GkUiMZt3?D7592xi-bW|DiZ7dlStnI&J zf9dXyi=74s2Ve7l4G!Vs|I^ld{6DSFgOgmm9Bzfw`+W)dmqekj$VPBKeR_@?X=u#% zD}%M-gO#G;t9r!jXBj;Oe#YN_YB)|fqAbbf6?Dgbms8S3wZXkfaaDqm_g!nAd!QB5 zIa9>mMDF0n_jz4wrkG=$ee_X|&fL~|W_EVA@q0l*4+R7BiL9v62L5^@YQ4MCsKBqS zx}&T{XMb=hA}$q-sH-IXY;6Ts@-nBpk(P~!SIYDXyWf~aD)_(!{z4QCf!|a>suqW$+C#35Cjr~8n z{6C-g|9d>Z+A~d!S95H%U5+Pp>Uks8+{lmz3wG(6v<2ar;=_1 zucAjekF(>`jyn4HhWUu?S)cV!)4ZY{uK-nVC>vA!0fni?p$%8KPIUJ6Tz*du&Kql- zB1OvtMyFK+OD1v!;)^w7=SJrif2QQwPo2T>fS1=kF}A zI83|;xxB5LQM3y&C~w*@vnuC>=_S_Hh9LDy&9d~UYEk|^_D0TvLbS$P0jMTP{Yta5 z-&UecE~R>T0+)K#1$xz`{UhI<*5t=c1w4&mTZb!ABw8DKCw*p|Gl%-{y$fSjJoC>+ ztY#&y$8et+;=0T2QoEnjrJoI&1agP+wMsUs8$>XPE&!+gi1-@KIbTtX>J@k()c8_R zd`Ab3DbCECwEuT8BERFr(*XXx=X6X84W2cwZ3UkW8tT(Fz}tT*T)UKwh26ER zgj2bUXpFwXkBm+-rTrwLNSm6xJJ9C!rlr14O%tBmSvuCcGhH6ZnRaW^Vg+pXU)0!% zUOuTZB03*C{kuMojT^Jafm>5&E>(EE$1t8=JKVsHb+2E=***g!;FS6H62##Ypi9eiJQH6 zCLezd8s8@-s_K4?2Ar~ zy*)!;A=c=laP@1YIHlz8moFx%I3HT)jv8F_RbMf5Kjq zCP-JZS%s>)?gHe8?iw>5F!{eUG;jkESv~sm%&{Do_=WfcnY#raHL*~+pjXb*30E>1 zQ%x1t;px_|4UQk9mme^hy|r=OO0r)0o1)a<{_e5R&d@S-%PYC93|?o~8Y@Lrhm9jd z#}=*j^aLe?1^w29mj|BUgr-*FFG#9|fuHM8u1b?!_f?`@=wgvTV7@58au8$ zPGd4ZD$nIjDQdlDQ-r&gSik`dv(sMLiNJW}Rw<2%NjuMdn4rLm#CaX_XU#W@?t$Un zg!Az1d_`%jMReq2BKiQqniH>;6QnzZ)jbLg2$*923=CqDdmk_b@lcSyb+%sg zwN}2@GXwgRX1=k&mCxZ~a%p0U7>nQEj|e2w0vi>hr314m7PH!NlHlPm^m(Mes}CZy zGoL8)XdsL@vuhs~*%cs`_L?25DZ@qz{fqdiOdxqH4RaV3w6|v=Hip`{D3Y=t$5_9v zTwUv3PhSm=PhB!qRMnba#Q245-jHSl^KCkb1pDfuu(H=IYISKYQlJ$m`iF8{J!briXpT7n`WbN{`CCZ?L=zn{Ib&H5%d{j1ogg9+h~DhrOF!aUe0hFA(-rhX2CM=j zWU$hVaLOgXuP>-Q7{X2&#KNoZ^7pOa`n>JIl<4j==7IbjDrU3rjxC9!FqL&zksan( zeDOj5;X5q+P`{^j6?wBkO`H-wy8DcuMGQn*5lTOb?jm_age)cAAnq ziic>~$EYg?o%86fJx`j|MyM6_>MtkIH9lFJoYz^~OIR66JD;!yZ$)HvF{@Oyfh2X8 zJ3r*I0KRt#pEJ8-1xOObyd{j7?V2m;vFsCx`2e$2UF@n|zgjz8Q@G zIlohWr;-i}>-WY=I}!alkEI6Je71&PJu|e3TII>Ff?vu6`=WAh2e|f)zb-D1o~(pN zE_zoF^ltwp<&{%`cVe}8X7>gO!g^$!!R0#N_%*W?-IuK|psDp{l&(RHpPWk4c66jq z0MH<(ux8Oq;mvR_qIa8p`$U*iNQEv1`bud%ZbmM{LzFs*O!Yegd)E0VfVoXw$ewZ($Hgz+yS?aYSHIv>KcW@Dy4%+- zVewD0`c=XmEF08upIkM*72ZT3!pnKfg*zBGs6jQqJGX3*>*vAve!WJdYK+1abF%-X zlbPXX)uY$pgKEvG+0^9cKKCItSZ2l2f-< zW2tms9#Taowb=Fokb3^~mR2Y-!%zpor~K%pVdP?ydHt!{2=iDwwG_DP_($**jsx4w zE$8tQqI_kD_iWUR7rU$*q|Zp z@eY#-5C0_h^`dHdKv6Y15+zmWW?hBkpO{n`rdH~ZdBO38a-L^4Q8s|A@{Z-bbak_ieDp57o02(OpJ>{DHUj{{NCYTaRs0 z!jw`dscj2G!5bc@1?=m4bM{{kUdRNDF_SBW+W)w~=9AYs>&;V}x^va(N;%LspNwtQ ze~&E=ljWafL4RO}HM1ha!k-lCywM6Z4FBKztMRXM%20($kzhlP84wi)&1 z?}n!B#FQ3E5ph@$xur=2=|LezE~NZYmBd%L{ZGl6ANE$r96c&kO6n4wz{9)4>H14L za<#HDf3r-s72g;6bs<=bls_p^{!!OLFEPxW|40-K|pS;Lx&~&RYAi zIL>62o}ZrC`Hp)5wY#JYj!Zq5j|oUfw+a`s`Fj%bwPEhyTiB9%H9g|1(E&;9lQsV) zzdVc=tuiFN<~;vD321L}PIjiAS8P*^Oq3j?3;V1?37W?N{Xfwe-Lr!P@_kP;yn~j@ zcth`GWTPX;KR3pqHc8DBuf#^R%MK2&wrf2m@|~rv`U$&r!n5Qsr~g_l(o{{&uQ}A>3Ege@`2}o> zw@$XzX*r8=a^971j?AFvmo@lrf+7`!f34m zy|>&<%NE^_7o1HD+|t6r3uh&qemlk1zKnNtu(geoP<;6LDdEq`XxjQq7NzJ0VQ~gp za%WeD+Is_wTAKAQW?yz{T>RvTaCu&l(>SW0Pj;bksPqnaG&5h44mDaNpLX3Q^E2ze zBqi~qeEH7itrR6yQxhV59)R4^MG2<_qt6upeW#{ChEGQ)Bjlrx-^4Yts`l12LFm6B zWgZw&h}j+=?nJcj?ML{j)igCcrJB;p{DjuR`hPSf z{cWH!eS|>5TQE=jV!)4|@NL55RRgWUtjcMw4c#ow->VW*pU&9FyCk;c0jut|E}2qe zg44o*kzqZb1*9r`n7%0ytqu&;Ty}6ibJ}4Y$=hKY(McUY6Y7>n$_N*nsHLdM^hAnf z6B%eYHvICz6)SdmMO<_E{JeMGZ*>saND zpat!UlnB2Z-#p#bG78M~(B+eL;6=IUp0$6f<;$QU{ay*}dEkn3)M>FS{|9+FLefhY z{8?!;IUkF|vt-?dcTqDQ4osDr!2N3V4<;L(qKLC+NmGlb<*1Lf9?qw1+Iv(@Lh2i$ z@sZJ{qgZ--DX$E0HE}jDW{fU>SED?5iCiL4=Dwy4XmE&aLR z8}#%S=&9I@?~3onk>RcA($_xsKX0}lR_Jy!3k?eVhvrlaRu=rCq8+KPg_P_p=fuX| zIg>nx5wHU&gq>bLNczY)OFb?b)+RJ@v`}SJ&S-JU^^z!gDkt$iQ|SlfOXQ<0A&~)< z{WfonqL=v2G(I)0U{Qkg2w4{qxAmmtsW{|+-;T^JHafir)%bhhwOzjb;8+9P{AMWl-a+W4ydLJ;PqqDk$<;byy|t<-EYmS59ZSWfnJoI1AUNUFN61 zo8;sIg}*_b99&!~ujAe?u2Lv<8&m%0zXB)tEFF*0%Wq>}(=d~ZnS}crM}$O{5jx)p z&}#AJ748PR;aHyUot21~Za<A{!cU&60uslvba`9??6tTx{`1EkM{%ntS#}@!MI< z^pBi+a^)Z2d7D?r#SF7nDh_$YE#@{Z^DQO5I`%N`J)Wg1c6Bbu)QW=mbZinL)8_)o z(PS1`N)>AHhP+dQ;JJvlkt;*I{c;cGvpJT1Dyr5;Zp8iZ&owk|$zsp;EiD;Xni6(N zk_j1};GVWgzu>?g38)bby8q{$jyG=zDYdXEdWgB(y=JPTW-(#$+H%ZMS!CA5u$Q#!7o64O?T><1ku*YrGQ@stN8UqIzO#BdC*$vW^h!BNNoN+JwR49 zjWBiQn;%ttf;G3FEDZ_11n95I@r_&mCiyXqwrRhtX?vgBmp>cVJx|7;y7v@&zKaq4 z^FbFp)?J+VysV&{av=FR2_Ba~`STTc=nLX)>(bt>CBI&OGGhIu=s#g^2Eywu_GNo4 zSQO0TPSj#>@bS$f`I#a!^j=PWi}C*}+Dy!4lMj^_wkwiah<_A51ey-sOG^qZhVpGh-$p-xAG_w*_2G1#@MX5(m>EJsDeo+$}j~@5ISd=Y5B7eVqkzb2X z1P0Eq7u9P}Y#--{@iyf@o%Ov9m*cVN&EVqp z&@?zmmwSEmFR#{Q%i{+)ibln7j|w6yVWIG5K)@xtA-0`lgEwJK~T|I&y3bKg5xuW zO48EP3;p}t+3)HUuks|L5>s>m-+Q!fLh$&-Tgv9eTYO`EWrs5%{e^PgxBDyhaqpy} zN4&TY)C2SU>LX+aL&Y`kmyb=v+}1vti-nrQw^_tky)+VxBWP*}bJ@76*|?^uouocc zi@w$}@F>{E@02om{Ar!g(uL%Wp1;Tqq@bm@nR`g4fu~4Ve@^r$eTdBK1N~MkTTbn8 z4Vw>BmSBXG4ol2L15R@mIlgXny_JPCyLIi)M1#Wvi@(KPyHJHqXb02D|+#MJ;zX;@9UWs*gt7 zeJI34dAiUVjL7m9antw3IJ0<3b+X+Q5KiJ_XY{{3rfwX<*I#ms2wOKaN(7zPd&i42 z?k{(@dpL=azmcTzw`3{I?wS8u?eXBfl$OEoTl{{qzQEQMt{3D-__4ReQWP(Unb}9h zwG0k$mlC&Om6y!H{?dCjFIw|zQV^kGlHtaQ1#+!}Z=syt*$O?fP=2G?;(5Yh{DREx zR3}h^!1@t|?2p_T^^`Pz{xrh3o(io&FYpO-xhjVk>rAAF$;d_Jbcgjl?-Rm-P8&{6`u8egsds86<+-_SIgG zoQLMvB_^}v+h=MW>y_IB9?l(~7#MgEgn>+)L@E>#2w47a)p#}W;ypDB{##q<+o&9l zSH#XVx1Jg2YIGb4HBlu0<#&4)pNETdjHqU~BHpo)wZ;iH|v%r6@5 zhf%yIb)RG2iale6h6tL&i92kn;XU07a+=@X`Q&cBA`T6UPJOU>IhL`{6-k zH@{`AN7yJdxX(6Y^`PP6=>uCvIc6Wubu#o@(iaV1oWfvjlBEhivPCEJaC35}jz0&O z-3(RY63>GdPn$zpeCIS{?hGcH*t#J~!x1j0$oH}1o<61?d*}~O2A^K8`9|Pc240R9 zUsKVVC1sMO*+%9-t?cLEk6xM%MsPlf2<;EY<~c<^mYqAG2cwL2rO1o<{Xp=h7@z5(Xt5NtSjaHm31Numk=9Q9~nbjU3ZvV!Ti@0Wq>U8 zpriryopOx+lKHiHB-@SnW^?T3tnaYL4^g-I*ZS6<*|okxMDUzilB#%rU6Dmv!kyN- zO1^9*s6Ar&h<2(it=0P0WBh8VNh*OdkY&}KG0`$~wFSf_BTbKgx=JcPTNuD%tt7i` z?)OH0-G}+_d@;}=SE=u{Hws&Zhmd-@`r;-g!JkkwG7#plS)Lj>^k4=I(H673vb4bXdZ)!R{-PeUkQM;hX*rEfg zxlZMh>&Eu=1=5^6KSNsPAkhm-4#4_(7#bbKd4tBmH~Xn;@KgtoLqgb|)JLr;Vn)wF zH`?^9+=-g8mBmdHB)lrML49yH_`&rTDgCX4e9V>zNrma0+>f>b9vXy=D2qYO3|v|n zhrflYgYt!oH`R;mM@UR;)o&IPuWC|!eX+*8NAv{ABzP3hK7hWsiFcEv!xKh23ivCL z*r{YOfU^&M^Hh_J;-s+`Taz6*U+!W%9*6f@s))=bel-28!gr?Q6WN*&Wb>LXDMzq_7}YQ#A+E#D4l zktQqkb;r#IC*Uj-;|qSgY_w={Jr=Uq6esm?QSEd{)H&)WWsJzUuErh&_%gMa@r4*x z$1CkjP$xj2UH*3*+S|)&e?OUa+bAL3SFX^GNl+!=e4yd7&U%HXEu_XnH@yfYDEg@`gX6G`FQOa?MqU4zaC zor9{o*EwV9-)$sy1maIe#NQe3b(>~Zr;Igw+0dnNBf?BGBh>cF+XOaJxDgxJhAFe& zG}CoB4T-KAD_}+Zsv2vy9Tl(PL=+?;OFqYzv;d`;jQ2v?1v(={GX0P!-*))>iFE(Q zrlL{Gf5SA_-V@YvRbMli)|FtNN3IkuZ+YNIEtgrJC%*UOPM` zXvP6v;YGCnL)=t>qK~dIMf(wL0%BWT2_n8p)?Lk<9-n+A%>}fMMyzixAMw0hzfM-q zHMtHt_AY*S%&lYf4!$hVTXWJk|8xZk^^U#%bu6eSTvB6M_U~lL&W5_;*2W?3ahA=% zut^GNA$k=16dSPN8Ifu>ewg`F(kKNaZ1hmVlR8S$F4G;;^;RNXc8_`6SKyiA<)>1` zY&ps5`<#bulD$h4y1))yV6#-5Jk0@$h|S}Z{~K!_js;e09@RLB`eH-7xl|Ak8(jEaQf*vPB7{MYJ2UB%DQdEHX}oHFNHQNeDZzH|a7%eZ z&!Z@iV*KCrQ+@5TKY=}!4%VRG$IQ-pzkWCz40+F(K0vaqy%!T1B2qFm4OnGLa7|W! zCYeh1_suucSIUX{rBVIOK&snNWc=t$27LVGK^n2U{u;?4Pm z+s&ohj%@`QAsh$Rs?#jo?8WE& z16`V6{5^61dnWkZI+XY5=;!KMyDw;V4l&j;_Pn^58&2%#TUXasL+9Gjbl{fnebRKd z<#KFtXx{F43ou>beF?8#TaVe9r0(-W6hWdaNk_TceMkD2I{{uftgRKiLw=e%qado0?oF2x9$U3g*b(-db#Cu9p+v( zT_v0zc!#a}X$mC++`a+Lo?Iw}=F8sp$~@>?pf)uogj!Fzj-h2>d8hw@6;n5~Qa%}Y7*5p5EiBIj=@V22_P6eo%?5VX0 z{MIozWDIEHt*9K;C-JR9*h)!%b>&-_{O$BSc`fV-}(Id5h5{=8Hcm(~KN zkb6S-r%3vU^xI|gmv%=e%53rj*Pklpd-1~Pj-O&`*$n2)g~0oQ?a1f?Wv4q0<{rtl zrGAfr%=C<-EFMuFhhjRD!!DiER@viw?#!(&aG=%~8iQk`E}Mr*}Q{0KK@G9gFQbx-&KR4T8)JqhP0iV(_rzF0-OK-zg}vYbl8VYa6Up zmhVf2natS$%TYAYf?sQBg^4`#bNu9i1_hN95MV3{X%*hipwLBxiSqZP_8n?Lu zTulC4ai9*87-lYSF>M0E@uO%QUdV2Sj;y}p zLExEYwsm9%*nI8Wkh*6mEZ*@vmLV=*Yp-+^rK>*}b2;X_zo=4Z_p$*p@F4u$Gqp}f zQUseIL*}C*dx4bc$iAwvmG3rZ6bp!os<8m-c}L0H%=^x|@#EeW_JPWn=n9V^&i5{o zRbqA`jwV{U2Cy{!ovPwMnD%MV?08DqQ4_H5#^+H|<`JE#^^WH3q2-}wu$G|G@#d^v zh`Mbm{WF0z|3g~QJpyG76+38IW4Wydje+rn9AFJnpAbWv^y!1lkXYHMUB=9ua>@P! z$an!;wDqiVWn~PmqXd`^TJTgmIb*554O3-(7ywE$=h~5Kt85++NyvT*aHnXEW?!w4 zapBkrdvNHqVPo{#fbOAvtd&k6(NF9{|e@uJ-YrVsh9I;}{AFmELIcb(V&R5YhKk%*Np|;I?nJ{sD zMlnG!;!wS8K9)zFFcI$l<&?2`?4OQ6S;YHkJ;v%hfN9h?kfULJ5?%Zck+xPrIqk9X zJHZkO2I{x{3EDax#6P#opEp1Yc zT?{a-E3b%+Xt)0Rpi}ga=ZYtHRLnBiyU8l>ZK5Q>B!J^Nrb%$*sV?&^a#otSOz~<| z+z$U{l`(sgaJ#bkrZ36Sdh|kzA7!{KVr(mkK*G+TuZu2CGT0)H7h-_mD(a1y%3l8# z+;m34JXVP-IkWJwz~O%$7F?Kq8|d9Z%O}0#M%JaSKF^$`^~nww^PcCU(zZ86)?M!y zW&|t_pD&1hll!?-^nR&w->{)Za>NzmU>G2O4EDgdggdU)NtkOTWPR03>fTn9f78{V zMGiML^et;WGB-KKfI3u!)C|I$xVsv-{xSNYOdlM(Vr2I{?eFD_yZqwB(;j4QVRBzD z@p_CpWdeMAK1!+~E6pX^<9n`q9abzjQk3awH#4Bnf=TOUkgK1MN0}`6Zhixb`U4gg zb{_&}26{JLkB;T>x(})k%#s(`@Qlg9jjz3b-Wp$9(VRrQfF+a*DBPO38R~W%G&9>RI<8*1f!i3{Z9~??7(MB=I5MozU=m}*c zew{e%U9Ity#>M#)DpL8*6xo`&tf$ z`?7LVDkJ-a^hgkDJWPG(NYQNfv4ink*B^W!d6D*i@L5P7Pt)M3^;xNmy5;TJ^$x=1 z*k#AT^ss=9XsuMHeV~%8f?%h48$`23w$kg(tbud<6z)H~Dpl^ezB}c7J3<&U+M$jC z+V`g5DH(yoqrLHB8RncngVfiA^PXu{jDOid<^{XXR?!vA-%oYsbqv_QND%&)yZ=<% zVlz6cw2NbYc1T|oH-XU1{ufXeu~ex4>@YgkiO1J*6TXcq*Y|%h`Z*l?@R)o0&oNW4=tnG z4@+&A{at=TFBXS(4d7BGg-u@rs1HlWM}#Y#Z%Ok%VhjPR!i{e6_POL`sn>dqbcWid zu&w9@kOeF(t{=@M84+(aYW;45H0ytNE9P!V;dJpV68i`|1 zdDtd=sC51`h5!?(J`&FD4Da?dVyX*rIBKj~-5MmSk{yg5t5YmIwD)FrGnImc4(#r8<3{$(ZjgP|*B5PurzX1!>w`tkglREtB2GT7sC%OExID6| z<@kTs5My3iOWVeD@-9DkYLHG>riFd#QEiX=Y2P)DNZK}Xug492{}{kdLut;Fc-t7b zgD-Ygcy(cUb0QHURk9Pn*>L-3vxHsDKilbE?zD;Lu%?n=gCuuJYB9e<@=D7$Bu5o! zR*Si!!z2u18Yd4NL_36^JL_APb%uzy)2G*2IsXk4CQrgxKsZE|fiLVJ^UsN?y4)r! zE`50-IFqf=Cg$Ac8+nUP`ln_0CHOC*tCi*}cku$}zO8(TcwYX9y7w^WNM`HC^+#Uu zP4=s}4T(mtS;g_#(+E!q-h9kT%dO}a<023V%q4ZMWM|3U_!@@i?Q7Wdu-)L!jKvsS z&=0JY1=gynwa;P(pr03mQ)uZ4SC^oNMQ-!=0l+ekay&e-czpNoNE1YRORT`A^O`^F(wuigQ2NH_hE%vz>sbX@2~@|t z8kV&ru{x)|<)iK2c2V!8Bk~>2x)*pbd85{`29BT@E`@wCEZ4z}F+`Zlfgh+(6Kgzkt#qQQJ@!5xADvmhr`8a(%%)+yC zC-kyN^1n&9G+ZlGFtTO2GsNj8;H&WNwL?i0nK3*2T~$GPpi`WW%W ziVP}1YZUZgGV(b!#e5iK{+p^4q~DF-Y-(~~?mYZlU0urU&ER~k53Z6_Lf-Y${X%%B zL^Z#}d%cOifze~Nd12P$Gou-=D2n>t3pJSKpmXj~^Zlpn`vM_Xs*_0AkFyvhJNu#12SFQFocqhy;o4|tDtJ(1 z@;?%>^@4t%pD;G6)ZtpsJadfB4fSIQ9bi$bAvf7dVkW%&TxdR~>yaTu8#5rmUd7o& zKODQ~2m3slub~=6G2?hkCxz3i10~zR`<#%SrL>4{b9pz-1D9k1_=>#a<=wreXgENQ|> z-*_g7p!d)O0ie&9V__wG9LpC)y!RMsf$_MMjpY0DSG`5(yVmaYIYyEqRP41|Ad8p_ z`S({P)4+OU=TcHnY1hO0UFo|JsjdhcuR^%FkJ?gJ?4Ic|I%p?1zkjD_TcE>T5NirN zL{Lp)(zRTp#(Jkxjo2REfl^N3@?m-uB07Y|QL2bu;90NH?KBYbjChZC_Q9Fe) zC^hldr%}0t-Z{j>zLxwl=U8ugI@sIJjlmS(EJ|$Zc!fe)$2e(wORGeU+H#9+;u&~T z*#a4fdQK>owZ$W#HdjsMA*n!L*Q@wCX8&H>Hv{x702zyd$$Q`lb~ot(@Rt#4yTcSu z{4Q~g8;MJSGl6Sa0SN<@`Qr8q61G|uQr|0nYyCZd`F6%_{Z)pdd@bUGw02d1?Kl8E zZFoj~pvI^iu4L-M%crTsmbq};`revaFV6~;=~wS|2Jc1=L!%;x)9VQ`Dqid!&`;6l zeQ&{fNQ7j??gJUHE?pfU?l5z^KW;^|Ul8n!1T473V4VZ~H;?!ARQz!V{jio4`M^dB z(05Fmwzvgt6MwIAJ#x|PX%6aWU4Cye5*JWJzc3;PNb*ifyqtf4@tHt8Z}htj(n98q zduV_ui9s9efQ>23>v|mkT)&6m_*w@1a?-cIyiUk)aQU<6rSUN2^m9A!a|lUJTJ67d zHt^Ajaz7p*>6p7-_!T`b$yGR1ZthxoD78}Co&Mz8QmymUdzO&!3$f&4D7MDNg@+{#@&88Z&x0)9xveP#lE4l}@UXL9d8`5bqBT}TXt z4qCOq8p4T{2of>wtplhF=uC(rImR zHC6q443fUZ{P-sLNH{yb-|gm=frj8dG`k3`i!s|GBxf7R6y)k+h`(LWo3orG(?K4+ zt`tu>ivI=i7vvZFUUT=J(ub}sP>T1h)5R|5$$K$v;E2zJXUdi~5cS=nMJs@9%U*OB zZzT*O(`kMGns=4=QTBGf8!EqnBMcYuX4sHBuof9mwsC?yZ+-$Ftu(@9|BJt6X#Zo{ z4rY?d#$s(*m3vfOFUZH_?X_kT4)K z*xx*QTv!{}C^rQ?mH;xW?IA`PlYUl}0&g?pz($yP?+qf1BqNPis5d5gGVn5fMl&cYG!<|-bi9Wm_pz6BV4T)x*+>>JmGtr-$j1Lr~n0FddZn%_f_*8X^ zSe+K1G~V{3mWBvg*=ejeHdqdm@dnul1_LgxpTub+g8;;*&g9D)m)XFiiidWrMf4t0 zch_Nu!asq`l4ID_B$9yk+)9DW^g)6cZRD5Hzu8Mz+hAQcmBdFY`dH0Tcz_V2DEarE zC>_q3t$%2@#<8q*KoD<>>G~^02nb|gOCcG*{ zZw7C*Eak#OR#eD^Hr{ONh(l@OdTCh)qRTiza#P^6K^+llHmUg;*MmEw;bmhC>7CRU z0q8ncvK^#A==We;^&itc$biR~R_(&hqVHR17A;m)C|tAC)D??$w;bnAsG{wKz477= zOAM6ga38}vKuALdHUp=aFJ0Dl24=x2j)l+gtkejU)PXX0mK}X=LrlPFXx6eK){kA2 z5A}!6SyS&N%Y^Rp`_WRnczwLJ)?S6^pqufnrS0kOF|glOmws4k|$Pbh~7d;3gyQ=zlxb4^3y8JW;-+hN-o`5u9`F<83-2 zuPMD*Yb%0SLax6LLkzX(c@GrOU24(07+v()>x+7G@PAg_vY!0nN-!vqNSeUlg3AaA7qFSos|2g-uGs?dgY@mCsyuZtC9kO7 zy}oFB1c6Xk{l<#}KP`HYImcR6^&Ov>wm6jE0<=-(m6_~H?Q;l0PWCK`zwbvlhan5d z5$P`{ujtZ&2OUR{c)M+MUEbQYI&4gW9k@Sw6SuXAl(*=hWe1&NbiZToRnem(mNC)f z5Z4#tR(OEt7;W(l37Un;tc4b=0-asL!_bM$j+exs$%Sug48q}pAb?WOi3W@Ycuh!l zRA&IXH+9xbvV%YI%IIVV#ildfol*JRBBcZQ*t*uG1OFlh!+J@GiN5KGuUtF6=|@y7 z`$we3HZasr<^lBVPK)7B#H}W$Fq%HLCvDCNR0yfY&!ydMT`=2v@3fUE0@U zmhy2gJwbP*izi!2gnX%Nt$98PXzBdm~rsmkJ`W~8rp#3J&1X- zOCT0A?JYYtaaVO@mNgz?!S@AMi|bG7`O-V-^(G@OXz}u{sEzAz!*z@f61kRdI-s#^ z%Edc$u7GO1M?Q);z8~Lq-v*?fX^kO8MUV*>+g(9(u4T`#94W6msru~si3)7c2aumk z(K~%+CKI>2wg}Zzju34|Yrw1CPw`BC=f!G3WqHa34VEFcdL}gBcHmuS4RgXJV!d3Y z601QnO2EJS#&+8|SfC;s35h$^g=_d}P_zt&Qd2)jeyAZgGDRoekMBR^*$o_89o@17 zR@)xkwZyxb6TmG|!7~DSmdGd}D1+fDp>5nGK09EN2w*L^wK!QnM-o0m73izGUSwdiE#W7xTauc@f7km<+ zQNuh3o(T07w84Wl@7DfmYqWD~0<3fLya%_HR7% zVwx2?aFIQ=F*DlgKK;iNd(-@Pvx=9tOTJZ3HMf6CVQ}O&t|9faF=l_BUzikH676hs z*#>6_h#&LaH;l+!`wGNzLM>|^6hAEJ2Po-ZZ&zNr{XKO*?=?b_fN!f|P;#=S(B^*M z4`LgV?UN zqz=|_i-{s=oYs_t#6LN%%iyqy>Dh~etX5Kwq(aokojHzlyjnbt#%IwDvC)TY>T@89 zl06GQ<-jxMM5zR9PGgq2Um)6RB@vz2m~NJ^y!$-C|AwS16$+ zvkKZPx`JViC79!(XKfkTQR!cI6_89n|ElZgex-6E+XD8OXi|p6 z8+@IRwZJds)Be5wwvrvx>EC39Hl(T4Ss8oPU37Be+BgbR3cIviPR%ePhgU=~(tX|Pi6^_tnFX7*^ zG<8z5A^gFTfn+PBBmHWNPAV0Ux?S$u;WlWy31V60mk?RDULU^KSyx#(Plx;M$4hjDd8K3K>?(QwW!g1lbxPQ%_dOSMFN|D9G%+*iF^YL+kJYpT_ul1s2^v}KkX zeCxeswiLn)1h_&BdkjJ9{m{~$G7MF_xiB{f(<#5K)el{-3pwu2zmecx{7+=3wVWmz z_<#BmSn;C)OepSM-V!{qt7peAu?4)kAP}k9)ETkrO?yt)pNPS-QoRe&pqqd^x2wQ| zTQ_lL|7~eBT*6{f?MSi_**@-}eF~>rm7A>^KIx?j_arK5JDT_x??{l%TMQpn`;q&` zgC8i}9x?O;q;F!n!j923@BH3#+Qr!5cr9nyF~xJei@8Pr6GVv>EluNBJd@TKOzSW{u@cN-h0}pz#Bn<_QKS8HjAExiWJb zA-0B_MR(r6=nV$s^4*slFH?u#&X(?f_akUetqELs-L3=g;u%v&@@{0{_1s8o=`2Ed zA9NPshC#f$LD@+0zg2kJs5MPgfLXLeyz*{&x`v2rj>dWdt??rL}o_kYhiMOWxNhs z5(Kvrzh3h4z8V;yv_7Kx(pht=in-_W`VLDw+Mu01o6K=7a_b|-SUUH)(FDr>T@|g+ zaks7u-@^;92dcn+@IqPqCh?mJmji;`pze1x^>RXb_f=sV)A#H43VjqN&=6xydC?#!XuZ_}$|G22>s1wUx} zSg&;@Y0gWJsrIVlNhj8`wP1rHm{_9KZY+QGt)6mGr$afjj4jN82Z(GM0PA&fb;KN7d zRxj^gyuZVTr%tL;Rn3^r)C}=lGw?=J=RAd@j2VF)VDtR12G++>?XJ9Jw)+gcMR~)J z9NqRy{^9L_G5W`W%CUmb6q-Bl5pTb3lT+O>6-lPds~kRCycWE#TT3?d#F!?s@DI>A zx%N`z4yVyw&TG7_p7cc#jrNV?N^?&n$NM}Mt*TEIOZQ|dOJesl#!XW|BBgeJ;Y|;t zlK|xG<&RE!tERr4Lj%nEYHMBpI=#vkSNK7@BnDIhLGNoh3Lgem-YNG(qgkQk+t9>+ zuQE&hqofd^h%Id|H}DOaQ4I8DoPU2OO}13OTUmLbZhyPy$`g$Cl}jn4qxqsR$e7#M zz^Djl_fvEMmTrsH0XOU$RA3lRU@QEt;%-rQwEW4ADfpEV zI|x>?|8*GVw?`Tvf`X`9+(_FC4s z9%t?k9T373^HEx!81N~3bLBBNxRp4x-;s;S!;Twj;Xap*N41jO zkBa-_%>Pac`o(zBX%+KCo&gWxm?s{rZ1;QPo$trX9>dCi_{*?c?33wF>p z?O93LdzN(Ldf}-7pTaSI@P5S5ePHfuFjiZ;DSLDCq}gfmQueM^yDYcR0ybqwTV4vM z`dSXMC@~zEZ;2D0_cW+<|8o7yy&A*C`9>`-4l-l%&~HyI+}pGJ zVyXT>-UV8Q^t-v_{jbA`^BERjX!i+P$X6$5&9PLw_Y24E{Fog&mhI16>+k6Fi^)Sj z=8|>~q)$KYGnj6yWAap8zyJPQ$y^ukDI9&vJtt&QVmS1BmoTr9Z~ZdN4`Td*+h4ff zIeCrL&S$lE=5+w~*O(jMalj778SZo8544uDgKLG~YcY*tcIa4@n-92uz;_{jGB9r< z-mFd5sd4LBl6Qp`%d4sUazv)QpGwxxwb;0jlE*cL*DuDOj@S1N;Ca`8PvK4zC-2tv z$K%8NFNRZHb-b3pN}jcy>W?EsdxlH#XXBdTQudy%Hdjlgjngsxu=k#=c2hWPu{K%9 za9|##c5fnX?EjLb?0sKLUdrB+C5zl)ZKv$rFEidgAz3;1fr&S7bIM-sl~}Gv$CSMv z$h7y#lDE5Tw*PCCviF0T@*XdFIq`N(raZ108ISCJfs}sRw&cb56J7Q7D)&O{8F~uG z_eb{;4vr}?oa(Co*79E0cB(&)a~R~M{Lh{@gQ2ACWh^4z`mO_XdbM4v-IyKVutn?n z62pOcxZ34=sYCGwFZ05*k+S!Zl9%HzHqS7bDS5mP$vnsIC#Lv&cuT*Sy=treo)!7D z?{}!coi0wEE1c;UlLvqB@>(s1OZm%*4g)r2FFs(hSf}hI4}l%>mq)hb#q3Zs+5W-cWn5G z;lOY&XDe}I_gPc%aY{>G%HDfRUXH)m_hL-Pl)P_AUXH)y&WqnId47H$<3&1E7l{*| zahZNG9Q-jJ9qPDA@pqcTATwoeuD^U$x_N9&KkT?ytKAe1ThPl&3J;xEhwcJ>{YNk3!^8TpBu32L^^aG1; znY@&KU@sNU?&rkhAq)TelH)IhBhF9D;E!w2R|sqE4(TTy>Jn=g8{m)owuVc+SM_{h zuI6wtd6H4juT}@&M`j9ln6N(1V>tA?huY-%jOiD%7u-%-{PnNlTkA^xJ2nSQwac^Q zPdR&2yl_9@!CI`Hm^>Y;a@qxVw3CI*m^|#|o&fh_PYPVec(Hc*p4SHhE+)@#PnZx6 z{p`I{{9p2uaSoQ_R#N&gAG(qAgA^`@XWHcc#x`2MF~FbA$L-#Cs@;1^zcaMlF4!YI zW>|coUHEvM>^LT~U2+jJQtfhG`((*GI-`G|D%|^faOn4T$zvYNcaUf|<@amm^-t@E zya!4iaZY?9FXgA7*6|+;_rQQl+4}%tKCNZv0o>JeoO|Ru_TbQu`zeod`8lQE*^>2g z{ifQjgFHQ7>HK~Z@*XSP$FMl$9&HW&C#K&zNE~X#+asQO}L2efGi@mQbb*h8K-=*&TwG@ACJ7V%^cTcs+Z!X*;v@8FQ zeou3?j`QxO;bL~6Uk;9Txli~P*|B+;v!$+&z+ww>S*O~4ymb4vc-~F6q;Sakrf~S* z?m=FvUB(&iLECfhRJ+#{?mNQm7Uacnv`b#$+0dQ=m&%jmmM?0#caJ>TSHTDG0^DZ+ z$K3QJEpS3f>36E+>>&PXkUmoS@eIuVCoR~RJXO!%p9Vuo;qbqqe5!LR?7fYaT9`gk z`hf#)xKz8jIQ$fOHjc#Par_CggKJD{H>KYPg|#^e*qA(3*BHCU4^H~l3)@y`_i*(Y z*JU@=HuaZi;-T*{&KV}GE8e|&kQ=8ro|&u1%r>sIpZpy(J)fvPq1;TK=A2daU;FIl z``AyOV7ua>Ix3@GeCjb1Y?t}a2Q%8;KJZ~oESBeA$qr(8>j9p57vw$@w3p`)Z;`#* zg?3Zp8~w3PZ5uA-&&*GGf6boPrEokK;+e$`q1_lxb@lfQvDxNLsdz&_e4l(ypTy*; zx-y)}OW~N`GY;OXM;`h;R?GKmD7OKW@0#yc3-9v3EE}yw9-sLO<{hsK$Z$ zeoI}4TAbPWi{Vc)swavUa;3>j>9G@5Y)ZD z{R91As^L&5ds<0bXkREL9ZO3m>!R&o9pO~BYPy%s)Uv}tIu*>`RBI0txNlGWB2{OC zPPK*v2H#g#tiDL97z$<3=(ZGo2zY;xKu)iF6hU-|9<&A zsi=#GY9j+**)f>F-QSIm>(sj-3r}cAC|ml9aJ)u1i#~t1n8EWT3Xz)1&2wY5@=FfR zg_Z|B{)BXcu#T`Fhqctt`UQ;X!&+$ z_g(~jMq5!8%hPsR*tT(;vt3=kxsP_Z&;VJ&(J5)+JeTr1YnxUw43Lt_XMJLBuK6tb z;1;^p2N&y9!El3yi*D^t6b++14=*>0$tYq6TcI>~Mg*o&x4HK@O;l}ZhgWHgbG!#L zwJKuTNgOvLjA4FM<@+s)7U$#Qvud_-2+kqF)3xgB1U~FGpbUZ6dT5-e*8}hB z&naR6%w!81e;XycdH)kIi+~s2?Z*ThK%w4Y7c^%!$#b+dzRA}gluu?(%$R~Wy13EA zHo3RjIUnOB455DKcl8h1X?}^`E~&ifUndo>II~>*@`|n{Y+~3yoicr4`~v_0|NnUn Bv4H>p diff --git a/cpld/db/GR8RAM.map.hdb b/cpld/db/GR8RAM.map.hdb index 2370599ed78fd5a2dc1aab2e61bac1105af26b4f..22da009be0a10c79c207f473f9c29a6818395c12 100755 GIT binary patch delta 16992 zcmZU(WmFwaur?apJvam>!QI`16WrZx;|@b`m*Ae@?yf-s8@J%@?k*d?yyv^;{cf9cQt*GohewS6E6>IMuk?aC^+yW)B$eUu zxt83E((q^B5S`b+(>}PjU0cHPN6Z-3{#V!mlOL#!rw!H&=H?*&9KbMaw_N*4Rr!JcN!3GDd3E*q z5y{!zE zwXznQ9k#b|xGOg}bxuk>bHCPgZ%^EBZ%frb0(6dBIr33*_@2Y6KHe+4Z9T!*Hv!Q& z4!?Jl&i-zYUl@{re|)$3L~B69-Rbda2j2cB71v9|r$D?M=l|3r?k}kAc-V$L$(2)z z5$r&gk|eyc=bOZQE%HJ*TIP*sb*)gL&zodrX3rPKU9Z4DYV~Nv-h4uzAB$$Mpo!5j z22AP1-@C%-ewB5;lHdueX&(N z^lMs3+c~Gs*9Tv3#DLGS=?&UjWP!oRl5ITLZO+)sz*$3QK#ip!>(|-h;Nnwp0FX-T zQ$66S`1!csctvKfaMI&R|5t+#aaa9QF%9G7OxVysu^>Z#GBKgY^6pY6hoaR<#gB>O z?d@^X(?%O3ZrVYx%hs77v7MKA-InK^oV&)h$<@a%zt9vM_25X%ctuAh6s>$HO-26>Iq~0QT1o0TMW7)<*WbwSw|5*M9X1 z-HEgI_QyPR^0{;zY;0lN&JEs!gUuuoS^NZ6NA}D6Y+gq8wK0VDI`mfiHwr?QLam>V z-R9Wz?(m-XGC z8dUNBKWL`H{r@}n)o=lqn@b%95R5SFlX*b^a^G~I=$M^wfp~e5xtj$o(%`WmyK+R| zPHZSp-3O`-%d?SKsbdid8NOy47ZsMGX=EB@=6SSl>ovcLU0sT5xJyyAx^up=0N6Y- zy^0&^hB=$e@RS+@3`*q{jLo^N#k+}pSW)_oH{R-jV5+9iI^b4o5zuqF3ZNz zD#0>Ak2osX3Lu8TdzvOPErl|R#mCMTsJmsZCB22kB+7*Oh6jp-lXvuU^1&C8l~{&t z_A$Iw`)QB$R{^*l{NT>0fGYhW&%J9&{K$t-GufDl8kv$Y0^93$5s>&ueJc+H41J{$ zmz_H^k=}i(k7tz6gH6Ju4S*&^5#H>7-sD2#(X2cE2uPCu-6j0oajFqM20;72?JQ;z zRsEjP??7LL*tPZGJ|OE@?^258a+39Ix$1lr1F7yu3zPq%OBBaDcuIHWaC073uywlm zj!R+G{IYxK5 z%d|G($KJ)5bwBUR4d>7U?kAbGR5OxPs;(EIXS(U{z_sy06$NYYt@lH@S_7YKdV~Tv zy6ofsiey0q1l}(j0#jojp6+ShfZGM<24&UbZCB6 z^2-ftJ>vCP@JLJ`y4?27`s3+5+zZXb{bwf1{{dPmCV^#V z5x3sI@b6bzY_TiZZ{FR7VaFE2WU9c7RJ_ZJ@NNNRM?}!u?#|AqAIOD2K4OR7+Yd6A zcOTz(t~Fpt%1W7OaT=!`hJO27^>I#R)PXz$+!0J_mrQX+A4L6NWU7b{?`X#Rtf9@DEU_RXu6a~<$`43E zs6zldR*AWII!W+VirTx3d@5{Y;VuuC2ku{G=8tA*DF;>)-70Sq@o#=}S&);+2&&i9 zFkDz04kCc%DJ6|dI(0TcPzCjcz)o%;CV95`l{8YX2;2$L+1YWv1>ldhw7{JK$>7QI zTqE+lNsbzHu+}8;+VxZToE9$l0K+|-EMfAXroqBU7=MjIqKSTH=r9x1PsLKJyKPQ3A1n~M@?(m}iv7L-cEA)6UQI5!JFL@M3| zbq23l`1dMWh)XxPe(&y0CS5PJ4CY+pitN49ERjCf#e^dxg*F-+X1Kf!EXyM`Q&Ui! zl5h#HU3;J1ETut$CpEhzO`JiANWHFvkRQ4@$dzm&XD?KMjfkiBk1Q2#5$zH?@MTlp zn~mFRVw!)J2e=wv5q)T=qv*{x)kHXGGtw_=p^#vd+=~`L@9sNv!1DVYtlp0V%rOd( zX-bHA^Y3rv*=K4y9c%%$$^d?Nm27i>MaJOntS2h-Q|CRKpkA(`94+i*qra-%Hqt*- zu!i6u4~hVtQ7ra86=E(p(TV0`JskQ`k7Uo_t1|Ok_ux}mbOn$)od=O$Dz$|iukqjK zrOCZmJf-MYJJcjT)8vY(vR1?doMr(h$zjvx%sQD4ZDEsPOdXmrCk4LT;oY(vo`T7;(qNP*$SNh zEHxT5+=DtH=}P9xw@Z>0YJCQ%1;koizS5bpXLeyk*&F!Y~bbFI~TxP{-DeS_>JlH)K4NM ztj73T8>vrUzTRWi1W>$$jA*=gf!cXi9)7{Ew8W$}_AKYsVaU+<7enegf zbl1$FII4JJPZXn4UX2t_h1A6HwQVX#4K9F|yMs}{e`z!g%_Ed9S5aw&Z(KKa?07({ zL3wZMuoO3LARy6C5a|w<0m}uEokvN(F#y5~u=|aNjoY!5-qa|0*cEY>OgUGcawIx$ zJv$`r=P=6N{Re#s0 z(6y~@0vj@Z#@flegIQWI{`62>{QlJlj+E7w@%;X^N)_sJN=J`%IUs1Bx{KSA*z&&fN=y zDgGiX1$Lc0XP_fCjl89%iJdvCg$9u2ro{;QtS9`xZ=E*@SmddMmg)#a9!j+Pjf*1F zh~}Ct|D`5gOtSsygJwZ-DYNaQr6GM9VZq~-`yhU-D9{3yuGsc}1=DF7)c*C;S?F-i z#W%tDa=49^2InE?k*8a#3D*5fSM1OqS16D-10bt8xM6zEBR82=XkwJislJ-8#0wZX zr+^diKQGUzPk#C<;$lf`iODRkCdO(3`Z9Vx@48PJNJoVWtG3$D@naZq*gZc*TJn&b zz!iHmAK%BKW6B_9Dko)%G-c{6W$I4Ont#Xvv_iX_BwrqguLbq8cCJ#8P1ZEAw_4h} z2Xy{p+5gC|v^M~MIXJK}`{!ik3!(ju4(i_O#9UGNuj~UHKfZ&{k1lV@mwWA^K`aX> z*nVws37eyLp|D2P0@RN#=t`FX@Ac6cqCTw$W2R=7zyG9;1xAmjL*cKm!Z33i!|U63 zp)P{>144WC)vRrq(;1eT9%EPc%f#qr@LzjjbkGg5p~?|R~3!N?e!Ep zP*-d4-qjy}#(0)9(_!C-&9u+D$Btdf#F0OTW2=N%!_Yf@X{qW zc59D>xPSDCD=f{`4)wv8t3&)#FaLap`pCpQl@x>d1j4;Jyi;8;`=;rG`ETIQ#BgA= zK@t?c04>aY)`SUKkJ^_xREuR2B{~d49oYSbDyb$>qeM(ax?4?Lx>(70v`_{m7cn34aSUSw!Gn#5il`k*Y$T`^;lajSX*hMPg0t=V;4t=U!osElh7 zWD`EICxfb>6)^BY|9{D30MlS1yq*Q>%#-pxpkJRS0x)dLuW``J0xd*zF^4;{M6ZXG z;O&{u9$}w#JdM!LbhsZ3gsIxzKub^4|-~QZs3TFh8AO=p)ati7WVz30^L(SEYVQ#)q_PbnetfS>@&AMuk+PC zjg(Wz3h))Qg%tZTm}!cpn_=l?{nN8H=Khq&i%}DO?7Z8?ohA4C$H-!CRJU?lOVXUc ztb;Ogg%RuOytb|BG9fY9WDGr9a+@|%i(S=G3wdQGm?E}9z0HBzKDTJeh3W2E{FF~r zbJS_|@T75gsh+Lb8$aESYYr$RtK4J>5N5W7x_}B+Wct``dMBpt7=uHyF{}hb_;Drn z)viWP4`b`Xni&1(oW&nWR;!Bqmz6B56^qhxWXDXw#lYtCOaps|+{5L}n`-~}OizsX z?3TA|)e9yS4`tjXp*@Qs>C=HuiJ{^kR=Qb-vJvoZoJGaxB5R_7ZEpTBMF#*G`~zb# z05CM%-a61jXThtq<{IN$b*qa;Y)1BSCb!p+5H7LRp)n&*iZ!l&#puu^ke}{PIzUx+ zG|<}rk*ZzyZ$BO?+qoKAc+d!?A8j+Cj!A1_-zSZ3#?M&a(mPTFRa=CB844mY)U_ol zaWZ8D{|;Y0v6@^wq9{9NaWs8PdgDCLV#(@?3%jP!7v$^ zI{B3j*6mSuO+(YsvBiDb|W48Ts5loNOhMp#fjy~?6Ij`eKoBP zO!RRMN6ih0o*148oy;pX__Z2|o5K@xGb@vs*X8Gd{ZXxtOK{02DeKQ-=G3p#48 z9gIx=TRLj%cV$eHJnqvvYSDf(09kGGzFYWrifQ%xeRWKQE5{YsYT$7mhY{(@V=@V` zx1lPww?A3iBLpIc_JyqZcB5$tmjGV7Ar7zAu64oIiQRPNRVYc5O^gQ$zRK4V<`E&4 zP>@kQJaQHJ3d6;rGg}<_c5Bx~*?{c<(hf)IwfJYmJatrsxbE}f7u&bf(1Kl~y)#)utQI+ZRobS2yf&$)! zrfre=#(cR0;*50E!nid$$j(yYJq{c+g5lAhR*ILumF1S6k36{Dq|p^K=~lI`Y*Y@Q z6LmS1Q5UN~U$o(tPFKh}u6x%W0Worj4FWM+s{a z#L(|dEn7eBV<7x##kf;NHDfM@y-g%pR*qN0i)zs*?yhtDJvnTd9K~6v5=U{y1aeEc zT!&qI*Fr9dsf00B^NpU+KzI68xHs;j4;UJ5L_j7FlN?O)oN zio-ah!l?5=0r#4vGuW!CZUad$PW98}?{7Xc!pM_65Y1@UVK>)n++NYOaU95YSJC7ct8?6Z7Mx>LEA@ zt52?sD7RY5g-bhDEV~lEg!wJhXTQRpyNk^vF@5%IeroZpXI6Q}A8U7nY?*e5%Haxj z;FiA#BmzvdHcn-q5`T9=dNsqHP@B;C#!hX^JgIk=_-QUxAv*nR`sHIWfm#`aCoT*H zeU;}M;96aJkznQ;Lee5y^0mLu>M~rTPS8wMzAx&&xJN3*QEN~v7M>@T7HkL(0dF-+ zVX#%P4QTj9g*RQ~FxQ?umpVM5ZHC8Pg1@x1YygqXqGhrNTgP7-R|dF8cXYxdEKH=A zwn7CBQRfMUQV;iKa%S+Cw(Xu84GL*$?LF}xwEnkXdjI4+@`Vm6jpr{`fv=wwnoZY^ z_{+yXusYz1z!?6SY*nnH{AXnbU-RasFDk$={qba2$JO^B*@KVyM$J%DR8Ur(Bn_oP z2XOl}o8J_}J^b47#fGEg$_?|VPzc1L^hkf_&{!?f3A7{&S_s@-^LNqYjIVc2z+gEZGDi=Vz_m97|X0uw$sSd)gRA~&cVBDZtG$? zD$scL@DjT zB`FQUjk@1PBJtMgHZG*>{(Kvbp4V8}`eX0AT_Nf#q2+K|*7w9$gQ(0EDBzz5gnrg! zXeqGT%DJ}7K>nerx6nV$T+-JX( z0Qrz9kU;D9R8ii0jO8Ui?gr0xXr7h?bVs*SBFK zfE%WsSaQlz-|$=0!jSAJ28OaodfOKPOTG;q3}ra0+j9S(8ysYQAM2ldou5ZH%*g^7Cjz=hsy(fXj0Rxb(DAfubQ{ zGRd{$lHNiy`llPJ&8APj$koR6cbbYAzPFut?pJqQq_924dK&lLaYk?}o8wakOIL3c zi(x1Dqys7B_y5Lp3wRke=mxB{yz)o!A@C#xiTrw^;^=3+LLi}KdV@!Tw~PSUiPI`n zD;yG2&A8C2L4T-AgG&T>N7{XHFZ%316M`FlGq(*f*O75X6`;a+qt|-7JtXY~i3r#x z1odEHehxzm)%KgL9-@yJ=x6GUE=TIaj5!e9mXy!q`x#d>u3xJpm&2o5)>t;tD6dMj zNFT8a{nxw@uGEN6!{P`#*ov|G5}nX4C#x>2DM%Ky<@6S5q<{^qg4vRyK9C|fYb%Ju z*iFgw>GDc%$KQ)8_XgOc8KbbF_pR6C-gDrXoW)A^>7vS*28^M*KcX1Y=IqOAJo6I| zZbY(DtbO{4ZBs^DsOyutC7rE_P@_B~<~N)=I7k?|9r`uBeTIktv8YgrY@Cr)(zddEuwi+Q-9`6DghxNW8_?&D0WuVV`OweQvL1xBP+Vv@k9G;$(B<-@M zW*gg3dmG#Bq%Z_MPTuXiTQsMJeqVec+gJC>l?{alz@d#I$(BwklN_~|cMV5vVbF{* zo3~eKKqBd3@4!*7)4_BnC~ey2u_*xr+Le=F%lEMu%|r zV_*6m8~0PEta&E!aJAoh%<_}8(wv`%`3T!vUqDd z?jgi}r2h;A?+G3jB$2qU7S{RIWrDh}?{H2MC`}7G;gX8*PR~g1V8A z%6~JA`#NXCKkE+sjqOB9*oPm6L`+J6dOtHZD<8Gs1 z^*~(>VeSBpNSrTs9s@n1KBx#c-l*HgU0L7mxy^yvYPzWfs!!4oGBH1L)8-2Tk_|Y2 zRHswDT>!3jQXYnf3*Td2A)-_J7~i`;)+@pLGi=k5cm@f}-FyRruP{+5k~Rp{^9aj(O9nC z0est9Q~_|??hzZ~1nld9!CKY$5}IgNmcYQ5a<@)AKVr_wxt~2KJ{;TmPB_R$u&We4 zW3x4<4V5nl1n)HqN09G3&tZ_P**+9378vk+;>|vqHO_(D{oFeoL~QB?i_F|)5?s2zK5-S ztj_v6_Ac>RD+=M|Nmydg=#|N7GcjR|!^+C7Cdw_7JWtkJcM7Oit*|Pq3PwBlOcCjt z+Mds2h0iF{m?iRzd$|)nE7l>y1JJYYSt00%ZD#B+%wjGGW*XAc(%@@GC#j)bgp3p` zJ{iWr-OY0+aMpkjgBWb$%v`$)gbq>Qw?zWcUdRGz@nq;#_9J=YQW-FO2*X9GWf0m9 zzPsX^2qJD?xD7um?sp2DeL@&efJH8GRq3#YB{dDIDZricg-5=6PV6jQ1ESI&3ONbz zlRMM>5X9fHQ(V3cX}U}gh4%54Cgb#Jsl4F~RCZ**B ze@h;&bx5PTrqwy{TMT}|m->!>{s2=8f93l-nP23Ka}TDZ4ID-jZvD}wcN`*eZ) z&2^u;#-L1ZKXU*fI&*F76yQT@%G~+oMCywO;n0Ct59SrNd4OySmd=C|j>}P!1urwp z@AbfIVX!21e=IMH#B)-FmiAM*o8=@B)Y>COO7i$#v{Ur+X&~MIoi5@+`V|yWhT?F= z!%Lt{9&0_=_aP)eVdaQ=ss(p(tok`YeE2ec`h04s*9^2`I z=#zXV?|mBbT>WOsxC;N4M2?b(`W}2!_Z1+!nvS@T)R%ar8ZZ(Q4BE;1*;eN)uzf)u zKo?gNw7)XBA^M6k&;{@Ypk|sK^k9k*U$tQ71wINy^u#EmAU*n;|zn~|5c@bbTgKk3z?j{`KaT*}U?EG}|><4$vw|`bI zpSU?*Tb4v@#Ixf?a_v>%$6~D}O<3q18!Y$ZfGr}ChUb%xhz-CDI^?L984G%*`MD9@ zi9yAE-5M$R%mF^|6U*c&u5b>t=kG+oo(QY7!;JSeN6$a?Np@E1R5CmM*@NCrdbQxT z4)v37()kMfgq^5=F@@Jz?Ajmg-MG;cbT0X-k@J8&1%g!+aCJR;;D7gMy z``LEX>-Q;~90G_90HHf&KWijj14tMJNNolc@}QP?HxLdkb^VF1$Ai@jAC~g)DZ63V z@*`aD{C|=~KYH@VF9dD`1qhl}V_^=vlfSSW+^o2NS_pgy5{9jVJnjm9891T1d-fb4 z8)SoimWaTTl6SgH9F&a!&3do;J>ptBnx`W$pJJS%=mQ`ZKZ>YDqi)1!xQL#gH(m`8 z*C}PGSB@M{LTj~mghZaOTLd@4GcX#fW5`WbXfv)uVE)l@Di6jVc{|H(9qZ@Q;B8_A zP4PtJql6XUewk zk2X`Iq8p_*ac~fkpYal}205OB))U^7;;#-lo^Xeg-`_sQ;r}zV zJwZ=3-rZm|bVcOz?2rdUD{<8PZ?!|0!*_%9bi+2iaW!APG#MXAr?eAf6P=NZAwe*x zsI?P(65El?K;ucy(k=?cqBR|`zO7o_o%H*Du34e7%h<)h!E~iLGFo}DHelAg3Mg0~ zu4qD4A7WgX^O+j@yOe9X-L5T{5dD>9Hvc0IejgG}nlt(xe_Eke>k?&fCAhz#mEli6 zoKK$w(jyuZ4ctM7QkLeGhZrQ|lcOdaeyAHlo}07DFx+x3uZjjqjHNxBA)#2$ibVzP z4yVbceiDqZaGlA}ge!L(Xt~qI1?Y5eL2*&58mYg*Q-K&us0MX6ML!)65&rN~xke+q zlR!Osg-QI|<3D(A11E+9n&}xb-jhf-XYc9S{KDSTkiZ;NaEWUo5YL_8w#&jq4R`yc ziw@ABs7Ohk>2E-~ykkZ>xS(HjnhM&!>WJ~+mcC=YjxTgUD!zJ*rsXDr2HXNX>B&@5 z;y1ABuAGGfpa)VWjXqrN);R6mlo-}OslFtm#hg63VsR6BB+~wl|FX0sJq+~}jrEmA zj62FGW^@}0D=ob)PW);;gbrIP6FX^GxGxx_>|oHdr~Q<^PhfuO6nYdqG+`-xQY5fv zr!{2SU?%dUAJUch{Xvmy0I*w_!)Gq5IXXSo7p&XOmP$fdaLZ{ZZ0NG9H$wuuqeNPy zKfKtzqcdIyWIW9LtGzH9Cq|jCZnN%{BIfxS6-;)m4_g=loTs;L%U@q=YPFm0qp{;ZiB79DXesrd&g2em0aB83Fbc??1uY*q zK`0X6TmDj@7O7gD!J{x+Td#Z1(2(GqvoE0ybn6zMmjVOQUdv9qj=<8YwZO&WTrIdo ztmz`$ExyE`%Og=gqZuXY+M-;UBx5HFgLPE>N!hjY=(+^q(Q2ak$mhnzDnGk}$#&=u zQ=ahkQ`yq9PC>ml(CxVYcq{i2%UJly`A4~3KNaaLjbAscN%`>T7N5QX{3RHz^0A)S*}|81DJo>B zDU+H4(Wg6hqw7;eUnj#|b*%k3cTo0><}s0S-7qbV8IOsjEJn0CYv{{4YH(J{c9r(M zbc*-8SpWjst_FMQ+lyniEgmXIIJ)ka@X zQjt=|t$v^u;Bu*3xw|TsV-+A{6z1`BE zH6tI-e)c|t!B`<99d}>6ad+R6Q%$RvWaiHTH5$HvjB-oW!4GDs8~MasDZhqq+{Eo4 zhwW1|E;<^v9+ncy{6-(x{avZaIG#pUJu=XQ`q>Zg4|UWvyU?U|#HvnK*`r7L&RjTk=!acIDx!H24m+>wZ=F#;iZ#((!Tyjb zmU6WeGdX{Lr@Dv{&S$~R|Mr-M()~aYWsY}MR)698W%oIQNM?wC1@n{H`&n|CeRKX# zBcpG>56-Qm0v5GKlHbF!J-#)+h0HQXUA#gA!k&2;FCZN;|MQc?AH(=H*ZyT-jB+&Z zjKA6JDXR>w4(5E`xIj0kaU`n+15)hw5Swjevr)L}08H%J3WG++sdkk|Bwo+2W!m4f znv5bu%s0&ax`&oTeq2X}4TAdedf-cj9>yo0aUdNz5EeR6B@lXwPY-H-!vsuw{?&is z|Hw-|s8P0g&*o>iS3b_mxj*B38IOA#U;g~}O|wlWuN9)TE_~rOVTAPFHgT1d%%caG zy^i14OuasSWdY51zwiT3ZxEt`N7-ed%=MLe@BFRjBKZQj@m-@~=56Yc5hPNLN7xA==cf4+V|2O6G3Z$T+M zEh5<0lih~GD-bI4yrt?MohM(tcNNHZDvwKgTXve_>4xf zdf5pc7f;uYa8En^zgxf_=D7LTByvj4BV|L-6bI8;h}3~aZ7o4!1@{c8fhN=_8N=SuBn=a_9BND!L-dNrh%$nFK=BiIKBi<_JWzab}( zkF{i({&NwYJx0hyyvRitj!;81q^20nI@4^ps(Ave%Q&>{;85-SPh0F)J>Huv0ixI~ zLHAKV?*#ZLagAFa-CFiH>91T)-jT+i)KZa z2c1omvZ&P)x)ujwfyhb+eOdze&NcaO1Coq#ZP>5uB1HSV2fQmYZIy-|BbWP zdgod(L4c$f4clrL^{;i9!6@i9?Z<4>VgxWi98(s_1n5^iv4R*m{XTzMXHFsh&}<5- z=vJB-Pi<@FtR2AEsNSs>Z5xl#H%c=)sb%@Rpcb+AdLf)}H9F_xH=sGm<%nUqm>EFZ zk?cpWXh~(5B(>Wv;dt7U>yJ(0$OM=LQA~gxF!xAkM7YdOOy2jC5@v4c@N5;pe!oyG zI1ez30fcV?6dHd^wAIWo_E8MJ6cF@oAhZ3KVKIm+{kQJJ^FL8J0y7g$d{j8t`>MEd zsxx#g#noK99Hir&>>fx5#xn(nz@L889ZO}JMy>Zy#J1~9(tEds&98QS_P0c zbhJ^1tU*2#rv_l9{v;%4jLb-Zs>@Q;6{<>`)>NU{p|NHZMYrrb>_?dI_mKY;r`$$ZOl_0*O{D0a^A*TQ=uFq8e zuqn(uqO}`m$XV}3FV}ygw|BoWjT&>K>}v|KD!XLX*N$J%U5-poT{h8W?a39uH$9%> z*X6dp`>sj$zpQNuVOvTv`hQAt|KB1~{~73^alpy2QRIRDs$&LcWpa&E`OU+974KK6 z)s0LTV6iy})q>+`ziPUEst$MTmyxn*bmJ6ncH3ydP<49f0->e7$%RGzGqN zFb@@x2YnBN!W<<-7^*0ltHfi-e*`g? zsr6JiK}cYrwBCnb&h10e;eMvgVShS_FkjB7$Fw?_L8UN;AE=xPhqDY3R}hSLqqk6KDu~ogHyyP z{0OoWc>>&Lk(Wg)2h4BdBCXJ08Iq|QV(FJu~0h5)kxQ&*^e{#{$u z5P*v)kV;KB5fsN(%x4Lwct5Tln%T8;4AB^02#x??pw+a^-%?fC3fMO0aBg^@ct5rU zOZ3C6L7IvrU>IJWJ7iwilVVh21UFFPM2DVo5KIZL0z^qHmC7UE5MecxC&&B5fBr3s z&=?iO7{I<(KszGSb=WHgQ++@h-;jas2jna2&7#)i{`NhSz#zWKtlRBgnGipo4*<3&A z8wp`>x-z4tDp(5^TI&}g&)M4j(TWd!aLk)JC7?6WJ#s;@h~g zy4pjHz>mr_72iual{;JM`;RmTCxFrsCrgd6w7~B*zP;Q2!JLnWP8&C@p|STqv5=W# z#vP40{to(nN%x|8^(r$af^sAL2^cNo#$2*6{SRNAAJ#A>?NPNF=)RcyYJ=lg&8=#4 z)1a_)C-h6%UIh;9-;+;Q^K#rJb@mFbhJg>mv&_1*U8FKCa}R4 z@&&p?0AARuAnKD8fr~Q1^(gDEuxM1rx|=p44Qz3~3{0QNg67IV6|9vPD(hCjl{QnE zwaAe(4Qo6C?ay1Bz66QB1VExcp#-LH*u1r+qX$%I%bo*9xQ*#%c6jNM@e48k~~GFtcIp!CE57+@p_+pn`z5-9UuzpWJ2|pA-HZa z0dtvvU-}X@!YP0c|K7;<1GK?pP+OXCj;Oxj2oM2y06GrGzpIM7w$JYm+L4>rhcEiR zulT1TFoExI{2~$Cq9s0GzL@i1cDgZ1e6>q2EdFjX8fo%Xj{RdJU2v8bHV+xEIw{lj ze5;YH>47b%6^-^5NIO8za_47>jy zXe{5o#gWD+ekUJEC^JS1G*X|-(l{%_qrXS{%&4hMIG$X{zHWaN1<&>+^#8m52iHij z@B2OKheeWiuG_EVN*^eDpyq_MiHS*cmO2_iIz}Ks*`W~R-8-Oz!r+@c{|B^e6&jjN z5GE*q+9p{sv&XesL^Qo6)kzuA%3cXB=}(vpqu>dp$U~8EM3)f8_G6`ZJcfM z+2b5o%}>~9df+KE2WICK*S4l&7x`y%)t$aDZNL-K-U0an_JN{0?nS(<8o`plnOl9&OU$1%43Twt&G`-7#uK&K}0|rwA#4 z`f{~mxlhP4cveb7A=NztIS>3QDszOq!a+J(|1kaOD3bkW19Iu54Qw0Y_M(uGmZ{X&5fue<(^CTBZ2%AyoB=Mx2 z8A>KgRBhhdZiwe%wa((Rk)umk6&MWw`|y$b;SCV!-agW3pgdo?fxsW1AMR!r(!%JL!}h{DCx%=@rZ~Cm9<6^ z=0}10gh&1d?af0QoMQsU=ugOl64y9hFFKzLjxaj3U4~pFyopI5e|lLZ3ws6VP`$}f zy%7^kPi`#qlx0{upk9;95Q4CAS2-w0ViLSXRlfF)w%kDV#-#NObFkl|K;18-@G5}J zNe~gk-OraoMT^l9ya%*z7R-b&P0TkP5JY)>dyJiKie*o*qZs9<*hH_|8<1HZZ!wt2 zWQMN0ojgctv$3?Q4v)xcSag6HD1M~WsUGO5B`_>$7bbzs0wz)j5O^+19au^nAv(z` zRWUQSPsS$SlX2{c5Kf=z_XFKUaF79#SDqnYcPs!6)ULq1f@PX2JNepAa z_9_9vw5*;Tm5&LP5T4q+70WoPW7fJgU&91^Y%IirPN{@JIf2OFQVfLM#(Qi1V1WuL zAY-Atk74@5z~9vmlj^GaFSngp&?E-?6B_yxkVL)o;zHFQHdWtIr0nFj=Tir&7$UR& zO#}G6=Sap6lN%8?a>#lZ*(9)DVcFN&DZD+f`$5J_lxLWKqYpe`^gG5oob7|_pLsgzW)g9b_ONG&7Vv{)+4a}=S@@+-2fvoR{iq9Z4 zId1{XYK9z(9~Eojj7gpSR0H+H?^mLgKGkURH{WX$;=RaIn}PT3@7m>lt1xv$w~?&7 z@Mv_}ZX3v7uQZ-Kl!Y{d&o4zQ;r;*o_Y@6dp!{$HYAdt7$5R6Y_*Cizy%B$Dw&}g8 z8vCpLp68^o0W+}FQ6&u#HFJs9OH}tO!AKI#C$n`&e!VqAb6#C05c7mmJss%~60f9% zpki7zC^AI=z!4S|_E?Qv#1j`Qx<8Xe08Geg(&^a|u{=vmW&1h9w}re_cN%1wSy9;i zUocLg&R^`2ygyE4qmGSvOb2qd4Z36ghOx zO*8ARyb1Ws1f15NAkddk^Fh_VrQ}yDfwMNIvlnI^X+)p}3kI^chBg@21iaFp(1Rf% z3>#r}F>;(t#vJp`{Xhh*Z#E1QPBG({?p>TLe%~_As=#9va0x7IhNLDUx$X(qWW_o=J%ILhB~NN^qS_!#6`uWbyo?5N}p4`eJyIaF^02XA|h$)ZAyMc7a=hH*MQng+6@H^jN(e#n* zjnz7Oi{3T9_?wn{dxN+EPmmSdB`x=}<&LtRdj>lrWg+OQH&5s0>cy?AwX*p5cQWJb zBdbF8yM^(U1%(a5a{r;tHjBkC5;8RG_aX~n3qxvF#(W7U!P<@|zU2B_cM2~2WWo)K zF-T|kjw44J%0^;$Yo-Q zUAKvzke!eY2}Rkwk%#9LARm(-w--;eR9M_T{9B!gtEwX%$GA{)EJ4#4dUf>}ig^!l19&_m^Sh+dUYV;R!UW7578xci=oJre_Y}D zp^9T;S`R*bAw)Yy6_HspEXsxxRAW;vaXI2uXTNX?OEMASc>J97EJ6Z>mxM1$4aC;v z_3$}=uB2ZZ%7`of%_|5WMRWVQsq4Toz*K-fPWkW$?$aj>Y>)cL{eJErXd;eV!>-z& znezD=UDEAy&$k)P1ZM(JW&SR#RE-n-pv2uL>g<+`DLm*vsA+=vJjcixI&zH5(>ZWE z;|fP0oYVW;f%Eh4*mzGr?v(B!wQwNUW?>?f($xU%B$HiEefstEU{dRXe6P@vReGHQ zi?bJt`rg5mkDSNajE_>COn=crBen=1!E-2cR5yd~43j7$b3ik~_)Tf{ zkMFYG;UACww%Vk}6y-HF$LUNDB-`Ll#R3IMMOfQ{p z`xS*MfzL&}kzGi-2}|z!iiSyCkbuf53S63BFjzY?ojWo{08>UyYx92sm;q=0=Mr>4 zMm&`<20YRp23;>AKt&8VOe|8AgiRR~AsCX3`2AE>J2AwG;HWOuBwu$Goz-k@{|b}P zK^`&>9|0)ki0&?N2?uh5SV7Mk@#Acid~|p&LMka9IlJp`6cSNdIP1kkm>kcEQ*``= z>ysctA`WURFw*~gS@3k#)iS|SlTbo1IP+E_VJ@Rg*#%#*l6B7ZlO=l^qLx#m^w+`0zrNNHwI zG}8Sw&{Vp_-Rll!f&57PF7kKqK5Eh3Yhe{=VaYu@YjMx}l#6oWUN~c45kf~E+$J4q zb}C=d*&Uieh%kgF@~XYhwiKg0b-&6}Y%ahTeml4d>*dLEyFC<#Q+DhA>M4L0lvB;N zeATd`Tvo^QvY!3W=}fwNKe)Z~!#(daiIe=5Pj(+YOq#()rk4(UeT5&;G^|cTG)I z54vZ1rh6_!z^lW+<5Yo^eQ>bmxH>d=F{?am43V1 z#lWn{3h{7$d@H$N5G?rvoa`CFz9U79uaf}MnhhFA*+o&OcFs`_l@a(WZSx|kAnXC> zL8Rn=OC+Hu@V(+N+ZM(fjAlwg4jYBdGfgpu*|6RGEaYrx*+Hw@%V+5{I&kcm1;DoF z{>g4cgGyMAVl7ZxON`G2UTG@~W+fO@7l(lfj+;jL_k>S3DQGe9M+UfyL%_C>C1(!t zY+slcJE<}6frZj&H0v1N_!{lUTwZ->=rk_bvrKdei`X-vgaellM6exxYITR%Ls`=S zCgwP^u=z#CL-{)2`nnROuA%Eu2QYS8#iag$#C^x4a9*0dUTJA{@M{tK5}2=}J5f^6N>}+rNbTE>Y@(#c>O-I0X#@|y zLkYBDlqoA$jMef^!hCU}SLRZR)SO#qcFHTCj~+*@In!#$lKK(7OEMxcf785}syY?U zuksqV?7|R56WV4|e*FO%1HhzL*1)7a%lwhlH43*-ajtA8X*flu9Ro3YpAscmPS6x4 zFHviZg4yYh-YG77oTBA_OGBk1!|WA+wK~~W#;_#T6lYC_2RGZ2$cq*ata0gSk_NZV zB)Rl`TqP-*u^z6%inN@VM_mD3JWH$JMFCpKBb>SnT_KrX$N<68Gp+_SqWk5V;?~B_ zUekpy%^NMs58Sk)JeLQdkfkrndxf(}<)3Ff^z; zsb^oiG}4;8$#(>s@ZPx*KG2$D)Nd8j7Z+_ElBb#Ie*uwctfi(s2zljwsTfePAC?b$ z#=7ZKGHKspy1V%jH8B58>2of0z;>KEH3>0$pvg!5ehvs7;L6sgx>$Ko_wn`Ep{<>q zX1bew8w=6cElrqQ&Z*X0OXbl(*`-)%Q2EhJZ5~yW#W5K4PXkO0)0410vF-*~;e^`_ z#){-`qe{rJ%#H9>G~|_}-WYRY$&t_9_o6oTbYlyun%npq@oJ9#lpbvCF3pL^K{6sY zaLoK;fd9w+FTh7$>TeDn2cR*(5xp&-g6~gN)3J4=(eBUbmv-# zro&$)&a9QWl}t6I&SYNoYJz)*R5)A8!01Df;?*nX8Lx5sPX|^7iuv?ytL?W^hN-KQ z-b{44xrF)kDO3MOykFeXy_x{oGmX0`FgpKLjQ^jJhveom2=oQ(!iVOEI!mqA6)d)vAt(&yUa?SwZWHpzwx{Uf`HkvNQ5O5^V9Ib~tR!?&SztTbq%2urd|N zH}DrnqBOF-{soaLq~*;$g2D#{56Kt+j6~|f!`o~>OhiN^_k)OC`c6uLfO&d9ybfJ#qErwt;QQ#WG@{ZlqZ+ z<@KL&&Fer4eq!@dx|jPk#&1vuN6FDBe1n?Ya`3w(zEln=baNIq3%9R~1!F4Tys{k@ zb-m*ElU6L+9>#5cYH#aSCz%`Dz3k+uUgly+?9ZRv*Ejjl7AXoa9Up1vuhR-To*xc5 zh%&#lofGt{rHD(;QbrE}I+M&w!yAiFS6=Pq_LupRd(7Xbua{3%o#_()Xb6!ncY1cE zLlJqpU9=4>7VokZI0cnYO&ki(YZkV)>QBE|=SCj9@KHvZoTos)QBHkY2%6B1ld4)F z#%xMF#L@~T#2d~0j8GTM(LOWhd(>F3*>KNi=AW^9i;FJ1Pf&XX5@Tb$rTJKr58_G} zEY!D4$}dr-4N%uJPiy&Gg$TlLL{M;3aeS$wVVmBLeI9K(YG#jNT|x^MO7_*Ll#ggR ziCL1LygOC$E9A}TY0NNQjqA?*MpTJ%$D}Mcs_qv>HEiKiPwH@c1gS7$LC%cj!x*Zq z$#zAt&Q$_5*W( z%NOl9!4gPN7xtB-hu|V;W z12q4*r@zxqlOrxPm7nC5f(N|tE*3xYv7>m+{pg%hp;2`F!xsahW;G>^m(e0)%u${d zYRzApFfLRf-6?74E?EZkkPCO;_PnG&RpCF=;?q;es|pL;(=&Y2t+1kakE_q#f*r|y z(o*^`YHb5-0&!zMt?&gwsV|8+d!QhSrx{^fPVzFq+$-f;g!5dpJLlr=$Z(1Du@S_v z(9tUMsY*&byi85Mzvt!Q$UXKX-q1Npo*PB1eo@Q(#FASEX8snRBMNHB+E-KVQ=*-< zu^&A+tDj?lmJq{|tD9p8*@co)6FegBMAa=m3I{|Vs*Ks_EAX{3=7mm#qx(~^3gJ&m zS&8_}X!kKwl$n!arJNiB-z9br6hBv0`S?c|zLm@OO{YEn+Ph*-UXMNN9yzwobzS(7 z%DT0{I#PxUd(Q`Tm;Ww7F+9zSJrO?I2EM;HrqXE)3C(pejeAA-^s$w?S38KmE>G9Y zJ_37FkBz>(%~wXIC?5m&%xCH;5cR=Y?q$gn0=NkKfB~ zD(dcaw4qQuhvcKewMFa;q*dYxh*(6pYM=g8>Fyx5`l4qx%I6#yYV`Sxt#y#hE$ZRw zML!_+(OxsV@6YuupPQD6GldPYn)B1H2I{cVDEDy+h=nM4(m!vgN6TKhF`dtUv$U52 z&7wl5y^j=T7%2nCVa@dSueBA1r_rcd22llmp!@gU8~vj0)xRA<=Qd|bcObH7L;r#e zz|Q^AI44Z_tib^-t|(5Xkb-_v#r4$Fjs^GQX7Eh?^$Z3?$fI{Vypp@j)n;K1ybaH6 zuX1!Y5my@;zz_+&&ARbBE?ngvf;_LQZeuM1?!2jcbMMAILf)rguLu=xmhpO*ZVQK= zMlJCf2wssVQFVZhPaO9-n{JsN05;~w#hul4Ro%4H-;FHahR-hK&&ikOOW`X^wU;A^ zg{z)fTaW|FeeT(Mw^c;E{;07Hc9@7%h45#`Mr!1@Zpv*}WYI~44cT+vqnu(mzYV8j zmrDjHfXEkwcMF#Z9#&j#V#|*nzPNr*QA)k8_!d>&Rpxtp@Nm(N)d|>#Fp~Z=iiF5C z85g?5>qI*jhqKOzuFsc5`p+}bH-Uk!vs}6t?cpwVj(K1%F~!t}?Y`|Oz0fH0?{{*t ze}n5LxNhOtsMIB(IG~&ZG<=gTzo1xB`5T;T%WA_Zm&enacWMdft5#7X((V?r{~l17fVI3?Y`Wn*<95g^ zbtc23Oep^w%lh1>v=UprQDaZ;H{~aoHbEnsbB$!n&Wn`}xQ;XH*8lMN&%s2qVzcHp z!OibYW?D?5EG~`5E^8WDukDA)gGaoF&*DHCvab5NnCY&>?Hu@}WV>g@O52O`I=(MK z%a*IlN{y#E66ER3q|x9vd~p?=(uJpq2BQ?Qj(htnRXF= z9DLi>h#7N=ul5TQf04_6|B}+jTPYi1uN~pQB%Gw8iHnmKlb5cYqP5hBY((Z9LsiAY z`ZJFSv?2=X8yVeqXdjK6x{k<6r_E3s=oh{hJ$3McB}y?-OgK>+^2~Q-)nGX=Vvtf% zM0sXl@T>=rT=*SkJwzO@8Yt@>U+NrJ=^Rh#9LMM!Kk8Vn&Djy@QV(6%pp)%)s?3hag&X z!k`@+ArSvsUwrBDTfBK7WqbZ(?b>(xo8po^Mevc$r;)|{)6X;OeSI&iETLP_5BOv4 z^ZtGD+4_p^p}BLdckJ1kn0~K(vm&bcv4O3t*mr&JJd-JIcOtWIui_t~{=xPiq)!hvIiVl~@hN($UOa7Ds2;mNf~4^nh|?HL$nI zenP5QxIwM_YTS)8K;HMI+!H^&oKYLCU@hif>$MU7S{F#eT9N3fsp}oDe8uLNGo{eKAd#H^WLisg9;>d!bs_RhWW&Zdw5?Tb$!*8H)Xdu?S|>hHUiPymu9ZVx zVGf5p*Zd86{v-suA`C}h1iE(i|A1Tuf1F-NsR3pE1$&zYxVh5okr6erCiISZ@DZ+f z$PxIaA_D(S*6$Cu1*IXIZ7RG>k$8JX%Wg%U9u5ok*5SItaNH254($CVJ0u>(RTzR2 z8^{>dM({Vzi#T4t0TKKga+tr*=#Y-@;d#pM?1h`+2ZSQStKVn*$Zz3ocpcC>&743L zzqGq5Nxbv{_)YXkgII5Drw*&1A&>gXU!YIc8(d%3Xlgwn$j?UJR5oz9uI(_(nIo(N zQ$0TV3rxxH_Ppfck-EydMM`_T`C6)*$s{kVODsGbf9{W(N})$R?w}() z%}F5U3)6nwHl{Ll`>^L&-u2rxD=+mCb-h`q+d@|Y>g;R+E8JM*f*&y4!@E5{pB|3x zcO)gh*vR&a6r9>O^~1LW+dOTj5+{ldZ7L669CcoYp@jNsNdX+;L<$frlfewII+QD;5KZ#bPZ zx~ww(fHzWx0ozz#4IXsv9+z^JAf_m*iKZ9_T%vG7Gc&T~2ICK~|BiPFIho zr9Htmukk(?ll|gcFoBvMn;X42K74L`PKZJkU-5G2h%X~WRdJ%ij$OVep<(&;->R2u z4o=wUTy%b%`D#WQrNPLWQYqYX3MhT&l#`Td1{iYv5rcQ`Z)XkYsG=y(;uo=FDz<{{ zLzPrZX!!7>H9ctx z3xJ!X!m#Bb-L zl~4bz@SKshNpP_`gw3BO7-cgq0gd<2!VQG4vFBqbkl&!Wa)>XiA}MRKOKeV}P1=WA zDzZzsWRhlRMuw9|3^nSJ^|gtqPg4h%t)p_-kFti|i}$Pi;<2h{lb2;r!B755 zV;=UU%*&5L?SVk%m^_eB@Vys{%XvBe~ISB?XDLIqw`sYdoMdg49>{kPE`?pJ{2 z7&l*;Z^7}U0Hh=1IDF^%*?DZ|z+Wo@rN6E@-N@nv`v>qlw^_XNw0Fme@feh+W@aAD zZn?i!+A6IOV%lBnr>Hj=TOhZpUad6as);7tN_V!LKDnxoQFt1TJ2xDA+NZWd!;&u| zWR2UVw?h@0EuYWtns@joX0LgV9PR*vX`x{DKn!H!A>-fDKa*8${w?_@%WcQb24c7H zO456qtOKeIwBEfz!jI&3O6u7~rDSu@Wf>!y zuy@rj3Y^CpTmA)1H@ToNtM$&)S_RANnIG*>5n0oFYqvNL9#R#49y+Qszc~Qx2gr{w zeiA6h50q-L3nDmhwFUGdGE)B-z7D=VY`;07c{6K^Q3p0L3Y_FV5Pt_(he`yiPgl)C~^vBjIGd>H~qoT%~_Rv?N_lqi8wS1wPgXzacv?40qLK;Bu%PS#c@+zxo_vW2`Exs7qBE)o=hkrG>VkIpeoinPR zF3y%+LMGk5gHi)RYQw5yAuqgIhD$V7dAPW;2wZ=k*#|%Z?R^W zMfiK6hSV0KTJqu{B)+7-JIPskySGSrHF3?>a%uUO-BO_7Q@<;Mp|gGCz;74L?ewG} zHjgB43&$1uiW#KK^Ex=v+5mT&)q+;Y%cM?)m5db}8clPRyS z$M-rt_Uwz_soTz%y7B*sLN@0+*n(ay&~NkA)g)ZyZ<^))kJ$Y!4KyLn)JE-o2mbw_ zZ9V-5tTlC|yiM1(jjqb|)dL?*6&F$QhxhHy6$knnr7zYOfGMF$kJ_Zj=D(WyN_q8X zv_IXF#1v8&kppUiiY=M1N_0AIjeWQ!m3jN+@Xy&h1T0#!za-%LlvzROQj{`w+o3V>NE?2 zm$sfNKNWm+EONwc{pj@broHwo6%|S=PS)9DY_(M_%3Otl2~TCrw-3Wp%Y4^2yt=rX zW3F5^Yi8gsM-Kw83G%^E*ttHW0H^BD?{22@`H|1@wFzQ74(fVE1)^du2!YdM}#=d7T zwnec~D>ml>++9{3O}6lKMZ*Rcwk5H>dwR)Uf>Cbart8t}&iXaXRfgCB{%2Q=nm>Qh ztri3jTFyp6qSB)fjkZnjiof3^U^+wwT1bG4-6 z`x@y~gL!uSnH8cWgKPh`V#z~|=&y;+FO$?@tzYy5slQF{(#hs^de?G&iwOPbi?j}^ zs;#f4__bD{NcpRc?DtVA|M$($5;%g70V*{=z(&Cs1Ap}A;qoWFWadyG|8PLGxsE46 zuEdkW(JBS5z?f{uAC}mS;myEW`23DgDA~7*G1~qZQ%s3Q5#eE%IHy}go(LBz*+P7a zwb(Xck(MrOf(ryZB2HPF&KDiAEPJ*S)~a&_bCy1?gx3ZkHlE^!8h!SbCxmqq`_rCQf++6DL07UpiZ-BSH2DmNApC$04JtFRk% zlxvQHR!qHjAND9>=o^o(91MTkk7#3MpbpF+9lD>{7~bl7WyS5Zd1Xp>c541RQyU+_ z$!2B2$|Ln}@yE)Q67GDZ^Dy{PDhdKWE)ty=^*!0K<)0DeSV>T1kX(!3* zGe}*5yWYjWlh}BfagJ5=w~wO_R5L_mNpX?mt7LZdFPa|vzJhCJAO-1XD2sYKKt5%v zB-Mt5oLNp@xG& ztAVO}K|RgI(KqK>s??8y z9f=+2A${539AT*;d8v~56O~j3M76S*=uDbrfRjAd{f;Lvw}Yeb8OG%63!y2-R-9750A`kPn#xaDg?t5$6PKX%dtCIOZmbtFL6|FuiO$3RXV@yerVAKI? zrr5Z@3OP_)xQf|e+AT^|on5V!K-G$FtIn|ip@wjaRFj($>Z70&C2{)|@E+N>u5h&% zRbN7&>JI6Dq245yZ;1~wE-A?%IMZu(Az#tq;Yxr#7tIX%*r@6y(!s-cGx%<@eIirQ z882zV7>YkCM9YhHE0^gSL$!R2Z;JzXN(Rn}W`NUWo;&N0JlxxoiezD11n4K4#*Oc{ zIX0voW;XE{*08H3JIW6M@#v$No(GWWRH?V~!5zBJ{0f0>2J-AnA!g*YRc`oWLRJOu z5f*DSlW9xW?T%K+(>PYh%ga{Cu5n9g2p2vK3O0UrW#5;io$G2P#GLImdTNM$<$}|k zgc9$XH)O7$B58OBrwSqCG`F!8CbG4<><{TZLZS>^GhPq|Mqy`x(rr>Fv|a4KM#P>n zvS{-Hf0Ca>gE&6uuvnBrqj<$|RY}^jri_lPkg=QgMArwB410sR>!*406X|i^uj_rA9aGCl;~OP zDV_1z)4{w2YgZxB*-#Jpt6u(-so@3re#b4TmVT3_8yYs3yM>U|H>i#%;2m8$D++*L!On;00<{ylQl1tU1su2vG=R+b#wsoMt&eyVk7d| zc;uRPL>%Zokg3@o`Ow=3x7t8@dEzvmATJ#w7JKmjod);hPWR?qv9OpaL6k*vnC7+ z`pp!*Qi8G>N%}-7+2k&=bVVi@u!7>h-=_{U%|49zU7VP>4_tHQL2Td%+w5pX=NDVd zlLMJADbxk*GyK;}h#3|8DfD|pKh)fh9hW%G8}jj!$`r3I1i)-C=W42}xW*u%^dVDov(OAxBlEK2+b|z!ZhN++c&AYm465^3 zJGs(6;HqQ{Vyst!u_{SlSx%|c)fIkp1@M=@W7w{qH!QF>y;-KNTj>(vJCxW(DcG2v zH!#M=E*+Yd*aE;O!wCnFo9?<}ITGMw z^hlJd_+vEbiM`-rpyKNoN-g}I`HcnO{!YJ^J{CC+IoMT$o6td|&+}O7y9UC<14j75 zO_g83rg}w{TSd~NgAn#ivZxp*?K zs$YcL^Cv;UB%0dqJiNm&y19k4hX;Ls*S`Y0RsFSj-zD|+k+sn{nL<#iDbb8eXiZz8 zKJf~x7TXlE;SOBq`$G@;jkHo90rB5?-rN2`kt|F@Tx5s4Q5}c*IkAg=L?`TMxxf0> z2|h$!@q{85zVH}C?InPvNaXg_f_0?-X!#-)D8MXzsCzJ?==pc|<7#&3CLA<8ASk^Z z&GF!jW5RUq{`YSht|(DFg7EMWK(cL`Y!~9Ug*9u@pI`g+86W90L>ed#1Ri}!ypU0P z@WvJ70rO8$%sa7&7x8$YloC5acBhku7khZ!$#LTMi9W%d-u;F@2lYJmj#l*j4o{qI zND7QIUJJ&QB(T@(8Q4Yfvf=@KyfqI+cogp9{cYm0`*eCX>bq%z}Ob?gO91kLmHmqjuRrh;YQC5ebx;J;oq>t5R>^b?1H}e zaO~!i2qD~ThPT4kV-35<34LK@Cdv(ZRve%v{UjdP7Mu7)Ci}$MMJLE~s*#W3&bt&X zH^fSF&FXJ;VnaP)CXrn5;G}z$dbK9#9`0pjc97vmbM15aa*84f94`NTTb2fWg*{5! zCZWoWbV8H!^&x_tPR(U^t^St5=F}fWUi#Je(YfKd?ocw?Ck{Lt>#?hod{{L-6G>Zxsx8q zKQ)664kv`kCS5*+)9P&EKj{lwiC!=ZyY0rdqd49^od_&QeG6!T$lm$k+^~Bf!#OAO zljtOs#4nc?U*y$P8kOu5TlG<+@Fa74fECj!ClQ^qs$Vo4z=Bfe?#8;= z&Zs+!rvgx4Zx{-@?Z>u5?^EMHyLk*k@3+%#DWyT|a~9;EHMDyhQbdORvjO+rna)*} zG_cD$1=65IT4Jf!PH97bk1$$q*tz{1bpm~9U@)m0(`xL7C{aoygw@Bd=KJFj4LRyA zr!4SEEOFkxl6&-;-NOQ)?c$^7hCGW7z~Zc}0@5x8PADd?cw}Fs<=WolCu*k!xM^|k zOuTA)g~4Bh$v#9?hk0LY_n){ucZo%E&MMxJkrPz$>>--J{r#{Ru)U&{1|V+4=C|Ci zK5>%*@-_Z9!y^U8tsKS!^X#DV#j<&=$3E*Qiu^oKFQf6vb6T(BThY-*pH5ZGW zdB9()CdzH}BA0dPq)Tw&R{#YnXh3eSO*wzqaBB0aoEo!!jB~u)LdJ1+uSh>Nh>3lv z<`({LnjDl)mOxzKq&A8=ywAH~|8eP7V35?CtmIgl6)1F5=|NDr%)x)+vg>!TC1E#aJe2mO(A zJ6PrX`KVs2CzCrLke1V(Yg&+pT?FwylCIAnhEkS0Bg%wWrut6ggpMlh91`WKMg?S| zb0*jnN+O()3p_(D7jxn(sWk&WiXz~snk`2Prr0%E7js#sv(=cjP94>4!(t9*EttrS zqz0{JzKD!pImKSF`+gyke$~{hs`q-O&{tA*PFKce_Yd7xx z6m*!-Yu&9&Pp#CAq{?{?Kf=n>-msBzBZ=4tZ^Xoh{j?9oP~5w>z|RL(={2VGS7*04 zo6q_@>3>X~YOO8`Ib3#BJ;r#Jx2;*+=qK?J7{ixjp%xI|5_G8A3BrwGuj{f3A+@Uf zFoi)(`9ACAz6W*rZs)#C%Psx5#CO?emuLo1dn)E1%sr`em12ap)Lj$pxI=T=odoXh7oL|_0^bOwkVha0pH@YP+{K0B()tm_#t-&6)Qr+qs>sYh~r+Z{M%nOW6BtF&@y)XdN0zSoo8 z=i=)6>fY+F6+r&o;;eOrO_PwB>86qaWa>k~`g^jAVh+li=FR;>?#G_K{W6}w!V_JN zx~r<)-o&cqtb_DZL3rlKh)HM2jj zE9P7m(}1e7OcY+~VO2rMI7c>)-i-0hquSBNX7@X!^~Ww4ue5MB^ed;=1wUV$+%1|> z?Ss3uOORmE2jW%o!qdM?mYN@D4F)kzkNV54%b0D7f)huxjozJDP5nDlHjO`qi$6o< zeDyVFPuhr`Xp&y5hLtnxQFmC~N)k(!v>ieW?*MNRbM3O9A6kFrg`84UnRS-mw`8=L zGcNlO+I+Kq8oxd8pZxSbcw@PjolHbENIs~ji$ByB8di3`UGOo~JS9JqDL)zC%U2in z{Ft#{a|3DD(LZD^wm(d_>P;U_(@&BYPM?b3U%n2ve^8fqqxnjAuRg!)mH=iCN8Fr# z?7-_SuZI%OHt9#msrYNQt#5Jn(sMu%)lU`71RRiO#~JeiNTahtX=9JxK=`UymuiSx zJ>xTdw%RR6xc+stX`A4~?5Jes{n?{Bx5Dqq?RE6^X=@AQ@xuErL>uej_4JH78#ZJS zE>xad@s1hr*}cdM{J2QHqCPk>Z1xlT0CH`8sSI6S;iGe-y4!(y1!3(^(ih!_#C2l$ zRSSpeTze4QiACFbE(2(j5%}{lx}>BbO!_J>^f7@4@H&99U-?T?ucJbGGL_<r4m; zNw!fQ@4mm)a?Ps*@YSo4E%;SO)5x^JHzJi1_*_AV>wG7R$2KhtS264hOh#h{<|GpFn!?&8crDA&=csUMPm3m2jain~+_9cE4W`73$qHD5!rcqlq< zD}^uhD*?rQK5G|MEa|^m#myqG2~Ex^U&+N#C@V$FX%0y9uV}*)jCKM`(F8Xlh)Hv@ z$euTSDU*1jXn4ORAv~w>!T+KFa8jk!j4AWs6IkX)Nn}-PI;4sytaN@)@mR7m(pXLC zvf#>e%6~Y^hdg9jx+#(0*nC)OkUpz>M8KHAxpqq+C{&OM$IjuVU+I`j%b2N^iK&|l zsYu9_Y%`F_4l%_O=uzx=v*ONaY0p%VC|#vOkRYZ6ie+`k10$?S z`Yh(DC>Z0DG<1E8>BME`8hX~(v6QV3cqZ!uOUZUL`{Ja-4IjEm(i4&j z_lYS{`7#wq3MqWH>BMy$JEkn44}JuNcO#I=%5OqzH5UeMYMfVQ4qT4!A)@CPZ@h|F zmF@f9{2;+&W=!K(FK$Q&+72v9RVu^2sOijx$vk37)cfg73(J-aSy?^i>|Up(+^Dd* zS(Wx^>as6f{}vo1d^F)L9xQq^@lBFo=Cy}crlVn;>61Nd-^YCvJJQS!LQ$E0Ay1i~ z$8P`fDfX@P3x2QrXDT|43?*ma59nKyEv$c6X{ZjVWyoNVY@QmM6rCN5 z`)qDUv=kAOLXO130e5Jq8A!2?^t(S3t<32tlJ73e_Tiq~u0%JidA-Go{(!yA$9MK* zDQd<4(YcE!>vKD)JKhj@B#OQeObETGd%8cUrLY=jvgVUMiXBd{)Ab!)=kn>Yiw^A= zA~Gbp$6ECKh}Sx?{iuC9HdVUWFKyw2H`LZb93HxC$U4`b0X#RHfe~d>QN&bpj6ts-He6uZ zT3Tb5CWV-n^*a<+Fok2t@H071I`AgxG^ktfU)loHUc(npmTzD9(2Xm@EK0AL^t57@ zb>}FpbY#K+tAOr|i9SKnVx-D~qM#Ji2A|&tkc`BZFFiRYkSApM$^Yk1{$GY@rwSzX z#ocw(kl)7Hz?CfXw$JP@1#M5@$nP}X7JX}0QZfe8+F$ zmeW*WXSBMqB7#o#!s+iE_R`=+OFNXy626mX61^YRLqakuDe@;Pr^vKlOe5;Xt_&@6 z86prAYM8Pdl1_lC4Y`|x7Mg__vPjs*FtM*LN~IZ+u7_#X7pAOV_N_Kpm<;`2>Oc6|u@e~sUUW&ivLUO9 z5_IsM>CRlh`}aoMl}lsOMvh^~+LrfpSQDq#G00J`1rKDB7)9L+ABu_nNaGRB%?qu* z&vty{(bq}~f%!)zR|8_dmng#*$BTiEOH&|BD0s1&wV zPMjP{NGoy}DY@~8B@P=5T=#OIF<-||+tIn&rmgr-a{`Y@$bC zL68?Y7VnD_?~m*6iz@)znP8t=W}gw(VZ9UJpEx^a*-ryl_E%U68IgFIka&fVcuA3X zS&?{Yk$8ELJQZ=AWpSM8NS>=#O!RSn)X^Ym!;Fkt4%eF5@RtC#PV2nBf)MX4t9X*cY>{iIW;F14_H&3(LF&+6coWjXU%ivz z0~e448TJ!5O)U--B_IC%z=v?Fv33>8Pff zYJi$yTUOX#v>*Dak~9+ z(_kuseD;X!FSa<&-Z;0dEckJ8m;2&C5W&oyYaMQj z&x5*}`#Q398}8tH^6frnDae#ruCUjdJHXf20bDzv#`-F4)mLRnOz5EkXGR%J{p@X3 zq{dym-wyE&_Vw1zthE3<=&$^~qOp$7z3N)&Sse+YnPnc#g0Zz0%u8+cR3zJsty$_uWWxz%>)< zfb&JBU>uZ6`ZcXc8R6|$?mp|opBrJC%dCRT_GeevQ*=V?o(hNj16aH8Dq*qb{N3JIcVGrz2^t) zymEHEL|OCjo3_kFz4KFZocC+6_%2Aw(()6m-Svp+8ko){23s?R zD-S@0K?h+;_|Swuv!H=zZ{me+5QV;D|J##3?bAk4`{Ia0NuMCZl-D{DhjU@jpVEKu zy(=Yt_KMJ5j&Bf$3gCnaV1)`mNH;#dtW*x8@2_PTZa;{8FMupoaOdwcgF!P>xxu*< z@;6$eH)Mm4^%eL3kS9l31Q25(!FFnxHkqZrTlR?(aV@<+n4waj6L}DTqvhn}2sapi zSf2$4lSR(Li#UBX45fC23B``?ukDyl&W2*J7%-3Yh8L;O0A4R(BP7HXdb zFW*zf3^ic9NU_)FP|lBr`+FAC{)Z4rQj>QI@STyxmhXKzq*GM;71)52b3)vND;JLR zhbwK`o}?UI=@^L~0gJBpcT69~aO;^Iu>LXAKVWS!0$IC;`zXS|B-a;L-yerABGyI- zUA(q8)Q8N7C_V+>bhk^gyFs!`X)HR$9v4uwwYVt;05zG{Z9l-}vD`g`@>y6w$i2WunG1H@o)R*gsdn7?@3l)JmsEitID6Nf0$S zku>ukD*rboQJU@O8uaTMaOKH1LE+r6=nn8?TL==CbKO&6wO&4*?Ud!i4zOg7vYn(O z`-DVPDO+170a|@s!Yfm-eey!*0b2T>7N`RWkETT7lo!!N;e!Wz#v|Q$(t}@mEWk^J zTDNzshEMNO0Rgmfal=QE3KZW>$XdKtDiXcFsP~L#_#;ZVUkk3l28AXCHt8l5a6yFj zrvR7}3P!o=Hh0<_8{a72X8>xVD4sCKuG-4UFwAbt6o#Zbq>9~`w}5;F(x~(64l(>OhnjvIf6aILtf|lI}Q+_2ZuxV!w!R-c)o>ula{A-M~W^iAG zL{FY!B!OlBoMr#thA$^_q0x`;q!-Q5|FVE4uG_r)=X zk{Tia;$2A6jaxatPOS&xMF;8w!zV?cIK0*4Ms5BrUnt^R|BO%p=T^zzgKLhV)WGIIj zV)3x_ykqNQOVU?--h<2COM z^jyT|3Hg*ZOoIp;iNQ7PLP5x6egqQlP;k|w2daa@x1rFxp~;Lkx1rPDg~Ff3(VPISIM_gWB7}e83JC!M2>}2? zLd3$tWWCzUGAD#|P;_gH*F|If-_yQM!ZWFb7UOZ|nkM(0*+oCe<%*`J-kf;o$n~}M z+~pIUy@aEmWM73SXLVi0LjpcXS9Wvjo`t-wjT@J9jmRk4h)#}z= zI+Z4_<#gWhoThtL=0bw@#QVj4N6Z0ID~|mbg4~19;^a^^e?|C1vDUJ(6|9elqm0qr zR%9!o#Mwno8+-SstjE>t?E0gh)3&+M-Ir6By!95YQ)d%)7lft{YbN^&TS3j5*WkA+ zB0dZ$EyH+1#lmmt+X5ByfNNe=4q}FU#InERc6#QLZ9~F!{m@6do1HKL>pXx%H(Fo8 z;Q%eoi_MjAcx{R&)mPig@x%Y(J+PpVwz3elcFt!^V(dlTMMfC@#K!Snpw5PWETL3j zg_$?FdSAcR=yZToNQHRUYL9}kHkM26s^Bg;F;^dZY<(r|-t6Fm;C;V5MImJS=P*xL zu^-2#-G$XV5aC42Cg_`6x(xIaeg&=m1${}pDw|!R7OBVa`1NheV_0!;f!H4tuCoQJ2IZBvKJDDc`yj|f5rMBjc(Pc0pmZL#k(-;F``A=S zx^`hO4;?fD6?CA4^mj2hjHq9~(ZsO^q4MB`N@;#!$bTonfHWZD5V-!`OOJs`EFW+T z`e3(cS{KvplbM>B;@y6k0)#CDZ~h!p2d~_(H&vfdmX%s0{35B;4X{6$AW2rAf5-iKM0W9rFZW7RQT5)#$=VHAgFO? zLz@2O=Jj&t%3enldmfqR+^(t2Y0P1WiBmgcZs$J+C?%DLZ>ghfRa7_4jLK3nmh>ln z2`xy4Lq?!+cjw-*cMeFL`j>ZT|9uWHR?gDiSLpv)$ARB8Jm~X^Zksca+kf&)J;fSh zN_I6M9mT!pUSMbDPGs*4_L?(;uLceUK|rcGp{)qFElkFqbHaDZc4Wr|$Mr9=()e+) zPS1uCP*J$bU8P5iRG1|8FsG4+HRIAnQ*x9GS?#DHLwl<=?G7m%zg&ZQr^$(U5N8bGI^s_@yBOc`Cs1~RXI89xdsEdF zJ(2{8c?>5;WhgZJaoio7MIA#S#9XWtbCJW#M6z6FueML0Tjg{$z7*tK4FRWX93=f& z5$~wpOzWsdPE3bvf|i$~SNkDYfrC*wQ`gi)F>~JGLWK5aY2SYtojXt8Bp+56WUNsN zNDF-H-V)$CTJE|}$p~hf@kje86&^tMdMoesW+h|XiG#gir2E5+<#MuGV?4kZC2dII zsun|Hf!n1*S3EmW2y6?vWN)$cbWjbLB#AlJvZ=`zd3r0TZX$S%d(_%4pF2tv zooGPB6rkLtx24Z)D$W=qeH>|l$gedMzY9ZyVS8Kd-781Mg&BV zgC<^nKP#JXcT<$Ze#F1+LZHUN!t<|6+!^DKh7vdb-RG@H{Hjd&l~ms zl>Bu4V(ABxOgw@MvVkFk<;5vrJ_Evxw9*^b7#laYxaYy-qw^rQ4x>C3o*$fKk45@n_+r|IH7?Ku9}ZrDG6 zijpXiv(J(XG*LvTt6SC&09qQM6FSH^s-FRXTYuhR?bVMze}wF^_~zFz2;>j*{pjhE z4;nEvf_f+lC8a!p!-EAJ)42vMQeK!1R++=TL5EgY*J)G;&{oArR1Ili1TsfXh*qY> zs5U}LKE9+yh(}^XEg@u7(NSYq>1a=7MvQ?}5|gxZ2|6Gnp2`>l9%&DQt``xYA_lxe zEK-z&O&Js+7?O{Py@vpY0{5Mc;UVB1{*j#`w{C;p1*2|OSPJ1X0 zr|j1K)l&d1D5sij`Kn<>xmJ$pr9Jzh)0uSdesFu|hx^`V5-0g{d&U6gLo_2@z46 zC_;hcfH>48uq(~(PS23#abj4ph=@VM&L$)$iLr zZWr#Y&)vVUdIcBP$GX7(^k;#4SCB+=kM9RY-x^WDik@brR|Z_g~%xPDht|{ z5~k*)(Q$^X&fQ~XI0aTK9!TLVjmDD+Rm?aA!Du5{8tF9}Ox2{ltGuIJNNy=Ny2K8+ zIpF}xwc&*h-I8nwl}SGj^qe09395`P3@gjP((px;UVk)ZsGB%T(FgGW#mCYqWJxU%6 zcLZo5R)2~ZYY#CnNA)84xGoWR6PVR7Sb0=PEQ(|u+L*eCxuudRpR>$)11x9tB94%B zSZP>Ei$%Z|b-+A4G2&^!URYBZ`ZQa3X+9kX(QK}MZwSV^z7i{Od<=(L{&co6>GS7od(EnwB zOf#voKcjvZtT5W|!|xHG_wA9FAUyS1hT6)#q%GPvg2v3CS1H}SG5qO9dW8o4K^l!- z!1TbQeY~Z+cWF4-nh8h0a$`|RQKXM49d7rxQ@Z5^z#j|;)Zg7n2RlvcPbZYp^k;g= zXMc1%)@xJ@&(HyyD43%AtZZ+UufV=@aP43;j$%JxI@Hyc{d&U95oQ0ArUA| zgb;)rq8#c%*p+5?r+dUEn^PvMY!sAQf@LC(UW`FeO;d@xUhSsa7{WG*~ zVr#0HY^<3k1w?D>CG3RpZ!K`_`>hjj{38%2+&ZC3ddPgq z?b^NmJp2W#-{E3^^acK>{}Tw@L&aQ0q>p*YBt6ki-opOE(hAK~Dsoy&J0ht|kyGJS9<(hb zOsz4d@SNse}P;GQ+SXG5B4PQj%Wq%uBG`77tBVpF+TrkI1w9>h+ zxN=bvdP3opDlS7P{6%fJwXz}Wmrr@_C~>;=20&J}bv)NI z3%|6v5p@uzX=ykQhmY2{&yZTAhSO08??$sH3@;^1&Vn@s=JvqDi*16pp^-#-fs<$QV;P*&Xkubl(erpG`6v@9(AAUfcSMIiH7bT@=on2DOwkiob+^g~*nW6?=Xg4cV%IU9=z2%;GcM_Ya>6zLARg3@SS4il z{)Y8z`aQgl=00o9y(?Rn!OiwVNccX)cr#^}u(y9Fa0i1=F(Uu(X$$`gJu`1)ZtyYK hDeVE7ElS5@`kc1^1ONd4|9Ao7W?*FCV6)Z(1_6m0!Hoa_ diff --git a/cpld/db/GR8RAM.pre_map.hdb b/cpld/db/GR8RAM.pre_map.hdb index a45efbb08c054fa7ba52b7bd827d2d0bec6c0971..a79fb57a6bbbf6612f1458a601895107fe39282c 100755 GIT binary patch delta 13146 zcmZXa1x#H{7^aK6OL4h)(W1qj;uoj5yA^j1F2&v5iWYb0;tmChyE_!O?Z2CqWKZ(s z{W3YpnVEcZX6BuVve>kUQw2zpp+5Zgs51Xg`H+e6zjTx1f2jx5sV_C>N+^YX~H?Q(zrKoCXjF7O7Z>#fa~wjUe#n$xCJ>&fJnS3k{n zzx^t&uC6{`>h!)p*K>iz)t4Fiv7DgYdcl?CmF{#ylJ}j26k+BHd%iPy`y-y2GDM|6 zgbW!F0W-c(l#+Ldc#!;}*n7>{9t^76Yr%;%oWen-nz_6dqK?%ViJw7RDPdju!rp4- z1Q-{&8rMq=+*&fNVlCKEifbL`A5L%9Y#MTsz+0OQRnS*SDVYYEP=q67L4S|pv)hFk zAd2RMj_ySJi?3Ai_Sb*GC9jzcaW@E%Mz#)TVEr}^e;)SCdPx3l4db#Rs2@w&BlMdC zw;z={lN}kUj__xFqU;Q!&f`k76Iwc1Qot_TBB-*erG8DX;~RcAWv0i0isn%Qixx5? zSV8wmXZVizDFFR@M|M)sCFh=?B*J#<7e56T$8k72;t2y~?=REUiXR=DSQ>ogUk?R1 zxX13zm(87bgA6nu1C*Lr4@GW<2kNbU6$a4_^LMq`+@nW%Ib9Zx_3b3x%kg1OHEY56 za5<0j@FM%|=Q`kV^VZk~e*T3R0*{P_OGMA9WSKWDk{=)j69SL!f|j-Dq%ZgV(x@o( zs_~$Dh0kpXGN|0j?cccb0c9h0M$f|$m+w!m@1_Vj9P%SdVl^}&5by?vU@}Eeg()9n zpJi$FCopWnOw&F?+rhSMPOBl*a7EiftVWV?J)=}EqcrSH4>5Lj7S3{qWQIsKRAQ1Rmt^G~g~n7Z zhsDQUG!ON_RV6-eOcFT@WlZS-r;^EFlGO9wF9B-MysEFke~~k$`QXZ?vYnWw9DuFE z75=?1xw-qkQ${`$)%P*tJx*>*?j*O|`KLI%4OO#m>nBIlZxYpAQGYfY=i`K-K7QFkX`-X9vdC>0`IZ^&6xr_nLjLIk6 zy?9x4)Yr6nI_%Dxce0x9rEcO5+wN&VfTesf3zUri)@blYbE&@9S>2q{VE0s3*aL0} zQAODNeQ33{RyX^i`-y8P44y&tEl87C?}_&}eNhpB2|_G4S|?;*u(%GEotMX`o%Icb z@dOv_gV8jl)P@PVE%}aj!RnEIG{83$QKhpJj&z#K3f|Fehw8D~z=GYcvYkS#ILCBm zqdV%)gN&VCkN2Wz6u`R~qh&iR#ZX3HU;iH+=Qi5h!g6 z@Wr1ZC#ee`$86tFWLHRSjOutJ^>xiy_yNQ)q0Fl0(%YkiPWrKn+~=T4-7fyz-S&-I zmh(2UNZKd6%Sj4)#;v{5x^0g15qy6i2MZO3OJb;Om6 zL;DA4ZW#&>+)}(-KM&ey&s=^x&lYi4c`Z$i={gfIcnu1`-Q3ix8-34@x=j~|-vOpx zfm}i1uX?wp@VY_^^mcBNwXXB4LS1o2t!mgC1zDWurvPc~@|@$(NJ2EyFKn za@Sj~KCLafKn?UskL}tVX$F4fjs+AIZMQ1FF{>Jly#`6BRw)EQ2gX^uSljk{eYZRv6HD*GfYz3% z?AbY?t%pE;5Dltjuye6KF-tW?X3d8ulC!WWZBB4fEy0ZbW`#6S&w^@#@&!Pc+ELxf zEa~(J4c=MD4m^n{o2kMP0`>85+mYS`1fm2g%EvtA)n+t$TF(`$783hzsjhH8Ywt@J zbUC^_x4BY16znXcWezShKXN*Q=G&dtenX^9_OJk(EW_fhvDYgNnZVNv{Pfo_X;6uZ7%K=oIu~v!lr=B{m;->PB%4U`GqcZj5TWvEqaj^Ri9& zl1ctl;wDM<1mK@`qIkitw0At{yv%chnr{8`n)HuTln?=!1V)14FXkD=W~+dZP>86$ zUdAM<_HU`Ndlme;gZ(`4k8_G`KE!NkwkAg}er?Ts8cSu(&6Pvl&wyk%h)EK+SOGL9 zMYotKp~MAkt}aH^=~^#4rksQatLKu3DLFwdG=V{zscCEgp6Jtc(%$?{dfDhC7Dz?U zh$tle^(G5P3+j^*CSQJIbj~-%SOqx?l@L>o#;;IQBd9+T^pw7Wwt!HY)rGas60weFp|{=7c*@Q=5Dz!`VseuQ`k zCr%)J1iaQpZ9lYgEq!pSsD(ORFoOA0)dOFn{g(@_d@$>+z>R0csw4A; zbA7^x$l+jv1O@cA^3vXv)I8B`!`J~VYIrpBlLMwmHMtYEiXEs#+>b0 z7|72T3%!mL_r6yCBkCWv|B(L&6EV>Hiux}&CF*@`vx9BcX>`QbFZKN8YCZp@ZSixh z+r;H~Z)}e}4441fzSW2J=(!JWnI%xC-10Dgs`;W~{?$_X!*6jo7e%Qn1dO(xmd2T+ z_>xTSFr=&dFiyUXcvHTpceQ*lw%uwL{%3O^aH6X97!7`#H@o96PlaYQ zzT#n5U(u|2X-_ zF{bUJ3;fiZV2Qq)E)fU)^F2Yq>4phnR^iZxGYjz}x!@*ZEPz81V?hL?y0S^Jae3eS zvJJOw?W3SftkSDx*i?JRgMX0pXqT;8lYFfGB`CV$qqMiZEzqMzA8Y^yVx}sPR+H5c zj>Q|z{4xTfi$3TR!%~PyuN9MujeKaF<4$X2spRg~MJ73{aL6I3xP4Fy|8jr#k-;Ji z<4hB0=WnxLuYBk1iK=*&>AvB{&ziPRZCHQjU)qIc^#K zNw&I{_?&io@IYfg7xF8YJXs8xBtPj(&!)GNYe){=Q(R0@(N})~Dl9KYmcQ5^wNKzl z!Re(F6@{VV37X9)wfZq+#+|Dqv70IG2AkO6OaYbb)SF(>-#@pDBMHun(Ffet!p_p_ zQm;45iZF%2(N_G)3e;w7B#?+jqSz7d+f9P=wUFeH4!}!Mey!pJ^4(%XCNg8Hefh>y zvpv;avZL9%RUUQ9V*buY!|wl+7$1$ZVT<|yM=t9=4eIm8-X-Af$b-%BCUjoR{l6P# z%KE^(=V5 z!2kMoph!6uKU`u!(&%Qs%i&#{WuBV^PSFpY}4>s}Ws{Wn)i_z6{iPN0c zK=b(eSoX84!$$Hwk)C4djCr8&o$jB5##3n9XnWv~Plsf63+BS}D9UzX<9QpaqoFf4 z>j8!Sq)Z1tf@9zQPDj_W&Ou`Egk)A!_iXV^)XYFd7?I`&R)NzEp3XI!8pK-9LoYNO zO1+EEVtTdLHCtRc{?X@mEWeNtYg+Ui)2B~QzHQ(28fOSHT+sMT6BN?UNB`i_Wwv|n zsf7h3E0Tni#)quUmSxV-&aYs_XA;L=2k8u-%Wt73g8hbYSF3(9=MUJ+4u5BQE%$v0 z_>wUD*9|HM{YNLMu)NQzJYcp(^kjpg)QzrY4d`O6C~2>Q$d^gLu(9>3ZX}e9{UP+ai9kOyv(l?wydqwZk2)= zrc?;c`%s9}Q2h1HH&#jk@aOc!HEL+?$eH!z>TJH$O#CR?B$a*`8+GbWVuYzfU)$`# zw46;&1vUzMG(z~R*`dDh$Kvxgd6hR)bofNn13%MFud4(xYf+e}VWrJq1Y!dor8O$R zMPVD|W@@l)BBy(*?}F<$?Jh=8Ple+|)8{!a?oM5>fHC_=_yER5`4#I*AW>3SQ+Z|Z zB(U|=lX+fH*ID5Xf?Hp^)^Wh2^U#s7-1ipChUha#!FuLP3NRkQ12+}5-3AJ6$`>Ey z&cbytMAlAEnwTGQ$rpe3q08|5_zwaktLj^JH@Trf4*rQ2N7Dz!fr^-MP#(DZDV^dA8i>ea{^^Ywo1?OOZ3c>5~8bS3lTsa1La*1 z&bYY?CSQODSyq39r-f1 zcf(C_Im4?~K7h0k?u+@t;rIZ_TDEr;#k$EvT7HXk*V8kC`{EHA-GF&3(%{+`e8e5U zihvUdexx&ML}~)xLi^zDA_1x17Z#a67U->HJZMp|Pe*aTiSrYxNqVE&7e#b`)-x!! z5eQ<(1U`hypeg1@Gp)A7`$+@9eIMIP&YplZ0Q!jm_7CI5UGEf+0C6Nez0;y?2fHt16zlPGJ1teW4qz}26y4)c`5e1j@_ z6mf+?(?}47?2e6#YeyhzCay3Wl9tA{U_~1M`AMRez6q16$efQ6%hCb;rjT2$=K(jc zO)xuJpXBeM;?kFOqioeiUTJZCH8XsRg+&UA16O? zIPyhj!VE)un_P;#3x~~4&jh(pbOoYEu^*ZxWhqbT{`-0XDb9dD@>nhipqSTAH&x^e9uXxhBL@nd0LdXS(y?%~6j6c0m5OT)n z*zjO{VEDg|jB_4Tz$5%V2zS?-VBflB;Hwb&s)=DtDCcO6VgTnpf7%p9^fL-FG!Kxr zFNic+hyHus*=?Lb0dX!v(2=HmV5K52jrF_{%T?OV4p+7CG zTtxeTXc>>H?g%BlbbRX&O}r2JHpqZei49t_-ewT!%?P>nOFZsI{(tinJR~3+Zt6HQH}nsUA~Mw zVBpJ+F3lF*H~ZB(`sgUi1@ou4BnrgLbj$&$E&~7r)diW(Kms){` zZHL{=Y*S;lUbdW6s(zh^@yv3yT#8$hyMhZ-@$Li25KG(dz6`8_=Ltymub}PNOsVe- z_SH77e6-(a+4^g^n9o}3nVLKwJ3`p>;duV{T#$H>u?_!93KJ=@!D^QWvxb!^TY93R6d)WW;divRW?>yp<(C9;!W{!C!A<28 zqPF-KyelNc(PAx`i2!iVw&}}IH>!w6@msrkcXf}H{0p?|&C+9eyWVLM(y0t9DVrc@ ze>Um%FfY**#2Ygr>iU)Zy~&j!4(I_BpyqZ9m}y>GoqUZGzC)r&bZK?^U9%KY!N0h-IZ-@jK9+PH97=t>0_LZ4suZe8s#-l%oVLlnRFTi`t9p+EZ!EcWBN&fwlKT90x5o)%b*dwsVvR^!Pul3HK<|Z_4eB*s<>BN>P)|8(4Pd~f)C9uF4NZ> z4sckjuLcH6E7o*oSm(`m}%{EsOy$N99 z``HL?c3(_LH`cop5}VI(pk(M9*co!%pVa((aouu@9$X$f>ds=#)rI`3=(@XG7Opaw zRlRr_RNd551TB=zzIoRk@p*SaR<>H?GbZl3FZdSN^f>iy)s|vs@KpRto@S2H*)IX# zQ}1-nq&yr%Fkk9CnTWc>M( zaz~R&nuKbVu}Q*-x2B`YUO?ii{@UPxyT`GJaav+~pPi(aztJL~^G+}}@3Edi^i@fi z<>1&NmHhB7x9qLuDa&u??Qw(sm5K!*w<~`iyq#OzG<{!BU-BCVc<0`?ulHXf=g2jM z=I&abYTq&vV++YsOMii7o^{#io?=&Wi68H>-u6S^(~rXvyXhBi5^bL!PY!m{cQke1 zXUE@gQl}bT_m8K3ceh+!iLmCD`Q6_>y}kK5o4-fwb#vFhL-v;5x-XJ040nNUE5+S| zr_$~>HXX!&o)AUC4Z@_5>QCCfHumhwcO$8{Q(vyEcgO3g27v|gBFB-`D)N~3w72y# zVb!-UZ;{vORk7dimKMhjmW98(j=Mf{6n8g29xUftJ<8wq&&NI{=F;Ln*pU9ULh`KD zC)cmMyp*9}4m14WY&xv2YJmr|lG%V%2(L%CC5G?ewEb&evsx1@`?>JcR|#XddCtTygIlOaHEcqsX5WoBgjb~H2iUiSisjf z$@3XS@kD{Q?uMt8V~prr7GEuWi!eF1e#>&s%O-s$UT`Q_dej)GzQqy$6~UQe{8}j$ z?4w`6(f>`#ji`)~y$e|VfvG;zsbW4_a7iatMDT1+1u{ET!}Ix$PYL?LdIXXneA3xb z4o%@6{USLZUUBFEmJC;`S1|qeop4!uaeUJxm|g>g%uP;p8m<*DaN(eedgW|Ma3s5; zQN*amr7STG>~#NM1rS78>PM{F;Ea)?8qFR#1I8?1K~aAF8EBB99Q~r6Jw$k9t_8`h z567K3MIDVMXnz`>dX@RkZ%-{2JtEaa_^DFZ=a|YKvIBH(v95(C(dmqwb>HFlOVeM5C)^}O>btt2sQI!u+ToFIAhaf zT|ecFv7qD)SKWd0r!FyW(7+v9Hna7KK^!#`S)UGW=A-M1P zABj${Yij@rZ7@qE*WIdj~3P#Q27%U-(jlWdqeo`MVZ? zyiga}9lXr91PlOFpdE5Uo-a5&S+)>H8o=6iLg51Ki0*4?koqrO^7*IbEiExJkkHnj zmX84Q*-_i?<-eLawt`aKHbhT3v-Ct5*fHPZ>DS@>@8{i2+Hr{E@LQ<>$I?sfc5%CcIRu^{x+z6x@qqMgT~uEN;I?U8y% z_r47+0-Pa32W`b)dOe+bTlRI)S|L^@F zq9(k~5%?bS$IY({et0nlJFn?=(xlThm+yV0pc_hfi~;4tyvu+-1Y~l0pMSw4a0KHe z2K78Hr9DT#{ohIE|32C@oU+{9g+sxIMKGyKd>gz!T#%#F+1w%5sTs4oU^)=2i!L_@n>&O1T;fw%9xY#aVg41#~M3-<%{d~ZmnP*U{t zue;7g>c zb_9gk?o#S_4s!>#z%5VWw5HHFQGs_gQV_Wd*}c5u!$@RE7np%7WuspXr?r9MVOzF= zc>ojnxq{n#_Laid%#f%O(JNyzCn}8A2nzd%^X&+M9`Ua9wU}_YCk<{8JnV)!*1H6v z3;wJA&vJP$Uujl&oK4HoGxDFe$=-5nFup(@&2Lc;=*Tm(ap%ov_A6!u)gV8j}G z(oE%1w%I;$MO1*Y6Q&~BX||gVE10+&OpD4=B^zr+^r;kb<4h!a2pD!s<&4aI>l07G zhE%=Zcz_(}5ZANGt2Jf!yvj7RKCkLjCzmf&tn&YaUhl$SL|uT__&w_DJO74P+*^K& z{fwZ7LatGUk^JmE+DImA9(p6_ctK6F^TjN1({s$QRUi0OU7mEDtlgMJl7)(&f<@GB zHo7J*(8B-mC`X`(u~nLNDnvg%EIzqaTGKgyBN@e4l*NTLpK$7sYS?CYVgBuSTDlRi z%v-nf5CbhusSRF$p3weQKnM+k4-1nOY&`zt(t+0)u;piyOSCWZ3l#26 zucZ*Ky8}SlZ#2FoHurg7YqZcigxssZ+1`VqHJ|e@^R;hDi26c5X_&n$zkQBCE@xu)kmH0dZeX$k$0UT}vG9e9VH(+45^*24+s` z5*oLSHOd;xb0{|9z&prnH#NnUL@hYR{o9AO+55AnXk?`So!bh z=FPR?R``zmlxgP=lqthuS`bpehl6~J5*QVKiwb+uB)k47unSzrZCu4!{2ObeQhqUX z*8-w7YN9-$$=cn%1Dp53{URF++Q&L&-O^tVMlPZA50_244ZEd3JY8%)(tI+{IyOrg zW)a(*ZOjr&=zp-~F{0pQLv)l8|Iu>Q+vu^4j~SDJj<2I5Fq}Bs8(avymxZChzyCrc zuKyL&l|Xm>i4m)l-W!p!h43k#EUjOF?blUL#{!IV3&OrDSsIl9+gI$tp|F^121F-rX7T0dvR?O)wqP0t?jn zLH(Q+?S2t~aczEYUKR|L>FaF~e328VFAeVaqyB83GrT0Q%Pg>a9GA>ev0aUZMXCXD zW!l!riD$izW4(!M_Z-&r7*-^6!Vq2U+^Gt^3|5A?CgKFk^WHF0N_NJ|>n!cVK0Wb72IUP$^uf;hN^N*klcKa!=~K&>g0>?EdIs6>UPDL+s# z2%AMDrI|SnVIbU_@ic) zdg7P@DE)x*J6X3LB7_+%Ycr)T9I80z%oQw+bn^nD{ zD=-2g!^pI1zM%Bw4*o*+EHEVQHzlsWNy&->sRDd$n;O3a>ZA2U^57#T|1>1U;)=%? z^A9(*(v_IKUEimz^w#$ZEwaSPTfwopoG~2G0 zD59c+ddg_~Hwo6rLn_$9B|kFu9&vcj;Shl$DNIF2*S?lDt8fQPJPfUgrWniT zyF-(-5p*=kX>kOv2GgCZ^cgAmYrLO|>F&$el5UyOEuS58uI zmvCE9MO}TD3qSk~xKUP!ane4t2kXPkmyQ;;gn> z;cp?8&tX2Q!XU(hwRbXO-Ncn#4?{BaCC5KU7L-L-3xk-?Sb?T#vuu5Z_@K~?e@RDo zmne{K;2HPGWyJo#mFAg&>7-CMt4i9W7?pEnd2(;7(~cC+g-&?dkxX=T{Ur>U}L|-&rGDVkLc|F{7OQvcr6W!d9om$u=o5uHyiTa2MH`Bb0F1z=bxSZFB z^Pwet;a<==GS=Pv<5Vc(vqmy2W?(4nv)-n!i&0OTBoLyHQ}qYf;Cp7+S~Y*bz`x?a zzj7AC9I76A@7|=0tvM4I1(BIxb(>JvIxC5{h@~+4{Y|rr6hBIjdbX>*yab&_ z+R$)xqPHn9ua;QpBjOo(uehp7W0fc&`o?P-L5tOw#@}1rEj>Ipq~Aq=kjZU|KoMcs z{!oY6hTlr4N(|Ah5*zAV)#gOxf1{$X#SylMy5Td1LgYAbL}K}>mhbyaKy@bLNnX>F>0EJ_tWc|ioG0yBu&8q z9vKbOI_f1_&$DsB%EqP8H3`X^=&<>2^U;FsJq_{jFwd1#6BqBsmD81seR!q}@(B?q zHS0g$xIP||_`*C_sJc?pT&=^igL6F5>)h^s;QG0@;Ib$X0x? zgXBd=M6EF5k&5DO*+PBNCgF3B4;|36tSW1osy>XQdaM`gqMpXw7{kRF3YxhV14M~* z`-g{4F(namR-`M(PJ2yWO+M2cV=c}&Cy6->-3C;``J{&N3S6D7F-M@Lp_MF~bf2U5 zG~lUtFa>|Si>%9Z+Cb{Bd+0&YcZX~s^mv-bBshkvJNhbHN~bNVgsYD9$t~X9yRF*c z<*G(6146x=2c@4gN9S1Z!itk36it0wGd{d%=NmtIbR)Ih8@FG^9MI!II{i(yw#TE= z-`c^w~YiaQLHfp$*0hlP-5nT|N7YU1(+aEH1@eR%Xx6rY@&& z!eUuK9v#2!4}#n}9K0reW+8wN_*qQeJD3P&Apjx~F1bSCYY@?q1->>Xv=tM!A%KJ% z$Db=(>BFYBIQ@A9m~r4(Fhr z^x&S6?OtEibA`(MVRcy&wMFk=ztYSvv%Svu5Ki!VT zdkWu|Hvgth3cwF0klrDSi6J&XT?@jqLK<@O^@%*aSm>~=Pr^Sg}4BK;Uh5rt|N zp9bEQJfnIq)@1so^3@4}ckD8m{c{j~;=AXo3t^`jD&R4Jm`Xp2)hAn)CgtMo>iqik zZ;D2f?cymkNiXW!??%-#SQ0Rd2Dd9me!E^?KjG7Td_dUd0@-yGjU_H#@G)R5+(%8W z)>Pcu7E5x%bk4>?S^QBu7Mf|UXDkk_KS`ceWYZ;g@XwS%W&_M%s{X#I#5OznoMCP7 z{{63oMj($cdCuQFKJ})gv<(8f$-}s$A?RfIPf>;y`Xyv{wPfk=%Ah#{jNR%jca?H6sbWGS=lA( zeqcEk#FZ#FZ@hQZy7|eb+d;_yNshHjMVVsFVn`Yn&dLau{i|ZQhB@S?NpQl;0~sFW zc_bG7U-t5!!~`0Ae5&bqCKSmK>NDLyuWyi2_Cf#HhA>ece?&Gx_zqFsB6_4uc6u?j z&)dz3>ZCs*>{ul8#TmON)djT~cBBTPsX$APROXN)6KQ=@U*F?RS?iP3XgHCO{A%u$ zhN9m)rN2tdp%<2>7d>12iGB2g5&CYge zLs_-l`3F+L@p;b_P6*TKw-JKNG?micVL#w0HDqeLn9X7xproF1pIb{Ss#B0sj)BKI zwLX$)_2iFRqGiY%69wCdEaDKa49!&Xl?arOzxl^))*Kr<{zl|zdt_@hHgB?~QLyG` z4f&syWa^I;o0~Rz@R#Pl=Ok?Hge6yQe3&&Ps>!U-KB}QMo;MsnAI;GAp|N7RVUTa= z8B^J_?FnrW(%?N!a`9OCeUwu2o3H7D&l4t<_X0; zM!t4&4n!<{8``UCL2x5}p}=j&n5sNW&jBFVg8Xb|(Yi$VV}POE47gPj5k(m-GuP>| z{-#_VWP5)e#v*uh_qzFa0>j-$k(cffCHIt1UemrQ{-_wnz+el(<*WLmKG~hRC5tsu QL)3(q(fL@SJqOwU06Kc6F8}}l delta 13040 zcmY*gWl)_ln=Mk@p}1Y#-QA%$6xZUeMK1alclSb}xD|J|i@O(hcQ3yEc7N<{CTC8b z%#&m?$z+m~WYa3zD*g)qp9J;cKjEF}zv)9J`u}h==l?Jm>eLT8i$prZiS}0@LSXnc z248SkwOqOtX=Rij$G1dcPS%Nx&r)+P9Gu;X+EgM~=YxBiz$K@hcG#SB_1uB}_uU%E0 zPn}g|<>lo*_ZJ&o02v8X$iYlaQDdIgIRI67ukM%)L#&*;gCLL%TGprc*KWXy5p<3RZ2z_uR%j{VZWZMX0+Lw;RF1FVN_9aQaDKrWj~a zR*7v?a-1zmj~(b;i*&>!7?B9e%MUt$m(4T%RFb+jnO{#Vo`4t;Ku+L{)E%{FlTS>y zU|oP|ed@5;lx}->0AIfk(@}~+L3W*AxEY#$9e8&Z?{EHft$Q;R>U$hwtfbVI3s%R{ z-$E&!WgebR8`nH89!^e=@yE>KB3eM<=h+$F_lk<e8z6N#09xwr{V zv$~hBMRlhYH{?~ycrJ}BXrZxgKMjP^cm&zO!R=co&-WJt2()klt*}ChUZOxT%$0;7 zx%RqQZbNRo)z+h?NmAbm4gN-?s`alavD^R&m=HK5R2)J&uK&)^gISAe#rr5Ll7mFU zVOtQ8%H|NoWzzg2xe^-X;HC~FGf0~HvG zaSj;$hN#78D|14)CXP#>-$R z5OL7^E1@KWD}C=4gN7iHAvy0%QY4FrnL`);iXrb&uz!~tCh>W=7{C?94$)#o@-KQU zp^z(T#Q5=5KJ1vd4SM`%0LBN0ZUByNSfz;&wkFkO0Yf6oNslp z%qg-|tVJoGLhSM1g+FF_eMDiR37SzaknSw3lb)6##CJ81hFl z%UF{fH>i7Jz|4WGs(d~eS&CL_2nmrZ83M@t&9~Ii*mBhI700qyj9`fqoM4fM@EYIq zQ!+bV(aE;#?!P~j9?J5`T|JhXNZeLpYvaV5grG!6_am0=EhKP|4KpPtzHW%jcak@& zYW)Hv31Ik`<|s4L~T9|8*nvdlG_} zGO|Q$x|#{G8bXBlg=L9y8$3$6EQ?+-6f$9T**}BG76dWOU!N4sL;lV?j3hdBj@HOi zti&bzk{D6#qOXWB`>Y}b>D^s`{mD)wa`%I~c0VbY%Bfz)CA(bSQVJU_IJM^?54*0& z-Y1l(wH#5(XV4u|0wG)%WQ+z6oq?iY+P}Pq!iKm43m6aKcf0o4ECMTj?~&Z%m+%r3 zKf7*A+VM*( zR(k|IRh*0}22&0W7!*7ptGcsq!>*%A_ji?svF^2&7A{MZfJK<)k%5EgrU!<6-MuIS z^GJbl#0DGb8k$2#v#)ler%C;VHMt&E2VBC#}&3Hj2cL6crU;i*~_S)XreL7L}*mGz~qVJZrJ|mxb*&YCoCygCiKdtRNgsl;0`SorA?!>BnY{72wr=hvEgn{(=Wx< z$*DrUYLUSX-@lcJ77oEMB2v;X8}{JP{tX7=>*>7_z@W{Wz1W#yHIyuwa?zb?cH0Bq z#wV8_k*p6A+rNfDP~g10Yy?9ZfWX2KERf3`XQay*H;FWu7*gQi zn`lre^LOzyKVQ!&FJL=DX-Y{m<#4DHh%{p)oKnC>PvUg>#!IwZN!t*UVTJMXiDW78 z&ow{PmfxM`aBG3xdtphG$l`>6jIe@J9co^n4U>Q-k$NavuCjHXhC9vWXoIT@;RK7IN#8IE*nCHb*26Jz7&v|~&?{u8TH z6Lz{57sAU}EMv~^p2=(W^~t~N>q$k3R8XZ!qlm=7qu<)&FI$(MW9O)!18vkQJ8Qkg zS00b!HROq1Yh89x_l?h<4iOI*%UBop0~$UnYiJn*ZGIdvlQtXoS@(CuZDu}?OT0f+ zA2WCZpLPF%;2)NF0-rPfLH8dB{$YtbaHWlTfauKf`_90ltKjNs1Lo>EY|QLEAYi#& z$xjLq@9zKJ?TC$Nj^aU70%gyOzOdgQLp~7S&N`f(7O79vuQz$$a>MdndG}mwzT84v!suBx{iDtIJsZv(APH_;~-N5&HQ^ zFW^<9qT55sYUp&s?AT(kkUgjlhyzW$35Ry`d!tPG^Wbz!S+`+c$u8VBU?1i-%r|E6 ztl*#-rAc`H%_~02C?RvY`!K42#uvVM$|z&;eEP?db)orBK*NFZN5v4F7r3WqcO%VB zt#Sb!vdW6*#rVY8<}Ot3ns2YH8{U z_wabS6bXeb7L7$VIZhEFLuwJqkn|1uDmkthq+x*}_F$G-McQULD351w!l{lf9Lxnz z+QTxZ_{G|rbRfTwXb$d~@%Dhyi_aU!!SE7B^85((lQ-RApMdQiP-|fAZ95)Ca%Hq7 zVW*V0^ZBOU$6bS)%FA6a z=Y`_*p29h+Mo%)b8$~|`LY-RtK_Umk|{#pN@ymdcmL$ND8sH0SV z>qxodV!qD&+Ph&hk`7<_=NY9t-q7_yd}mpQ@nP(*=`;L^CK=N*!taB2(zF2AisH%V z8J)`csvp-Y38?EGUSQy1f5$LqwZ%IqPI;3S_B?aBHg5x-EGFuwkr^@ydJ4LhZ@MIY z>!tUGw2A9FZ;RRBrKZ`eQGV3t&G{Hb=qgM&*r|hsnuuY<9G*cW_t;K|7Ho;!xN(O} zOuAp^bnB=>PJEH4tM3VV=|8SpqP67}OwQM)woKZ|mqx~SF zQFTF_xpZWv#ohJL>i9wb;_if^W=`32Of|CU)hzLvQ$kQo7`Nwqv^F? z&~>g$Mz+iCV)ohn4Zs&BSu>iY_{z@jnK5Ngx)9}8oi3^rl~IRI2(w?GmFm;CCs2b< zjLcHMdjQk#-lZ#M$P2K6)_yD_>G2l@Bhb!Pt^Oem zrd9oMzp|sI53b&MmW%bA^?YxdeR$9HCvj7_eRK%!F>OWy>7{FXY_q<7_-<)BphNhw zEb@p3%|d_l%h*pE@r^@_5}J7IL9w%H9%^(+~|0$hTj$HX?xrT4jLh;XAZEVWTgJ z2I&CL43Ce0gY$Yn^fbz`j7k-c|I2w)B|R}R6@uGBiQE$AA^%V|UWgP;O0dT17ew zZW2Lu|5mcn7!Q~jd_fkZI&&6|;cqVQJz04u@8RtpzBrsZ*rNJLP7ZTP$5fw$OgSt! z1za#jB;eUz^+&V@VShzwaZxCi%6`$cj)}rifxdYAMSo|bhS|Wyrtr2b)G`$;ET2ZO zrgH@kmzPxM7P(!3hMS3^p^deP0W7H`QQP?2FG!xSt09bcsblyLm}8jGVUohprjH+D z9K&vZbao8@O+sS~##@4{X+ab81G?&(zy^l`mZVkFd{vjtOnSNQ=LI}H0{mMRO%gi~ zJ;Cv|G5;Um2_9I))A@Qb%?Sw!zs!+y9aNhNO-Tgnlv8nt)8ZOX!o~eq#cExEd=Dke z5&7JWMce#o9%S(Q3l1%OF6=m~qRRxY4OTTI!iDjNK?7Yuz?ieSnZ0$DPI+(FsRv_H7IU05nc1K+BHJSt)u%J$faWc?TE-7k9T>xrr-Nz zq+`9Y^3xwB+`)~*0*l4@gB2ePWB{Y04pc6yGkm`oraAx zFG+Y+5zIFtJ~V25Bu`9qfEmW&2JxKA(HVDDc4|Mc1O>mMoT!wk;M-Fl-?QiJm885= zK0b$9{kx>w_X}PZ2`IOXBAvxqMPI?`E`uw0m7GiRtvh2)01?wb>fm6?g|%=pAOE2i zPyL0p?DSm?#ZXdf`4!^@yT9Is;x9sf{3C(DeIk@&G5*aoDh_Kd0RFMv?(p;_Z>8XH zUFGQFi+89gXZM0-^XILtFO#{@Ljq#(GK2bbMiTJg9{)>sh&AU6BK*LcV9ASoB#8A1 z!&ya*)czKse+XU_0%q~4OMv&Xq*IygrEw#i3BZUne$1a0kf~HY0Z-garIt5Mh*eO$ z$c@kr!2Yxn(U#2w?u2=s3Y7Ex!pz9s!|m23?1_9GK_i+&8viTA5ECM>TXp*e2I@G? z+%WI%bZ4R7FcqkUhWHn-TlHkY+N=_(BCuD%lX_Lc)Ne}fJ|D&TAd(WsaR>v!2E5ZZ z=VVx+i6D}Q4}_~uR4}gN4V7+3eTl}kVp##H01~sdTk?;lwa;*LA-lr{exd5$(28#? z#?mBMUBsT{R|W=nm6VeQEG-8r`GK3cTl3Po4&fPi9T^pi%MA3~WTw1{6hsOg{kdJL z<70UYl6(tnDb``!Dn?z*qDqQpYb`h0;|Nl0L_+6ZtPXTM*KqDElkVl4zg_d$TFk>= zffY9RqSHU5cR6nUb<3q`h~%YZ1(3EA02h zWmVJuw36a==T#T|DO#sX*_??oCK+0213nTH%`5R0_9x9k4pyXdiYtU}jnb~vJlO>p zsPFIrM6vVeQ1xQFJt+~3&q5vLrdou1vvxGPkKmM{#4a92vML1bedHr-fvKXwHy>;z zZ%l??+PvfT%mu4~DpoESF}*&yG2p=9#p8f*q`t10h`>uNLsRoeM)XwpCKU%kfR->=jI3nTaJ55jpR3k+fVoNh?7 zx*5-$x>-R#uj`RnF$E+=i>^1G+0cfq9(VZ_;i7$fQ+SYdnfx+HYqaIQ#OdqvGM ziLodM_H)L+L{kcfHc`Q3fR7%oHo-=xeRi$i#>DSQ8mk#Cr>r!Q?#IaTthyM5I3Az; z@k)UfS7jRgj*6+J(?ujeB{PTG51Jbm;d1*!W5aa`?aY2&Hq$|&&W)wZDb-3m?Hphs+kXm+N@YyCmqz_e_E0*zM^wpS z1FQmV$BLuDjm>{YYMg8$Sr6@ZD6|)TR7Jk(fne2pnvKQBhwlMO*@dvXV7Cm1mybWo z$L8VEL=4>;Ido7h##8f|Su)H8UC^@@;(p)MjIn!(oL+3(*oiKO`7!B!bFMsN$*$!1 zkN>~c!es9q3OxnCqI`;e<^W4{a_AcS3)qC&3*f0}XV`D7Uc1fHIwTwBl#lh-WpK!S ze--5m+~kcK0%#@i)kD~Hvq_5+_f!g=Olye+dktR5tPbOpr}NQ=JplNqBhUv1aBRn> z>eMFz&P819GrLpxnw*Fx28lveDdxuvyWb*w7RH|(Z0&QB_cTl25Icy8`Rks~Bod`# z6(0B8rpIM76s*P<4z_iZnSFDt$IrLLwf^vh%4LHU0S`I@Cp^Jj#mS(r(+h5?XV{g` zLfX1@wateHcgzL2%7g;ij&W9*nIxeks(w# z;Z5T2ml?#eGHKw!r!i9Sx?piEef(6H;ho^7&39Pqm)&GMuV(zjkHtjkWxAuYoMzw= z?;vNqL(UJD@8m6B4tJfBf2w!F*{e8#_eg34p3{;Ib2plW^xyGE_rPzFb9~TG%~|=A zEI{K*m*%U>ZI=Je+hbG48)jNpZs{A*Y;jc&axU=gX=jB0wNa1sr0P&~_ZKKjBV=k2 z$Ub}8SvF2SdHn40e!Vzp+{-Mvmq~&89(_d1S(W4BRer}SyK(#%5)(Ihf30=rQ!)!Q z9dCqrKfmq`S|^Z-z8uv(i^@*ksloDTHcV*Ar=ZhZ+4#t*!)I0Tw9&x9b}zlXU}K$T3&!8#Y*nDn2UzR*ih!FLh` zU|1B$-G&KMNR=_Sf2D`mnOD@>QvOVZ2{B1Rxax#CY}mUT+{eg6sW4BVkDOBpo_ezW zn47tQg#OGs=Dq_NlZ&b{#gulKu884q+sQ+zu}crni%zo%ZB4})ZZ|({lmc9T-=xBH ztL94MTumiX@Zv}xACQC8=dTGc_%zg`=%oKC^EjnBIC&}2{V|GHXHdb!imYikiDPob zUccDfDE@g;-9*Wu_B;u)(SOcIap?z@=AoPw`wke?hg4BZjD_6265(mKP05|=EgB;= zMfr<_(@P;-wM*?kK6xKU0?}1f6jF-rRX7=Wa<5Y1$;w?T;c^6m(s}ROVvzd$gfMVK z2nS`bqeHTb7G=cSy+*K{=89D4f@~zcEqV$9#Yn(rRgse14~oy@jYh=~rO$*GI{HK) z^@gl|j!#tJ!Wb;j6y>cF1w>6I(uQG2Dg`^C;DvHeJS`6i33HePxE7kwnMqHmOqG7& z#Z55%@l(7dpTKPMR&5)W$Ci_c*U3R(iAIBH=#uXdSe5l#diPEi||6tSs}v z#K@^sd(G_yrNcB;yALF-6Rd=?@(}}ZUy?)fP>js75$aNnr>)d->&=;Iwt*SPF)j*e zQ_I6RDp|!x&5!;^+Dw+|fos{oL=GYI7ec#jkC3iAdBXre9hsBf7|&Rl-TeuXjXe{% z_=4)uB6$}lcTze)(v9+EW|CK#+88M%BRrD`lipMA?$r|mIk=?ZDGpYKptQd-ITvCD zDDrm}c?W%E`cs}0UaGa#D{GBjt59}lBD)!NE)Xmbz!xS`Uxi#t<8_EOhlGA6UU9iu zQT4MjMQ>} zs8noE$0d)Pv6{E-ajM@gD8{YcL$DbqMXWR^e;S&kfsK`53O_7yv6(fUUz3x?>X-Ni z9eP&W1EYw6doL4(Kk+J9?d=&s^BJMCza@1@$F752i8-Vzbk9z%XE17ulUqmo_&bti~BJnvt4 zDBxh<$0#}_5pHQ;k4va%<~Pb0qY#}b_Rvrko<{u&vwPlhZH>K}ZOOt&DUk-6{QD%c z$;0)>Rj{D*^uwHzIeZBQ|z+{PwcY1Zup0qj=jUrxlJ@~SzrA7NwkSJzpEy()R+PQ9YmvY^UvZ05&!4&}9zbe_3*mk78W2$W-v)pZ6i#fF{0Cx3U3GEQ<2isoub8Q*~ z(o>X_le^<5`BI}&&Cy}CsS@u`xi!qitDIv&KCU(A<9)4(QFq4%humJUx|vb#+;~si zEmJ41xz7mWU>Q+yBeBLxG>tIXa+toLJJLREtYN6^6>{{_t9Su)0vd#MyIe;BJ`N!+ z4uj(g&Bgp3prRBt7DeZI4q?(;SbSA-7*1lBkk%+OZL_u=wrW(t*~=(!5gY10C1Ic( zM)j|Ag*PUuN#CdP+Ku!abFL~Krx69VRO6BuuM>RQz?a34^6jsz)91^V?q_=ra(% zoDkYvK~Q`@OZp36l6>TcejOhM9-8zT>J!?59wf<}wpj|Cw-S?k*n zA`@o-TOWhBeje)d4>ydsXTk4>1e*klWQS#SODgYziZNj+RVvia%NIx}Cr80X#W(Ss z;Gqnm0*K_qHb?^rlypq#p9|YYN~{N2R$+Q!9>EkjGyw-f43noS7Ke=min#?T8nC>c2&ZJgZ85Skp3 zs=_6cMsS<~>f!evNz?JbUt{mOi4Ow2a^d&E=wpb%S68`sUfasIkd8mto>*IlU86}x z5_``2ZM0i<^e9P5UPJUkyBegfgyH}jhVEjEvCIdqLH|PGB#Z-WijE>pQo0zFxiALd z`T2Qyr&1%s9eh58_G&A7-P1BZ{>fcv(rb93T|H{~CQTP7&2VMLZEsu`M%JMN@X$}8 zU1Xu%C?Run^hYf5)sCG}p`@zI_%`s6w%5=i!glKYiBOUg25i&k>0Zi0&OSg`s0!Ez zZBQbJcsH2cKn^wQh_Jasu9hAULlZpgJq{LdCcyPC_{KZ*Zqhz1HMSQz%YKdqk{s@3 z=!aa;EqAXR62IJGf(qy7JLPL*|DL)9CTv!^JQ*}r-hgRX4?uWWuvqBEJQ`;;lSHhh z{kmlsBnz*icy-}C5^UbO0|18?`s8j0(u^g5@QerBgEwAN6_CAoJIC;v60qgEQF4aq zE%)`4NVmeJI5NcfY*L>*w~_|ooGN?;)D<7YR@Rc9-52$oer=~PZ#$N$o(8c38HQxY z{xpu|^>t&+wzFkC9CjEBQ8)3uM@o!&Gpk#C;!9IE4(E3$_MR;G4IF>9DG_RKG|CHW zqxQxzI3qh^MMb|GS%qzI;gFn*78TC9^<+vo92}T)3PVMU*p(3&RedPK=TaX2jfCo_ zqC;*nw#OiEDwn<5;BYcFF^xD!1Ru1BvuCM^7ZhY8AGD~sldo^(>6qEiQ#@Av)0Mzz z5fc`@{PL^6(#9skGN7tng@QhN)8kE}Fw!1__6MxlVpL-yBK1+HzAEjxA1&Z5K}4^j z?f0OFzkm7^K`0x;UqRd}Ox!Cq+$(koHi4Bs$@A@h;}_o6vvH8|k{-4?C`n3zAZ62} zObkp=kZR4l{qanCQx+$lw8-8Bbsd0IuHrjjUF^tK9GPQ(0T&flNUA===n?7@cTF{g4u(QPSHI+#lZT@8Gmy{XCNLvsDZN zH!Dg(zBr_S0QBkH&BG2?+v00SIl-Efn~JIKm+MMn$gQkdUT6qMDuumvR;Q&DCdRPg zGzk!y)LWr~_~x~AS*lDhPY`<2i{mV2COHWvA0voK$d*PhVzotHL6e>?{&Ny=`{^0 zhYZFl!>rqHp((Qs-uh{5-t&meONf&9b|n?@`K*of#CmwSP=*qwvKzGbQ)YFR%e5u? z7!5i~M^w^$MsIVO!xDno&}t=y;nO4^1j4|bkMVQ3V;#;BKI+f#0!KN{)nMJ8RkVpRwous z^WO2%RPOmRGGuB*REHcc?cWSgVGQ3v+hl|VT#Q#Q8t2UFA4!oR)>FL982REG|JDO) z1e(8oDR(KIyYVLBHy6PYnW;wh&MK?WFW;+-hl$CeWxOR6G>+;#knb_F*WQ?mhleA_yFX26Yq2x z?*kssyPG$EVgJ| zm_~i&e{s0^-7o3g2S@a|ZyCLs+dp2~*8p@Hha{4Vac@YM1A;|Gyw4nNI|O>a@5Kcm zb!h0-bme=9ZmnAWWyQV1#=ZI?5f7=PeHPsTp;zAt4N;JqVv1T}qSuAX>OffkMO{cf z(>6Qq6(jDI9qtv81lxjNmc_L`?$y850hVgtkXRS8aKP#xd)n_d@D&o3Yxl^@-dJrZRkc zy~AFWIL_ou0JrHQo!@O_>3GsGuag9W#+DV1*{-~@Z;ag_j7GR+RrPs#kAfaJrg@cD zsJ0bw`|A8l!pa39NK7=L&&YA6eo7T3o<62UVndUg<=@*nzo;%Npf#Y`R7K{Wo)>w3 zzIQe%AehE{VYJ*C{pRlJ!D_s)&{E4;Q|}p?h`^eAr?sH%!;oJ-`a0h^%96JXS^MgJ zgD4K-<$*&%L)CsRucFNBSuW8bg(GpxoFSI`#qLdA@+|(bB@M#NeP|dkW41mNmXXtDkLV z0wn+Ctj>&6(8^O$slivGgCA`A{_+g5v}Wzt zY`f_V^5|8r%2^MVYK3;`)M$$**1R&?F_H>g0(yWfnfX*9T{kIw}xj$6d^fz8^GTMlwrQx9$i1 zNm!yr<=xF>jvLCo@VMJOm_{}?J2BpeM3q2W)IZxKapdtB8YZvJd{!IytuCdx;PtCV-@Avl)Nh-{EP|!(M z%;ae7m^h}SzmVJ`clWPr*j-*9UyhwdC+ogd7Qxd~uD}=FAg8mO#gtlR#yT9O zZnQO{<2qNiF!wqi2j8?(DJlaBgt871Z~U>3_Zl%oBJp>I|_fv9ST>C zfQBR_+mzT^NYDyTA=)tZoYle?Ho3+1omYrCKhqZK0Dv<6q-NZ5J*Y}vh*OrWh?7pTF<*75`m0k(xY=`X96`jNen-zaw3^a9q-vJpEs# zNZ_*MV8p1bJt?u&!t1Cez|-+4_KF*74=E{meK=pJg-If18rXgO+3dPTKDWsTY6 zt0f1T3bd#i%$jF$d0`^T7x?4_o6n!Xenxje%zzxQi+YyP4%``??i~3}PIOyT$8+F7*6P3c% z<>`Lof0=+uf)_|0jbc%wBevUrM)_W-&7`9)>k7|5dYQt(ou=O10Uv7z#C!r@M)&~?+VV{JK@nqJ*N0pBxXpV|~>{DQQ7A%MEnGM7HJ*1JE3mCfg< z1sa7{)p^qjSEJ`$mo$)l4)ri|xz zm8j?7lp&!^-W_}>L13qp`873KlIQqU=jc$;!Xr9B11qWi8{)AEX3hvcjK~Zr+}a0< z?pypzT$hAAD*KUN2x|S|8_JsQzpzH7oHf#H6RX-H2W%VNeLos*1KxxVq=PkXW6N;J z2b0D7$3*m$wtv}N01h^;o(Bz)h*>SrKG>$!P@Iu45C-c|_dhiz3)g(Y zKLXAVvMXElXmpAWfKheCZ(Lg1MuG5!48FWkk(A9Sm_*h_U;ORySa4>-%1+A0edAz4 z{kW2S-1^QxmdCSWr8mrm4v0~Y7YWWT`(x{yYxjA{hsKd<)tXSs1=(~vR@xT!C5CGT zvHLLC*O+&LPq|`wXZ9nPkRezb;a`;lL|Ks=s70^L>O+dpcE9TYOeaGc; z882ULaYt>YEX?r9i>OQwD4G#Iaa7UKA{WK#%6$3R9;fGapl6O;U%g9U*wB3PttcF6 zyTf{+lOj0QewC(yOE9JC@Dy#FTnb!~0cpDzS<{QYp1WaDVQ=|h{P%9t_B8G1o|Y9I zZt>~D>--leW@EbI9}bUYCekfvwQFr;t+xe&4?(lMqN{iOds(}zeznie@HTKn8Abw^ zcPaj2o*F3^dnU#q`+2GD4O!#fF6-qkG2N*w)`fwL!|AD_OL=mZzoL6bA}Ah2|MR`& G{l5Tz_+ak< diff --git a/cpld/db/GR8RAM.quiproj.3716.rdr.flock b/cpld/db/GR8RAM.quiproj.3716.rdr.flock deleted file mode 100755 index e69de29..0000000 diff --git a/cpld/db/GR8RAM.root_partition.map.reg_db.cdb b/cpld/db/GR8RAM.root_partition.map.reg_db.cdb index e374c497b4ce79ebef14e5c80c1266a923c5dd22..25bc02fb0fb167bb328f0b783cf70d350e4f0402 100755 GIT binary patch delta 225 zcmaFBe1myHaQ$XR1~AZp(#%l$29&N~ESX@-b;v=W)tvJOvtn=ihOJvRZV*^)veSX% z#*K(dChd<(atEZJILvdHyGQ?BL(7i`Z)eXmx8A&F=1rBB4-@wtU6}UCr{<*hVzt1X z-}x(kXT|1vt2q?J)|_<7((VnrmHEl$nek^5hHvO53Ft}#2bv?B0a?0U^N2Vd9p zpI^fM;OCru3z+k7_{Xolo1te{S9aN6_^0Bd zcT1J+lG?*pq(8m?@-y$$>c79d&WCP(@OM(x-OT>vE2s2d2rXN?OM!?u3BpUwA=_v+a! zq{A~$J$t&(?MjD2Q*l?IwV|6qJFju=AZx3|(?AAKTSjZf>|&TTj<%Vj^E|M+-__Bc zw4jB?F1uNW5Wf|%CNzVaEql3)8L{fxvxNnDi4bWI2jSH?CsR zJ9!tQb~K46lP|;=3tv~b_+4L#7`_x0ycx%n@vilZ)`!2wBdz!xNZL-qAufCVFvw2=mT8Vx{qW03-IZiuu-VfW&7ek576aN@uq zy+a(yKRg6Qj159Mzt|Bo&0r&=(yAOPlt< z7+t3&h8RrdXw;P~HRVz&jWVbY66U5DP+!SA*nO!_0_Rdk3#VBWy_s&N;J~l~N%d#+ zIe(>&LJV|_>$oVw3QLi1QeQ{~ucP|v-WWyTKq`zv1uT`x#J;Qt951blsie?b?MCca z`YSjC3%!-FO7|N^#Q-BTHlJbA{-7^wCi7fz}u+rT`secy4rUq`7wgL=_r9fgb&7BT*4J$~+SkRsy zP6({hQc*|^$sRFu2ZWF;D#=J`te_wg6vW76T!6TF)IBU<#}*!B-qFXvV(*O800xfc zXBs8%=$FX;|F%V;yT<57a!V)V+L06US~eSh5s z_ts-2oc8c=Pmft}ddb5OJw)w^UtIa=DI&$t%Qc*C@en58JVtItaMGy{zL9SpBP4tL zISuSOqj~@fF<{?yjykb2N%f6 z4;uAgVqEbKlCwl51|1g>E9(L30Ovi`gZ@bg+qzPx~ukZPb!F~Vhr=M}r=T6<|{q`=mA$sFc zd+xtG)HWxFCtsQbUX%?Ut+SsNyl4Kp18;kBnvh!pxfdR}oulEFF9>^Wil(exP=C&tKzVhPs_~7$2A$UauTMEA0|C9inT@k=1Ed|}MTR+}5)BWSU zNz?dpc+S-Gt95QydKB6f_YAZybrWb8HLg8m-D>gJi=JuoY)zP54zreMn<+al0;~VK z675k3ns4k9&3c6Rt%$X$>1j6aXi4 z3x6)m097y=Hv>_i8QP3FgH=PajAm#*88-us99+OCDnCSUGIz43#LYlOf+~zsl_m~y zpEqA)ty?!$BWbtTn_*|I%?XmuS?RuvHZ}~I_9|cRd=z>S&=JVWUkmaIVI5>)* z_TWqgukA1z&+hc*G0X)VSfw|QAvkd&-PkB%!~;n^fxJ$u^d$(h45R6N%A7iX{kN!i z1tzf3ZUi^uBp3vF&8Z2L6yH+!nKHU7z Kh<^Z+@dU(+CN6pa diff --git a/cpld/db/GR8RAM.rtlv.hdb b/cpld/db/GR8RAM.rtlv.hdb index f2c61e93b07a5ad316b533d54bd069186650b8da..7bc23005948a9f809ee6899a305b41904e895878 100755 GIT binary patch literal 13177 zcmZ9xbxuxVP?Z zedfEhThlewJvB4i4S<4z0;58Iv@RdF*oSIafLv@G9f0hdENm?7KruHPJ98ihCkK#? zo0Xk|m4l6)6R2(hao*1eBGxu>Z|0k}P|EU+} zf9eT+>W7;1Su)E}Sp-glDsWVMO9W1odYm(>AjXZuC7Fbibuvpfwpl>I5`iFDAz2oo zmr+y&Uq{1W-6udhz^9|F>-oXZBW<9q>O_+rh2i&!Sxs9N%VBU83=B$ipkVUzYgJoo z6`7{-ZB~|fvMaS;W$W>~-|g4R%Brd=pS$z*HV@3tq+yhYI=Uyn(tL~+D>CSLrl2us zXPgN>vjWbTak>s&@Fba-4VDV6F%NAd1%{U~jDUji+KE^G%FfpXc6{d&luMA%UKy_X zBK(n^*WJtE!Lzvx2co<+K|Y~1!A{9S3VtF~4|rF_T{_s$(ec;ucNo$Gq6K>H9m%tA zNYM&1XmBHe>QG9T$|;}%ox&ukf?A#)N9g(ENlfbjM0eC+5ux3PvaRsU>-I0qiT*zw z-HhK?gaVECK8Hm1a#DF0UlB+CuD39E?R(I%G5`L3_t=G7Ng+OCMQ>#aUSHkWr>euV zg2~^H8**pSI6H}2klQcU%#mhLxS7g zE$NJ~A^M99S74qa;_mm|Oh<2=>+!Be&4=CTYvjRp3LHE-CKa86-y+|FdSSxgQBZO4 zc>gjxiO#_*Zv2x@Ask%D7p}FxxxP}Q1ldE`4w2$@k`=dIZqwO0u{8yW`{B^tu>QXW z{<8?hbSe3C@HzggS-lt=-yfTH`dWC*?6K+L))3_!v zSKF{iOG{BN2l>)D6QvU6iT%~yjtMA|h+%_*;38q$6SsDivE|6fIigSsWD|F(n?j3r z7c%b8dmDv6!-KQijp>9$0aU1sxW^`PFwgGHt4~_qrCEPL69T( zyymRk7)NIfgP_ZnWcyts(Om*}|e!AqyK_sbA0T0)rlkmkDpv$(J zhZsC@XK>iXAUdJK?LH;IUPh@z@m1YQEMDGNTv(hTT#)V*+lEWnw_QJe@6iI_lzXFG z08cfH`9HQ2moa@A*Y<WQG zxn;B`I-<*0`N`35I>s<1z&xx=W#&esyt~x(T=koI(ElBmChIbQDnOVJQW}i2H~MMz z;9ry+iR;~rm2%0hXn8)!0BZ{M_2w=2FbpClw5f$W@}fZdSmZntFd+*G!hTgaVLkj7 zH2A8$XK`PUFiXW`i9rA`E4aA(WTmpaed4{BLLlHw-yxDi`d zk2xERbxemyZbY-mLUFj-(7ELf&dhvP>xUAiY>#yslM<_xzF~d1lNd`;E_74u8!Zsv1w5+Zr;)Uo9V?^h@yu4DYdzU|aO5M+=CZjB!B0Q7#cHQ#IUb3g z(zgCFQ>yQztYTL|bq~jx$h=6a%&4Z8y_)r5r>s&5)mkzJN~(AYG9n6Hy|(|GnaFOO zZn}AqD=aFx4hVG|j6|*{$|72ChW_;Z`41HSgQ&`vr>)wk5hgcZYd9(4&si((kEL0o zv@<&V`obM?vDu(78PihQ)bUETNc1fdjs(Rx`#kq#LZWy+TcnDia;e6+wx5w&9bT(H zQE}9;Tzx_P@Noi1%<9>!*+k2`DETX$1M{4yQO{*bpRuv~9*TCJ;YFuO7-kH= zuzwNCp(1s^AEcKet)9)d+ub@uxf!BqIAKpvUfb_vcdyF z%X(AUcBS*^t(TKmLzkO$Y`~ z(a)>C(x)KL+s+k%3cY4|CDdPyu$@}*Hcm_yUKS%E>)UAoGba^kA(B{}$3n?Hpv;S?~!qF4-tf5RJ2oNdh- zkG%4WU~|?ml|*7;Z}+ZR@l^5-Uim&NKBNk+vK{NXXQ%Jds8;BSLg9wW^)q2P{^``< zvW3L=Mx2AyM5`K*J)UlW0%)5vSg9(af)cQ}c9oS8tKP@>JacpPiwud;@Sh0mG%x*p zuhd{dq?wT1%6R({8XRCQNQy_Me>#7PRt}^i(vX_1&$R94&6Z$gA59569}aVv3Ue3> zbC?Ko7zqm(uk$NerMi6kBe*pwXu>0nVA}l14%OE3f!7CoA3U-_WgGtVfGOE4-P*?E z3_6#L>z+7k=DW9bg7}7dxcG;9KmtNNY+(Q!U|b2$rf{r(>nma(FE9Wb6Fq>9Q)4c6 z+{CiNMTc>?C5Mp@20!Tgp!w#j-2D*VW{?u6Q$#T~?lV(@K`Jqx}u6 z^ZoS?);?JMVC94550*Yy#5!NF7jIs!8qxL4-R~Hz&;+^Gn3>vV|2qmH3p&G)3p_iQ z4LqCtp!$P&*}#dizQatY>|B@vA_fx|P_%$&H^Lw|ZabE1y8goKr$Bd-Umg9+9~jsEJSUQccw) z!q)IpGo9pXcHdlK_u{b}99MV05$?MFu{%P#3`K!nVv<2vT8rf9-e;$RwIj{_cyMNg zwL7OKG=b>kLUS8ea(3_A1Y$@nR;RH#us;i+p6!bdtWHFsob5}7xw{mLWxqxW+ZGcs zOxKehF8#t?vBP#{8_+l6H_$~i;j~)kfnJ(e`e5OMztBr|M`&5=J_;RnEnJpP?icL+ z%N;{lywVBKD-#iam;o!N5-$_HUvyx<{;pgRoVWXNQ8-^Z4X!?v^$tBA6!6^Gqe3K# z+&yKGvN*XJmZDu~_6};;8AMPBgLzPTa`9Et+^YVyVodgn*`p54mkQTu1qcNXbIyH& zC5Moi+t~A~>08?Ko|;L3quR1_lWlv~D2 zJ2AFut^QSK!Bjl>`!Fr?qB<~w2Ur^+>0ENduce$+8WfkO4ry-q8`(6!)USpB4$`?A z-3IuSlalo0w*9^!FVDkv_BiGTtgU#=R_@yxf*6-8!8cgjb4lG zDDLX2aZRg9A?5FQ?2F&ZO?+;Yhe+qIxcItTWUYg|Q-{uq42!MaIV^LD?FLoGLjM}q ziQC&Cm*?&Wp9$GFw`S8FoownbSW5EIRt6SFN((Q~ltKWfI%J!jreJy4NKb)#tL=5p z+KRfxu#Stl^UAqZ=p?4c@PRwuK2x90Z?)?-InX=q3S=gdlIf=i$HZ8B$nl@Vr@{m%N)+w{Vx&D$Q5u&ea=M9!eXoz-BCZ zQnNaUL4UdG`YO~T)&z2%Y~ZFA)A+C5N-=2jrFwTyD(b+0&kr;-!tTA38zn%RYTn#L?CVVj}P2f^Vo^jP;i_F+_MK@SgM% zB%@X>Ow$ry>}#>QsLEes@QfOOzD&|e?be#)8cZsRrB7emWzKY*OZHlS+4pi8LKKti zhqtqHRXH@vyEy#dnfpz!ImmP8_6&#=>o#&{l6*o_^i@kW*&q{4ZA1KZ(bhk5`7RWr@2nbS8!fGf*C9mv z5G}Zxss|>(O(iKx;3nnAC_)q2N!qNM6hVJ3zhb5$vNKkW33qX@3UWVWYu7+A&kGn& zGvWI?UN;BRM$);>@K;9v;Ea|T<$JtR2r=Bp?heE|ARz2F*-zQICAAA*|8+k{pwO0d zC2L1W_$yO0!KP4>3OBqOGut-l0s^O0;IF!~kw{HM44p zGO5rpykQnjrz*4?4i+bn(s{i*wYSbiS# zy(ot>1?wY;uU#{Q{XYWiIPgyn+1mz`OjF40YpK3f62$F{ptcsQ{K%zMEX^EFi25{3 zhVX6XH{27j8}E8bC5)Ot17MEqEvvJAfJi-zE`NzZ6kIZsek>S7@;@g!9PWlVWF%nM zM>E~_5B6u);T@rw7K1!3#E6^iDpQ2!S{~%;9iOI8wi)U1XcIN_O5tK5l7En^*++H8 z=>1UkW-t(zQNPu-Aic{d4At`sNghZiVtJ^dy?5r0`b%GhxSFU1b}@z{cq4pnQXx{5 z{DS|*_ovGtOjw$dHN~-tR~=#B^zYW~isRrujlAfzHC+po;-O}~K$c4$1k9Uv2pu}{ zx-#N6<54$Vh>_F?VHi#23u-^~br27Ny&-Aos+)#8dD^<-hkHqjZn&e#86|z*c|Lon zKdtf>LbYC~{(_8VztPQf@oiz|vHX+mt?HufN<=adh~0jdvblUBA8;&wc*bEZd&s9N zD}Uecpf6LzQ1f50*zyaXBxjAi5+vL=OYMidU&qOy+c?D^up2nK7cE*PnD)z=#Z>X; z9B6dH$L)-9I>c;JLu(^dvKd~JMwFV+rwfn&jlV);S#mHNbi(!GLh-pteHkH|oHAVe zh8%5q>mF`k7?HK#^ZHqc8S@MVHmc~AEqAV>09JI^_+7eGGu9wY%h{52x8*woMB(=Ffe>4-Dwkw%|f`Tt~7GGS4X#(CKA3xV48 zY@5CI{MXJ~LeLPV64meqgcA169$y5THA)q%X4$_Nj4J7Ffm#!7?5uy;>H2)pp+Sc7 z%75Q;XH#)p`(X~*lw%QhkE?8sW{3RzuVB0o^cc9tHB>4$qlX9!aFT~y<_YC)AM;1= z%{p=1qhM|r?Fk9j*@U!+vVIV?Jmth;r|gtArr%AInjl-rQuxwK5K+hc?D!&u!xFj_ zl|C5RD@iGP^JI6mk}+V-c9zy7HMa~XM$Yh~M(3R#;)mgWE8nq#7}$gj9y_j;($N3T zCpzzTB_sarFLv;}jWk~pnv8~5;P&aeN1JP{E8Q$gyuf*ESH5@)J6NO$(bXwH+B;R= z-WLD*b^Sn9sB~AZxNA-@FQJG0VHo8{IUbzCFYX-M$9{!R6%%`elHV!4+L6qp!M_fA zq}VdnmKsQ9=327x9N_;g2^f(po}BXY9K*qoYG7Uq^iD{l*bSZQcNX=xgzn%U?&q{KO25sU-AuyAC$#otXPS!A$$HvZGOGiz}M z{=8#9M#t9(rxRMX>Gz~AK~ZD9G$q{l<{Pl4BiQOcwEa1oo8|hBD~VS!}->eHbM;u#hZ~sYE`Mp+JWbb`<*oxffdV z&QYx^UkterM}&X&_2%pTpU1+sE^JzTJmap2!XMqrSX{4i))Zp6Ky;-b!$jswY`D1d z8=Q3*n8J<+`+a;M-~l-}G9$lt+UjHnGO3MM!=orbyr;7>@DEejrsE%FO1`C@FxQ{u z0V-_?MC5Ib;&G}Ag;6`ull^%-Pq^jWR2Xb zYu65zJp=vPv|_33O*?n48Bt69TmwGZl!}e4>8uz0tCy)P|9guTiaqV=tf!ypfChHu0?i1>*TQrZ6xkj#@}*1JhXiKrO|7;pD#xk{GvRnZ?N7d(F7H|JAb)+D_Z3$(tsxODC0=<0lN?Iini^pP2|==84} zo72j_xIcZev*6B)OBD?cD7=g6gZRhTtH8vgeR#fnsKWEnrJ|F7HA9~{%v8xa_?;8& zRTYT)5f}H1cYDDDKxLpQn5pgJ@s42>C0iEN`mFR1?K0-H+{;~X*vPW2RpV8_d+K^_ zMLz3TSj8K1+bJ1e-Se4m@&I?|(r*3Cgygcpu<4F&>Yihp%fI`Ut)u5gN*A=NlRmRQ z%f!M5Vu<;)6Ev})mC-+bwkdN9WOnynjU4)Zap}v)*~%7i$BT69zO%0;iKVK-r?&jr zV0*t6t;QO+t&Wg|ndJ@mHQAW#f>YS04|{ z*QA%d@jLu9o`p`{XXiamaDH*Z>0+io$@@=1KfmZ_tJ|C<;mQDqn^ljyY~n|&?_^5v zMFtbfe_jt9@|DfwjRCI~S+BGV`8Ka{GgI|`&O1AWMndY(q=z@>XV0)7pJv}%$KSBi zteW0o%YE^S-xXbogcpU^&mxwCKV~`{AYa(&Eej?K$=ZTn=^Y^Te(Lwd;CbgJ-^R;a z*xGE4byX=g* zJ)OKl=qM$NgiZm;C9ePA<;gGo`2Tk_!mw2Z4UZ!L9`wk>hH>&6#F02tlPt=ZQ2fRE z?S>2g=F2jd+sq;}e?FqHk`k5W7PHA#V;N6u3y+e{ypkG7JOySk_=HCCji|JSHvDo= zhZUi>5dj<(QRT8ms_;=0#rpAHVO3Bdxu!z`IV%CFd zPz}6H;np>ku)6F5FySxOuTEGqJVPVrpZuI+%amc6Q6*Ak6?(m~%a{JJ^OHQE>gJWv z^%SjZQx6ZQ0M}koqiCjtSzaa8!w*jkVlqYErQ@lRJ<5?X$ak+)6nm#Q$U;OhSR4b3 zPJmvt+m1^%aT{XGoPX*PRL868%5vV89F&I4 zF%K?yNv1z?MOz9>7MWgN@;#gWNm2aH{AJ!|+56OIC1ffs__j#=J{$jVImNKJ0+6nL zUaVOG!5U@%YpK;|Usb@U$RotoGprd@d&rEqs2DyJ<;XI51jP$e>iu8Y74E(xZ zBKrk6)J`q?#lUFxuXzd&$J;2p*b?%i-ae`rgLH3bQ9h0gp5^$D5^rYZIV;sUyg9&o zh`U)CK#Q^}fhwX1Qibv|TVpUj4gWm%;_~*_I_r-OY!l-PSo;_yRWDPv!R>JmXMH#y z7TfR@(MaZDZ%6bU37n_qKxTQE?9a!S<_oJ(Mk$miQSw8)@?ukt2}{g=-k21PQ!U1j zUV3Ee?GWV4d5E4|YfknOs*r|!AS~7ZtScEMwS?^=gYWz)+0Z<_{VW2Q_r1!H8mqu`Vw!)>k?_h z^yN$R1$z6ygVwNoD+&hk|3ChHwG3-lG5LdkwT^;3#GQLyI7rJ#p!$N45z`JmOzV1{ z%R8N+g(B`{sHLAIFLVPny;mS#Hg$X(SfY;tp&)J+Cc8OZd@q6|G2M#D3_>)wN`27d zGQ!_bn76FB`fb(z|4NPjRSLU1YrBt7@t7_#hV*D(<1UiyGZeW;x+qDtSYH0>Un1sP zboWB1V>dajT>s8Ddl|@<)!89vw;l+@m|av<2BIxBa76@c>PJw#@&^Y>ys1W*lTS_+ zs$Cu$*HTmwc*!FN@4Yk**dKuDZ+{VzoU)73B1PlwL-Z6P--&@w&kB)3rrk32VL&z| zFYDi%{g#YV`>$|??|HiEn3oEZRJw%D_*aQMSBZ6=!^?2BjIQt%@&&1FBa94o1rm4? zY3l7s5q8_;tDeK|!ArO+J)AA^79h&0UA%N;?qUvipQH$;5)9kLhvtGsTAyFQeNo;F z;2{}fxc9c9n}_S%jHyYnr%HQj#M-d914X1^v49uN{Z*o))gmQDSZ7LHB-DmS9pK0E zTNKtI({aai;zQ3?`1w0v%X9SXq~|+GJjESWps2q3@9y(<>h6&MB;n z6zM>ctvZS{+b^z!4*dFRE51YZsT>eW+@(y5#scpAjE&Yi_lb3iB6vDr$}>(d`(S27 zJRQ*Q@Q&(9<4B9NkxN#oEw|@gp{2|BSG_u|aKa!)*`9*rR1Zab(=7kn%h?)Qm_e3+TDQp;EnAWy?_NU8==Agh;Cxx)(a= zkOf)CiA0zckVMhoEjR4rvRCmCSn-h3b3He7{*6(+ca{8FW%R3Gi&*_a zslTWts-3sjy^t67s6Ca9f@2_`+B1-Tug5SMorUxu+Rj6)k%)g0#Jx&C%7#Ot-DHh| zlU~HYQ~E=6fZZ+@10@Xw$By6#J78qTqk}mh`{6r{F3qYZ&CM06(vD3d=@SdQKyC{| zx9dk{V=1#N_Y{b>k=$=@&#Fimw*&OMm5^Kiv&37`5)O2yHIR?=#hyP2{+{AN`U7S0 zz_K;Psx>BJO$5uo(UWrOP#KBBigXllFOSquI;O1ZU|q`O$uUn=xL5mu4$~_(;w3pk za8f*Pi(L6S(F)1b(c8z1W~&=bvW5cboZ^RkijLecS`7`_CW{fa(;qPH=bb<==5GXr z347$IUc*;{yEwrnY!#ZLU*}sb*t{sGPBchCM3{WJ5viWTgo3*Yq<Bck z&^P_i^+}2{-G2K}lh&OGT^h1)fF{ViZ)(H~J?0}P>9w)fu<>Q$`-r&zka!+7(rXj% zBVXPK1g=L7ew{$;yWc);$R;)hte?C~g-6;G#pm3iKGe`6+l0s9R|@FEF3J6jpP4oq ztaS=^SYj;G)ojA<%kl))N?3&3Kdh~&;!vwc08N2T8VyZq?IDD~ubm)CzuPk(-D<>J+B(QSY$NsUN|1s+Ve(}~NC23<4} z*_3c|;HWWZdW5bu=2^0|26pW1aG1z}=7B49q!h@Q^+$5?^LM4e!9 zsz0gmbFNK6o>(v+$we>!{j?_@3TMqXBCC>>uo9*qkXlJPI?Gn)J>%O^_l={S!97DV zou6%O-ud-2-1FERf0`cd&+2nrXrQ~_Ybox0o?LtU;i*P&(pIDM6H{Y#ofpl=1aPEA zq9QfmQ90w7BAzlE&?N!t#rarIS^*|PO-}!WT7U$mc8j4)mfP7LjFEzCg3TPEzB(LL zYI-yJh3w^hn@jmFx&`zN&e%#ph+L@evI|7gj63iP1KuHcX>*HJ?fZJK#R&v&T(`;3 zfA7y$!dl_FjfxX@cFayJSF_j+$;=xofbsd*&KaZqZXcq z@>qhXeJ<~5Uth;;%4It^cx4jE;w(Gjw%AUtK^p#ZV~h zvHewexIzG{UrM3JU|0 z>r7!IR1}vGyjlrBpn&;EX0kDxc>(35>sp3f;qCM$nkv?RF{RmsTBhMHBPr&mg>Ic{ zS+umrNZ$O8G!kP=KieUH6*LcwFz6Tm4)+gDn>MzC5B(Y!{Eysr*?VJxVxczj{xaBr zM8rEhmUHC(6I@3=H-$T<+YDZ=99Y{np>~(-`t&U_0u7QV?>USl>ZGrPT>&!A@DiW# zmR|cw=`ar9Igf0Mb<)8um=~`5lp(;84a_-(yFugI=R4a)K^#XwMC%Ypna`5Ym`}G0 z=46`zzDGs){s14;c+z+f0Dp`I*aliNyyrp}(cgHHUWY-M zX1Q)EVm)g<8i{}XA$Cs;{2}&k5@?O$mk{Bb56o1**I3Z--u5%ZK0pi&R*IQgY#y>) z$Gp~j%>){pyxl{YWv>bC272*g4Q-d_!zb>7F`_`GZN%5}G#n|E?*@CD=(NlMUr0BEA6rKJNCR1W^g0YQZ zj+Q7Z5GUp%0p_DT=`~?6!9sQY)n%R1Pk|hjfSu6RIpkYD+Q0A4Vy|FAY6|t2T*6XA z|EWUmUapi}4W)LbRgimaB(YBaL}<5q`vybDTcn45#Pjp`>YXFzLstHwe=zteg=u>d z>YGvSyFnnEqvj(8xwQ=2Cj5ptKEf~k8_mNnGtrOWy8KU*=i%=r0AVwLFfE*{ozKfV zD(N+n{!}s3Hap&xJl+*=jAyW<;0T5P0EPbqMV=`@xh`_^cjV@$;Hz^^rj*Uv$d3iU zr5!j`r(k3PVEq%Bq0>M1u^zaSgBO?E_P-yi9quyQ$7IXj20iTHoYkcL3x}yGX{Mavh_qFX zv#;i+on|ocH}pyRE$>L|ZqW8iOmi+)X57-f=!+sZ8GybyC3#6=c4K30d8W4BLRNn@ zx%6|4e)@FRBsH_^{rbuRK%Y#ISp~1A*-d*vqm7}DakOJT&+P!7!s0ZYoX#%N5Be20 zWPan=C-P?(H`8LKD57wAJJKi51S8L1VB&K<&FVWzw;S|Q5Az@>Q@6Ae!@cw9j}*fh zsllQ;v`2jq?w-!`kl8 zcAoft7y>zns!4=TS8{|!5Rh1AyUT*c%z(bG`J}@f zN3OKD13_VUWsutxlO7uL@legnoPhPN5Wmtq(5>y=jp7rk%-N~f(a;;9(W_pQuo^`a z?uZpwtYtaM8hu9pPFoMlbW^d-v&e4Pf9I9!0#0Fk&sXWvSegx9ZtBbU@-`Ore!i81 zc5Vlm`h@PsDfLrlBs=zE`dH0^3!HR2-4v5^qF!HpMH}pG^9j2u1i{lwDZd{X(j}(z z#Fbp%2Vyp!zoljWy_Ix){ z2^2{b3TAuy@yW?^rDZQ(&X~Vg(xqZOC1F6or&O$9I5P^megfl6VB!`l?f_j696Sa4 z)=oq?*~|2nzUelmI+b@Pr;Ak^b3L^J=wf^gvhFhVY#_bHh=5Ga{r2Zp-aCqjXjy|} z_)x1r--}b`;IBflC4bOCmH)=h!12Uc_5#>Gp#4j2_3H?Y-Hw$Jpj@(kAq87Y?FmJE z?&PGWmB;^!sKB9dCb>zS-1_8qK@7nOb-3B{j(L zJOJsW$ZO!)t@wT<;>PLag!Y0beKApn`rLyhbnQC9V^`$}E{_VKntzHpclVB}<@)_9 zN|`aM!GoJwS!(Hu&&3`%XkBXz*j{Nu^)JcD6oYk2!$c(!W@C!xjA^&YREq!k&!y{U zae~Pl*V|`FPIui(SzbnaL`xb$=v~R)LazXb{PPu0Ji>1z3=~1>Cbia5!d65I;fC?& z*cPgU%_Ocs9zo`+?C*dBQBz!1LSbYRXKV9(^PA3rT5m+K<~go&ynv7@2R;3^9`EVAAY4huKyFGJp?h$=^D_-20v} z6c%V33Pq;R5g8;ktGS-utr{1cP1MgO_Vs1Dv8mQfqF#J#dF5K94mG?*{FGX6s2V+g zmeE}~BXx+QN`r#5utpn`^}!3+QA$&YRuAkqA)4dkuMS}M#ndXHCRx3`Vzr#L#zD0) znq_}7{xuvZb2PjHbR_IlBew)t91A<2uUVf#xCQv}Hv?+ue}R6riQ_o?EDSp43tn_C=DAu`){NBCGC;iws`{ajdG744mO0fa=b{oDayeGzgOTsg~Xd+V@a) z{jv}jtAN&P73mo##DJkK7;(-z=6=sSYLEm39i1!HlQt4hvbwT;q6Tq~#xlquxp_gJ z$VKESUBwY~rElw?E;%!mb$lK}?tuca+P*L$-M$E$ilodwO@#8rW@EsIEtS zV#MxIHLR5FJZF&As_TF-9)7|fwT8gOmliK*=`=eDxhZbS5UbKgL?K=;k49Yx!>Nn{ z)`_=2VZhVGq;V}qlkJO=qBZl1F%Z?{Y&_zlM2U!=iQSq?0hPA<>G`ftHD5+rSE)OF zqv6&OlVbd$b;&l14f~_(J$_nand0W&+JimqP}yeR*M5FAbZfBtZNQpZ%CT?#tGX2m zQ%>_?78h6AiI9eSy1nfBP-zXP1XFWSQ~x0t0qxnEmuGX(5LKJpjEc?53N0>3?uVjB zC3uIwao9Z!l8QzqTx8cLl^8x7xNa~%8cGeQ(j7dlt_1fPM-RdZg+<|c@>S-9uD5o_hnxi5h_Sk07Cjsuv{#d_n+uDcJfB7r3ndo} z^EmUW1mo;g+#BX4@4)z;FTz&U8oQ?x=N4w>{L#OgS3s=)ne)AIuPPjOkAGNe)BkWG z#bF)O{r$yh^*~{dD&Q)`FyfG9f}5j5Ve5qPHIOBr!MM4v6JU>9{}&kj e*1|M_mgHF5*A(VxbA(a4>Kr=IJM8~9p8pT*;LlnB literal 13046 zcmZ9TWl-HfyMVDGg>pD}aVzd}u!FlpaWC#r++B;iySr;~cXxNU;trSZ-XGu0P4eVP zcCv3K@6KeB-2?~$0a1Yn`MI|LoCQCvVq|A;W@Amn#6r(V&qO5XXl7|h#LU7>#0X+w zVrF1wWMUywG_rFtGcY2O*E6snVj@zMBvLifClWC&4W!1&`JLrhe(4&i!zl4APp{rq_pevz&iEX>7 zIytF)FXozeHjb5;Nw}>zDgEGdJV{ra|D1T>knuRGvyh{D>WYvaEh@k@DDo zf>a(zTuY^qqb%3i>daRE?cEPwZ6{t)1%@7((ypOR(UAy!KazK7d(gU6Q^JEOHJY@i zq!W`j6x1gYhfRn2C+M0Q58eMYf)y&F9lIL3lujf;s>$DAgK0ZLQRc2&~C z*1eU|O96D_BwZre4uni$*z{>Bh+tS`8satGrp_rAQ2`Ud12dH@zzK z0l}unP;Iu6`$+k~zL_BDP+fc>GJP~6#%KszpNB6TPj&DG0Mr!zFH>aV|4>)?6zpC) zpxvwc<-~I4!`iZ_c?E!Eh>a*Gza(F}Ii0?oa6=PAtoVHU9h+s&22Vn_OAGW!w^2WP zYKrl=Iu8$}L5Za~7Cl20j?^n63q|c77Tinx7E>Hmj@gx5&JuL5aG$7qM*0*Jn)Jn_V@xiIj<}S+re%oX4ObyHQT-vS9FvbS-=$ET<;zo$Jd3-1$vgn9-LL_G#oRbioAT z`=SN6jb(ueRA3p4Ey=(`yRP3mW5?(stgzra{5vz%U6C#^6`ykDG>LkA@Yg?2StC3< zw~CL!%oQPkP|rMDTHAeY!Dn4o(DkmO^oyAIi&0u+vT!;=Sxm~mmy{guGLr}E*rMaLwEFz z9~Z*4ytisR?;uJy$QTz|O|v2-$C_cEeRpM%(U3N3J zN#KY-z~x}Z@HrcSHZ!80Q};Ohom<09HrMINF}5S|}-$SR*Z z_MaKVQ~y!KfulFDr0Z5~s|Q>2)F;|8xz(%;bI?R&9!EW8TD^4P(SdM0_M$E>e#?cEs9%c7R9{P@L#==3w-4~ zvaDSkUK**MRWi!aWzdzb2b0Xhle3jcXY(|h_yw&P(6@NKP?RE2!62rTc|3%OuA?H- zS#EZl5lau$h=TG67H)|aD#b^M^6G$Cbqv@V$aJBDn&X;t7Fp)JK!XXofVtgSQtmrI;;#I`uZ3mgX&zHCA|w{{PU=$NWYP2^`AtWigc+|<>OeJmY-Y@;?$Qg zXW!G0kX-7{7cVTTs@y5jtgqjh=P6WG>OJ#K0hf9M z)g6cF8cRO$uwfRmEW7xrx8T{=P%Sbl)@@F@ECFMVnXQdpCxsdCuS5us5 z?Cy0r=b~Dx$*yi2x>GT9AyiptC>x7v7*$e#@3X@G9@(Fl8?e^ig5ppxq)DesG_shL z{wWK7C(ySNUlIy+gie6J{ANbk+ZiQ_|6BET%3W@EByrJwJ1LAx43-cmDvOaHQ>i8J z>tDzh-3+P;M&b6fF81*0G2=Ooygug=jBj9s-n?jv*00hv=yGi zrtn*)&ReFeTBa&mruMZ=m-sX{|H?v{sHecKrNEsT5Ah?hbHn~(XZeKY6Vgv`VSm*j zT&;iKUl0$=`5389;cvL38vDm;n*IC0mvwTu#_32OQEI<88odrC*vt~H^$T-Ac#7%;6^M-{`^D;v0>^-dU zP9rBlpFmf?PnQ!Kf+0*JE+r%=4bI|5k`%>&e*sIV#@{FgqrWz%tt&sV>8n-g)cD-#;P{OD z8TyPzj6tNiyTLAjw?21-)M1y_q?o%7w%MUAJKl|b#uuIN!z*PlY17U#0-fq|cFv83 zKbAod$7Mc=pNZCnpe= z3l2eJ7d(XuHCi|<9IX%7J7*gP@acJj5a-51+fo4_T%fn1j5fC~ftsd8iZzqW_Ld`+ zLm7=*Z04W8SI7jvIENwOfa%)(#TUunmrwd|u(+$8)=GRz-7?z`k!^YZ4Ask>Nm~>Q6w+U7;-bL=-cFo zx{srF1(QV^1_K4@JIG6|+T# zar=_hXbc*#)QZ#*pUc9qS?z&a8TR^qzqXrz^yfakCgivUk_#Uv= z?9mrny8>T!mgE-`XH$y24Z%W~c6BnJOlV3SA`bLHetIHz?><)zA|4`r{oWx|zhj3^ zPQ~)XmbDloI#0`rl?PN~)54LeU@;k85gmWrJDG+Uz)2>NmRI zv*+Gc6ztRl)YZfHSiAP9JvT_bcx$;l2b~sV46jg!$i^S54m1r2=kR`={;0u{1GyW4 z({hO1v`E~=HI&OHOuU(;ZnP2ho z8<%giVO?zsxu)NV9w&>0>m0`tC-Re=W5bi5VY&yc#w<@IXLPNmCbQ(%rzBA9mTxd~ zc_b8Kd6n|G@y*0*dxKVDw4Vy*XHN(8yz>BMrZ zk)2mDuNlHE%=Lns@hkI~f*U0tA7}rtR!|7Rw zrM*S^_~eWf@fN%FGX$m*V3r0qyA=&>Q(tb~Oz%jLI^JcxKkB&rQG^ga;5oW2MZf6& zKwvYnWk*eQK()Ir7N;tuzEqsxGOfT4b*_Fd{+8X|&a$x1JF z)?hhXcjJrbu6M_pjLO(*r}=W%KvjBpYOlsbKuPRTeg;g*MjMSI_8)YxX40n7=l2Yh z%j)}8gSHl0y2YSE6}sy$AAWH7mjmmBl}YVPxD_L!%Jx~ULs?)AGKga3XVIa>gXW(a zb`?2k<(21*!@+#7n$m!s$KrAf+Oyc?ngfLsruCI;i@w^%<_&lZSC28WmG!bi-AW0Z zhbH=VvH>C|REzs%(bUW19St>39zxHEgiAMV#8nK~oKXY|+A8&I`&2SV>eS!h*IPm5Nl(=bN!l_sA09I!Xz@OFykcD4KLn}D1dr>e z0MySyT-kL`@SEg?c{m>*GKZ&rHK-3Y0 zJZ81U5udKy9Opv$-BdB$8P3+fZj0BCpg-8Ci&DEiVZ?*0XmW^fNoWv2#UsLGn0wR} zdTa{(Ry4p~q{ zwE%5d5sV8B_Bc^jf>3`s>N;{^>6bc!U|0flL}FV7@ojf4d2=V|iZJbo5JFv0jrd0p z6ktDX1!GSd+00xMO|tG&X)FcEdUBz7T+w(dY4i^%n_-w72xNZtJqWRptWlhzylL!j z(>W#tt)1|Gf0HaA-i^U7KGs{s(s4ztm zJ|t_iNeJBD$*J=3j2Ky`i!TQ>9R^P25#_v1#x9cl*Wulk6QqJ?JlXxxWQIVUT%Y@d zLQ&DC@TdgQ!XmNC_TQ&{doCpvTF7^hiQ91)9!r9hKf;QwjKbj;Fxk}qtgIRcQ&29$ zOo!F>TSTb_l$61PQYRwSc((Hh1fY>`t^W8#v<;_^+RsySl&#@N(H|QOGLQLEaW`_j zMNa?*fS@>3NoG@@1-7Bf`y>5h`Fsq#Q~B@Soq=`+6;OW&rn>htFDa8eECAR0?-!I= zKlQ0(FZLr34SvISAV59L`2jG>=+-rOnb3sIUz|i^R!Z?1dX^Nbj$EgJb3Z^9VqdB$ z%c(%d6a}bSf3M1*mffO!3@jRvi|PUQHGsr0-cGpNXNuYWBW%hNsh9iq-&;hTsG}6H z%vl=~Q#9Xhj{8H)J=(wqL%L}RQAzr-PlC_M$nnQDyINv#Isspgj6`@e5vbTRX4TP! z^Zt<=qROFsaIv8%hLfaEKE4Bz~8l^q2de@Ap^>8 z-o<=~knMy9;olhGG9>H{)J{jVCY^6II+iqjJbDI61sGmclP}xG7pO2MxefBvh{IFZ zUN;F(Uq|F+Zy@I#pUSG*#kRFf?riVt>~uM+na3mhi6mllnTw zTf>+yU-(FDo>(Hi?@wchJeFNcb)R$O4uhzN%GWC0+^wg1ubb-$$OZ<1(pxvR8W~sU zAO0GSlB_-`n){V_nskeJK==`z(H|2CClc<3v6{#5+8T#i+sc!V&R&l-f=ju_S5-i( zZrVqka$W&K$a0wgqc7cXJeG#FafseWbNQ;8s|v=kG`apTwke+*&LE>kka!mKLp^OH zbBE_L*yyDrPCZLvqPb#&k-^jF21Aq61UHR9=3X?-%F%8=UAoZCM98Fe)6ymNmG%Oy zxclY`4QBd-I7)^*PTP3Yz46vGLgvDFG=Kbxm9mm%?jcJbuN^esWZ5XhZE~77-dLc{ zmA1(~T9+;Lz?TNFf9n+;O^|rW4Qh^Roin@nrkPUDchPC(SZE}uY3Z@Q)I{5l>6~M z!-LB~GMMnb>5BCj?)(K{d(Kb24y(Cp1-3G}=~i6Xo_T{(#ZjZ{vm81F7ie<$nGp~B02I2G9|;6?fl!>5x;P_(lzSNN>o zhS&Pn@-^$%QIyqZN^xQ7$)agr z8aNSByk}#jo9Cq(rBQrCbK_*SGkzEkBnz`bsu?!RON**~itZn%qa3|#z0rGk+M=?e zAuuc$Kv5?oh$|ROdWtr30-J&K;#qhAp_PE;#)e`2Q$XVj_%j(D#-o;ZbosT$tBbVj zg61zVL{fE597_%$?bYY{inCu$zEyH(djFWPVYey&0+Ls2v`(IZQO(biDd-Fwo|2us z7_D+Y?N&T=8ZS3Etq408;xDd#iP4F!9<^1D& zW`$haVM0R`gek5ZZU(Kp4@dr+bYx7y80g>bAx{dpVB#;GmuFcZy(R;Q8;{;-#_N>% zj>Pe;MY>)5uMFm2%dEq5)w;PPuSl3t@ysQT#QPs<_=dPMiyY#}nX#8LC7@iq`dHp` zT#=`ih8VgXtpS;(M;vxLoZ^ zQmfay)}!GJAL;Ye*r|yU@9J|}-r+Mqbs{24%$>8SleFEV@!d23l){|QSm>$B2I%SZ zaX8ZQK0Gb9Uzb3r>r~V5!IQFUqU8PTib}Tg8fEceIZFDJIt!ri?&Dj1zmeh7d{2M< zyqpCwju*U({hM>bbgzTf_vLH8xoi8o$wrUH=j|iXr@c(JR~f|T`aS}!^2V)TC<5Lm z@epDT-5|Hti&uZDLBUVld!@Ge#`%2! zePE!KLd7>0rQIH+8jIwRp712fpwdM2;WoqK#sIr=`$TAdKK?Muy&N);N`_FsETI5# zhcFIEK+T3HXP)ZzUy&hwB|u;l6s(kSNp1F?WW{p_F$( z$HlUap{U};Qjf`djhKvyXg+EP_GzhYw%h78AV&0+JKiK3^}Wrs4CTQCC_;0YB9h%57+#+xn$Y zG^70FLT1@-c7g;{AL6c4`x4#Oa>le0#`$GNz>heQ{A6PjdF!R-Q`x6m?smT3h=2nbyVk7rE@bYTM;; zJ~!a2X-Wmtx?W^X6~;jP+8>s$feZW5aTPuORoQdv!-Bx@q&#)L@uIysBQKp&Pk2v% z!6lx9g3kQ#jMRH1NhT6b7Z&u8=Ka*E!M0{4G&@?g*!bP+*h2EOw%ASYN3Kp&JgOgf zd=v1j=*ItW?1hgSUSoN~z83UbOaniXoD2$qRH!^co@AVcBURM@q&oGPgs{#UU+v%L zOjBmm(3)soD^yRu#%m-?JpFyN3$2`$^ZT>$>YgdNw11!N9iSn{c0pu(6zfIInc%^s zR7h$qN%Hd|`sC%32oqkzKYHkHty$@e2W51ylMel@=cUjw8QzGCqTx<;%2 zEC8@T={l%(t0MGq-sX5{N3#tJIc6{alP6%sC1|@#m>n-nY>8IVwlN`v+g#~|t5LOg zB9sLB?g4mOXqwZryRZtnszjmD6f>0vS91?&#S7_R2h z*{)tMuI94$u{k-oPD$imT@NnKOuz2_n;sOr+G}a%-oB)*|C8rTNmsN$zXe{l8|%-& zybNsPb&+_|K#q(mF~X{ob(L_1sbb26i`rrv(9L_?=&}fZ5Y(AzU=&C3NZ?O5puZE1 zm{^=~b-}|M9S^i}CHNx9A%G0vie+6WyYn%2hpvjES<;vz$Aw5s@Fy&IROZl$TvYnK><}f-xBt1wF(cwM3=qI~8C<>LUE6 z-oL+Fbf@7dsC?h>)sQo)HYNxrp!hn_nYf`Rt{Gs% z9|C789$zN+00@3bx4}5`KMNE}RTq)&luim#uk4;BNxCfFA-nn8y=B^GRy9vG6>*Bc zSM7TXD?R#28C1_KYL_@$&_(=Uu4831sv}}* zIa=cI?Ru+HtGxB5y7EB3oeRrNhCpE9Kwo<_(94nj_OI3vfgnrfv{dwAOofGKL-)4E z<}dF&sha?`+Ni^YNud*Pezy=Tg5QHiaoRoL8#2j%C@lkj7WaNMlQ48!+@NZuByBkg`*<4VUuh7c^Qu*83kog$nlC8+ zgBrevWp1#+CHjZx!%>e#fvG)#SE^f}5vA{<}@bGF8)@G)IW z%0?H0&H{K;ftvNcA$u2~Hgek(0`KV& zT(b~d!vkF7gJ08w!2&3)Sp-`tKG1nBXz+f#D?d~Fpu~(_2=rVixR|yKHTSuOA#Xf- z7zMM&gk-mgi>{;V;QeBXbSiG=a1vtW%cmzvw%d7N3*h)o1%+!Gyq*0h9aIn@$#MbnC6y`U+ z8bl0o*l{KPzU8~ih7p9@Ru?cjFj_UakS_TuZ2E9?`eLVLd3Hz;@Z(U3gM3UeY<%e!H{d$T(KPYuK_)1_!zv0L|{h_;0z|FPh;xn&B$C9lSRRQ}Ym6^-sEEMCf9V zSa+9Lmm)-a#pbg>0%eUn5HTu#0?GNN?L9EqBa7(qXnvx?pf}9~G+=(6S4W6~M0!3n zR(Vd9eh+bL+cCg$6nbx|uWYToVC$tM1a>S$JfekKzI#Iz%y#sAE0}M{G;fPMIbrW|EA&=Boj5|2?e+9-v&d-%+I>`?gzP3W)8kY_FKZg z4qJw=NR>L~Y-^4xX)9DJ6KSJzRChR-RZe!DdrC0v6%*^SmuZj7Tocuz+!r%ppjbZQ z1G!g3*-_o~hs+|EK4^`U-X+0O`&;1taBJ4g73*%;$k~|L;%^#@P_Uqw6&`<_wU_Xw zK>g!pDgEXozl$PeonMFKSQW~j7e7`iKl_jjxg(|pQbZNHe!M6-pKT2ql(#`=)j^9- zQ`UyLC#U+RlO`72GZ|?c%p_pCB(=#*MKJi=$G~5bS*gke z987ey(0KS|Fl~8gVW!K%=kY85DiGdpbe86&Q7+N~i)>^Dwx=MVhq5EnY3ug3>O1*h z=q1ff2_yU=8Ybz^bHh4M?|uT!s*-OATcNiwe`QP(FsSCF3_ey*nXeRc6Ml$a7^132 zwJww>x-qYRt>iBhsN&$I$66)P93-f?c48T@kjWOXrKD-l(v~VxP6}g?NsuVPFqt0} ze0viONnpnbC_xLDwen|lio)Ha(U=qhRo}G*iD-3`=!_!T89^L>XP&sw5km+#_U#x)9@HI@fdIEWmQbB^GXYAfh*B1r2O?|e7h(>xRPUt z>BN=OifnH6KKjPQ6o{H0j@H&x5iKG3G5&DB3uLwUB%0~Cs|LuhdqZEYPWoSZIu@QR zVa=H$Z9j0gX{f-LL6*WW|E!IGm-@iV2~pGc8v?=K{_pPijF+R85*Al42r-Tl^8qb9 zX@3gi0oci=(R{nagvO^&@RNJHuptY3oh~x4I0myAG{?4l%;ioSr*SH2pDIUb29tYpbH8@PX$+jK4)OfFK{$P3UfGPc;}0O>yua$LQa8SvuC`fzCN1StnP-ABPv2jY zbw*G3yp_ctD^^G7L?m9H4?jKndF>Vu8yJXdF+t8|lQomVTz1{9M~a6x;n2R3&OQt- z+#=c@Agb~oQ;f})gMIOdeR;3=ETpro#!j-*W6uF@bq{;K(C)H%*k(9}uPhC$&+Q|F z4w?nF3jC?agu8$l2kjOA9Fc-)rvzSgDT5Hjr$-1s$t?b3&7-3w=U;&RCwtdy?Gt&A zc~_NkMpymFDC$F(6OIIxnm>s&?9(aN-Es`O6OQFlZjIMo5w8J_K7kaRmpLb$sJtcY=AhzDdcFSz9L5}Aee+Pu<3(i{mK8HjYk}}#fvdR zx6eUcSDJ0r!BVQ}wWD8KzOUsyFnw1LA@jVCNw!|0yE$LFCW6NvJ{?lq2j{1T@T?R%Np*CTZbRMrpPJ!X@H_*|bm5B7g?U%p-L#6^u;@vnv0;Y9a1Z3X-atkhEu zFUkoKGHkIwr8s8XBEx|o5pc(e2@y;uwj~b%J-eAW-+i&9F6d6H4a!rh{aNSrd;k_V z0#ZpU7}XT#%p2IR$Y@~glITzR$Gd^_pF3ZrAOvOc_#w?6=>#Uk|CX+za+E6kR`kb~ zEEXeNzNdFWigGgL)YK#}b?Rnx4r>=aU251?bnCx3j=fehPLS*~xV?Mc{=x^yZki*D z_r(mr7B;{U8;oEGI`0mx4=`xueeXxYiO?q=m*NtA3&Z%1LWQr6yGPfnO5I1BEB$m> zF^h4VIB}E?n4D^-%T%zk0>4X7U)0U42 zVRGsHyk@|8y%-@g@VW@09{8seTJfPqP$E~h=qJ|j9ethWQ{(P(%55(N=&C;Sl993bQ?q5osNVJFx%8V;I1GCFjC z#>DA8P>@7kzE!?G85n}bX)75C_WtHwd*SqlA&W%dL10fmOMijl-ZZJ2dr>u;=$Nwirhl5BzP%9|(8*5bw_%9m!mt&!M z$9c?-Y{*_-oPqHs+r=K`A#8-Po0cI z24+2pKJ!GT)-v7T7qeYmlpVzbH$Cb!*@phkUa2x J{)6)I@jo~aU`_x4 diff --git a/cpld/db/GR8RAM.rtlv_sg.cdb b/cpld/db/GR8RAM.rtlv_sg.cdb index d558b575875709973f0004491df490c354156c3a..aeaee93f283b254cabaabca37bd06ca95227d570 100755 GIT binary patch literal 22373 zcmYJa1y~!+_Xb+L6f31I?!}5jaVt>Vixn>#w73K$<7kKc=4hf2jv-EpAV^LsblHlYUB8WhMSjzi-Vg+%H77^ zf`*5ehlY!vlbeT=hl`t+M$^*8!^Yf_M%~ohj)t2?Q;|m3(u_vN!p7~nroj&CvzZq! z=CuANUeuufpS0BdKk0>X;)mTvDU*a4Ct{HQ{Kb-p_GB|XRAsP$9=oTC>Ep+p5c}`w zZ@d)VQfy)n5=uH#I|Y+I9Y=wiL^y&+n;cJG(!C6#V~#i(qB}?WX}g!GEtI!39&;$1 zjh5dZFU%FG`MHCOHSKioDXFQcH~yIR?))0vl8+|*g1{H9WZLqQa#A5XPgLtMZ(>|S zXN*&C-V$^Ll@4u80^X+ zTAO_8O+Bw0y$yijRZJGp#OW8Ih}of3`p{7AP*2kk8z46xf)|_OZmByPOm3L;d^UwTl|GU$y)y4*r21n5H`2x1F!h5k({o4q7-&b9qf}dXko! zrrfikQ+oOq!@bN|;Kv1AH&gFNCZ!4*il2^oC#esm6d7uww)98tLuFqm3YO|MiwhBF zh6o^AjqRF+wr+$}McRBR5Hz0QTIB@57Ec=nOaR?=596O$AswP3$c4Y?T(;I@S?;rS z3xJ|R4a1{Dqk`-y?V~iKg7wajkC0z@Jo(J{`ZPJd3 zyOb>%AI>K?J^(@ZOv54Q|EUOON&)ll@w(&=^ypnOGwI@s>L-%i*Xk z#Z&YyewVVTWk|eoXH11bMt50H?&Pbd`uOi%N-f@`mSEe$|Fq}!m!v6{myi|2QBq5k;~&)s#uEK6u&?fj2WLW4%5*nY6Q`RS7gbICnDAV%YU z!(8g`?9oC{B*_ljLcmO^3uq?LDl%ZnGoY*r3^Zl&EJlhIgJ0RBC*xS)WyD#WAUCS!6d$0R1p->*LG=Nn82*~|s) z6!Gy(&!Weu*K;dagdT^|ZiGqY(h_6?0Oh}n-$+RnF?a~83v_qC>3s>knPM3Hn8Q+g zqV3<8TjtR|F}pS*pCyz4am;UN8MS*)GVzt`7e5$1x@KapZ_6zzH-$~#=J%EgQ7Wpk zHO2|w%8ZT-^?uKGQK;{wnxhe14W*LBKa*6L{oRO)URKh}cbMMG(j)S1@8{}rNg$H% z-m^=XZXIe8R(~LJMlLfz%vYL0lsxBs43Ya_ew&wy$LT}}cQXURF|BgaatFKXT4Wl4 zHM|*Fj1!7Yt+JlgV9(XYG=7rRP=_t2;tEK+ig$-jYc+u;N51d!$=K5x67q)PlfIM# z^I?lfesh9n5NFnGhrW|z$Lqv!>YwoOD}0w9Xk!bzKQRidR`^?QV5fhhF=K2{Vd7;P zKAGeDZn@Nxp$C)Rn9iFr!nwRS`G?Z#j^AGjFOu{TAy7~7aIUln`c*A$mI&k>WdZR- zy7e0;whvie0$CsZu+#|Omw(hf{&gbtO+RbD@9Uqw!ne-&&UoE!>l`~ec&yZBaw7C8 z?~8PXhu?jeu=(wikr{TtoHI>uP+md_Lih zhH3XCMe8Tq>GG>FlPmGoOzD^wYoKP5QNZA}$N5#epO(9;KwS2J!-kW_ZQhC$+FJsz za8(3ugdYN5oidVH;H~bT7xEiK>0}-Be-HnZul!e<_2rcejlGF_L%$kE#@&|eG;!iZElLg-Z98wFU01cwT#!zOE@#cqyFw7_gI-^A)W`)Y}A~{WL>V1 zz@=;~{+>Xtux@J7?f40Lzz+?zDbrn1OeD*)k6!VO0K7LHckSPoS=F&=);oG3r^#F@3fqvz%s>pEV`3eE2MqGwyo9;M)B&&kIS)--IbpzD=(l{Qh*n5X;aJBbX`ZefVI2g?Du&& z<``|-!;m_zVdvpzU7>iDX#X7>U_i)kTkri3L3^m6wXat7cekm_!L2B!2f?8N>5qJe z{I}Z$qrO3zvnT1qQ59aj+#c6_+%5^c*Zsyf;IE$a58G#7On6$p_rFnekc!!^Te*4{ znapOe`3ABSJqPQX+8d1F5X{ZHu@`?|q3;}_pxo?gX(HP4jenJho(YDz2|7}?l2X+_ z5^K5o!YPab^CgSa}aM!}m!A4{GH(mFSxNu@DDrihxo z(d4n5hTT458SCrn49J&NlYLwKS|kpQ3&Dr$!2XB*y}ZGxR(`(O>85h;mmQpvGwC|{ zy*mEg%pc9LY+!No4K$P=3MUJn4g?(_QY#I4y-_NoQ5VXzc}VP{zfcqGEd7O4D(*=-`ySeUYy}z6u74H74hs;74YkSST|pG2Cig+*;=M?dyK%wX6!s>UsD;yy8O?$*Yng&4>kH~Ub3P?&PZZRz|K|Io;bj$kj{Me`a4da4iNgQ)23zy>{hir>DC*~P^KY_yJiKQWy*A{ zF0f2xW_J)YA$@>PLYP`62v&eAVVB6Nj!Np=ELI$0+)=+L=6h2EUGJw!2uNIlVns_g z`kM&jh@sWuJl=}1{`W;N!3r#V9XvC5+(CY+aB)S;)R7rxgn?_SG^|ad&sLXyp{;3R z$u-jW>s6Az`g|iVJA;}zG2ZVaz~~ z^1?uejL%Qebm2kb(w7Be`3vM0DX@FyTiZnUauk2g zYsO^sA4{*YggsQE`=|6&LEGOayz*hGbaXp{9|Kw3ZcQt=Ev7#SgUG4-G`xWX5;u+I z$2^d5f`U`Tg09fIX8Cc!FISPBY5RNyZ zkt=fFk(M_gD;l`}<9+G3v}3pv4W9O$$$X_Hb^fV@yZNA6_K5ymk;zsIQd+a?s*U34 zQ~-Z089~3F)_@yzrhc zJhjGY&e)mhG5p6N+Dx^B*A4=s17XI8G88%5ZLEKFV*iyaRxk#j!z&o6CymI3m4DzE zgoQk}=Z7WmJf;HAAtm$tk*nF}VYrIcRmlex%XK7%<*kYT{h~?(F#=TPO53Xia|I)xnDHZoeTM(; zMW#fl3SbV@Ycm_d=3=?^mwrj~sZwvL%{P6mndihxWy4@85C#;c42xkvoRW#wq;;}H z$H}OOt#X&P(T;x%k~Az?gI ze>1^#Q`L88&*{f$^`o)p{$G-T7XJe}vZCvL$(?0i+h`zAfb(;(aW=5U;pTSXtH+*RXn~QAH zB$(QQX)`W1I`mY=t_)Fo!GN1hH0wp8zJN`YA*k9#`t=ZrJp_oc(|2T&USF9rVkh`+G!Q-}e#- zI+10MGI~q|pGg-PP~nHtjU8?R{;FeD0{GsTk0+3QHbW2y%{!df$ z0Ho?uQJrb^oW_jL_{`d&0}cMaHN8z@b?V4Bb`rH_i-_;qQw?PwD~h9((Q?Zzw%gf0 z6low=uu?RT8UKO7e?a~ptYD^S1Z0G%b%FGjo>zUhZ9-VFs?xKLMqFpn0qr+V;5K|w z<(dQvc=LjuP`zkJ#0?Lt$B7PWQef;I50m&xx`Da@D*whMyZ2VzEIth5&nfUSgC_qAce-2quGFFOg*G|(#ij?tGBJTApji1gN zM%o@WoPGmu8`lI;9KueU_o+OFC^}Xt8|uR@xLQ^3IiziS$1%U_g<>W7-wu3&-Mm_Uo;}-tt%S z@#a?n%b&}}?eH4NXBNJ_2EEeg*_M{2!(F*F21_UnPRU%z7}DMxk<6oZw#D1Hx-myTUo zDGLf-b{%U#H{K3aNEa<}u~z7(>-v5A)8~^krS)R^>QmCwnmM@bW1!xi@7tf@&G>sX z8ZUCI%8i+ls9%xMO~yzoRjeSogqKf6Z^reBAAigh%=if_{)TKnJf#~dYPh#T8 zTEDoOgjkG@yx-@7@uO7u{hR4>!*I%Dtp%?qSRSkHxINH8wDM0Y{PNLNFb_g`XMosa zj#jZ8Ldj~~>8QXciB^$S4Z|W%d|)caonDm3C#y#aij3UX;ei`f0-ffaZcDEoEv>GN zB35%snIz&FE?^08i}rd&y=t3W^^8S6&4Z~yu_h~)@$}Y>Zmg}de~QrBB;<*k!pXjm8^)<7iQa3Sn?3IUFwA69d|3XeENj+UME&)PpZt z>Se`NAIG9*8y4E<7FS6u>tQ(*%e+F;Z&2S&it(ntYEU2N^IMxP^O;}dSc`N_Re#Cb z^sUAPNl#e$Nu%ipnV~XRKxXr9C z^E*Aq;xFEBlS!=s%vh%gtCuG-{AR@~2})BFf1k#EGcw3HC9E`h7~$paSUpP+D5Rr1 zT$tAz9S?(wQv6=|)c1V-@NC}QO#Hp2youwRQs&;is_YQqo$GayD4}XAcM*k04jK!E z;`Is6*~4W4G~2_P`gQjIg&Z{QOY`CUwCSt=+FN`i=y0c(9AD9aoYN}TA z&TPM2{eYJ;s?&F!Z#A2yn{|4Z4n5pd;?#~h2f7#Pp352a3IA;83o~1|kI`PI4SqOm z{jH}F_=-yQqN|K#E#{Kz{##IFnH-(fNZw`i&ZQw7WCWtZuFXd@AgM(99`*bB=^ zV#U5c=9a#=&2Cx2KRJq4FBF9J@+4saPxoh*MQ1nJGXG@I3o-nx`InUbOp3|Z*nlFc zx4D$8ByUQFy^UzrEoA9SK=*f%B|2Ipl`nN8!cVjhhx!L(S|gnJAU)nonWHmAhf3tT zd#Gpf9A!+u6Uud0r^iCy%+G`hY8x#o*%Rh3d^0sX(K=E;ktwO}0G^1XUQqQGS$?$6{TxwwljPfE z$zpaP!J>Fs6G2~aAvSHwnZE6NF8zyv3U+0dw4`k=jE3IXdj%}v| zm&=y1a9xFh;UDTkJoJEf?|!3tunhIL;xL#MDz%MDz56S`fQ^}ClU5Nyrl=`{cRnv^ zS*_weZ5^&?;i4|*EM>^gtj8<-I9)QPtYn+oK;&k-5OwzNot@5RXHbkA#!Pa7f?HbRB?kxn!E8nQ2^bUGtuQukfpxC#q>i+F2CrqP<2TI< z`&7%oT7yFsj#|;i$n6B+#{$c6O@96$)?^AbkJz$D$@QOjWRb*WhFyZw{EXGtJgkEF zA68LSR|AzW#T!$#VFV~Ct#Y;oVG9n}<-1z-`Sy`0H z|1O!e%uFx!edW&j!KwP>#u}cvU&hjZyQx~8jJBJ4utW;+s;gf5ji^93Sii?83Nh*xM=GUf%Xm%ZDw=O{IjwB)7v z8p+sVnc!1hi%ZC`VXL=QT`Lz;P@zP0)1rSGTi42T(0m)wG?@^0m`?dRcs zB2wySV~v5cvW)@3`OjwZjYFvfxQ~)5G>P)cq|Wnnf=zTxh42z|F1`7*Z*^y7@x`S! z^U#}Bv-Yr~ZkXo~AAKouq-^AfZ&V*xqbUu#Chr+Ket<6;Wzwqf!+*QP01H-UAoXH) z%J;gN&Q%L@)A$k3wr<&qRK6K|XXe)9?b!MB{pMg*EP?d4QNe2xxO47$x;a_JN{I=` z-@&ETWp;sT$yqFo*FwlW#P2w%V_!KsuvAx_@PAKedt1Ct0oZ34Eg|2U3vB?HL_0dS+;3wCA`hFWZUt(u49%nBx_8 zr^TF(4Vkg<=Z?6<B{u4h-CY92XVg^uqY7&oh z-BHw}0Ytto09C}pY~)e$FC(j0;MJgkK}1tvd*jRd0Z%@*qyR=Vb?bf+Y6|K{ZetSK zUYV|cTrD``Y6KW*py5Oamo);SV*A!$`xN`?W&1niX&iuekos!4esj}sl>m_6;bb0t6TS(V`t47C>t&9N~v_1o!Wm=S*z$(zb6gp(_82L?V>oMm(3C8qf(Zv!!)K zF&8Jq2e%O<$CxKot%F-RtpE4m$R&0S-`;kh(e6uz2}KcN7OCvMdLTC0eHh#s4eykw zF;X3CUEF6jmD+F0X7u6liRn`WmVMSPPWY5lYDsM6*Xu}$4@c+guaKb4jrDSneCu1Q z9!Du&_3TCoVR4T+#sofbY>K0b~!E>L>V@%MHP1 zdxFbMwg@C2ND5}6(TmVWY_R$yb7@z9Lf(HL4RAXppKl;Zx9|>4KwioX3|@q$ar2n{ zSnN}JSbz}(UR`73{1vt6U|CAk!rq0v`tVCMVe170!OYKiPzYlhhqLF<=uRy-;41=~ zifBkA2#r{jj0HIhS4PvJ8vX-I>6YmJ#%$Gms!+>w`xK+3poibn&8L(=;iLqARByo2 zg=L%+Uv}dAcY{)Q9eOzDc1VWi7sFn zQp*Po*mj{uaHhi~W3a9yVi0yQ^@KTcvb`N60kZE9)GG%#RrV z{+3blZtYbB*$Qc0mtCg~6>nquG)Et_0d4Hx`lzoW`O+t(A3v>p(R{GXi8NyD+rT%I36!#d#7wWhChAZo{}#gyrSxTFjFf%O`p1_96=;F9*NckjPN9j z*Qq(4&_D5NQ`TTmX4HvH>+R9nw&LKb&&;g;~}XnxQE2 zzp+|b200)jv~eJvz{7(Cn^%9Jysu(9e@N<=ZMsRp$pQ&5Hb@devP>U(;{7VGZx(Pau-vYHo{fjUT7oRbbOd8?U)GbQ?^cEEcq!Eg>Ai27q7heRKFAFbI z9TQ;SPDlqeGZBm0J<_xI<J9f8UMAC8OSHX?Pe}&g#8us_=)|g@31933=Y90D|~mnX8m`-#H@w?*#y TGC z^8&gT8IvKtfzU~8$nwvL=J&p>EKR7%3OB#hP3kN8{!yw@{T}y&r*41z#i(jT^G`1f z6z9}GXV}HGAAhQQ(b2I{HL46GUTXaOGJq4y*NIm!Qa8=dv$Hyu^xgQy36K}%TffA& zK%6(f{4P1B*t{|XYUX{0W3N8O{gzvq#70~IRhG-7eF`0VMWi2{k%K)=wNTMox@;QEhU1tM}4h@og_OVEcU}AB`dcJ5l0Be140}pW3 zbZ;;&mL=PH4lLHUb3boHWu*EgVOAX}2rAf?<5r=O=*02bN-PtFIwMpC#Z8tRlvz`bs7l*9?ti$Vr)PCf;vL+vj zbySH8thVm=Ep1gmL|-D-MC{4@Y2$K>$Ii6(d_>_yq8Ox_k7xpDTxgfDQ?V~N#;u!Y zBL!Q$#Ji9{94ZGi;O%|=SL}s*YZN4;Y{;P}$*p`w$Ui9-9)}>c$ItB= z`#=g(0e0IGvl`43^LGXqp=P#Mpt%uhaSUYV&=TMa!?XslO$9EaNTrq6u;(t1=f|mg zrQD6E(-i^6QA;PnlkJapifCgmaKuD&wqE{5dixq|H+=LTS|`!Gf)o05qf_txV{;tu z^Yl);+ZDeRZ>j|(ih3g#gPA$w<(zuZ9iLCrt0yRanlAe2cmC4>U1E+ZyWrxyB9PN% z8etG@auVA>XFJiz3`D5+A^@zwA7vUH`q|~15_D?Ta?OaJJ;=m0@^5ikgUH9V>@quO z>~ed-fOwq-Tjrk;Pr%6_(PQgf(J)gBOXc7uL8V-Vlu(=l5&z-z*_4Dt;cjK`#HXK| z&l9MV8m{zPOl=UcVyQ|s_LL{NlDJd4=dg?XC7pY6&F(0fu!6D?xYvDyPT-SquJ4Xddi`r8$2OuW}0qG&Hu&c_)bpo$@Z$T zl;Y)HXT!vmgnphFh=7EYW#T&hF_IBhsc{vMPue+*n9zpilm>G07mA8Hjnk9XiGr*~ zp2K_oLsn8xx`}*cAii~W&Yf0v<#$m`KQDP}J!9&VTG2tP5m&pMy(LD?Y~n>n>Gx*J znLhf)Dcg^UUAwfomcXoK08j@oay=tH%FC;n&3@s}fUEb5+xg4}_>>Ftt4Q9f|2J`E zn94Fi{J=KR&9@tW-ca4{$DNI31UUAskr30mW%+Lg)SZgH>#-c^|+{L0U$+SQsVcgL_QfDjR< zR?)f_P(n#`(fH@D=z5^qs*LC{Lg(_nv-e0*`Py{r)ZtDTG%^E1jYb=&}U zmp39QNY1VR;b~x%{FfbGf~t!+7-3#g+;$~bapVsujBE#xDf**bhIZxOfW+5J0dC=- z{TwXBgykYcDvEj+CRNgrslKmi_zKx~eIE1yK5soMq017mB3nKo5Dfu>(D>Ys?5lcHkmZx{;s5L^ZpR z>X^vHZln_?(&9oQy&L)A0x1?8fOZiJxQP83kU;6WaHYm}6(dF)jC39&&OU%@ikCcH zb6+^7b|YUx1x7ZJJ=`d4tV#5PIBBAiVjw zR2tF5u3$ub3QMpGu>SfPnf-FU1qa?|Cs{aqUGJKNL{gp-e8cNpaU9N1a_VgT>*uwZ z@LYL2ur1@$wE*|Vzum2K!k@X;W?w@HiJAPfU{kZo{?P#;4v#okgks?+%oF#s=beK4 zg?AR_1(lfg3*tW;C*jplB1zvl1gOn$jrD!0qkVYUk$6CP8~1$@NOxMDI1V_A-JfU+ zR}Dq|D9dxXz%K!h^2p--Z(l_D;KnS1UFKc`;Xyu4Dc%BKoWkI#-dg*na#c*S^`m^r z6+qo!TR@kg72D(zUJl}ZcO_!qPnQ*;w&rXUx9=?@%ep1$*`E*q4@~In$>-=x95N|# zYuS=h(xI`V8h$~kqLL??BU$V_Id9l-#Eu5z4EFP=<4@4xO$&pIc6HGUu|A17(#3gx zxiqlVXS)h`0Xi;Dj8ap7ko7p^uNRi0L3mCb1q`3T)s93IwsJy^kaTJ#DXHk+F}Ke{ z&9Pc*TTs^Wx~s_%BHSZrnvw&*11hwxzQ83nUz!HJW>)J%^z2VSnBkn8y4^A+Ao~4l zxK9hoCGfe|qaKWSzLo^G;kW)<4>wUkM`X+~(^pt36m(Ray=SNwx-*iaLt=D6RQVH} z#wWFmHML*}bcbNy+r)O0($DbN?ad7Oa;U0@z;Hm;69!H1xd*#YwIX_1J{jq7K;*+a z@4=}Lov(*F^OE{EMG%a>?U5da!}GgEMSruk^TfsjF>zkDrWR1hFJsofaXTQ$kM5gSmAqdc@o`jEIJFHy#>Figq?!!j zo16gXwF3UO<}dN=me5B-mcI&c!Sii2NJe~(+WhSDJaSY-Z|-aqnJ`O7CG@ryfJ(C2 zVT3uY195HEZ=?w8I^3;n^f!dBc8^%qkjzLAzTJhZ6H|AG;>u*KP7;OnWcWQR66qg} z(AZh126V!N=*|9!t>Itwyncgpd+JHyFCa*~1ZZaXWcSh{2uYOA_D@JFj#So{c#Q1_ z<5Ze?{fG!dXxH>D{i=Hh1ZsvHnmga#;@!qNq!QGjmF$vOO@=bj(YY;Ie!LIK-iG*X z?dd@qzdqFXJ7N;rCVIpK_qQHEIg%t7Dr^`Rc}6m5Qz_AqQ330 zyl#K#7qxP^)I=SNVZ`NMk81Z}(CnSoIMplDO;UFqDO$qp^X1`@VC(K6X?UePh(eu_x5rsopp4zxMf+RI-Vb__z8iyi_pc$iP~f2bXRQf1$ryNg(6 zZAGoAela1NhP_JxUF_AUtns36K_-YPun@I$f<>w?9z^K8vryZ=-tf}HIYXlT35yqU z!o*C77q5XAy?`C4w!5f-pbx0%Cw^=_?H`=x>4xX^fwaF}bQiv5tApbxvMK8pI#QmJ zhTgIUC4lx2Z%L%!9Y7V?x@zZfQmlyY3ym5;m64BT-SCmujRC;UY>8tJL@jelsnNPV z=gDWP-jz=C+Dl&x^?~SR0_3KfFwrqAH8!Km{}f|VX-Vm?l`%c>QKpKnjeFfBar4f% z@&(BeH8-Fis<+Y4n(gb$?!%OF?Qa~BPHpJ!LtjVkAc_mradP%Uf{!@OI)F~&{G9N3 zWb2&2Oak=sE7$qGTNdXV47b(#+&FosvxFa~pGmWKZrY2FIx$X(h88z(>q7ke4^OJ- zy}syNwL)I|x(>}aZ+w@aZdI z!Vhe}a*BkuU1IMle*HChrP!QMQb4Uz zoCqNS{zX8Ieo1&_H}y!K99^4}exZmt(;^reR~gjd;@rHJMy4knUhh&qoGJ?rsR z2q~a9wz43~PxKEf6(##kXoQ-)@Mw~`X+hDKyghkD^F>ach8nT%%scv~d+t@7L&JO4 z!_QU!-7(d4xn!6J$#S>;cWY2CWgSKhJh#>vzlJ%zwr;}Ce|Pz5VzJWfrNJdVFGqC> z`M+B+QtBK$<&6g{s24t4cWh&&T&HsuQO;9L6rZ4fMQ|`@PxK{C)c|d>1%L5YylC{j z2WO-3!zI%_$eNsLT%sWak;hRBuIcy>A1n zq!uUo|M-RlGFKzjG%w@ye!GEcRCUvKuJ#8>Jg?P~b#L@Sg0%NxOs88odqMp}_5UVK z{eic*PaavNbvroN7}DckH@GOdtLN&8!LQviEsy;6VLp{s zbKd0!5Z^q}-8cqw>=>p5c5ji~za#WNqZHzjLJA)|G{3LH1tWj@%ZDIY|4_fr4Zyyk zr@P_&Db6W{q&TRIm_*{-(9_>c{6s9j%$jJ3MKzkJJV!Zw6lCr!H<|}u`x@=~&SN1n zFPY@?AhkJiemg1UN83)B16O;#x;wrof|;!I{aYlba)cBy0Zkr;#1QU_uSM$FoG2g@ z=F-UC>gx$QK@&{yIj_@2%=RMZvw{LHCly?+9Q7=gZtr+SQNc7j7wOu>N+*a!qiEb6)H$sVw+f(95xx@Zq{OuvfU&PKDx5ggf&(P})Q;M^B0BKjr>8-eaGr2=Dc0 z3cEJmtTNdrCO=*TJ*nbC{JKm#;qY`pwha>SW1uV6*`;5v@YUo*c(3BWmFUXAI~M4O z+vcH1R|P==xrxEo2||CA4gk#rOz_xO=$wW#AW13KRTY)<(B8GaGb`feq*E%BH#3SB zO!PVSwzG)Asp=O+5+E2Ie2ASXz~EH)!D--)AS;nz#s?=ICZ|Q6sq9?8?1U~M`oL>h z(6xT1J5UU50mmui_Msd*)0{}qK$v2rWRy}|Gj8C|lUyaAEl^OL))BfY*U9wkb#{mv z_;QVJEVp@QyG_YSd#BFD=exI{AHwoBR!V|<8~DTMkLBHOx1V$9C;l95SB=MPp+AYbzGUt0-^X`Og5Q~Qb>8HO4_+46oILY=Gw9GYi{PbRzzULJyCsl zpO<>pFhpFjEGEmtN?faJmvoDme@7s#Ku}lz37bZEI8)Dr7MnT9WY=j9TG$&)T zQ@9%mM@J@MBW$n{qeO7tH*lZc!a#N)iwSYr>fa|J)qk%~Wj0{u8#I4KDO&q?(q75#z6XFvK?Y*o+ z!FFwBHowZs_2 zD-n48WfF;nwKpX=p;cqs?tkbtTnVA%`GE~7=N#|bs;ukVm*gDn+gjGfEgI_EYB9wH z=sY`uE;B*Si9VP)s+>e;nWnL!Qsi*2m^GV0n6Th#CrR(r@u<5Kw2UpRHlR?{@H%qq z08;P1yQOc03;C%GY|^3Z722m3-u> zlFCG)frXmx`(6NmsE`PB`~VE7{pt=U&>9H+p{JZ}!r;_oa>KT|!rY~o+132~8${d8 z4EG_&5;PqAHS8*l3ITNqq1{6xY4j@>PyhrJxmk`VoW~y4fHMfBBc=#lP>#{*B61EB z1Z_dK&}@M~B6?E5ZyOS!%^P&PrOq7VeF}5;qdd-EZ=bG|GqwCW>4=!LH!8=Tvpo^Z z7^5MQk7^}tKcs;D%Q>aI&i;vA&5T4KpVwwra2_H^7&^ElMebt0riIrErEuydqu zEBjZf=mKEdFr^FT&Iqo@&Lrn?7Nm+f43+y8>b#$h7^_bv0Xy&N4-bEX*$cY@=;&NOQFWOzg5v+}8?1KRr!P(dp;weR$ z?t5uzUCp0}0J5l%8s*GquQkf+T*%;5@dBJgdIv;t%8vYG3N%U!zlNiOwbKMAlr{~s z!mn4+!FBmstl2D+lIU`sVJ9EQg`y{oinS}@dLI;oIgC6E=AVsK<(Tc38 zC)IkBJ9&&JgP^lcD)a%LO^MampWNA|k|k#5L$q-Bbx!{mf|i|z+seaz%@TpN3XEVs z>`WD2=cZV?!Uatp=cY>fuwK7*A&(A`z+S#oip)+%rzQzOEJy`9c!tLrkDQAj2dti%gI7?uyB_o*nS+U$+jqh3#CW73dgZa}01LQYFd5Itm=-`Gl!A`YJ zQC1?*u{pQIDhCl{9vys_CTMscIn2vP1Zl+1q~vji`dIx2ZPZUbHZ@6@s=+wu~DvB>IfNt(L4PHJplfv$`eR*8XI%T8endv?ygt^(J=2Ff_P%0uJ zJ{U{_kLKK{`hAt-*-r^Ei~8{+Mk2+hMqxuKljmHmrG^39H5|rK zh;6!|`rw4h_hZ-fiT*(zSVyRrm9( z*>?Il26q4EQ+yurI-qX~ja0d{dsTkne8&kXafo{e`<_;Lf@~(co4lT3YVkeZz7Q*R zSv9K>sBwPkH=aubohuN69-rz(9~z5XZnk|e$%-Vr!@~+H@(*CG2mQbm6%q>WsuTYg ztvRtf0B9KT9S4~(;$2P%!obYF|DFr;@=hkN`@yoRbw9_j(=(u+Lxie*`k57|_J=(2^j`|n3pXEQl z2TzVAA+pzXHvut4HEN$z!sS@1DP!{MHo_B1GoHs=`W$U`1DFx(k_LJ-G@=Jje@JfwG86I$`2#6}Ev6oVKupy*?4 ze9pv)C-#AOb$A^(1?uZ)csKm7t{>%(1i?n>26)ykQW`->A@JyJG}2j6ohLK-L^<>F z2c-nD#Oe#!kv|H_Kw)1=u=!~H)XN;sgY$*CZd2qaA_is)ba`aCfA?a}N~(*}LMWgiPaIu5n`Sd4r%@`M!g{TA&X~Bf&u?*3)~eCoy)l5ri|aF2~6v!pTO2 z^YfZzM(dmV*6P~tSdhQoYd#{bqP@4J0E{~eQm4vYe3Y!)WDoq)jp)qfiO!6w>#3^i z=jYJGP=NYL*=@_rlC#SscvaQ=mVE3}fsLB1A(^GYHC0@c%qOFMLKT?8DzZN3(OGvA zET4T738KW^Vd@R=4|8lqh=9cxKK~Ho%#P$S4B4T(IJk^G1ATh_j`x^kD9;(}| z*NIcMr?BND#!#5@6jP<5jRiWV#*U$)20PvGUf?;$qj>$xxJ!4wlpc`Vr0ywN5Nr6- zzK(+kh*vmfxN1|b^x5(qipUyU~al$Xk9!+Af zEHd*RHz>cODda6^$6!50pRFuI*x-e|P9SyegvR6AW*P=LwFW2_IRf(mj`n!H8M|j2W}M^Srut?_tywSeDuzELqyD@*$)8%b9w^IXJ2FDpO8 zSw>zgS5YhSc{w{HIY);Rttyph=PbN|v@OjA+7+$aPRh^hqC33TEk!4^)nX@T1&8o+ z$D!R>A(p98)`~_euqRq^^qB4UcTJ>+UcOj8?F3}z4-g{DOuRb`v6IKZmbQK9F zYl{xq-z_iNqGM6XeosE6FnMU97LSoBd1BVQUAH)9C*x54P@Rp+-KKp^>*BSaR`32% z**9_d{c&1kkydyf@YFBv{8X(fBk6wX)qt9q5y|ZUxm1l7&n0vAliU9m1EyjH_k+D!kw}MYiocn(nTe7MW&Y<;rp@S^L|qfn z0+fz+vI;#Mg){zwRA;!6m>6u5%}8QT>ypk|fORQRvil*senGuxB|G;(i~Nz|#jk}r z5`H2Cp4YIGqbL(45PaAx$ab`sVarIp7bp_Tx30JxCdQ5$Wd}owO zdX&`qsYllzi~jqj4nvw>gA+Tz{Um0PaQd0#3y$o4_rzkK&d4|nxdVvs&jZ?Tah`v( z)D|0M1nCLE*>a3O*jAqn?q>U;d9PBC3dwiLGOAf)4pr5?QgZc~Ubkgwwcs%3$Sg=1 z7*uE#ybcF~WTwNGt)pmd(Z8*@IYha&n9%fk)+nMZ!ZNyDmmM`0lHLR8zGV*du)0tR z{R+YTpJS*k!E&vse#_x!xG#iBm#Ptu=1`j#7(RMZqORR1g^IZQPp$D;JBt@xUJ}+0 zo`)t3KM(6SZAF&&p)cX!wd#l8<%=Ke9`TA97&TAMuJK{QeVgqQ(cztcvO*8?tr_il zyLmS5U-;1k!6v30X8Qt*`#I{~?h*?b-TH?Q7W8Rk-D2*^^M=Tmgi|lR@i(ZN5p;7B?Wwa zufg<}Pvsh)hmL33$v{t6>>9KsgRTmboM4MYQu*>LZ~e`-$yBB7!ncClUoBC2Kj)2{ ziPz4%ZGa(pLhDvDW&%PpQR}uyi&Dl1Kuj(6U7VdJ^qSrF$;5_EteV78d~?SkLYtH4 zxNb}5+^@=YT-uiQ-5c%iZObtI0<8?DaoTqi2J7?6!Ap8pc6U&!8s@M23UX3~8&sP= ztS@LUWM}h&6d;D2Pr3X#AlhRs)+_6G!!!KeqrWjpPM)LK9AVwMFKE$%@voXWQL&%Sj|V^`V__QbmY$a4p6S7<@Ni&Gr%-Gk$l72CN$ zL=(~LHrslsV7{~Xz4rW=NxfQQNea{QOWHSI$`DYz>KxFOj4rrXj}m;}OlUjrIbP-? zc)c9CcxygzyaBIK4}Hx8Jpz-7d@ZK`!AFgo>#;@ka(?!yeMgK#&g~03mcMhQ#(!nuwJJBCDIC2W}+=-s2kuwd>;*{ zHJP>}2jQqfLMLt1m+#d0Il86&-NRE5oP(WaQ4_KP5qH$SEF7jGDp}Au&)lFv&#^pw)f_`b%7JLFfV{;} zBWEl^&1EfCm~oW%=_%FdHn$y5Hy6j2l84gm6P%S5ANTQZP6HoK4z~a-X$QSWJNF5O z+g6J|Y=4ZqdQ!>PT%=U4a?Mh7EuRD4;2fbSXMD6rB#Nf5DK#N`7fkx^Q!?m7@#8c{ zthGrHE`&Q(XRI((szP{3&2AN&)&|V5Lo0XI&o{UNA=Zr#Q8JjnzJ9kHr-VK?Q(B3) z3f)_S2KV2RqF@Cp`y)k!sZWj^TnoGbLb!}yyn-WqM0;w@R?^=B`Ge%u5t(s{`}dVQ z?~qyA33!7(A~KUzFs21-oaqe@W_r+j#DtBGjBQ-Kd77O|7sgdekTHv6kx1+D$lR#1=>i=b9Q@!)&RGKAe33Unq%yhR!5b_8aFM~mSTo_ zTP$kPOh1(2hQnv^3#$1NN`Wy<(emcnjzD^U->r>3X%$-R48`e7mJ!JRvyHUX!0r2QF7Q@_;CfqbJ4VlQ9r8Hpt|Lkh<$P;mB59UZegzvHodYRt3=EBJJ!(X-dmjS8^ zd9)+?xnd6#4Hdgy_@2QMven4DdE*ovP!3DTq)@(7$Ya2Ye;Z7C(}n;Khu=ct9hg=4 zt@YerkZ&!{CV3K_ynH173bXe^pN_->Q$h^JS+pFugX64wGP$Fh$*w3=0JGQDA_)uu zB$;C`61MH|HNl~rq_&Qv+I*y^ACucFb+f0DfQT^jf%z&j^dkgrU^xIVC_W?r5!S^W zrqoF{;Ws2*Lty>=CRU;zj)Nq(x<4%WOc5NITLq$>OvEwWI?O5*+Hpfy+ARh;!E2L` z%iA$~Ew5Y<~++%2;m!=u;o^NAx6-aBM2Q2f^o=*CeFuy2kL zGo1o_P=IChFur7GP#btaaS`bSF>Qx0*4&Wu%Pv!zU%SiVmbAig*AH>pU|rms1*8}F z73%@yC%rON3Rdk7?z|FUYcUHH=a86X()|0&QwT~U9G7Rv0pg_Yc_iju`bYb|6b$nb z|$uq~ou)~4Oqej|&UhH2JFB%rNLM@rCConl8~HQ@<9^hrW{v?Zotz+Lj)%Q#r=%lmVhB4 zMBsqTeht<|dM|fDidfN82pFq<2R9?diaDB8OKz3LeS+8y0LA(LXK3H|zjL@itdmK- zk75IaCPf?I>M*$_|3A0z|6DizbrtB}fcl-e%xszOOg{7gBRjVLkg`dNM^ISk6|A{< zAFwMMq8M+uRt4AOUDy3*wl*j~Ca$p|X^g2!=WeE-e#1;RlztBx&dqHYv9d7s@?c1k zMjb7gxYyt7ik=*Cf}m;`zTZ0> zzVNwUJ_CQm?p2rXUult!TcG!)2kd=W9vehim7=w^c+V}gO1C1mGQpjC{nQ);?(~zs z7QT66_mapK#8{o&{jK9lks>*9Ep&`R{--Q6j~lb;p4X2ZG~@jL1J3^mcU=!0iP5rQJbcNpHw#DHZkr zyH|lB&waq69BzGyV=nWiqf!*8abg$FE{*Nth=A`7X7zlqDXp=puSo99v{`|50VpbH>NGgiu|0?6pY$w;U*Ige+7%mL?Z58%A+N)f+2vzs4HL$|Ve3}^X;sb}v zS7*Z6tBS;uC|%@R;NC z{McF6(~|?@uB;f9SUF;#9&VUCp%&a*Fo339wQPHpzv9=~{=-vHohWI$*a_D6%XE~W zW+l}-Q3}Oe<31uw98u?vttm5liLff0_~_?La-q(C;L znCsUG8{oREh7|o>U;#(v9VoLA5{@(EqdC7i3=D`lZkl#7fhAHS!f04+VW5Y%bWU3Y zcSp5=dDYMgO6&H}{?Uv16ikL+cg{LOSJLw^t4F`4ATbf@?=4Y*c5NUVMKi%0v4`%7 z_vfwb`e1wpjbDkLjoX}YG~9Fk+I##hP{?Gi4L{d#|1Pf!N25d?_ds8(?VfhDVkxv; ze2dqUTl;x?$csPLKJA|^+(-0nnieZO%wUQ))sn)Rcm`Qw!DbHGYl{^*e`za%`k27# zv!CPRc0kS_4e6LVr&zRAY?0ACDK~8s>)P>x-kR7WFveR}FE&>UR%A?TCqjr0>h-Q6 z?Zt8v$9cb67R}!bxT!rCt$-8!auvCHqBFOO8e|{(^bma>^ZYDsh^;7w?JJcpwTc(z zJ6|xfs~c_eG;+2_c$q)_O(?;Tqvtvl*8(TqEJ2TYU4;n|%l;20G|2mQO-eyH(<2^Kg^%mt(j-N5m}6F&L`wEgN~`*9*u__4%LVrvuLrBZ+46h=fE#e z!HSyAHFX#t;2$Gr$8j}IXR%c5vZ!B|TMA7PFt0fDT}^`mdYI8EBAI#165Q{&wCe6xt% zWPcB8E0LRZoI>h;Jo%r;N>~ zK7s{*$SZ6`JDeT_knBlFCO(*W$HdNn1cp86K+27RA zbdY=M(bU%iN8S&YeeX|7n;RH#G4PTjopb(yPH!eVK5QgTI)y#+U1;B~#a2JV6%KuNALY+hy?Taaa#XNJ{9E|_ z?n{ErjhqLSHgi?21Jr4 z^y5eI5nxAeOOdNGH)?xZeN_wV*noo0qu(l*Uy#`6tkWF=YsIz6rQ*W=rM^!fLHEw&{o=_DMMAI$24NNK>_wE`^8%s|+bMZXKc+%Onq1?mk-qM>I z)v}BW19uwB)0TcAABou-OF8T2Y-pS@;1YG&q=`uxQ`LR21FZWxF)Su9}TxRE=GLbrhA3r1e-qW>h@cnV=rG_ix@QLB< zw((0TT03s$Ud(ts$R_lOfb-b_pa_Y#di5#0!HY6iqZZ;)6L^Y|H8EQ?VIz%6X1R;X fpSVLIn?_Rp{!^$yW#5}_ULCUegOWaZE0O#Uj=?5f literal 24019 zcmXte1z1$w^Drn1h_rNvgmgDcNtbk^(%m4r(%mJwASF`L-CesV!cx+(bS<&e?#KK4 zKi_koGc#w-oO9>K)V+rg4GpaZ2mJ|pJsomS*uciq%fZc+o=P zpPpBkhmW6!pO;U7Uf0Ic*TKq$Ui*`k6Fncjt}4Bu&1d@e)(+lJGgCRAKP`iXCU5#b zgw}xZe|XmX|L_;|BXi6yn)h?SSa0ppA0L^DRS4Bf;u=CRWMg7uzs8Zv6A3=UW>)ys z7atSX&G9ZQE`;>g*AQWCXSTaoJ@m47zJv}snKzFp0WV(&7`)QyrFL;*1$(?cK3Wt& ziNU6FIvN9&6crWcDH}FE4DGm=7N5sFka=4q51xyj<_fN|f+A4sx!%OzWaRc0!J(aH zRPyr43$Gh#+~cFobhT-_cI!ztSyjMcm>t+{qx23yw9VfsdbKa)Yek;(;1gr0)&4X7 za*9rh-+o;$j0V6bW;8Nrj1J|`#s3gyKqhudO+gW*V|+x=6O!+yF=lGLMw}Y`(N2uk z+d~ZJ5q&1o7qBV$g(mJ^aN}MCAs@ZXurFu#d5q!aMK&}c`j@UfWvjXPMa$OyWzXMl zz4XZ?%Ndmj3P-zn@QtwJMt0cj{_VW5r}XsBBkP$I&G~(iX&wH#LyN7?LH60~s&wy) zRh1vl$(FrwoxE>V321webT*s8o9m&*+V(K6%gY}c*&S+A3zemI&+->=#1FY2iBSoSwRb%fugNp=2ZmT`>VW496?%ZI1r?3eoDbT%; zY8QMaT{qr{`2Bs=Ia%DJq>8TiuEwE{+*ZnJQMrdPIzN`@#vADgvitMsl$v}qZ@t13 zE)*Ora`gLv;MT}Zo3q@x$wl6SovFT=yN&?hpw9F#z$7>^8t4i7GEf5fj(O`%*-t3; zd0%Lrewc5c_YwaP*XI~2o7Xa+*_`vSzU#BY_+Wi_1^`le&bh<3f0ZMdVK|ZRF)lwE zIbYS7Jbit9^-VTuaE-L;NROzgxRV|qf*Q!#88JQr(|?rYYeHrGvrUcav)&<4Qx_+O zh@BOw_{aSnQiFl>vsqgPcC!`JQWmB#rmtuIgxf;${FT9=w3`5RX{+5&=4DFPJUN|~ zo=Bzd7`)%9awQ&PRFqgM%Coqywrh2?_TOFoeL{!tu3XK_R=cbI26|@(Kx%bP=ZqZ< zNDxcgcm*11etRxyUGjeE+cbB1%%?LO%>J0PH-tt7PU>p-pjedfUzc^?EYi{!%L#yJC;NsvFQI3v3VDZn{9pR4U%%?@U zm49jU1fKMFEPqmBTF+5`T6r!MTKr#^?<}mNf)-(y16Z;LFgTP;-hJH5p$>@LTzGGqeR@= zxRTq}%f9{D;cBy}43rpdVEgu4kA3PpH=VPu-a`Nx{&?dvi}tikY>FuGysN?O-Xjmc+Mxe!yu$0r-g$y-?V zk$@%u$37#Nn-#C(^Xu*}8?lNMtAe5IeGvwI7L8Ia`j;WxoFOJ`Jjn5yc%ao;?&fGt z@vKhqO2(XVtaeCIg;Y_(kkbbZsyGi?y-ynrTS?+R<0;8BZ)bw}VQh{jRJ1M|mtn z{i0OlwN0S;XLZEOaUaVaH5^<_^FWUfNmG@nad)y;#M0loBwQ9hZWjtR`z`%pp%$7h zaGg+^-@wt`pq1kYPldjzk92=O^+ueTo?05pe1!3-zn+!r%VS)(YLW>#a=ecOaC((v zkn*zp&xO{Zd1$@L_hwV4+^Y|>7N)h9UqWJMKo{?tOixuKa24AWF2&z$ndox;({)e2 ziS?Xu7R(f|#K32X#ych$gG70sOp$qKSV%fmtMt{UcyIpm{&`6w`QE6l!Kj9*0Vl-4 zCzn_)lqgSUXmL~sW7fYj!BnU^sEy_*!OSGzR~MHyV9#f)RX(`JBKt?Z6A2J>liV~wPcKPKwI-%`KgTI`O-kL4`uxaG2_~#K0o3Tup^8TYF^Ck)n^UBGkS*0p1wEpDl7;c zYnkkg%Q?%(*&59XkEGnC=Il4IE^mXu&6&C?jm6n6I~;i}o>P~^=JOQdk~ErfoiE*F zx5TYRd`hSMT@6pR9mG2XzvS31^M!8s5@T6*$K(YxR91 z{WR@0e8A{h)kGZE)JaG24ZB%Y?Y=X}?~w7hiTjV{G2?N@6FOl$F8$kNCoZ7{>O?VM z`e`egZGxozR-Lk_gFjSxX zm7w%bqhCQA^`q+vtaqYgW%8Ut`@&b>->She*ee%%IgNTYg_M@3p+m|l;3=}5?|xYw zRKLl5SnoD>a11!h|FV>_X?Aj%*1MnodBN?G`)_Y`l*jV=U^z~MROniT+UQPM$_NG+t^XqcjB*ZKlsmZ^(^4G>Z}~N>QL8A25*r6 zNy3)FHe_c}9{-yV@X1hWCGXm9)v~$FC4ql7w{GxecCADp=WV@z=csK_S~8`y%2}AC zhbogU0Y|3QnRkUHJYBNpcB>TTIEq_sy)Q2|(DLa{_;1TKC&xUyCw|Eu1EdTyA zQogfC&sAfyoQOx{g|%UVo1N>EENuNz^6&5ip{CeG2l-tC9^dbA=pi@DTetbaLSMeh zoSU}zR2Bngx<-lHcjm97ImCyE(jk+l=(@IO(Wf0#bxGh!9holOVp! zC9WuYQePE$;*}N?fUMU)qoVpgtAS^3BZn&ZiIQoj5ub@G^8|%e{wR1vqnXmTOibg2 zPFPnI?0;+?@tz(bnrEY-Z1s1R?69BcKD!%%QGsrt-u(|ON(nL@*b4uyo)tc9x|RG{LUZs%hL;g5i0vl`FJkj9 z7JY33@dHMRi()MXt`H8yOr)6ujPTVO-Or}o?m>1aYVyMIOO*h{* zeZw&#JOXxDQne0M74wx^2nu-fyYlTC&K#2kv!{~<=~UpNb%S!ahA~e{K=||e5uVK~0hT!kP zr@5`xs&TsKy;b9wzR)P)@lUEz`n$lI_b+U2S7`oe*O>R8UtzL{XbeY85R{sGPVBv{ zyMH8U5gEpeEYDk386BlMDfO z`HE>-Scz#FKed{4uTNfUV$DJnFxhCSpz-g+jT^wO7NHKm9yxWx&$CNvYw@5EMEYSK zj9WV3WRsYb0i|jb2GNH;UZZZ%4FyfpcN{RU{uGBa>W9tLSXOOrPDBOWIQPC#OWyy! zOe-`l)_u}4o~1Q?=1}z@UB*p1fFRbIALMTARW3xj!ZVKJ825 z8pW`OoD9BA3~B?C!H6NydvZzEy^T}e2fHItbUWWj;=fB)ySeE3FTvR5e<@!>ov>+X zB{ciy%2%+<6RyrLGbpzlq+FcmXxydz61*|Z#yInfM*3RpF<;id-P`C(0klgh=6`j3 zqscpu8KoN_%DesZk=)~%G!0w+$7=l76_@TGzd#l|o*`4*HZZJ$KZ-U-KbPmmNlwlB zYWa7v;NyW29l7T5(?Srf(HLT91JAH}Y2N&$!O#sFt5NLj`;pz8KY#x}Yi&z&CZ3BY zsCMf1KL0s>ZUhb5_2HE$NwE?5SCgg)bSjK-YCpwaNqu!oSs`fsRq<>-7N=N_#-@S$ z)f%LOOzc>(;9W7Dn1-o~n1;EF*e84&`pdz94X%vGG4`Ht^{S=B({q(~2eTHzB88Lo zkh#oM2l}Q=^{(v5ZNUTJ{W|{v5dI%H{10sX2bQ0J+w7Sbf|uhyAt!n|&wuPfB_@fy z)c_T+zme}xBWT*7le1u7*XZe}e}P_{ZCr2FYH}sPD!rU55scb-oPybqzsVufDCaS2 ze~1}>^pPPApQuLtBkm5a{auZc(n~c&NmMe{A#ZfwTRfirjO)5vGbQoA>K%M{aR3DA zZ_lAztH!EOxJs#pz~w}%-?j^dPA5mk0+taRy*IoO(F;But+t=FjG)gZRRw=V4Big} zp4s711oav0=M0pI0F-ia3;o+KcUch~%5EW1b@7+G75^iX{v%m$|Knz9{qZ8_ZHhy6 z1U4qQx?Cq|8B1w|PjjpYcD-yU?HXYP3{u?lP5GV@H{Hul`R=zXYpf+bzP9hY&bO!O8(JCs3^S!8J zgB9E9Lcf`#923S^T~tS%;#qxd0CRn`lX^{m++!8Rg5qQzu9GTWuF#$0aFYq7AM2BR zwIAcCp*i+e_UmNTqZQ`o!lm!Tyk?8_PvCvZy#)eptFbs)%a$LSenmIB|my)JEp=*M$w8(sMPzfa}e}c;XeJ z$B+Z)=#xylc~AZbmCVSU-`%gNA=hy-=?zokp3^%i`&oWsxpc)9!3X!bXSBkU!a;k6 z)y>vwr5zX(4%r%lJ->VJz(4wGl;>M=SS#B%%H)L6D!$h9F3o}YwFH`9; z7H@9*8l~Q}#NgPUweRhV2N;_RLHx0}eDNt(VH~6W_vak>ujhYj2=?S#W;Tx?`z*SykS2Q8cr6GU!NzwvBKA)qu3p?F^>+B1T$#!8ZCuC7 z&qsgCA>qefLBM-{4xg%_?LC*xzvUc|e`KAShrgLy-a8{jUOGvyjZZ7T))@Zf%Ztj3 z`>mHy{@Gjf*2N^P{4G0|gnK@VlZ-}ne5&0X>LnB8C+hfpp*|`P$99+}fwrEqF-}C?Hm`_kZpa|*_T$+bYBMRA z0mThnfwQroFZs0ZN19{bILMsR=0~qR^ysY>XjB?5kzX;l zm@C9M#^Bj9$s@wg)U4G+-iY{yt)v=!9{uvmwD44jaM1|UeFpAVjEdh!*9&-5jkRdC zUTP}jl-?;)fkBiNvvpURGD{~*^t%>(GCc2+-r(w`gDw~<-zf7UkAAFxR$?LiG{M|g zA6q20jOZLVpetI*epY$#un&8&uzYE6?zXK}k1tz^^t5RNtzF?y#S4@rlk34NOT zni%DTo}m?Uhz^sVeVm*WTraGzeabnH#j$>U1NnSEIwJW85!c{P>dzYqFTL6l`d4Cj zH40`we?}Pk*q3n7!{^`irs8I#^tMI`^C&MGp#vdDq2c9DA%D#0s zf71{%Azv~?urS_tV_o65->vak*}m)r2p1H^w9cBA5A!8R`K}`pSF?LAJgi%Md0-#+ z%1&lPJ3Q_CbAZOg0JU1(tpn8`(&LHL+IdXFkMl%Jv3-p8acM6MT_}d4BwHJq2<_GW zne!Dyi0^lLzrfqg`%XRk-ncat*zHXqki^!#R!8;2m-be%Z8q1XXi+lD>1{Luf6sNlWYl*|i9+ud~ zIsSPZd@c_8HY$o1XdC%ffcB+k9*O+*Ct82$cH?5p5&3@(Zu2_d8;m)`e5?$nq@(;3 zO7H`0huI{zK4IKU-4XmbLhXv{lOOTovG-67|qP|C6;PJlyMo7V9Xna*WOv z9+IK(THGK$Dpd_ChPB5(feR8GgfUF?V(HhLF6I=jHWD<)P|Sq+|HwV^*WPnw?mz6L zVIPSb(2yvH)BDKt?!Rfm);wxT+``;TD+Bt|kyj15le?*x zk+^?6(f#Pj=809u=Q*4mcIB`S_vP{%xTyuD7S-zNAKDfwXVS3{Y3?e|0GU0c5#m{e zXtqI3(xa=Y!$P)zEk?IuzOp4dT;=c0Zz_KpDBRla@RujAs~C>36#B(7?c>ypMShZT zb(+?neqosk`Pn`bKWyl8r|Bijg}+Ty)wyH#*-YR38&30$1l7EB{p>Tvg}sF3XQ;w( zi4MU48avx2*J47iN1O>b=3~&HL*D zr~eVT7#k1nYiImB6_9yav1K<9{c{-k(!)I(WOasO0=(f6kAgfTtZ3{&My7@dPK1p>Cuxt zX*IMHX(Hcha{SON>^ScFe^L-fHQKyd`UlM_h@$89;Wgze;JtV~lHeshlEceoDkUCk ztLB1ThtJ0k*PNidbght`rOEnnj-~hc)455I(n%zk ze44^ySMIWe`E$9fX}}&sgt#DjjpOh`m5B@SSoYG)J*F&&K}>+jTzw&gX`VA%f6O*y zLL3@q!BzFk0CGK{IuUK5?B<-!I{(r1%g4GAfJY6RU2}B{X6E!)WuDxFuUPd})HPa*~W;53iP(G_Tp%#E=(Fk$2(=f&-bjmu^pKxy1 z*9^00wWzNyP4G6Se1o5mooP>R=O~7-A^E8m{$0PV`tnlMX<$PrM9{A-vlU+W+IJW} zd8L~CXNjS?gJ-YuD(>4KL%!PXdi@Zm4jcSzl4Ti3neky_3h2(fYSr)MmpWAckhp0E z8x3gzJAo?;KMXrG6B8RQx|2S~eoLa3gy1VEPEXx5FT{v`f zzd=l+W^TBZ} zIn1(uXEFjVahpn_{j&G@>WrDiAF%(Ym$X}?YzgX=de1J4Wm@|4`YuqOKY^D+s|56- zqtv7iE4QAZ@q_BF|CEyGE0=mj*Ft)a8Z)nLG2+pq_kWI`^By`gh2`P7tPNyDL^W28 zVyQFG$mD)%>rOGU*|{olSh@5pxnO{APYA@;Gq?ZTOETd%TWrdI9YZ_Bs7ONd7fYP( zt_Xu)Wf@z1R$#5crtsyvw^pm4ygg!zOnGDL)fY}WLSL0Y8zsuBCN!&bI7}+2E`QmS z7XJ;Pd%LJmrB|S{quCSP^|J1y&#X(?F&axxS@9AHcxQys8BIwqtj?&r;jl8wi|(gz zrwI--u~*fjQ|XYU03&YpD{@(W%z19wh2ts(x^1;U z!Twdafy`F`e=Rxobm@APafPa1rXQc>Ie`b**Z-q60+zh=N0f?Onx{?(aAWv&XmESH zkxmfBZJ!NnEZiXr;6TzaKVg5RA8|UynE?z;Whs1OHOiS zU9%)^Z%aaA^4t3^j4K=y8+|UExOuYL){$Glra}qIGG>dewkIY(a$C5i@h8vh2cYZ@ zVa@4Bk>i)=i@vV_vk?PUiKrHZd+NOe#lVw~E}+OGwx-{Mt4qbn9XIEX07MD~fSB^W7 zk|7!AR_fpM=x#m9Au8C7BAj#b1Cat zhJB-im()p67z25RgMtnQ%Qf*-I=8EMmF7lSrF8zT0Td5TT`B3{sj&!gG?hQx?aR0O zdSoS%6>B2=U8!3rc?`=|0O-RpwlEFGa(XM0I#QlKU(F7z3tu%3IJz%h;uz{pBF< zvwG$~y4Ck0xfq}{p#~SgHS$*o-|aQK?Ny_1gP(XIxWn8q6#U;e$hux5o;N3iBUaScfMw3 z^-y%amT3gc9zc?bp@x=t<%f|bg=*^nBg?U|7@wV2Jo^s`43NJ!il%-777`(Z*`+%4 zz=Aur*f9u9tqmM8f1n=HdSadja`34}4i*VyFmQz`G+tT4VmccA;%QxJ1K>Qraa;%3>`IAd;hzq%_NQ`WZt8dFFoj5Rs)Kk_OCqmr*bB!V6{?Mw_(3p0S5JT7>tvEZ6Bone z9y_*swVrw}E7Ug|&hC0Gx1(^oS1dDybp3D}$u$}lbZ9?s|A%puT6N8dEp#Qki}{r% z>jP>M#*U46+(lt*8|Tw&(I5pfr9eoGuKdF#yZSsgqvF}Z!{TaMj|XXxnG@2d4>$>Q zzMTk0P2EtF$MjBbMKvr_13Kc@7bd0;aZw6q@seqE?>Uh864aY(euniuG=a#sqT5P3 zceVya`c{v-bf*o}TofkBWNldETGX z{>0WUyPWzvR~Z*2M-RYf-X+Av1-czG1?JcXRs*bgCGoK{eYKbAY;s%0()s{S$aDQ5 zP%xGxi#BbKR!sbq&Hzd%gvMX+zlL0jz0k!}o@SyH3@Pw4vOWfXg0_Cb7#apnS z_DkGA9=Atx=Lwd3Z%4UA{m0X8Ig+Jaksn66O}|WS-(I(V^X0!Dl4qHcSNnYr-IiGMb7~2l^qiNSy)rE@aTMrMSoOsIulmqR4gSl7g;yJ%jYFL5hTO5uA z#E;;bo!Rf4coJ()?NyhhorpPG@Ns*MN&-Tv7wlSe3+}*uAr<2bC5mZ*QAYb~{dUi8 zfsx&A1E*t|>AP!&-4NP9pcx!Uia4R!-Mjyt3p5;Kejt&hmW2aetf6j+|D2JozOCC+ z?buy=cBO{!=Xs-ZyLKv*!X22M3v7Q3d1g2s_mJFt4w-anie~+BzTI+W$6j|nAC}2a z`h2#~XTmTW3S0*ze}-@rr@Fkz^UkiLNPZj*sbXR3#skgS7PP+E`lo(c7)FF^CDBPO6S^PDUPxK)vcl@|><2EC`3RDR(Xkh)F7qq^QvpXEU z!bd=fcWf6!BamFnNq}^WwBV(fQbi9y8`8NWr47g#!Jh&KU#9^8WQWVJj$1W#+Qi=a z;A|--dzxTKAHsOv3uBaQ&*o<^f^8n3tnGRTmhRNwFLuv~Skra|MsPhjN3yS|Kx_nN zC`tnR0Tt165g62tW}z@CfP+}`M)1m)9b4;61E?OzSjOO=&wx^)Kw1P42lTPW32sSm z@9#VCa2YU4_fd#>8s&V3VhN@5VUT!@8HzFb^(Sz&L-fQB5(SiAJ|8WFR_h_J^{ym` z7kksDF(Q-2(>BtS1hLR|91vBuf36o_U)kv1=lRQ@+^c1Z7u}*F-5BVO5LIJY-+M6| z#Li@=zrYwwPo62IVc!MP_$)yrGEtm0At>38@J8zxubQjw5cuKMtwfDWgV}Fpt`LQO0Cxi-$anXzW_|NZ%GD4YgH|4Mx^Y{5#*h98e$Q>t# z?xlYd5{cTGpgXAUovZAH=UZpk2~bCi2aD8S#`WBA+yY!|AY*ZE5_(@$3>o(QN&n1k zDg`<`+rh8y)jv92NPK+$;MwV!v~GxqkU)o#Y7*VTz9QSy8fq^DK06MISvF-Bh|Cc%Wo$krmu5A1kPPu8Jyp~j) zTkEVZq`!YV-zcURbm2Xp+&L@a zL0t{EQ~wUZZgOeeALMQz7jv&KKs65xEXk#;Pp%wpPn94U`|wz6iMd?=Y2M%quXf0r z$Wu^eDUbJ?3m|7bklJ!k`tHUxB<@iT){Y#I%C1C&BOeR!*@1(jAHtBnxfiWk&ror~ zh|g#To#^wer(8Fdo)UoBudlaJ4NIrn+w~%IC=m|->(B?SdY>=Kh4SnM#F>y$0#w}u z$m⁢NoU^jfEb6d$h#Gi00zbbnPX;VoKxo|3Vz)y*-W~vgj!=CBL{28mmpT(Ler~ zQD5XX3ow|}XM23NW^Vp+$TH@9n*saK4HbXYYV0z<_SNaxc`r6Ho8=6M{lKHOj(Uc$ zOzlJYpY`&GqRh^EC(f)<~ykY0VgY_f$~p{P9!q*fS82>XE!6XisV z0K7zsVLy<41-d>%LHkfdqn4vcOLWvH><3sVuo_WO<1>d^0%U(Y`O;WGJRcC!+PzuF zXga}u^78P$>?Y|oXuS|by6rh(YA(fy;;)9aNy!iBRazMl^M*cf{G#MI1F^CsJ;tR0t09&X&yJe3G3M?2zx z^xl1-mzN%+RlhXmQ;Pm>f;~(UR@MXRyIU>xg@kr#8CE85YHy?P=5K_XoE(3zC0iKI z-8{|(6d`Jeh85^MFgD?RZ}G9yy3JsA7n{a9FP|mb_Z3={5Z~h0)fbAbGNkr`;gQ&} z4-J}{4~=to*6QeIIWou!z2z!Z|C+MPxo`E?+UM5qXcPYU;Yod*4>_>C=IKPeW74qJ zznSg{Yv;+)Rh2jI8+?jgs~Nvsar>Nu7(?Cxe?A;9nM;>Mn%i+({`y?cuk35m9BkMc z9VOhfzsvc5TVHfr<%CrJ(ei6G+D;Yl1@6SgIqP&oGI6YHF{B%#^BWC0^;#Qtei{s~ zZjywUoUl;mb(n2CG(IJ4+oPI4K5g8b-b0&j`^RLEZfHQBDtT|R*Oqn!NRaWOfW_pj z+lUW&-P!Z9(-Ts5Yd?~mMU4$IY76!8k~I@|vrK~xS-)_^vi>>8#d@}mXE3UILL@5L z@)KVuVu3GrBP=@NRnN)W_2I=wFfys0u@`M4|D5Ab!=(Ces}JxjhC*6fzL&{`8)PO? zmC;Jc=2-_OjvRRtbi{JM1xS(=p&n7?g;1+E_=@S^RF3^5LnHxtnL%1`9|HmKH1Tyy zzu&g%ZAV32x%7M`PgRCwek7XVR;P2^tOK{If1zb&#Yb;GcCri1?aDJJ{}4U-LV*m) z`?(aDblK^bDklR%Il@wzgNZe_kTK_HJFw#0>k(3XtTViNoTAjizU0nh za_oBlbEi(X$LH`gVAEABwDSX=3 z)hMYAai=}pjQCFARB^t`huNr#AXrRD_DQ59&rC?29Lz*YcavbLp-6`)yH|>u6X;6VXD$M(jxi6w3ziecY*+|SkABAoNlCX~A|j8V z5_vqwEzb|)yKH&C(?B|^%y@`!|{68IAA*|RqM9D6R zlEShxewSxoFPnWP-cJOuO{ow2G!>gVc{@a}Y&6iA1FqsdC9+#V)p^2da6pCS_dBJP z@qIpIlJt1hk^(rHW_>Wbm~N%`;br+bWGxB(4vgMi8*agOca4s$7*qs? zKMvW8Qr%a_OJ9FNB$vBA2Xvt$B>Y9=l#~R58MdrvBm=;6Gd1jvOU3rBUO2f}CNHV@ zW6!!aG_pX)QKnjgtv;RS?-2vtKjcqoXU;R{4G&**+@@{ib1x5OM+b|{-d;~Yd?bLh z6Yp1_%?3z4yPNxwzE%I+S$iaL2_JQIN?sqie##cHmZP9XDs zOD_pefuPGuM_yHpu=)woZI~C$$#aC~Ee_es`&U78)~Y?%)`Eh^lD$Reb7-jIB9Pl& zw$UR6I{L82GEQF&y#=zY8r@5_xV zvSV)B_Du8rkwE6^viTe9pa-8p?t5gQ96bgJjC;O0a}SyfY6s{Z4tJ-vzSu67eu;tF zyuiZj!9!2r;1yn>+HvpB8 z?7t>A7V>5UyVHLw+Dtxmh^T>Is`ux6D11@luc*@DmFwOW_nt=JYj98tTU}1=O1+`( zGIJ;S-Hb`E9-JlX^l&1``qLQL<6*ffKpkngQ3TKu*_Wwj2&~%z&^c8G{0l7GAZ`gm z6(p^lG``ygq`>ooxLVdxg-&*7HcWdT&a%(n(n%zb)tO<~`#)Zt^dGBT^S6!z#V$di z@W9C%0qM0NrMh!vpAbI+RT=y-mZ#glU6E9cOlxLt$bGsBI4U; zcoub=k1k2Hkd-TD7n4+%*DiHmAM~O;B8Ql8{4z4bD$}){4d-}DcvsgJnO7m~$sMLu zI%D|)2_et77`GftA5|~?1-P%X{EWNReu7hz1nG+OE`mF#s0FvXS=7#nb&kyqp1zYv zu{@_=a_hcW=?bC>a7Cx0(7u0jobVv`S7buk@qkAEGKeRz8U7x`4fSlj1l_n!5oP8o`*a$ zXc)?<$q$77h*4H&$B|buzUYR}MTlyYG)NNkc1q?x*3KXs^%-Qlhh@6BKBV-1ZmCnU z>pZubsR;DWI>(n?1IVDz_xQm+!wzR+Bf+v?L4itmuV#9mcZAfw;0%Xz&Dgy_^kUx~ zp*_mYSW*`OX}p7s4VLHEfh3pm&oAM!07)4X{~iy{%&qf1*38wzoq5qC2Ifv{^P~}* zgz~iCrKC4T*LK@$J1Nj3_rWp^wkUN)eURANoG7t2P|Wahr``OD!-N(r3ncB!!J-EH z9B!^pUw%5+o@^9yN1RxhQzsPwDf$*)iUQU9`m?8^fh2v4q@qCOzKxK7mYD7>&+(wd z2M5DqE1Br|$DxBQi1tF%&_ukI*HV8gyz#3S@g7}W?BG?3z%x+^G?-rz{5I(t_D%AO zpGeH$qoK(9YK7zG*{zv!VZqlY?^B>l9DQFVFDmgIX0mdxu0bu0`FcZ=O4M*`mH30@ z;X?Fjzcg;Nr9~%NH1d~&Mt&zX-g1&tOTE}uuYX-2KlncHR`WNHGa9A83mZbL=T>ql zOhDsa?~Er*^0Gf&Pka+6Y(#dY1ZGx}Z?ShTyMW&7NPPsAd!)IaCMXa#G57v=8idv0 zrG=UwTg$3t4?p@Q{D=oKJVb6hPBN>*;cQ<}5ra1{rO-j~#_s4wUj^E02?lEC zame1`UWw|(ko&@EgnQIF#LR86k_GR+fB-DI{>yTg$J+jWX!}zy@c;!r_4Q=u{Lp{2 zKeanDE->A3^Vb>!UblQJ)!Iv3OBdg*@82yJu4K2=%3xT~Vza^sN!V8P@vl&hH3|3m ztvKR7wKpy8OKYo9mq)!p`RTGuy~zT!m)fX%2o{)_Ni;creTAaGzm+8H!kN7P))2$) z7z?aOnBU1LngOr|jVigHB&|yxOdQ%MS4=t)oALB*Ko0{MMNzTq&Ij&nRos3Ebw$eX zYq}&m&P+(~MGA0o0C-ZeMqC{}c#geHX~}cfux)@D?P86k)O&A)8NHQGkaWKqO<0MC zx4cA_3@Uko_Ke`d!0X@G{24|z1s^6F=%*zkMt{V%C zg^<6HeA90X2!pR-fd>imaT!IE72K68f(`msq0UxnZ+6n_xl%9mVa|r@RZhfjQTmP{ zKTqt^86ON45ETjtp5!yF_%oDc1n>q6#l!dzsE7d4ZmdZR039NLAS~4WugZoJg+{xV zdrg4Rw1HBv)RrCXE5q+)uw6Okx*O!Tm5G*D>nXtOnBbF`1-nKplsO?Xk?G;)Jz@t3 z$k--fN0kXuqu}4^ZS6WWNVD-`LRiL*d09uG8VQlNgh(wVMDja$vi6MfA|9uCZ!e0W z$&GqYH8iHjY&5-DvRz5SJ!DAUegG2qnf0+S>?U6(ZKq4l9Tr;b)uoWLb2Q1*a<*T1 z>>1o#lQTc)!#jL`A-A6M(15QxO9)x3gI-1fS`}NbDd5M0NoU0?CfstU-^h12Ds*%k z&`YxL)X;}~o`tH5FBV%8z73gYLIsD+-F+Kh#3M=$Yrxs!Sg3&Eml^pZfp*fW`ZYbU z&t@Uy)>or2#EZW5FWnLHs2V!e}Q$hI~%K=))+6{QX!_v*$P)N7*s(R;;9u5aWujwk*=L z=)f7Hq}M*~)_@AY+Fyvq;rf}ev2x*aqMeOgODrWoT@4dH^gvD&lVxJ?_B|G?NP$|q z6K7+c?2=#CNNDo#hA=-_(R~#=q#t_tI_M>N8q6wIv{li)Fx)o*;&^g1&mo;~emh*` zrJ*o67>t(p6?!8NE?IjKQcHK}3A=me@gr~KR>*!0Eh|}oVt*|(&@B14s53xb)KI}) zR0}9^;z9F%OA^fl3wDPE)++^aoOnFSZ#_tadC6l$AELSScjHRs2QrEFCaxbvUAm2Q zj|PhRg|#p_Uw)ctE^vGKD3?*@24ngVwF0fLkM?5cl@o0hrOqlj={=hSQ#ia{lJ@#) zRk`D77YvjB9yw;XWmXtNTy@&3ITSm0!$IWn`RZ{(A>Syt_Z=at!CIZ>Q2UD=5=Y>+ zIjUnP#0vwqq~PC%$-oS8Bl2)fSU1a#8SH+|;9sjXv~kbNkWWSA(Z02U6e>)c; zYyt~>O6*Y^v;M$97!9$N-|-22dc-z`qLvE1756mKu;5pBCD4t3tmYQftTC4RNN@a) zDIr{|4!=c%PLZ9;@XTD%&gU?zzO#FqS_m;L2ww?k_+he<${lh1i4N9|2kXieo&5?w zN5g}n*dZcLo9ZvX_s>NkYC}0h{r(}0;B72$PpoJ6PkK zbiY;`T6`PU2xJ5|GKmThdH5!+PpJ!N7+kT&Ve7NkjB~uh`WdkllbbDW~ z_$j*=()4oj1z7RP0C;dWB&7+X;d=yNVj_=|C;yxO6c@=E{M+h8hQTXzL<1X?>aW1P zjG`-a`C)@TQ7MvnZ#N$V!W#V<@owOe+x|L?U;v}&f7N9m28=yc6d1qmoEig%Vu7=s zILdD4 zJ1lf^e|+TE2Jp?zoosK33H~lt>heyfB?By_Zt7zR&O_#}E7m7P>ny(_19JE4TvT@_9~aq0gD~SC;yvcKFniKd!v?Q5 zzIQjXvqPlcQp6abxU-g-Ij3&(Ae@jh17^TiA>2z;K)W294F>6srr{F#Tkzd{l0)**?&@R!!HvhLu zV17KKsA(v-aE-1-Z?u##kEWdY+*SP6^_zTC^Y);bs?+@B(sg$lemyNQz&m$l0|X2E z60nV%a$qA!LkDKy+kj)fCd!NEL&~3KNIbE8Ug1IKo*1WOqD1k_ zIfoAn)U^QR1M%w(uV?~1sJxClf|@yE@G9pGwRAT2hI=>Li)cu!oTyo^YX628$L19L zfE_xkXVB3C_a#+e z6&Us2y)C3L3_gjKR1G7p(v-N4#{w5V87pc3A_pN1Mws7C>=8oR@9)Y8E+fnjCh`b5 za4#<^T;>0)M!A#TjlSh#al8?H<2 zS}5PIiqiRjV2l8l$OaR-UfBf=f9w`NFudtC zf73ll_TnxZY-z|Ibvy0vPW%vka&^aU?R=1$E}v%W=3|sCrzsV(jB{!8gU9fT=)ICV zMv7gc7oWSuD}OND-B!^(2@}P7UcLLXbMnI7a;@T1H0D#?h>SA?*H~d zb!$p(NQ_R@5)I5DnJ-W5(SwoC^BiW=_xpHN6?*coJOuFL05H#_45WUL_a5ndO*CEj zI{)xYW5%mbAm!>uY4(puztLhcP{B&96~R>p(|73ZOnl%FrasR}U5d`1<6GP3wPa8( z`2ZL3^?-AU=M3G#6z<)Kf8i+>S`ijzo!iJFSqG8JfQ#^%Lq_Omg6>)~sk#6BBhTpb zEsvDidx>sKL&&K?m|xEzbZvU~%eohOzUG|<1;ELF;?Y+6&$vaTBrpk?dg0_RO1pp= z%(71jE7SD-^Z$Cd@^C2Is9&j+WO-9cmQZP>m0^Y<`zuruB@`hMBm0=8$d+Z2G6`dA zp)ev@XNCw_W^5URv5mpdU}lWjzj?pwyS_i~>pai7p8Gz}xqs(AzjN+TB^`tRaKvxc z!v+(d4Dhpr9MGA8fnp^+lj87bwXFhleKvyazVjZ!L@%OYG zvNfk{=bZ4us(eVe2MUQHTp|z^99zaO>sGqoM)d3|1Q_3XR4C#kULw5 z?8G$D2F)-1BGdK`KD%drTroyHqdS#yd1eRa{O5P?(2Y9gZj!c7<;~iy`7xtxj@`?= z7OvY|ga(`ZL_*vyo$|d{+k<0Y;l+FO#4yPkC|aJ*hp|b$(Jxg_AQe1z|-6 z8+3rB>FLnBM*#*uh$@Nvwkr}mO^or&u5B-rdw+f*RF#}D&? zRUTKp3jj-RRqbPBr=IBckg?|fzOgDkNPiM|TDk*UCM+SJhO8cHU-MOc#Qr(4%&xeq zyFT1Ixf5SnARPWv8~VKpWm!A>`(*RG1R+Qo>;772Et^yO^kPXEF&r<&^^1m1>;Z3r z7WCK)Lma!UMg(d8-ab#IDIH@7HxthH{XrhC+<-%C9HljV*(VG-We{(0%*T&-2>| z(nXxoWc>Kx{NQbZ4JRbYQB?@6jBWk;1{_pq?6gqkxdZtb3>sc?xk|a&Sh)Rh=h2zG zfPoYqJ75S)Y1Wu8670_^_4G{XBkd6W+$zG#1B8ba;iQxWOOf)9Wm9PF#(km8sMDK_ z^rb2(xINcd_mvLGI|V;%Zz+5gGJoe_aa#w?l7EEH({B-InRxE{<3v(ckC&H0w`W`q zocy9KPpg}w@^U=LR1>D&^rW4X2;3RAk#kqu1XSRXL|j|F4M>+;4Lv*hshS{CU9g4< z&Y;Cxg}YEhgkd}}B2J)p9#FbsI-PiiY1+B%(km-NW8cJ^aBo1pb3;{Jkiq0r?xN~z z?F{;m)8Er3EpOr~7%<`$*@U}LOKtbs!&Ue;XnBaMUT60;YmBre$t7ewxkidGF(MlS zn?DIM^?i9(TU;>UpRdHNH8&RentG;p;I}lC6WYfm?PSb1Tk=+HlZYEfEk5PB^{+%7 z=e$0HE>K;Q6p)^fW~Wx+gygTtd5JnMjw6+27ZUeZWy>u@kP0D}b^{O{4AvRyN>EMuyAZ zQjx-}h7vRYnQ-8Cg{|RCzc(OS3kAMK@DgMZFU)b;o>XXgjnQVRrYP+nqRYq~YnA3D zgvP@g0tXGc8q)`diK{-9pSogto#KKLA3>gt`^WEFpLc{!xn3#YylC74Gx1JD40c?g z6(SO68&ag$c03>wl>BN}y8I|5zCG=0$Z@Kscq_m_n70|yLn7Z4KFZUBPoH{SXoDs8 zi-NWd2#ltJ+hn-3fEp=Lh+%7>T+i7tPBi6v1tDNQvc6Z*Z1F!2!MKt4tVRjG zNGIakxoRB+2)+ih)vU1gvtD6@`KC9?91i^wO^L7kL=fjk%+?Kks@9P0AC-SK*~194 zkKHf%>Agq+pApm>QR}Wo-2$W6_leFHMZ$@TFF%%|HlocQB06xBI4F9~GfSuQ z3<=)2J-vKa{a!(Z=WMep+_v1aoPN1`mDeUpCxlM)FlPAA40Ts%0^M60^~6?ok1qmE zRHok5!f!e-rXz*6yPCyWM&jfw=UVFXxd9&U}qlPbbz} zHC}n}8OmF=G7u~8BjKX{i;c){*Gs@NQ7A?zSE!Ot|B4Ue-$k+0nLs|q#gDqDw`yFf zD`V@2j^)aaOD=TSBG8~%w)>-jOFIQ-#5L#$iEhS5>CGj^T|tKwdwN1og6YL-8uM8z zqoFvz`v0BXp96}oF?+Kumg~cDTTmVOpZ>m@yra%j;WvH-VRD_nm;3u|PMQ%G%ID!Q zy#XxhOx!hNY7>ejOcQ*4lFI!hp_$);a77)<=Zx4sqoUnDZv#C4Gu&~TR%2b^vhwgv zLiBFd7-=&!C~28e5Q4u|>k*j5DxU;ylEc+dJP!2im^AWe&4Jl&K^F(?7*dE)}u zQ~=Z6lhkK}t%G@uznROF1V@YiIIx+K(WcN6b z@1wr?(InW4-^hjppTVO{-JZ8U`^vKs_3?K_7CI>Sg_EuS4*DYfnD*@2VZ;?=fz$RY z2MRJSbuE@|{Hl@E<=42K@k}w#_d-S<>smA3)P-VBFEmAJ;n60;62Kaw$8Ck9cPyxq zCi2Oe^wP!HLATuO-8uiB8!>eK0^eQ{^AhDp&X=UHwm)Zz#wT6A+r%B~W zuX#WrUy1abuscVjw$)_zT^wT${FGTBNPjAFcNfARmn%~~#AqWDSvLd;ZAX?q5Tq*D zGamhi`ckOiBC~tQ;*+u%U zo^aw(xiX;!)Jgq7FfV5tA)DDoN})M@pm+lNd?z*B)k04c(IU}{M49n5kpVMGJ-ErV z`mU)Sr!rayqL-a3)Tj*gEhi%j$b0FxHNwGz9TwU4{^HpQh20V^1|OO;6#jIw47?D& z1%dSc^wdKf?=cuMvflN9xPDNp*ikRQF^fF;H&W^(Ru`K;9VvC2FfY4c)Eue}bzfqs zl<}NjlVQ$&VbSoNCY|fh+h}TyLIiLhAg4%J=vepPW*p;8kip?!Jjnn_mL=AMZi)#a zKQ2+GuYLp^)yNH3MdQfy(~7vw+=nmOM&Kf4&NJC651J*b!LOOkdt!l&k-m%7vt-RM z9OSAr5a)}ODcC~~aCn7&x@aqzj?{v#ar=&-$uw=KF<=S`*DWHOOI48T6>;hb9)9-% zqXN;)e-Bxgo>wZq&28Y!mgrbvTJh3Q_%`U?;ZWCrvqZwTiS{q>ZJ(wlf!A&|ienyZ z_A3UEZV8%yA!=H#wMR#|Bfi_Ajv>aNpfJRc0}wDqQvYdY6o-jy+ArFO8h0C@!n(9v zM1DRm&U*ewggU2GhIyh($AZ#;efo#AB&uV@HS&equvLz%O!DXbrp4SJ%rzBCc<$ z(BADL-akc&s;AZaciLJ>g_I<(Yqkv`B}up zv1i89G%!Ag>TCB@@M;)n5Ek&VU9PxGE*?x!3umo3i+dLaw-SiDC;H39<~1K-*3R5J z_uoBPtW^s%_A=(Ch^n)P=VZaiI6u@Jy<2F1=az?B96m zxLNNkfS@J*7T!ew6B#?-Pl2}OdNuhu-B3>#-+Xw^5aB|?TmYAoHD@~j(>^uIO&W` zef65(q+_92RnXy$`7&@YmvelIiA!CJ#!o{F*PQQ4Xz;=rc#-nPT}e#zF^6IcOb}Gd zH#_RaGL}W*h&Z6t?0#POXAF9o}k7+@`?Q7 z8*}%;-J*BgN6|OuR{(v=Ki4gz? zPp?naUFk+%s;Cz~D6W!i&p%1uXKX|Vb8c*|BxG50oP3~&Hxg+7%DaJ>x6O}wh1O&8 z{hkux+mP~o-JAXq!z)Wou$9kEawPTu));U>a1-=r;iLE+V_pquK5^@U->LM6S4Fwq zv#9<(z-l4VL;$9JQ*`<}+x?xUHcJWw!Vru*x)+m2La!o@$*%*zeUk_U7VRE#-sIoo zykEgby0f<;hWm?KJcCHfjKc^}GhyGRa-%Rmz;dY{a5>n>dejt!Y|{^{t#FxzJ(f-@ zeq0tZLd=OMJ1$4Bm@5oPk|Y7S>-;?UB_iorsOqvenaLdJ*<79hb>yRU9|n5PxqVZi z`6=ceN(P{@| zB17}zl%RPUrt-K#J@_>}`fqY{UO~qaSB?)K$cmax8w%gKaotj=RiwtmO5=Ls>x1+h zO@hpf%E`Rs|4tLp`X{E79=vrj0_LWLUvzimNQq&o!9pJX{^8teQ10TCr$Tf8Z0fkt z)CbVr)>aJbYPXcPuk=pH0~55fF~1z zkm)m2d!QnP~_EDI?b*r*1_Ki(74YZER3us$tA3TinTz~j` zItE8P@tFGU1SHgKlsoAGT;$#~V!*V46Nj?nboDBB$3wom_%BUC($#-N-`cHX-BtE+ zgAmjKaFm@kod?;g@n3U(kHB8WeD~HOvK6BN@>L~N(Upgw03e$4TjKq#Tr^J0A%+i8 zSFR#eOV|eqz49u_X_Dr&P!pg<{Oy22d8NN6w~7znc8uDypyQ3XY{RFp@OUSt-)Cz0 ztHAwfu=EjWEq~U4LM4|m!lz^M}k2^iy^0xqkK&<&L{KP-L#=f(^Kj8OMI(t6J z!*xz=VcqC#5xG=?z;0U5ybDv%JoSFM{eMGkC@!R#4Ry1?8pzgp1fv$%JPCfMCVA+{ z*Lg6K7QzQ4N{C4w*uxOqq(moGGW;u%%1NZj3pHMncY`gkREa9SMDw{RC=OgiUXu)^ zwV-F?Jg{wdW}{tomVF5pCf<71>xK=-I0&^VQIf~uy7jTc4SS0`_RBst$UF12k%nOSPaMX);k{dH%Z~gJxoNN0zSm)$9ar5>X*=6 zBCS|sN>I33M>hni&`tj!iCQN1R~Xc^>*kVG=wGI=Y6(s1yuS{*9`h=tfTK^wQNYKA+*(DGbvv);% z_E*3fzKv)zc)KwpATzBMctEFkFE67MtNlbfGs!q{r639<5;!DR@A^V!hr2}taV%}=!YL+7J0*c5v0Ny1!D{K5a-&>Sv_ui*FISFJXDV^%E zzu9fVgZFe8f*ZF{Z+{X)(Wj&)kY7z+DAluGw8u1nC$=ME_6#4j!7@!^5y5sy&iwwD z{C}5IVy4!p!e4D~3OcTP-drKHN1udT;lsAaNjMS*XYger{hG29WKy}suw@$7yiEGa zj@LJF`-T0(4L>5*tBhbX(#N<%ytin`$Cct4+prbjfnpqpbE_QiERXrnSfn^Lfx z2#nUa!FrtK3GrgB^zh$A6Ag)HxxlOgemD!mW0aKZ>4pujocgCwmU)9=Z5JiTV7*)I z)t__!&MAg8)VNL8HWmpGFM_R&QzK4VM^fu_5#ox%*_@Ncl~Ns=F@k#w3c$^ zBC|p}hp!nujal8gi;`oaCGI7~e*!yhmA%3IXDB>>PNH z%~zs+>ALw}EHfFnx?t#H-_VO@!}S(wjO`un8&6~=GJwe@P$6u4(|aa7L)Cq49fL_sci~iwCPdnw ze9I86=J^TL)r0QW!ymk5bS`p6Y;@!(=b4Kv1ALAjCBOSv*GsGToBTQ3YEVKFhD2~CF$Tu}sOxu`TW^>HN^u=ck zxKM$@S5bago7fkWyBoL=VMU1i_~#G;DZ0=ZraPzhI>HJI&i}i7HKs_{rqk7BBi`fa z0;2)e)gNZOGsPd-`Gp*FDUaeg4d40NFCnuuv+0&*ho=1>@s-WORewW*Ol}%ve6K*- z>WuUnC23Z-Pm(>`$lqOeKy?Ltwe|AB$w9g|tL6)2756Bu+IhR**}mX#Ksa9cn?h4) zMgpTWfD4vYp&W91T1|Ap20Y|)^R^b%-RZ~;J(WZTe_tE}8vqpS z0bGPI6yq}OUT3((BGkX_UPW#}h=s$APpdT+Y6}q56V2mR+`_Cx%6$Ey$4^nA@{rB4 zezYI`A7WBc*4MKFML7+LIhpQ02%33!F{OKOz0$D&ya{NV`ie~~CWKamxDqyr?@`*@ zm}S&{VP^Y%%-!0f1YPV%%&^#*uCkYz%a{Des>g$|!#Bqv4 zdqKHHO+k@R?uJA%p-z)m%-!wadFo~H36;e=SP9GOR(dHMg3xHmKG>oOrkM3YJiA*( z?@TNV!=oR&|M+$6%DUFV6F*8PP}TaO>I8*)LMF%m8;CtwP0YCP5nVv7S6uJQ7e1kQ zP~B3u;?d@jozdW_&&qSXo0yaQQ!_(fY3Crm({5h!(~S?t z937R4HQ>AOBTP-Ub&QoP>{^dI6m5s-pEyxG z_cl8FdU{Sqrf>T-gsz&6Fy=(I$|1v_4_-(aUrJ?eKJ?qyrZ0}AN{cyu@@D6AtqmMJ zrwN)zuF`A=!v)Q!v!A_knCd+jAMvo#rMpY8XIOTdc%v$}tXuY*?U1Q$E;g9%dY+PoEg{L{Zg zLpN5x{Xv&3o&6T>eFTgCt1kEHrA=^j!t%Bs_kt8773(ky?-dWGY7k!LJ-m0=cE5Wt zy&Ja0JGi`9t1B>NbL4~n*A(3=kpvigX4`{+wxIf=ycgHOoB#y*<|d;ZvmW5AT9aIPUxxyuoCuu@SSy zEg(^6l`QxCXG@1d<09y_0RnO1oo4axVpbW;;x2_WsK>QDx8$|@Jz1`+byd*PQR98k zNu7BvIc56mC@SiR7nJ=s*KqBTY>h?st+dfe^C2v@aIJqic*B0H>O~zjA6LV&Tfc6N zUNQP)5FYwk_VjAa$!rxh>|Y>IenHJ14)0+)9nKx&ozPyf>n~@jC2QEMmTYXx4Zc{F jrvJuAIhyr_a^(BooKZqE=T2j7x!{yMO+#|Qx>)=_ZrRN$ diff --git a/cpld/db/GR8RAM.rtlv_sg_swap.cdb b/cpld/db/GR8RAM.rtlv_sg_swap.cdb index 2ddae338c16ad1adf18913547dddc8927eb32ae1..f2dcbdafb480ea258bd169b114f892caed5470fd 100755 GIT binary patch delta 522 zcmV+l0`>j52CfE>TYpai00000003zL00000001rn00000004La)RVbR!$1^8&A$Hy zqM<>QR8(}7)RBoDKoC3FNkPR&@nImbujU?)$BZpI0*OfQq?bwV+wOfc$+9e)_B`)= z%JV+-eW^HSg;~2&!i{3@@-=}rrk}aJ=~HE;&FP!_`$chTXMYNOK_@-HFmm1eCtP*THm=m%=#AT2 z+mE?eJs(Z3E;NnPg(iGidFVnDj$Y>0`=be8Q4U}-XDTuBY{H8oE-A}q_r?kG#vP&~UpC%HVL?B|k%b6Q%@ssqpm-DHFxRb+CdSMbhPjAL_ zq+4L;R7y;wZBCE`@u<-4(11eFOo4u3;-?`TDwB9jaevwc$I2MZgolw|f%(B3A0+Wn z;`bY4$#CGxEnAx96>BX~T9`}ZzPHb={AxxRn8Ru*VY|4Z!a!4`pR!)7#| zyNzf&IMMv_9^2>FBNOGLVPpF*t{XZ{dpD8R`nJA*3LkSHe~PDe{VhJ900030|9Ao7 MU|?jBVUuD4*L|@C%>V!Z delta 522 zcmV+l0`>i_2Dt{1TYp*t00000003zL000000021y00000004La)RRkZ(?Ar3ClqMP zW5Itw-LR=xvdW4bOLj<+8@n_ju_N1AR6^n>w^GZiw7BQD|<|1RvO91e~LwXQFE zoF>1{#g$qby>VCT`Y{)4=cCEjg{C20Xu_W<4_#=&(aYR+e>CCGl>-;<%{TVov<;oq zI+i+|pa??1egPv>!#n-NSvx#@p(l{Jjl!E5uuO)!V!TRE(QMZP{+PU9qw zrbp4LRMNtxvt+qaJkBkVP0HiagLJm|LhJLQ_-04w(?-I13?jx8&eB**kY=YRC7(u! zJ2_rwXExCoy&2n)ZlRmgD6x^gIbj+m>q@sr0}5d`1%LWQX^=&5XiVZUCD{xdt79}1 z9>qZe<_B+ll_sClVA&Z<^OY~RZfTcSt+hsJVIzHVAGp@Kqg`I#94)Ws`u@|kvOs70 zUt;$Uwh9!7E~E3DbfW#>R`ct7?4RF`Y?Y6O4fY?uwsgAoZX><*eSLQdAGnX5;;CIf Mh|e7W0Fz7t;?3{<>Hq)$ diff --git a/cpld/db/GR8RAM.sgdiff.cdb b/cpld/db/GR8RAM.sgdiff.cdb index 809d13fa8a1ea3f0603261550f865134dc308d59..c8b2ef8aaba34e24ca7b659b79bf8fadca173d57 100755 GIT binary patch literal 19260 zcmXWB2UJtf^FB;RL_h=trHLp=m);WrDWZakfJhS&fe*b2p(GTMUZqM2QU#2ie z%1b|#mc66x=j{67j-0&Qorg*?vT`zV4`t==m^gTUa<+H4^TN*F<&Nwf6T>^^4)5>i zesK1^-07r8dntyB3Zwsj1J!$m|2Jwp{eNQs^+5>pKYyKi3Mxc9Qrp)^TxiaB=sutB zEkkxLF}{kqm*O)ismZ51+b_f(^NRZph?Qua!7RW>Y=TC=`Q<3qIl@<9*_R(so)J>7|)SGN>_7p&luv(Ywkd{t`P}{@Ih&CpxfFkP&hcbU1X$5-7cg-1pgz^?T7$vNx9u&DTIYQ6fo^SK+L>Pl7v|M6z-VXfpLQ&Q20b7q1p zCMyc~L~=RiQ#K-uFB?QdZfDX@DJ>LdwK8W{Ft}Gdo)NJXtED`2eL3Anr((wO!ClE& z=d*Msn~j@w{H;!YzC+CqWL@+4;WM9tN9s!L{J5BsZg?0d6jZ;D?cW`L$3=Of9OZ2t z_f#YxZ|Qc!jws!CJcrIi#@^fDr<0EycfW9%XasGP?9bLmGYH?`jx`ecG@kuoOR}gc z$vcm>I3R&mj!939e!4zuon_^&xwHbapHykUCz1K$b*9@f4qvG*1-%NNQ-ujtGP-EI zf&^-pSN9s<3&;}{4Q+}2tbe)HG`RI+I_EdDXUE>UWlwm0etWlxnl9682&C0Prf(5; z%mP{FCJpL)G2&GXwkiJKQnH>~@CW=^Z+D%3KZV8}{J3fK?Kl47Pw(qdzwI{?ZWC1K zO{1&?@8A0BN~#$&(x{?JRx7#vHN>AP}v zjo|qXfjw&VcT~jkg{r7JuzXwZP|@`w$G#zSp;*Eq~rLcC=` z0)jJxy4w*A>_;m|-BSY$mhx{`(m|LPu9mmusgM$((x82kq}491@RjoZ973QpeUn&p zY>vLSI#kWkeKvcJXKoTm7c<3+*DeU`nynPDdS z-ExwfuK9ARPP}6L%L7AiSilceUY6yw@>fd$h!A=Cq8FV)ku(Z^=43AX>l|xbW7lwD zWMbG~t3ZBS`BB&N-2G=#B+UR<#jDFVt^ah<`trSBicm8*)E`b!QZIM=S7+!Ct<CuV}7Xq{?lX>(eNunBsER;@b6d))z3Os#w4eg!5}C6F9xn(B|kAo z+6H1chW)qp_Y2fcbcM`gL#lJmWBU(x?a$rQWAzU$wyFp#oW5hM($3>!WLcpXDP`<_ z<4Uj8TFOsv5enRjeSLZ7>|+#vUTb>ZD;NF5NWkIYMnRODzjW@yRBK3`NR+qu%bI36 zF+JzTGTpLy!??tTXK~oSOQMJV46V+%7f|_B!RWV0JCP>WxRZ`xg_HchTkh#i8r}EY zH^(X{9HQ;o{W}dB@~|JC_x=;Enzx{)w3oFkygbVd>>GU;_Ah%^QhcDPt7$=`tf7Q) zUOqY3U-8}2cLD|4YiI$)EMH8zF0GthwNoEKq3C}6B?8{+ZhUAI1i^2D*rF_z@=76k z0S?!n(poHg8n-F$$3A`6_b~Ptd6Qi$x{}E3joh(|NMy16@a&9fi z&uNbR(b*zLC)eaV<-y(aB;V|SY1gkNPcgUt)x>RX?qFBIptWJ-#z$V(&H0Q^jP^mZ zfASW-F!UYX^L2drXSD9?BVp%IUQ?=(#o3OG5cNeBni-zNlZ7Y0zn%uKB3vHQ9l@wEhs^l+Qm~^I~YXJ60am zecDp{2wI@w#H(LKPqPfvGo_V`VtV>Q83%rYcy?O2K8Z8_6+9L;B zuC_eUPi!u&WURgZop!?H9V`tgf_y8Tfk-GaFc-QKfA`&S94GxmaqW@Mdi0`OJNiZW z(_+}Y$E~JmflA05i7QXXrM~DJcbd0(eblR&WxM@V+CXLQUrC7PxY8%oWMA<0nU1M_ z3l7ktu{?;6K`vzF`PoGHEn9p3NFAw4OXHzaW+`js?ZSj2c$nzkbk#Z|eM(F7#}`p8 zw3@GFe*0q{D{(eltZx>*!9T+`r%LReNzUD0FP;mml7~oD)xCEFh2GW(ixJ=pVHzd| zn%qIi-^{4=9+HaS946i{_=~@-JIM7whvRMvrD-YgQMp)3A;Ut;Ez1qxy=@KK8|-gV zqKuZsZwCDIl}%JSq$C#l*?I=LyrcYBZ})ufMsYtm3Hws@420^}v#gTLaCX^Vzw_88 zijt*r@20b(#;-XonE6N%NAA7RuR~&x?retO8!Tn;xtIs!esAl$MuAo46w72Yo@W$6 zy+<9khd7tQ=!!H{){LO;8%3qM*= zN%$z+?glBQ(eU|D&JmBm10pJkB|@#u7h^moRwVSO$!?|ceORlSVc^lYRN~F_H%&H} zn9DF!{y;!Yv0~sVO5bsct95WdFZOxg&p$E(Z2Lp)>!vX#YS;(cxk5dwAPvLd!j;s*dsZ5ov$WdQ&Hbr+Q6xC|j z@Os%lO8NNQw0Ui|&3pDWX?urUKDFx~Bl;}`QPV9&^e@;2Om=^Sp(!i&%&cPpNKkt8GQK^ah1?TxglUX=$JQE%N1V2y=HreYwtgkWsfYBRSd( zVK-Q?U~C*(-Suxf>%(<^M&!%uhH8%-4_Iv2tIU;KSyUgtek}a&`Z1z?pH!wa*@CCr zat}Fwx4b?RkRWQE=e`Gcl5?JB2dz#MpyK@$weHtFR^O@ZU1STZG!WfI2HlbPDq_c9 zu=qIl^u0_2LYS+I_b8$*!6z&E_@Zf{G`vV8uOY@h^*LwoV(e-J5 zXA>u&ycCnc5Q5#U?Qz=psLtQO80_Hk@-De)K_JD0!kizg5HePue$&e**J+?@ZqzN|f%z&q(56=ZyB7(d%` z;pLLU=HME*`rt~a!o1}GGn(*JJLaOP-ddxQ&uD3`p6|(uh}vzVrMS=W@czSlr*S_9 z|9;Fybs7XGEgrv6F31-h)ur9Dp}qFQmdkLnBa-@TK7(<3Jt1<9VH*DDAIr-9u{6fl zPVcn?QK0t<&?NO9hzb74Q;6Is#rC5$?%NmUW9DD#HBgl92)A23DI{J>cV%tlv_C^< z+%ocTZRC%^04`V?f6~FCzwXmpS(p-lqSjld*N%z(&+Z8-WTUPQ4!AJHRykGMMhZVAJV!pEaUbK{oc(LLst|^L(4?Sy0D7=p&i}N{ofx#`{BUl%4w)^E@3D+& z>(1Wox-<>O{QoNkxd<67^8b{9)UFv2?RO(442T_<_Pvjci*LL+!e3a1gW2t*ZJlKCFKURZt`MRlT`p06fQui%-Zr)OU@i@JuT)cuOFZ5Ak zEPaUC?H_l=4uFN+31V=ylp?4wy#DsOgv{oM7($oIYZ{XrGCKZ779~?u1fb_QC1t|Vl+3JcV?6NvL&2* z$4|arf;hye&$Km7HK}?_y3-=Rl|gyb#VGR{D8!K>Jrf$%orkJ`)Pk&ZwdQRYUzMo0>P{S!!?n1^#XV zLY5NU27Dhn%cv#Lq{1fmS?h6K{4q|NZlRBe>_-xNZ5^5~0Ir43Y52{rI*Zd{S`t zQvB~LEckwoc@y%&nBKEwiLdy_06I6mar82#Ywd{B-{<5(mSA6Rxf&cDSODntQ^q>m zeyC{K<;Fedj{d!{T0fCn(YkWiR9Ezl0yq+OMezLIaB5$9kwJ?R_vVkjyY&m`pHFgm zr4L5CfW_vHypFv7{Ct%RBWgT(PuPlmx|@4CJ+!H54@Op!MxOz3*lg$A+cv_P@^*^Q zJ2}zAG}XLxkchiUi>CqInWhJ&_>|uLQe2X9NTTJ6PdoAzMdq@x0SG8xrI;GAXyimE zp`Jg3&2E^l-4HQmj81||WOrQm+w(Lba)+IJ1g`q%M#h|i+UzQVAZc57*KN={Bc~Sw z7BJl4hx{M+8b9?w87NI30xn!0Uc)F1A_Jl+E1;Wm#VUBKC2r=lo~@TTa^!0(-yutS(G*QVtb~SK1X&nczNSMINXlsRwTW$IrmY`BZz^X=XDQ z(|p~T#=c5hw*5%)i&t61ZF>`Z0IR!+2@#IHWjwq0mHaIh04V$KMIIwkC(pY2gP750 z!iVC{++#Cq32Ct`vCxi!YOc&42-QRSaqbV*rE9KpP+k_tW?Ov4Vfb`R2i@Ck2_DoZ zf1ls1{pB^WznKqeCE{^F+M_=AYjOT@V#FfO5PIqD_S=0Y?1nb3`q^J7Uh#jD`ZAlt zOH}yzjGjO6p5X^7zxIMu_}*OGZ)y1_Lypp*Ota;W)UgP>m(Wcduf6pdcXO2zcI{BQ zK}I^{-xOnK!JD0^hN{UV{Ara~bU`N}abXRiZw-4y55YUI z7U~d9TL)gJEPc00jNQ7&FA#4_6!1zf*|Bu!RI@(WjV|Ii9yeS7EF93e(K!=?6a24M zAfT=6QSkFY!jmU9XWy#+g0Ig0Ix{H!kGQxp#jUZaltOkLL9Fcd+YZG^=`oC;3&5t^ zMmS2yS~$~qAu!_KgurrhlODRjL_;PlCG=n0zc2U;$e7)OIqq_(8Z+V|5 z<-2$$GOGtkq*l@RZ%S&&CaJkO;^n}7l1Dijxpr}o2nN)ZRusqm7EL5N;Y5)1_6~L6^Gy+K9d9Keu7$85 z`p6k@OYC7(pq@97fWCeLU~916*$R;k*mJP`>Bm!Wyko_9UU@+1ksT(iUO(x$*#>d! zIg!@<2tx5{uN>Y%Vf7_ZCVi5qWt{=S)qyw}>v?jkS}^C&PYLFW(3+ZK@%?NOTobdgeCUj*1gNM?pHuwtxjZ>_aLbHM^KG`_R5Tq%=UtCg85#gqdMD$RXkJo zoWb^2^J_vf=O20Ei-gntmt~i*Njd|=NR)CA=osu|i(v^)F7qZ6iL%2I@Urpv?}(l0 zeexC5A`fw-wcdjRr05pRT<~h81!?HVy04-+GJ`x1T8CCgkFR8$d}A z@ZsotXC`SMz}&~XnwRV<&%yIu(T1=>gU2!^|s>NGJYW2(7Or-Mg^LXDdhMF{Q8 zk!GBl&dmrLVo+n7c(N z!hIi4x0;&6d25amdLfOXp@G|cTa*>S&sG!HRkNa%xB#_MK24Ccc3FvF&x=>Mr_hq~S+>4ZVz`>|w`bqZJ)0$jC+ zWeQP)j$C8PA%dq4la$96HZ>xqT5B?|@iO)*(Fd(}LNr>HLr;D@Kgqvx%=Y>?mh0h) z-%~x?;`YEQic4??0kon;tiUc`!44XHw*)u-o|8_#5E6u6a0wQthSUT9eJ1-g)LHZ| zwO6(`(2#TmY|nL@K6X)L#K5JwRo7}_5ey?ukAu8Iz>I=|AE2i3GrMiww9yn=<=I=| zDn=yXMJ!zjoN*1_ia&!a^smpn{9x7pu@LP3H?Sj~8{+S4NcK!Eg#Dgsw+r!*NsgJK zff(X7JcqhVA&*ILbG+)?-pbVXZLHEKs(u)u#o_(_jm%Rx^2$$bBI3J8-UgN%6buCw z4qX4rOiVZ?8k}d&PEe8_4bX|79b1uxlGg%vb@K&Jw}z0*GOT=hr@O$qUxM!xO9V%L zjn0B0tQY!M|FvMoXrLS6$GSNnip;`3yA?WsLDmyrW& zRTd+)S!qH}T^ZaJ>mHZ@HC}AK%<(0n{2_iYTx~hEutuQB$%+iwW(URl4t^^}#&oJn zOuz`wNJV*sVvB3fpZc$}E(T{DMfSkr$dwoCmb!`|zyJ;O9Co8}luZE_9jE7^fZ za89q(`s~r#~QtciX3l{{W0fVpt;AyDLWa2o>X;4CPr`s`M}3*l33&#I#6^@j-1OH zU^{Lu-GFD$h^*I?_qD1)uG93uI5(CdEvm{(mCtBI$=GEZBb^>K{N=QU&n*tFwtV9l zL=~m)7H;Hv*PVmHuHA!pwyVGD%zBw<;3RvMwU;^IRRaGp)~Dm+e<@zn0DF$(<7yK) zx$we{|Mm9EoLaKu29+13h`J-#C?EHHhqk}8pSk1T+=~_PhB1sh7(laiY2pA|p9<|` z0Zoo$=zIZ?=8_Tub(d|Y6$_5b@SA0}L|7%EUk#kUE0YXKa~Sywb|ieM4hr3q$=cu| z$&!c_(`y>Z4wD{Tur`P&j`!jsbS?+}IWW4iN8f}V_#iXDQ3{v!ya&dIw~#4C)qHuj zQO8=1{*i!;dH~A7VIZkIH=JGYtZ&IRFc0)oG|FCj-@H^^b9zeJb8nl1{c!=ra$f-K z&&(7lw$ci&SJxkm%n~7NB@`A@$i1BQd`4&TF0g&JAN(E9y^E~_=;4dr2nydic}{RQ z%P@~i%Sd2IHp(z}DYoAZ4~e|=6KDGdRJTtjbRjA00qXoRY>%kksX2lTCt0j8Zilv6 zs$$*}z;sw{EBm`wd?JPvSm=*wNNZ14ZSy?>fno{Aq7uOXimqul9^8hRxe~*%Yg>ih zs9bYZHx9#5za3#TB>pS!GfA9j@0%*4XBEcRDdrzloPs`oT{zKI($~xfKiY+!ItFQI z{+5(!s(7wv8@3pjSSI+*{$zdm`p~;A^!d!)5{Ed^PLUG<6~@i>75QrycgdPf(-250 zzNW^0|0U2AvnCbr_r5Uw_B7n}XH-r2rv(5AQR#~4luB9uaO%uK0Q<4dV-?^ETQfw0 z_h83wpx_+KJ}#(XjFZarylM#uKXy<$AOt!fYSgn?97^o;`JrAOiY&hwqDp{E#}+kn zAkg4jYdO3&2ZY?kmyFeLK)4hHWm9l|F{!{Jwwt7781IKIcR5P)eIfMyV?ZLQzTqLbP^(a4Y3pQ@jXU218O$mOq54O5> z_&a3CQr3pfJ%&@X$aoMU0|9_pPZ1Y}HY0WqBGQYD#+C8R(`=q`k3n9qPNJ65foO2U zT<|Vbr@Qn{VwdY^<|+BY;~xIjSuj(OLzz%Tdd`1YhXEZP7B-%yIq@CZkSsb1aPFe& z5CsWsL#p!*_&`&EGB0qXu}orXd`kYGu{Y#K0AY^h31v^w_H9)uWOO!o*VGVdD@Cwe zRWeOOiPA7+=aav*+xS#Kq#g`QtiRsUqs(@Xdg zUQXjN6H?<~u=D=7@Ll|A)IyewCja3prJ*=~+vF^4*ug z?h9-p`hQy5;=grKQhsxjILLx+=KieyS{PyEPrR=H&}7 zS@H3)>kK4kB(tG>7xsTPRfT>M&4{Cip@0J**&HY zKN?ek1K1~?qX3zm`i?&tWyZmLy5FiUCZDE(tEKxJJKg>|zkI06M88*N9&Bl!*o$c& zCfDd14(ri=8wi@@LsJAL2xPAFGgRkXwM>Y~8syPJ9GU6Dg%n0A8N^Ql@@bAuLe|@+ zVVgl>O|v^7t7Yu7k1m&*xaHE#hpUHyW*f|i%pOGnvtv`ZOv4$TQ)69j0_bQTJOM+Y zf%b`_tzwko+ah+sT$VZapJubp(!_MY4;!1Yjl6 zY{esOYo_%1BOced(bVIlKWBa6gP}h#oFd(p*8G zq2?4O;1ccIPL(46>x49jq4IZNCj@;z1WH@*Pt5r`>i&gldsK!z34Fify8@{osI2`Q zOBd4GaQQ}Qg~Fv-EcGvj`Ev?XMmgGi@_P(L8l6;eNe9+3RR1fso4|)Xr;izEj?4HC z`*5NP$(h&~SLi9$<&I1T;vL8F%f{#^0jr^-7pHdWv~-tgZI@^xMPU-Gr$Ch$H$&onqf+(=s)dXmdv`5Y zpH8!}<(||!PvceJ^je{q0xi_d-L z2SiS~X+nw-1`H_wBMPttlnov9l2NprCl%3k#3XJM3d1jJLZhr!TXNk?UWU%$`imdvpkx zUIE8OtNc1Je{I-`|8yIvoTByvD$bv9<7kc@?~XNV1+y9@D*%-(ZJE5!)+zMS%j%=d zz6!#aE@Aq*@Dr{mH(6oQh_I;iWkEUTs{o`|a;g!Skg2}sd$oe;-(XxNJMqa{wgDaR zLbm?_=&yXS^K{*ANBFh#MryKDVx!8(j};ddPsBwISAOYf4bY|iNb9WJt-Q?y_PQVL z0_o_+oZ9!?lA4>kGiRf6-XkmKJ!-Xe`Xorp+lM~iR3Hp#@g^eqgAy%q31D<{k?ugh z+6bADrR=OSXRORf)@9;@mA^AcbJKidkL`^F*+aTLoSw-2gd+?8XsVr7pKb z_N>u4-`v!`_c%d2!WuR_LfwDlsbM;bCbdr^m&#~UU<8bLE4U*!PuA^xxOzp7`IqWFc|zJmm9?7y$NL+oe% z%)XRZ57Xu;?e~Nn<|T{8w)JbqYPc#fpZK6JyA@3oKe&@*ULyfnRH6NSxc(6{hAzhd z!lVcsCt+U>5QOJ5&!K7L%8f}mMek*{W-tqdxKG!6|B!8|Q-GWoH2)CC<~A7dW#;@0 zZhqzHU)eepSbiRl$rLeQlQ)k9Q+r(l3wnGbl=~934CK?&ned)mZ8m(bovVcSj`ca+ zJ~Lo?wKcyNZOFqQ{x{G0QsCt;7Cyf(^T{dfCXcyvD&{Fhv<-)|uECS+wd{PbR>t#^ zvuA2gEdq6E`zGo6!Hq8nOb@T^%I~V6g>i!=(X^Hu_uF%OcxE$!5#f{qOkF}1O9Ttgo zpKD(|7Vj)fjT!5DLN^!K*GOMx+;yz+r{-(~;kQKRnfl-@J#d=d?%9L5?Ra_|B=jkl z&s`@;=C{B|@R#h&-w>N5(Bkd!v)4|N|CuK-3m%hbnkJe&@4v63u(Us-SGmcSJlE@^ z)eVC0&KDh5ym2Qa-~~4Xc3i^ocw$ZP?|l?AkP@f`9~2!ov$@OekOo8l^V zn;59)6+0+5Ec?e=kEmN$L!)1hq?XOP0e=PvafofZlGSY28eX}vS5aGaXAkVfa~h9* zUCO->K1#eztm6a*T4QhQPz>Nn z`9K)^c`72CRxq{D&!E$>*jnoyYtw7lwxn(Vtq!gh;|O9<)qVfJ&|-{)clylDv|L@e z-+L9!~pYCYga#k|5bYH0ih+5#(CG?dh{FS{E#%fleeEv&e=JvEP#ZT z0e`OG#uP4G3zf&9=UCj+08F@T-4(F*^0??84rbe?wHxY(4*F=uRUBa=jKN6zN&>v* z%)RW(6{)rE@>7;8#{^bqXqI6^gez8Zh|d7y&#Y!Ts`bJv{@E*>o^Jh=u4ds|OB1Nf z-SNaQxRAry+~`2_HFwE+-@Ky97JHN$iZ4pbdAV< z`tlsK%h~h+HHA8prQf%v@8HZb=IVZWVDbi)YGAJbSgij%0ACtkG0}pUY<`1M`s!`9 zC_fq74Ar`S!ZgFrKZ`nN5{c@oGU15zmsZ7s2a$?F@hMP`Idp`7XqhnsF!)G3INSr{ z#&=2Wp=zXqtKbfszwleDj%60B$g@>PJNnBdaFB}IzfwvY15uI@VszMhHf#AY4C?k5 zZpcNjI`WX=!kH@Dw{KGaQXMwv zqpa94jVa!^Ta5Gb6%a%hAVp60K)8#&4xO61LmJ)=nhmn_e2$_R#*?J6{~E!)EAdwP z$VB5RmJb#s_`J}nY-Zq$VW3x&2B&xWxH@iVWpdg^T}Qfe}BE2n7F1!&aK*WA+-s70#e~Gl=;3E z3i3lr+rSTC8FBEf=DEX1eBA^03C|MgG;8cX>ZQN@%w;9_%HTxLQ;K^oEb>c6-2Ux< z8}bKaiIlkgx09^i)_uD>TP;m`(ko@gzpbp|ZfO1&B$e;k41OuhjS$ZJ5JdW|R~;o{ zRc$*n(2I=~U9f>wr^E$$ida-Xb=h3^ueqEcrU!bF7Bao{f_4D8STB(J%ve*OjHVz# z7MH0~eNny+@!ttUPc4T; zT$i_{iN{`FqFwnwZstJSP_=t?$j1Y(&^~cr$4rbk{sDS|$`g-q3Z^`5AxQ8{lbp5DKw_@4^fw-s!l>tSl3hs9 zvS1Uq@u$Z*!SJoiyS4+xJXy3k_YBBa@SsZ2qXg#1d+2ZnPIxcVgIG(fR-NB8H?b8b zT)^g2K4D?|l6MAKw$=x$JK67?bP2v`6c8X9cKnU@V5bGnI}FVs=ZnsW*H450U>&L) z8?a)0#E<>8fg*@TF<`G)z?2xe{CkD#YYT3UfN@&H#hvx=rmD*l0Xr9?V*#pds~)8V z=Gv~QlQM4B$g|Hu1jngk`(gY#!F!{&$s@It-z&X)x8aUdNOcaGU+8h^nq$o(7EVC4 z1pvaV(sAD@;{}3op5_TK;& z$}<1fhhH7~+1+ezqH@86Gs-LWQcE25%C$ywE^eKfuNo|AGBz!*{n$;N(dlG6%%^YR z`qe#jRsb;)dOX0`UnFYh3i`SwI-c3?(KAD?V?viVB54HYtL-e!f2;@b4?)hWj-ktI zKuT<2n$WZ_9>_Pxs?9gPF()8^5<^=D_xFjMz)9CMEaWmqhg?$DA?KsZEQLfaaQ z#nmV0qB}`hg@TJ%o?Ht1#ndmyD{$X?w}{)hy8)IrUs0nTmmt+3R8|!{eS_-9M}S?n zRzVc2hOtnd*xC)^=l3As9zvr74EGnpEpYZjY`^dL_&)I^bBSXq7@t=aV+#(RHwWkIggL{r;Gy*#u%ALAy?rqGT`k$N3*E}63 zCoyGrbORE)_DZ%-+RXbG04Yj5A5T38+(*McK3KL&X zYyfkj&7;xQMLjT{Qy_z;U@5NOl+exz=l%VN@@&=BH!`=x|H5})9yCb3>!kv%VYicj6knv(V8(E@8(7_{bMTv;ItH+cpudwAu z0(>i%pK>1zyY#eN|Lq)9#SA)b4L@I*fBB0t$|YdC$3Ap|4TCp-te}WlZOF5X71g&t zrX(so2vCDj2{7on>Dc_or1gZcXPIr-)e{om3V?;o~ymcW_`@wc>OH>0pTtOB@yvW5HTg(LsaVaJEge8PE4 zRNP%7F_dr7MqV@LHS3a`g{WqF&uC4|Ou%D29|9ImeoAmse+B%fj&h=FHlsd>;tD?L zIMr-A@Bhq-ZTy)xZr4ho)i~nXxE<@R+>B@#<$z)w^M9I+|IWM$f`04drlE|gbU3Xg zLMH8qo0^kmgBnqITjoGqo}1{ri(@DJubm|`B{l@0Uw(WmbF}dVg$j3aQjz#)7|YJb z)X#Pdq8>uuf`6V7)t?+IOW9`tK0SlP4Uw;t-D8Hz_Qg1e(5F!FLDj{|$_CvVwi? zN7^U8W}*n}yk47Pt6Y`k?*}d=tc6i{Y&^fM8eeTQS~((4b?|XF=p3!gG2y?rCndet8W7(l8eHocn;}35)Z5~82VBAu^ITzexGxuoD3MTd^Lhi8Mhd%f8 za>3Ie`T(uLaT`-~mXT}C3N8%%%<=W;tqdRz2Q4k2^K+J!VciisdQ*Q{VLp~gY<#CKQ?3fre^ zc&5N23ge~K*VvBH%bhZ{0sV)s!8_gV|;3s{Uc`fDmhAq`nZK@56CYm=jcR96xD9U0dCEJVr9z}Xmb z`vJ{Wjq2=jA2Fp(;Lf4FBC+k7*Q(DO+ZF}){)hRlpIyXq!8Y`c8cdg{Y&;2NCb;Jz zkD2|+RBrRf;eqoHekVoPUWJm)nb}CEE}@!?IHRv&i7TQa`z@!9GhuL)J?A%n`fkU3 z>g}ED6l|zZ)hx;EMXfOQ;nfau^%X1~NpP0cxcjY8zWJ5dwH75rJ4lrn_$nW@Lwbh_ zm99Q@Da1F=FeSTi4GcLx>>lvDc3O@t2^G*b-<)*Xyb*Z1e=^8TxH`;C?n(} zA2Yg;ehEUWF~Bv&=KDT?Kkwz=ecUas5dA1TkTGzHIb@kc_D;rPLb(&rk8HCn<9?jg3SD@6eNhqitiWW$Eoe8F+#hpFOE8Szi9mRVP2!2_!|%xXpCX1G$M3DDGY4|dgvWd3)5=QO+~W28SC zLK|R=bpDJXi0+%NV$|>Ln|EGlCMQU&5~cg&q%<0sVM)iouM(j&opH7$yM zxN(WU*dnr)Vmg6x$`sAqe?2C@08{G1=@L&#hBg~3bd3Jq?4<~g)C;Dp*qwdU0DqJU z+W$ZzNHrjG?0)vfv<97TUT1;ul@aU8o9_=Glp5B=5DRayE?dcI4m_Oo@o3PU{m3lQ zWaFI|sVOu*B!1nFx}g02IRtHRokxU=kDvE$NE!a(WU@ncT0WSS^nlgIL%aVF<4LE$ z-_ieAt!?siBKW9!cZZNE;=8wbLyc%N_0ab*@cGsxegYwSafgWE*+JIK3Nq`<5(3mu zf_wu7r%P-yhYRyp5Utm7PwlEtEw|=YM}rjsqOH%i4}ZW>*QFx>9zsXZi#yfrER4vz zG*S5@6^gz%-jXsJf1lMW0B;|sVCl?}me|CjvZXsmp77oLA7zBy$g8k1o6x6nuin`( z3YJ3MsRf$#KNzDI{y@Q_8~fnEv^h4E$KK|*G_d%2INwK2TsK}NBg&SEuk>MMXQKIq ztp1XNM-8Kg%r4azWNN64>Bz)5H}-uhxt8$mB2Q=1`Mo~*s9RIOb}0pwBnFVUsHrR3 zi3+r2Q41plSp5NKGmQ z_E_cme=XebMmwxm9*vsJ)16=m0Cz?q>g9eD-fN)uc#CS910oE?^Z!#L(Mk^*gn{^j zvzmqoNA{Y~=fqeHv`C}lywax~thZ%er%GWDng9xF3$6={PAB#kLJrvi{^K5zVzGN> zeIQZ#2jSqrKPjjL2yS3gm#a2gNO{;FqDk>P)`CGAf0kkBcZ_$0lu@tn6KFUU1u#;? z!{!TI_wP;WsgHPJe4wosKljQu?THGuMjRI}!y6{c*zsD{gATAHLb5xfI2)He0lp6= zr}fW~oLo8wHh$n!G8v;yHm1QHN~IE}g;>i!w(U&bzhK4S`cDVu>46+b zEn&Ue?=K$-5r8cd0EoPBT{n-1n}YqykbbYhistJWIJ+$l;QU`d^Em9BR-^tY>=!_FMqQ|o-#pYHV7Z+K8e-p2;%bXEIO1<{0O~fuv}9#(-SZMx z+z8fGpB-wB!SAk_N3Ili@?jm!6c*{r&Kv2!^*J6zYVqfslK4^9cnjbrapvppfJOol zZOIxBchz6*l*ekXM6@5)TjLYp##Ah`LL@t+M?VNq_W1hvwcY^!tmABW-+XmG%o^qJ zUUR^77y5i1W2wQP2#k$dL~L2G1$dkVj+3H~v$6i=WCS}_*!iwCqxkZh8tw3mv)@af>qGV?yGV?$1@*VsLf#zP zmO;D({&3V={106SjoSgEttj+4UJ(gLorlm8oPLV`fKwu&-N2Ds<2f1r&sW{|`t?R3 zFt2#TW5>%Uyg~4g4s1{s(-vnn^^31aJKr@vx<@=ruLQsGb2tPvt4$+xC@q*126ra!jf;qL6T2A zJUFf=8)LmTu2@xW*zViHG5zfQIDV!@Cs5C?s5a$L86URQ#z0=*HIrv29ZWt5dj-6urz_qt!4Yd7_${4+|hmz)5C>u_}z{TQ zb4748tZnMibAvS_nM8A#X5s=zzMC<4_IsP6v$;_4~$HA_ztvo1$>T%DdLf0SUD z`L#yJY*78op{5?k#OLRvV(SV`x9Y zi>*cV!_5b~u8g1>ao^RQuZcFs<9Jb&hWl&Z=$fsGQwr-p?)PMLWAx>}o(*dgme{y9 zzcJ1yte!2*vT8~19e(W0JUu^ld!r7Z!3lf{>C_U-95KEcEs@0-7Ibsdk9>W{K3e`? z&NKCZMKgF?S*A}qZ%+nIHGv-@lN2y_cMO5-f1dl62ro>4b}aA4JiL+UCVQK>Pl70MJE;*xEt1eB_rZiuFmw&^b zPi%oJ0_d+^SE}KMO~Nj(yc2wJEIIP_h)suXLS#sr@eWoR{uwH>u@(pzJa$#y!6=RA zaE9!G*?D&$*pmuXb!etf7?B(`~s0DE(gKFn= zJ7CHa^4KPelGXpOoiqJs1Kr}dP?XwYA4_djGgA|cF_xqwvG?j`4PtAx+Pa2XVvV5E z>QJ%QpsJPFi4a>GBGZVbv81hxt+v~gjELO0_s#te?wh`SzR%}5=X1_;Ui{Aa zd`>0Zd@gehVLPhMz)WvtVbRmkimTyiR%5@M-PE--N+!6Qs!shgxMVgzhVReQtAtVa z9KwbvD3(&mh0h}?+suqgM=4uWW?B!TA<4=DFS0$(y1&GHynAYsnb2prdPsg{Hqv5FQnKP7 zuBqI8q2o>LMZ0hzwm(~4UPwYqZi2i3K{AOqje+O$Y_}MR3SAJ{&<7|`j!>JRyor;y z(j-#~C?Y0)ZJ%dF;*&!9%=l2F2XoX?<%EiUqJ<;Z|8cBnQ)P!iNZK%G-AeWic*|AdsB)Be2*O@;Y#Fm@nquF_2>jRu z4-iNn<8CKc8 zc+h?9Xl%#80X4NEV4Ve{v}xnSU!qkl) zN+z(3#$#8-`p+xx1;`-yGz~rCmIuPBVh5eO_*rMrBtjO6D*RA zE(vk-H9983XL)@6@#;O~(_>;yFXjb&HTX58Uq8+Np)7_I1I77@>xzrA zn|f*uBJA&=&p~x{)p@SBzbkz~C2y58;O3nh@lZoj6P?u2~M* zAO}42AhrkxNq8t%F{~>pW|BX!o&r@ys)Y>dBjLjii9 zu!s^r|7Vf+!?7Q>Oe>n@xZBcTuqQMIRT)2O|Q<{8&C zK7^ie5L+Fk-;gYNpER^I$1E>vQ-oS($L4b2YV0@8Z~@&}w(|FScGG*H%rn)An34u@ zQAa=UgppN_$q#5JEaG15UFMG?m$wXxcX+60iNN+2=u>tu>ESCmkKjkfjj%l0KP)7w z*e9F?pXO;0jfuDqJ~CN_!!KZ@(PgRN!*dy(>Vr&@Cv>-V>cfScak82HJI7FwwgcUv zkJe6apbbBL?2$8NLjy@p#(G|PF+;B5aRdk7m;Sld?m%m?L-4Nah~C@RX^<4v{8ui@ zKK8{31FtrNU)AjW8a?%OJ@DL7fWochlMRhshzW3O^FI0Bympi4A6Gpb8_(3kO!@4A zdm|N_Vp=#_fuFUfj>LcF zQsGl9kmlr%6CS4ZE!VqH^5dyB5jqO*Xt+t0I-EtM9A8ODLYMK*B0x$gB&8LhtYrtB){mW%pQM literal 21838 zcmXVW1z3~c`?rdMihzm;QbQ0>QIPIUL_|PBQBjGhlt_0iRHUTKfy5*v1w=Y0(m9YA zJ$lp_+lXx}UcSHo`#jfm&h*U80BOhHjW?2d|@yn>v<9eG7Db9*m8CtG_lvp2TRV)A0Z^iWN zoP3U2Dmb$pb#v_4$tVBIj=g37zue^6|K$P4x1gL&ejU3ka+d6q@_Sc(0yps{l3yYO zB~y$NPXCy56aTkj%1_elslK*Ck|;iSN;g|hG`gU7{Rj9yYMcKI-xr?|JROBid#UK` zKwxMc1`c{>kwphG(7@pRDd+K@dzs44=q&AEP}0%kt&usH3uB$$&s5LTik=bJPZT8y zGbuU>ei{xU^d+gTC<$~-*GyIz-Qv33HQ^6#=HDOxMd`dsR(iNp;d%z3bH7BD6 zcVB+aC64`)X6E%}1%4ek?1c=c=9Z`x)eg5ubua;8Cl(EEwM6EQ2@ z61X422dq=-4NV!mf}6Qbx_|U%(?CyC5{~HgCdna)+~taByoE%_ZtbVR=5BkKoaxB# zYSh_G`??co46-v^hM3fT&Mec zeCk{4*ym>-xIESM;lQm5kMX6PcStvzRatVjUb^*Oe-3!fVNe`#XrCW!u9;QB1sPSX z%U9;>ulS{E>3a6LS+&1ov7LEL$|uujp{cGn>+W}A>YF9iV0X_)$?;XHV4g17ygZfJ zReLLy>>Gg0?o$~{B@f(Hg)h^ia>`gfw9%6It_<$oLlb51I4cwx+04La}9BB<(~}GVizdGo~emXIwc~AwRzB z{^R!HRGUlM*ghf3IWHXV(+O-y$wJmBcvS^hg>S5JK9|*SeP-=o<`IBROCHdFWIX>f zIr*=Sx!@$99DZ!h3)fji|N<6!sc^zy)c?e#gR7K^%YWFQ<69Rn+?~T zaeG)vW{xj&1M8W0Mo!2R5m5BI(}BZz2*5KWIf(JIXlQrz@cS-XL5nZDShCVxxYXge zar3IixpBji)`Kw5lz>l#j}@Q1bhcqxpD@~7O#S{(vPRGoai>)SdF9*|^4yT5?9kn( z4ebRS_=~wMhFWm7(?GS|lKZ|*wGR0k-z_4kIwrn5E!$1Z#`d^s>7$xoCAKeGp4@~u z{F3!#9yzFWX?${X`H}x%P zh-f5pH&nde`X4X}|~ zNHzUusOtrv8C1=O;`VUN+IP0+F6AjFe!I2QjFAbA43@PV?V3INNtt9*!XuV#*=pzc zq@|_MvNf->Y2A{4Irui)WJGmQ(%Sc{TtU?(R`Vh4CXUVhlkBCoMv{tX*lrp`Q~F7x zuV71aW&N>zpBJo%n-eG1T_;{HCpkrJhASRaC7dpu0C0 z=obsdGCS}`vyhli_YAr3;-BBSp=73G7_bf24}YAKC#26Vkzeh3+V)}Y&w$QbvV7tD z4h|Z@u#XLQwOtV@i&lzDRsfUY%(-KU=YBhEZM4_^ts0&U6J1!~oFzxNyrKGZzLLeB zcIy0olh4#qNKWu70o=z9s!W5q-(UPIa0&b;_;w2AMcwvlNd9JHn%QURi&h>p_(4@% z>7{Kn87$og}GWNXRIzq6mLKiPX7i)rLL_qAf^?x`DZ zK#+!O5AWq$#_X2FuK_fw>#;oar~dUIE*uPG11RQs7<*c|q5r%0+3?TPgbh3TjfI)f zjc#dt)rLhjx3>FmX-e$)DT^1@0AKex#g2HG$jzqcS$7>+N9#t{Cyuu(v)6HJ2MN8W zu40@Htu5<>f?y!;UDWs(P>4(2FdZyj(+j(kUjDg!-%0(I)6pyW@rYX{{`J@*%YB1+|;1JtuNFH*!Tt3Joq z(Qq5(kKAUV6rIQpt*bZc;V2d9xU2cg%y8%3*N>bJ;2ZHX03Mp)$W=1U8y;{&C$T(D&E@147+ z0UB>Vt3Djo4YMy&3*^?pG;DI2be>-b{3rAJvZKS>v*O+$r+5 zD`Vpt*Q{lMjKS!~7WGfM4eKHCh@FSd0Ak8<8hq&NFF zsdpsE(rp>jjnQH;&OP(<36qkSgJi#I@kqFZ`d7VQ9Ji_}8|`6ymtPT1NP6g)h;I4@E;*wVUwZAntO8p!!^jB3!Ge9!6JT9~MmtZ)#u>v1FBS-?XE z&nIDv?w1P>1^}-{XBvNPKKH#V3kzlY_jozkqa8$&yRcfrK(s<&pP?4+t5ck{gJl7BO54i)!Ui{BVh zbx-RaM6W(`Z|Y8p(WwO$7%85G2OT?nn8cv_?2l4oX=Z43JI$rEEJg#1UCroB&gcMGD>eLYQr`=;q+eTIVzKMTV z>7z?LtL4;_^jnXGP(|bZs?)}`W|DI*>Y7UfRJLQo+H=C%Z^cZ6OjzkZ_y^nOlNmO0 z_X@9h@#)X`wc}OgGxjz8*J9}g*Ht*>GAfnC0a|wtedD^P(I)!9;A3J9`pf88fr#@7 zBbG#1_}`-nDDSlkfdv<8vGL+0)v)dE+Bq%tp2K$rA%nN+dM8J>uwh7-ca;xMxPB@L zG1PRZ6gM`-zr1rzv`JOC{!wk{tCUx~PS;H(mreBdmx-C^WqKz0Ls1EaLC9AT93?*K zRX+lZvuCA#BD-5=F?}{?xo==lzNOTHP1=bs)l4O}V#L&$7p5@H(^gEmDg)-LawQ*v@SZ^)+y-JUS^}EL; z-Sq<_jiE{(+WLj*eHJMp!WtT6<4C?`T+o1?$-^{7>XORCkO3~2&xx)U!>9*7dCglT z45gT4ywzvZwzd4U!O25{ZjTv}=XZ+4Y}1_=56u1b4o}|bepJwDVBu*jSdumP#p&Hc z_!*;U;Ub}@2Cd7-?2p_<_5Znx+n*tf=+{HU2d71JN>iz7GY{ib8$z!NUWB`yDoG&;|epHSCFtbyE?lFb`ZW3`1UU8n5`~2%<=(*9WYR5*F zQdL~to+ZN@xn7auXlq}dq*W^(2#39O0yn$6IA_&S@^?cE^W6-z=JLz0bW3OES+;&w zRsS&h#O(MakD9>Ej>~FX4>v}+#$1(c74COLx}D<1&vCE5X>!i9f7g-9IuizDM2jt| zzB5bZ90`|y%Gs7^;#=6+m;F4RXmrqALv4!d%oaLn!!Z;7<}{FUMTFhUcgneXG+s*e zdrr8Kj)ElW!IvesQ$I3hM~Lia$54$)?RGjr-ZkI0-_lz>hy+N5ASEpD zK3@k~l6BmOKC9^$14-V^4&QC0PHpFAADQG`kw9AwwlP1m#NCgX#6(xn-0$#-V9nz6 zP?-di&OS=eR4n4Y6Rx3oUu<=usG=Fb(|hMccc;&%uqyGJqYD)lT@9~fQp+P(1~KP z$3ttsJW4*y?eM5u{5so^kqTo;Sp4_-J$9Q|I ze%1JxmRIqZ{MK(y=Tv|Am$(-wb;OeOI@(p^geu(KZgd_&o?N(g;Spfcgp&KyrR z7V;8U(H*C!K#h7+itWY|cR}~7|C!AkXc}r5pB=eTn%a{XgO6r_U}h$j7WR*a*dOCH1yz+Z^YzFg${tLI!Sxw9h1t8QQAap3!r!f4SpXwRDZUE5^B z_@mS3e*^k$VVrSC{^8V=_f2=)T)+!igm>ML6k@6%Jqm>y*ZR*P_KNYWBz>i5-1CK&PAgjt@AZW<+Hh>7{c)~O=TXuZiU!KBqL zrHcA&4iJGat&pddA6OO)zn{v9_nl=+S|YrdA$qSnSx*@eO|>p9wH0M$Arf$RM^8yy z4I$46j<6Xrr*2cKAT7`J|tM$ewtHx45y1Gv>vbR%%fhA#DuNXE+A6=6?O?@?!jN)d%%<#+xyXTtQ6GuAw*f)XSu1-5&8gz{ zA~Wr#$!bqDGkF@UJCIky@UpIidX3DuAgY*v9UIVAY;++PsQdQQdAkVKqj|DTIf&Rg z5vSql+#Zfg?dAd8>Gs-8>t-P&HCM$6NQLcQ&R)V?0*kE$@4@(uzBXINg%T?VLu@(4}S<~57%8#H6*ub>Eydg#**z9WBlEDr0 zAF-Okvl~m5e&GOt(j8KJrNr#(GZYF0&YBnnlti z9dRkbRUgx53M_Wu;RU~%yQR;(jc`0QQZhu;ihGkbpp|7SHok}5dmGboAnsCM-RI4ckzrYJkjHAp9V)CwC=27)M@c;kk2-K2 zDJc9o$RLsUl~^h}aBzQ-O*BN;ZU2hkK_IOnv3#i#S5k$^hAzTASJCi&iaD!+*vV-d z^I%iMoJqg1f|gO=Dz&-5UTWXJ)I@C#R(VTZN>i#W23=!`u5DV-_V-9K#N!0LQ05OL z|A$8na+fz>OqyQ@JqZClT`pf+3Bl|H4y9<*`tXn6iNo`0eFMeKHlsPsa~NqL|4sM< zKl(pWW>cU`!bw1KX2Kyh_YyF@=8yh21TzF^By>@88PX!nx^kNikn5ee&zxOgdGACg zo#e6X0J#&H226=jGH1LqgOy^^)GUf$%?cGXEuIZj|B8xfcF{kZ19YVvrhsPY=!5Go zwBE3B*Bcbiqke#NnJQ>iRSU}Kj_#vx%Z(ncEADx_>UmQwd<^@ncv2uLWN}6?X@(;K z0Jc?ny)0Ff!^&r#qt+IZEOyfhD2QSp{AV|!9QuSQK*Pjk4EzJ%FaO1Vd>LN95w~dZ zQNHg5^%+C4EZ`wi-RS8~lfJoc-%_ zwONsL#vrRr_1sDC&9v(P?^X8bnV_S|Ij$|EIGb7xx0w*1yH{qM3|jS(&l>erJC}*jkrD;vafwbLHHutU;gBC@l+e`AP@^c)I3u-89xRmMF zkSOZ&@v9h-2!oL{LuTt)K)g&tzp=`(9et0NO0PS3q-EM#($P`sCvhmORH>UMec`u*=vw zjhm=bOqm9JWHXHiqmkzU`m2+vCa;kJ(_V z-<9+1NAkeU`qEuw?>A|8E=?%``mkKQupSSPIoXKti~^}W1khB6g1$?h5zwNWMPV-JmzG*BT|yE^VhTP z&>khRR94AI>*0Hq zz{!uLXILm1Ixz~oO4i;1xAuj<=KU<8Xih;E?4YVU$aSTM?KqWCH1Q9PQ+p?2k1y&h z5O*C?_Wom>4R{0ku-5wrIxbLJ%$*Ab67nAzKz)rfvO$>1GvMpa-dO?C)@fqT!?56ukb`m=l0@(n%I9S!>UlMxCza4J9*4D? zdqP%-I{>@>9jwbZ#454;@<{Nn)GuA(_!p|E-H-3?iUZy{*fH%aMvXX&4$F$0o4!4^ zR4BR+8dpR2oBU{3GoM;xvn<(1T0% z1g<}=SP%}y^+ZTJ1~R3fJA*~W$qWrX_&3Vlq2Vp^OVzI1l#pbpIsQ8d{&3u3SeFe< z4}Re;%>juax5eNB;)dN>22?^0OM?E?b^tkf7P#pm64ei8uOKM>A?Pb zu+1jT3Cm_V(KxF#eb{`XiXa7@I?TGf-}3$&o-uf73(CF4sE)I6rIp8`jT!#oPBjPV z=D+w4g4of)=Q82GE@su60lMYxsG_Sjc(s9j81(GE7c_Zd+5vLPrR#W9>v(-d>m0_k zvYC>f=F0ojcJI4vVo*oPm{})^ZOF-%pl>;G*m=||JpZ-aID2YsFx*WMKsj#jJ_4DS z9dRM7oBu*!8~>24Y45@bDR;-p7#3YJWt_!A?tj2X(A0xxKYK>*(WBNm={B36JZ`S# zcG?FX46wH$)WH2NxfJ|b%kH~mIKU`$?#wW3#{IMNQzF`A0s;ffwz{7l`eR@zrMtzb5WO-Tu@>g5`Q~`o5=X9@7t(sGQvOlX1=mUo4iB zP7;$2i1{e>D>OC}?@CI45!HqZO|`q$roDoJnm!{6q`3D#IcIti08z9Pez_DEh{E1} z34HTC!)AZ6i~6GTYc8n&b919iFco^Sl!ug}v~Z(6{K!5hm0TdZhsKJb=o6vn{4u6% zemJ8DsP6)sFSCAN!YzbUOs1#()jvdI#^|8EA|u|chmg93_;lm8PDfQ$%)9H5{GAKJ z?=~$JSXXMABk)xz)8cRB#^d@LbnD_%J5wAGp18$>so2 zTDhsW{H5L;`jzROCCC#`M^1oW7Z}H-FrSpkwX_QPG4}bP(6nu4V#y484`Ck;1(je; z9YKPAkQbM>#xVSl%F(;hZhl(*&@3sfkHpXaXY8w+f(cFlqGPlgdIqoceWZo^+nPcLivb|s^^aCOR3j~v5@ z0^@eo&5-)97P_wOc)mHm_~Ku%9+Q$;0a`XrfPuoC6G1z&d=@7O?8*= z>CYX7a0Nxp0kM&^cr2P&{SPj=f^=O5pJMHzBL7_n1RWX2bJwfj4M|qYB&9d1cs2nk zWO5YP{b`pX)er#}?lW=M8KGH(R!3gy9>Zi%x{J4$gUjadKBrshOH{ksV#bc z{cG;QJ5AQ6@?U4tn}p%u1*$d$t?L&1a~QP1rt+A{-rjZKpMW6vvY<}~&{5b``dj{$ z5J#(J&SoK2Dh>D~339`fy5kyR>!!_1#W;VIwwt=owETdx)^4fIM%lWrmz_phOy3RLBzN4W9^^Lf2xBO=+eh%-{WEhjnEBuV{4dGYWpVmv zf(S$9tkbh~*x~Q{^A-5UhOR%URLR>^fzV^z}%hJ}s|HLCa-3$)qJ5OCit8IVlW}O^s zG2Z`jo`fB{hpg2(-xm)qS3R#zwK*CU0hJ8gc&ex~T~$VFdOjB$_pS{qmqp4FoOafu z@U#$KmxGy&Pf|8#qo78K@T-fsN-g-v-b3(_AE&pHEtWSo*OlKh*fwlQn*M)Sluq{@ z^z1L@0+q=eg(IrPSsvhI8uDy#tK-8X>P6iLTjQ)&#g<|mf5r-=rGO4-@CTnmD-*## zcj3TYoFI@Ctap><%w&ZCt(G3bkT+?Y#D(hlE*jJGP1*FJU+w-|d^3ctVX5mjA9QEH zz$mww@-?EB<|Tt#Ke)sl`$e!x#?_^$(2lwN z0R<0#xl_Iq^=TC6830~AeqdtF;eFu|A7rjz=cN!`CII%cx^U;opFRptB#E^>hgZ~c z&|G916-(bReZc!?&YN+jC9_YVM)=f$BFKQ+mWmu@Qcc!A`C5;|+j+8|C+^>^zIGnz zngWUdNcF3w?wllfw_R0D4}*e&kI^|k)lY_fJEPT6{%%ueEh_ww8Brbjh!5Gu_-wq4 z;w0{b+Q8|9PeKvV7BckmdzK>W)Y9{gHRycJUVW@^7lCbZ-x%GQ%a#HuV-c~17rV;W ze46A8sTT9h(|@@P=F0Dyj383zJnGix)bEyck4xTw_xIG$X;#*-^UU%#WVz=-A?ffX z-|!1X2i_Ir7YcRKhhfvf-VBR7vcYr)bK=)hk8IQj7W`SY9I}A0%>b{)I0ajas}z)M zCPZ6q1Qfg!DoD>l4Q~MH_Ip3y|0GFNeD~n?>X-8KVN-wdRM}%1)BXxXd9B$d* z%ceFhZ)UNTPbn=F{SR17Ds2TYX?lN<9V$~nPGRU@c<7|~YXY?w;K3z`9Xj9#$-P>a zvkdwWf#w^T0oMTmW1g1RXnpPq@b5N(-*!Gf-bEN+KF%7(c1>`(RwIz$z0D07rgs>r zR=Q-bgxSdZ;kI$X4K3V_VDqwoymEcvLsn-T{N^WULS`~V1eq<>#hOhVmhQniGwNBl z4J~77{p|sJC)Ny_*O7IrvF^jUC{%L)!)4Z_(!qh=8^-eXS>*KP3TDf15-W{_)aC;o zRQ~DLD#|nI84W;E;FlVNpQ_|y8xCc3NR_nedp|O* zl|r{-eb99yF>h5G_pI@nRpJ6*zS7fQb)kw1Sr}qFdXF7irJab}xw6>C^?!nYD_=%m z0&*s!M3OpqD=Z28G`6>uQD1nz7080a9YwJG$v^>K!5xpI_!I?ZRsdHH`rv{)S_7MM zn>Jp$`+FxhP_-Kbh?|-VJUH&X+^DJqBVkWrcrG}(Ige+0F0OFzTGXdz!t>2H`E7H# z{Ktd+%^Ch$l^7MszWZOm2;l!~Fx>X?afnZo^|W;fSIiI4=nr%4go&*@np{s?CvHOT z7;-j+^%Hvnc_tL?bBG>$-_*V@xI#HkxbUlYkp0i{%L)3#fF)t^1|HSZF?yEqo1JF# z6mEO9cYYNoDC3ni_lJNhyGh477pmT&MUOTX{v%`(#&4RTt@K6t8+3ono5i&$U>cc5 z5H}CcQtuQY_vsVQX71{UXF@lXP~2@7wXI2RASeIjgUt^~BjVCm7hk9DO6P2AP|M$c zS0x@8b-fCo3aap{{JM<^lrFv)E~SB%>{kPbeVfH(BwQch1#P~#5whiej7wh0-4laf9iNyD2fs^oCR$PD|kI6N$0sN82R!n3Ys zb1wpf_@e?N1l3F^2U=Hgeof!JplSM%Nn&1fN`HG85!|^Vh|#f|!+WZoKrfx>o!91^ zZkR`Dp*%$e^XoC?4A^?}BSZg$|vgcu5N=JK>$9Xq`*o+m9a+O7_zElW09%T%^% z9$mPkDodzyPYeGN6Fp05Y)itZ7cF;v+amgB40b+%U*_q?mRQ(;f3ZWHbw%^s*9ktx4tWk#&xF@Zto-z1*gLN^t3dE+ ze%eo}qJRy2Yh9DfONX56^sm=2n(Kb7><#}Zq_hrhh7K6sH*a+W&7%W;EBIVwRA2&y zA87N%4LZ;lp5DV?a#;@!TZ9@29~*p{v|mtl3;DF#_4CB#KCla-Kg#M|AF=xEp9%g{ zJ!T$ROaDr6n%Mu)B>(vC`n`Yn9IiEIiub&7Ux0FUGWElOBWcsm&T2)ooe+vVmn#BF zoFfa2^C$h`t%%0C4BB;hr4DDdR&BCR?AdRj^(@aS?k~XJJArC;aQ(W!4lYj6-cQTj zJ9C(|zs_v;H6TQO-fJE;IAZNK?>jAyhD-7RI6J!N#4px) z`tr#&Zx4)T)Vr{QpG{f2NrQqH(B$mCzuz_`7nWu2IC z?jR6kkFq(I=?l^>&PI}&7fpkhZ+1t{bU$ghlA`~7M)7S%mb*R%lOU%w(Lp#M+R%9x4P|#XufQqL@R19_*Wt-bG)010zJy+xGj%hqP=tqPVZ_~* ziPFgF{ZzqSoi@6JA@}s=^KNw^ugetjvW^0Iv_cfU3Ck%@Z;FMmO`k{>3d3#$74oR0 ze6KfppfK6Orww4uH{-s5Ggb2Hf+X$a3_X2CLW|tGG8f$aZTm^PA3H(WeEn&E2FmS^ zJ#LuYt;+2#03lB@P$zzpDU}Vi2cj!1vwj!=A4GwR!pEOe);0+R&onnEWY3913y=fP z+?gvSH`Kp#o{xlolGWRC-^^${-2sS|1}DDle4wJr79KYm4Oeor+wF-C6lVUkYkk)S z^E5D~PY#hQn>tx9cD(78{DaPP_?4fZ2Kz|6KR}PUkiRf@B zT^hAr03je=-1Zuvo&pg30u2)br)a*Bd(uMam}D}sLl;g|KhlMvlPIk4p;d?|Ln;Hq zgkPky{FRB0zLFfYGv{?wHji0QnTm zHyXFl}|zPF7>tuw(_uB zrE$FyG6U&rKVL=z&8X2pC({cYWqnh3UJI{y&k+EBaHpf$J?hl+y&qBS>slt6*? z#F<=CmEO7T5jXa^gF;+oGFi0W*2)bj5z&4RBMt zp(4?fnB$6gXWH0ud=?%;9J{!G<^3)Tur#Cm>sbIpRK+BgU>CS_rFT+r7lheCiQwFJ zfZ2z`$e~(h1Wf;i#^5hd(?t?-O9Xx6o`}?P{L5W>`wc_kU3$$vtMN5Y3qXC4`gigz z;RX+T}Zgktlcop(gt zPNrXWKo7qoWToZ?Sv0fms#Q-7hf`a8MW=ud!_U<~wft!D`)?>CDy{nBO#J}$ifEy7n2`PU zp9x288M!Gv)n%2lD8|RUKu)P=kCv#Y;k0B zv_Z^je2U#*q_}##d@h~sc`Vnu_0ySaMfFHk!Z+_NV2X`=2_sg#rMDyIfJX!YxyT5Tj z<0G0fva2w!G1ai?z6CHIe1TO3SMG_U|A3F}RMHfTz)tDQ`1& z-^;6*A=7I*Ewi611vO;-p0LLhML2%3MB&FqQ8^j^)y0m=8t+DUPsJM3V{P!@3cwHi zm^Js{l)ug9s%tN0=q+Ne5jI^ig|a!`uUjI9-lrX3_3+kUWXBE$ywGq%Wb!=30Vs3TbGQtB+3Hb*PKPp5-w2$Szh)XE~fCTtS2 zHq7;rQxuSExdwH2nk5|6UJqzAyP57DU3Vn1CCo`~b7Zovq6ZzklvZPF&iXEW zx8LrlkDPN4R#zWm@~sr$`3|j%O@G$z%0zFDCzQ(TVhSb zUO+|&F+l$$+-_)hwiR4k>bcIL&TH89NM-Pxbbh4h-Y#~Jn~&B%v|+7LfmyhFj+r*k zTa4a_VK!NbcM*?(UQyUT`J|pR()pRp<@@M&1f3zWONOPQdK`ALgLrXFIe9@-MaLWA zR0MAyXhujr$pIpDnkg>UlmS=t8v#=@gHRJtd9GsCe_AJA`}YH;Itcg-8-4N5-K9yS zOOp*ynvLS$wRK)29Jhvp*k8R==lIm}{Dr2oZ}slxul+Razq3=pOlKW%P8idJpQiB@ zyCAn`!^U(UU+Xz2ZgF1cHl9$j2?fd&utJA{qch$q2Xczjs=HMqNQZPUiD2TP#uvr4RLwU$dQHj>kPg+n zBZhFJ_9#*2RV6vkP;AJOLk1|MapUVKsx6mwnM8WYrdZ$s8-XTTy9Nx#8E3X>_gNYm9Bs2Uj7zm^8gmOyFhXix7R zoY|?k6Tpj_9XxcMCJ1C~t+<>w+!^JERfk3_sem40rrv`aay-}Ovn&bFO zrR~j4&w0L~1Z;bDmMcB*1f(hoU&5%zs_k%n)n9~Wbh^sgfscV8mj|6^va%LCa~@rW zBk*4e?mGH~Kwwcg-s_rUYJb#BwWsGh_saFDLCS8za>T51SK;{#j-z9v;oa#sP_&)U zn%B*pTD;7kdrTf^^R;!gKl>L|L^!rjWqQtQuPGkE8Gr>o?kLD#-~ZR7uI*t@H{KQC z0EVmmf)*|^YI**cD2uz-$x(q*Ew>d|OYL)^nmAf5=u@MDX`X*9m*5<+ zaGonA3rCpWy;y4_-IJ~kLc52WqiZPS>E30+ck-Q9Ze4ns|3UTJ=UwzH$V0h@c$H1v zPPva4epTRlLhQ;6<8CLA>L=N<>)0w)mWAW+Z>%IFGR80Wfx^5Rtkt-eXH*EhzKvRm z8`A7Au9V$e(TFLi4bM4C)DsT^0nu6vOfq6(AHwjw;PN2vVK5 zII>h?xxk{24}YD=S7^zRRqn}C+GR&X+x>>hG-Q?A=v9~3Y+jz|*d%SyM1(QWD~iwE ze{DXP=x~2C_`Gpd^(dUwBKt=^n1@7M#xF{Y;jRTEx>Y2_J*Bx7!m#}#r9`; zcDcz9aKx4iV8dtkokgc~_!U%q?sne0MwRywC3Hq??rXC5f_~+28BTe^_sZ5{WlrOQ z`_X4iiuKMjgH}f>)Rxiwyj?@$(Ud#Q+xrp(DYvw|hz7OcwT z9`A&&>*UZ=;1ho!;cs^@){#ZZ#ogX zJFGlxQJ?h~E4OvRk~%#H;TFm{LlQQHo!j4zJQSQkL>ifyJha~KyB44JC;Hq*^`}A} z;tc!r@k0I0%3}>Kp)Z#9ic%C)4)4RpT^vPx=d{JHcXNtB8~|&TBCDDEC%ET@ z8h{ApH8N_*G1$dh5xO4&1h+mA%GX6oL0XPk+gRkHj}NWvUKzqw4%y=bp_Icd)8h<* z8JXCN$fd_PS!J5XBU<}W@lv6;P7IKB3i>teI28Cv-$;|$}U{2><>Ls5y(W_ILk2k#U_|)_7trB;PZ4Kvh&<2l?PRhbfa(eCr{Op?J#;aL&E(F06H$VM+oMs*T zx6JZR=L`qVG1rcjP`FDtKX zEGwEa=FaUkHGM>C7`!RPIe*8RTpziNmeR(aQBOuS{bmby@HRy>Fy=C-6Xjikg5#pOlD223hmZYuPT&h=0yx3G+@tnwR@NLKv9cP1AMw7@-lbf4v>eEVucHK#} zrGG%H_Ep1Vt7k|zgsYgN=>){EZ=X??n2Wc*vclqiX%)p5eC>+1=y~z|Rhfypaom+F ztg~t@0>4%Ir&bt4L>n!0S0Ro;3$N;|-)?5>e}BHb?5n`NjQ_h=d!>BE{XKtK%%VWh z8zU`v{_ZG#@v!lYz3UVLre`DND#xfSv)&KMiq)jLiynhpH+tN@2)A^J`f1JmxfGLQ zJ++UB654fnkC6L>DnofN<%efPexU`kHv{UfQo88%DgnI>=Dq*$B(3gAJ{51{M?&hx z`@oad8&i^&JXiBmc|wqQ@slXk^p7whA9a3oLUnVnZWr|Y$n-t}8|ZS~;?UH*(L>}D zO!dj`%OAxOrjqy^0zd4OHgs|s_J*xnofEfxe{xd6gjaJd#0u=gv&*{BndX@qM&*w~ zJM0H>np`7+_;*EG8~p~_3wk7Ap&;DeC=*Up*0L&3d&+)_zl zq9WOnNA|7FZpd1SkV;}6Tak6_%#0x-k0tvk!z8lr`>qEW3X?1|Oc@LYV{C&ln3<2h z|HSu~=jYcC=eo{y&UK&n{eGWYjI_@)M*miLL5=hmwAQgoC|vEx^pY_jarTba*_x4i zvxzJ*T9#m*xG`F)5g&2qrj}Q+W$)`>=RUtzC9jZRr9kouHj6wCb0)l4x zRf80#zI5j~gj~S80mdOG=jGv~CqzrA4+qmizab%X{p>Htk{z^Nz>WQP99d8y6r{v<*m*hXPwKypoylxoOJlR7>_mdhIaYpN`o|lS zL$>7V0m~y)tR6VG61`%`q=KK{XgW;=u6K%<`3)l3BASTjtscL%R>4kaUzSxCp4aad zc>QBa!p>qWY>3&SwALoyOUccn8AvA_-KtH$s!#{hUDC)Pux+!P7PaLUk?|B?+`4Vx zx7Mc<#bD-%<+TEH{j5E%rs{zo*woA~82a7Gw+GKTBN6B{zizodNu}qe5BunYlCo6e zKAkP0pQ&Dl+Xq!E4P;VD$o0m-ZOm8A7j>?BJP~`lZgmlX*Otlo68uuO{fTqggrDD5 zkl3;UD#R#NoTrHzm+CX@c54zKr8|y1?%NcFzm$QgisIH$MmZR?9;@pcY!XBlnf3TJ zt1;)ZZ=2{Q=-IpHHZ-u(SD!qxS6SeT+&os(bQ_3NXFPB>ZUAV%{9rE_i=seo`*pG7 z)9W=bdu?YKH;d~#SC_r2wY2c%$uobEY7HzIHA;G3)#;Hpuz;4VB#JVanzO2PC&CdO zE!3Cjbl7hw6L-`Bl+49OhK9u-x6P4VpxNgaJnkRRIlA#RqW#85>IYrg+4M=i`7eZx zrGG~Rx#9qP!@D)4-#DK-+Sz1OV3@Cu(vDOT=H=zhxsJYjUr5zBj39J}iZEAHHj?$G zk$T0uEY&A=ODy~syYI@?I#`EmeA_3lOe@0(m5eYLF6hQO7lEfcTZtmgKpYnofN9xn zsu^wzKmY>2@@7M=uF3|LB(n815;{5)F$-ECw?-HyqOo<+NQk*z0*Jr9|$|0 z)5ZF`)AMydjo+tdiM%kf*|p{41qB%_FMt4e!5R zU2OX;bS^WKPe*TX!KJA{fPYA=9_blFMhVEY)2u#~>-jLoObd;I3iXVBg6<{YA8w4? zW*TWC(T^6TJqgi_mo;+V@>S9YS0onwd@RqDcM6*?TkwtKh)Kq|IDhp7XR{>{l)yW( zC~&lfN5ld}-O3Ee-Eg|NjO8|*7Y{Kw%uh3Vbd$AsVLPAQT}F6^`@!StUaXZs zjjkYfJS+d*i>_BB&Ow8=E*0G5p(e%y8f;3KXJ{Pf>G@F(KfxD=U9QtU{)V#+W%XYg zNEKyhYlHxg7IZ}5J;J@MGnM=<$<_HNo`WJ`M*Hl`mEN9PUj`AHL*H92VZkWi1Y>ke#+u%wHUIm`lrR!>b zAG`YvHf;Z~d>z{y+DYfbb$5nN;-ioOHK=!&l3Yr0vhN4mh7Bd3jI8W#78{(ZmLz-{ z${unq*|_qf{*V(QHuo$NX`$`8k|MHV@nDq&t;S53IXr}ImY4i9Jat&~J8C7h#1v@R zA-Mm&v6h!_!_v>M@geGbEqK`7aU<2S+moW{*XFAC+NDbRApBYXe6KH1yX|H|yRvE9 zTQG*7yi7Kh&JC1YZdGRlh)W9lDyv>0^70S)f32gfq%)$$I>>*+fnlH`K(#5E(~|~EbywLSSbS}j%IrGl@$1N}I6!11 zUaJA2wsaOZIk1naOm6HHI@s%!tfz6OfC1kseqQ$hex)V+a->K6fPw%RsayRRVEzn< zO?$PPC_~_GKTmqv!pl^UgVhLrzcx=*AE1{@2sNhG$EFo@j|J5>#}Vv*M$`!;qs}l8 zui|s^5ZH*T6#QNr6*3NyTXj(ArLg0^Z$QpP-rmzI|95614B|UH-+!hgKzTlP-Lm9c zhX7u?mQh`e$NFOP#W+74!Y;Zmq|Iq5>&nRQXy*f|)pvCB`W;%TU2|S(5hdR#1Y!_l znu1cS=a7grJNsZYQQz0z#-NcredfgK(?gkV617?H=+$p=jR0sl%58Vq%x$YN|k$07Wy4qQPV5ISE&Pg}8`$j#*dzJ-7!9NF4_ZKDl# zGJ8G2zs*rkyR8s_RDZO)%7m0Pv&h0DDly7OCSNj@z)L~D4ow6|2!+T+%O>we(Q4yfoZfNk5UpJBNgj|Div(Mi@%A=Pg9z>Oo}zd$eC z@O5t5Z6slfv}75dypplG0QOQY$U&@LmQ9nGWh~S5Gig{yG~k#2#UleU-5FO8=)Wf_ zVX#yvlrb;~-e8~TdWPBQavTSftwtkW{JxJ0JQAjp*RE1n20*>TIUVS%NggpQ@13^? zW}1x6i{j%$taZ2-CbX_I6@H4{So9%MexD5^YdVhPbVw*V9U{^VnG?29zluG$3j}uV zb}Etomg8rnn%6J<&n=YZ)%>Vl>40oRKs_OSXj_z3ojklELD4NU;iHFv7>!q?w&7Ri zLNe1(8*;vh4AY}qy}4kFA*`!_kwi?2?x>Vixp--A(ecpUM#o9?qsk_&b$Wo_ylpRL zxnFU~_VOg&#KH!s%d6pcY^XR2E=sl_^5D>+v4fw%WeSulrwxMv$Xo&mXO;?j zJNjA#i1*;-d(8p~W!V`|t(=YHFBWVFNed>4Qw7NPDims~rZ?^>mN{GhA<~Z%yFeLF zZ-x(<{~>*Cueud#Oa8h0hh#ieJBYAy9$i*b`9lQAWsIu6Px9CP5G&`e%ZJAC#s%n( zKjdCT`Cj{f3;wsI{~enDhimbM+LnC$eVUDp#R_GQv9&b2V0Ao?$o>@_Lm=rmZx4Ks$r@PS@7uUpFV{e%&BWi-v z?dT0LfTVRr_<^=BKIOJvk3t?j&ZYwu8W)O<%x24~FISFpF7~G_HpTO>m26^jsy~{3_<3fu<*Qhc zjgJl5si^;bsfm8pv!JWvSW=kUOs#9H#kRC!gBsSmM}NWNdx-0zdWs4*k^SyY`A6s7 z({=KZs;>hZg&S{tx$|1hQJfXbY3HNcllZLeB9DwirmIPQWJb@Fqv(c#;A1rz^$Tya zZrvF>Z3k}&6!IjQI9d5vo4#y{)~LOmj*ratK6^DekUdF5>xuIV)%%9O5@X!iI&mM? zBCkIWp&y1rY|cOCSbmYZ9>rY!1U*<@B^;E@ou@YV$>}7rk8^~rUvJd^Xy$pIexkS* zK}Dq!v#yB!iXEy+*EW+r(!*nQ-r*i!!T75>8@a{FshS8*Trqp~`EvA+Gp`Ha{xAFm zd0n-^il@HbbwWtaJ8xf7Wqyr1d#MZG_eg)MaCrW15_-tWaQaRWdrhFq7ow)gn0~1a zv4vlCQQxu8L{Ty`&E|`FMNoIi_f)c)sr*%$NcfEiAK4QS;gn*`RcqmR4$m5YSj(B< z!X#%i^UQlET(`A=?Gk-c{4tMgr{x!Ng`R|qNB$Bkf7JHS#<=V+w1MjGV(07LTneXX z$#~i`qb$p;-e$Hf1h1%S>4zADP3ZdXdvHnq2RrkL`o%osQVyGSw()cA!TYo2+OvG^ zi;{My!g!V%Y!kCKm^j~Yin-LSSN?B2HqZ6*RIS!sdoe-D=@GSmdjw-}&g4v+7zL4@ zkbY#?N2gqVV^!zUM)ROt;DVfXGr2rQ>7nkL+_j$jWaFYdd3-3GPE3E z+*JBVs)z9V$4n+0Td;(I&&N}bh9R{Er{U-K*Hvzg@XQT+_nPQze?2&!dCFq_44hgS!V09^56kJ1ikM1baYmCj@tQcXto24|jXV@BVjJbzA$* z*R|a<+ciBkyVE<{<524urw&9mLqq*{2ypywf^x(7AFk#7ANGPi^+(Dukj^|)GB$Un z+J>p&CP!rCXtz*Bm{ht~nwcTG_n;%6_?+nm8(&bXAV!VKswp?_ zqRzqWz~`_NJ&LnvqTclp9zNl*LqPLjPRFKo9mqTj)*EgwQl7tuECQu_bNu%=Z?Dhy z=e(RO)HGr}&SuSAHG$|5 zUx0cYhHpe{uq2;RRvX=_moqm>SeBeDHix;OPfF}V7WT!>!)G>x(vNB0w9)PS0VvZY zqM~^p$ySv9gzqJ0rzH@@(wCOm7v1FlJU~M)C!&6cNGiGrydjrzynA5AY_KQUbb8#C zT79?!?`UV$f8Uui*R%3nTsF5YIXkY>W&?2MCz-7_>U*?W+w|sUMpx#JKTMeAn*@YI0FnaOr%2U-mlh-5dM{&FmQ?A$u|d-J+gI? z5=GJDTi4XZ*X&944Zr0b@QZQPkA!LW47ttf@`WOcpMI#sdd+0<&fOiazI^H7asbw7 z$n)1bE2%}|Ez~;GoaWMc%l#TW zrBN6blse|A8nOQo*o~O0Ji9kp{t^d#?o0g!>G>tdqtDaqt$&JkktGqrD-{sQbn#L| zcyuk~B|;)nza;`=(0kpmSpGd z@OBYDn^qKY^Xg9q|F(_QEulQ6NFi;WqwsTM^EX$Y|2!ER`^vE%#q!~5pTChS#R^-G z$XUzpgdi>)K_(&>PDtL5y6dqLzN{U=90s7t^^W`34~_}vCi8WJkcyy>w8_u;i0?V{ z%i^hXJ~kD@jF=U~kk4gP7DCPah*cYSNnD`*Qa)CzAL+H>`HkiYad%YYsiX-T%QeJ4 z0Zi1ZUJrMm*5}F|bq3SCx24m7P$}0`6S-h11vk^bO=RS=Zi~;drjWgv`b|C0%n9gg zS$uin7@E{?U==oF74D6&cBKGtC`O{(%NR;`TpJf_T_Fap>+8KcEQ#Jh{x(oeY*G`xj|yg~9+F^Ca?!d2gPt@QCu4sc3+62p zlbXtE&0iAO8>km&Fe@nI%evDlQ`$7%*UB$G6bWHZ{QO6jU0Wz&GfzkB?YAVG&649Y z3PI?C_&_G4mnlpjZu-%{87QUhqfuBeUx`i&?12 z*q#VV%cvQ4B%?$)24Ct`0OHGPzZDfd2Jb4!wnzd!iDLHGfmA$y)42T|EAQIH{yh7J z^zV8P)HSE6ryqT7x7-~4!hKz&TlPwsk`CkqUn2NQxSX*gNP^Snx$jU%Y^GsN4fSYC z?bfvra-#&4K@(%M6+ctaxoP?B-#(gKzD5gCDF|_og3|41NrJw9@NEoLAU4tC*aOVPZOn$``<)Gktm7 zrW;v2DEN5dDYla@Ao%$^;bd!URT(@IeRVZG9<9myz?DnP8uwbS$J2>+ujJAn9M8*` zq5Y0BTd&YU$LT8c_-T;S*Ra0D<&uk>ebxviTHrmnom^^w`J`nX>9_*na>*C0P7IA_ zfPWBtO~=n~|MMnRwlcat=&;BZ^1z?bYzwqpH69k>11!(u<*$Za-JF8DA8rA05j3BU zI~Uf~SlO)o!R(`BvQ3)w*1H{Tu1SMumRCY?S1U*|f*}F~+#P4(<)t09{vFs# zf04moS`ut6e}tc5X7WqBI%O}_dtWEnRI-;x$`XRxuFT;{R|Pu!U2Lo>7O_9|w+bbV z03)F>5)=XFTn)}PVOvLDubFMvTFyXCfz=Y6q6g^@vwZ;{*fIf=uR ziH@Y3?an&{n}wYLv&m?`8?TEuY1^%d@F&xerZ0(9TWA;e1pOG; zfuoAOZT09HY+taRvn@q?S)r!d`y?W*nVGVreBFO0T3bW@mOIJxwQqa* z@!##UZSKZacjr zDN412A-W)Aye+DPjhCtgzr1el!09WLJ~jZsjPjDCxNRcOP>CA~1wWJs*%+=N1ewXQ5)8Rhc`bCp6|dY?os78JlJ z&6rQr5RcaJjS;mmDgWVjTA7+^sXPB*-wm~3S%Tx6EP?PLnsUK<=hCB4%2eW7GROsdv$5_$m8o4BHQju^7X}uQp})k_JX}$TU#<=dWxPkG z*2NDi$la_kTc{A%NrV4Lj`|m@O8EeQG@_R`;?iWi8mg$Md{s6P&C+BP_UVBX(%p3~ zT)M$uPniAwoB-98%N(9KzQ(M z&M4q*FHdi2cCsC5ZL;+p&F^S@NBui$-%QRa&Q7?d#Hu4-4%Bg_;3wj!Rlw z05Qf*H->TRPZ#4>-*>pa!}c9!F2=P-@?|C-$jv3WK|EpVFWtR7>}>Y9R{PG=md$b7 z1_&X^_-YFJ_-a04a%mp;Xr86Wls63x!+0X?L5`c?L5>XPDxmo6|4o)bB=6+ z{c6|#O>Z!vx8YDg>c$7xyydQLx#kRYv*u3l7qG3D2(cZ2hhph4n6QG?+@P(@l2 z3QY_g&sTpJ&XNMh+Y8|AvXm9N_KREK> zI^8t;q&YhGt!KG&Ft8Sgh33l{!~`EmVkwBFXAX(_lzp(x3tPNlS?=ho%OCv9lq2Xj zt=@#QH>kG}e3kGMnv(B1QgyY#)(?blJ7H)J_Lfahpg`*U0H~~#A{i##qH)U{GrclP zk|Du6WoyQ&;M%T2Mq~jEF_*?}c#aNhk2Q#aV=v=^DU*|RPPMy>Wcy+mdHkB7VQ^Na zNLw~y_lYKjV*bLpB-Xk4hww_QveH%EuLA|(L0$unfW4THJWVxLrdbu_pU?E3LdE^9 zb9fG6tzvu7%tYDuvUIh3GsVdkB9fa@50VAN*-#|d8gr9;mo5R*`#N8D4Ss5Gcfp8T zWVd&?ZUpJgyJji1OYnKalbLaoYPQ+M_q2~;MXg_83GSU*2+1&_ZsQj-IF?p-t5LIv zm3diQCbny1C~s{T@ZH5}Ps%m>x@Y1#fCZ&Bd-F=Em|Fze@|fb=dzsADyi&9Ud)ij8 z_UB{RD?Ng}fqJU3QW6tGFzU69)w`~@ZG~`ue&Su{*+I4(E_PN9BZZ%m`Vf+ob?6aF=nYsA2FdLDYDbWN1x`; zSRJFb?0FC?bQFlFK~eE3HtK{&SrL7Ci?i#ZORwe)1LYs<`5DKW^(pb@*?je$X5jDT2>Y8S zG((_xyj&jd*LJ5ysfwXm_8JcsUi*T0PSx1y-SJ#R#k%3^=a4Y>!n3N1Iq=@BM#_IY zpQLuSQO#7r-BK=|zt-nbvJOD<#~v|jov8+rv(J81|~E_FAT zOm6uXY%``OVZ`Ogi?1oH34KfQzzY{)3bI^7kktHdSk; z1nPp1FKu*nALX!TMy@WjsO7#eG4<%hQyZ2$vG2yFUyx@v4!e972M4<;&MSYJ)c8zc zz$J@Qpfcq2XC?l>>!>g#nQ^LO)4`~){AuL=5+7jZm509MSWAQk5&r^I$^1KXg5Pi; ziDKU-e3gTEn@& ziHx+C4Yar*F4MTd3q2YD!oYEvl81bgl7gM~RZ*G7Br;@7r`WVYr*zHCw14)!njW!v|dDUF+zFyx!=k>Z@>(F)Nns+*9a=rk3Qy0WZ~2rmG;aOJF)J-D_uL0U?L z!hb4-V!DHA0osW0D-VL-g$${iHyO-qlC;%AswrwFRQ`DyU1Hfo_?#055z+ETz%|FE z#y2=x`QdL@NL^ALaSx;K17v%kMLy!)*zesK>ObR-|BRFFimSxgRT+&@6?ahxVXmc2 zF&=T(3;a2N zzJ0@&nNvmWpEY$OpsSuNw%0Z+lhaX5V~w zpPxm$dGtGna1CO|x+`oI-X1vy>wuSwhr3>Q0@sT_U$uBF92c3K{Ix zOVkQDg=DM<3C)f7L1wq4cA@R6=S74m4NVnNsh|f8 z%Km>}^dvPY*%by!kaL2=n{I zG|>mYbV3sCWr0Wm0fnOBDqteRdQpB^sp(5+81Z*KiKH*BABMiCnPgTghl(M1p_Ft*aBE`~pFCNAZJQ}h`{Jzh8#(Rhkg(N4ne&7M3?pJyg8J(q;!RPy08FJB zT#Soz8J0%wC4@SYCx>=xlE*@coHpn5)dHIvwYq?o^*1-OlFdV!B&~S9J((tD^56eD zUn#S#+wsY(Wg)IG6BtWLab7qQ_&N4BV@xsnhe9LUrbvhPWhuet1C?%+QHa@%s7cZ$ zh2)MOHqRDuesn$dBhJ@_*d2a)jXj|Nw3c>e?K_ji@`)sWT#J`d_5#&xm7Hk6S|;=Q zfgv$7ov9Vcf^8ycJ-TMExn}k2LKf3A=SWk-AY}JiGf`Mn8@NMD6sFU@BT39mWO}9; z$x3BvC9+T%PZAES>2Q6t@4^PaOy)L))d_4xfq zw1jCgNEGrh8)?@W^XNRj6N$g;zLVf_bK46+L_bhCmUIYT;feC6@qldm`u=GG<+o(Cn~w$8TF#WIk}|n5A385m3CY^P95z$OgYeROhyn< z56dpU*vw@{UFkWueaI%G=!BJB!3=wa1W%R^0U>l>3Z4aG1S+Rq1jQlx!`VXqGq7Eo zXymK9!8`nXF0>HH5NOfU$qzbav(K3$X0rh|~H2OgNe46f5@C!pW>k4DLSLeou zxR}j;@U53AvG#JSkOCDOu~Wc5%mE} z&_t&WDN9Q-ye^)#PL9QoWcdADc*=>`48eG*tP|M%=KX!?Qr%UPl8-0Gq!AF=HQ_gk ztG;cJ-0twL3{SPjW+BtU2LAP^Ryfy89%m}J7IWeF_d~yK#kZQmwI_W&hN)7G}o-}vlqq2#7e#7nT@`S3|vZ3_L#??41qnMX! z($wNNislNs$GY*kTUT<_MB`Uys7f`N%+^ED=c&K#wmXf4KnL z;xUL`p$-tXL4PBn6Qc3D-cYt3Vp)^X0Sm)!Z*H9{-bvN8=}2myZFt8Q&cZs}>iV#~ z%P7!{%WfaKu_J zAC(k$xtigWOq+|lDV075i*3*^9)*-Ny&0kZ^3nPFi(ss$0|DJyLc zwJEy28HvYW#q43AjI zzqB}=HmmFXp{1Av-GN2bDec01bCb)n+;`FQ-T%eTsPygy}THYmB>{2*8l5gJ-#ROkuRaf_-p==j z@YLS+d-xw4RGXGsMe%elpIYbTk2UZZ+_I)Bf|bK2b~_UfAoRC@FY_o9N&rn}G1=oo z;?Xru!M)ikGLDyd^ zTyJBK9w_hF^!`e{Brr6ho1!fHBrlovYT-XeE^6vzB?h@W3s2VEeoqG#x?e8c7tV-$ z|Aaq0tHs;igsqYWNaJeJ+S}_o%r>?6BF0kx8p5&v1Q@vUkgNsN4C|DQKC!oD;qs)K z5``bAP<@i29k1vVGJbR9ap<7gkIA#wZ&`h@F0Eajm9Z*~dc7$1hkYmvc7cgmaVMmr zC}VYC%V52e?|$0IuABq5B1EwB?`mMf2a^`K0^yCByQTpRRyWj$)gAkqR3cF;$*>eUEgTtsd-uJL`r+><7`4u5)}Vf^#WH4u zw242xc5@`wujsdTmc6pI-Lv}$df5&0Y2=*7f{0cn}D-C#zg;Sz@L8eN4KTR7tokaMqq; zXV78j^1St+mNkCY2{fLXth}esw_C`nm~gk5&txYV=DXJiI}Ap+8kRpP=yy&_Xp>s; zh!QiqS3^SO!Pu)$W`!ORM~2^XnPR;gAzC^sOxdxfS$5}XY|HH;5Pb0R6q&uaPQSfB75b5M^+yShaqFdIdtEa(MNRh25e*0+0 zcgV|T;br_nEbly^{JjSjhRY^Z=xNB2Nk^7Dk(-BPDW482Ej!5RLe@JP4%;UgYLf1DE{xgPmW0* zU3G~%$T84NKIQ7xT2bx?Cs0F=t9ivFJ{C+Y|9VvCQbTJ{u%~X&H}Yj6kt(qPelG#$ za*o}L8lH|ZwCbmE$!R>fWue&7`|~HOkr)nHjz+p9D#5LT9D6U(_$(+DbA3IMFqe{F zJY3d~LR0PE0?oCh_a1dFfi;ySE{5t5ThdxNCLu!;ACeX0P};640T49rYdn@0MTjt- ziZwzC-{(fn&B;=ifIAj^pKk5Z5uZ{XkPw~LX9_<(IYQOyKTI$niBtrr}8IQ z>Q-KrzGPQBh#v87Tqy6~DGZX4$Vejl9+6gR0?aMxRe{*|bli+j>IKxt>*`2(Q+7D; z?|1nlq011&2S>hE3w*~(!25z+TK@ZhMT?xnM(-;t1K<3xMqN*K9R&`S@Kd-KE*%T~ zkSaZ&Rx-5^@}+7x`7JLZc7o>tjWCPRSG$FX2PV3_uDUj;!iWNGmZzn3rtE(q!F4It z=D#d^24YoO2V$O+0WDOt6Cs(k}pEvM3H2|qLMbOP1H^dpgUnP-A z8*&-t6q~$@d>N29_^$$&>Ng$OisCmztN4YmzKV{#laM^d6 zH!k6fgXy*K-)T>c6*V4JQZG0ZkMg9M_LPH(Vm_GoI=KL|$w3Y!N~tk^)BWN@=T~$Y zxdDtf8uUK}U4T7@O7I3Ug!N1HN0Q5XuTQn%Rmgt~6EecjHZ+|aUn9p+4XQ*jjIQxz zMuYm(n)IEGd_G#{^b9CcEcBB>x86vb(dMO0yWt3MH{wNdWE zWw4O0=;GI?ig2`~^0WeI5F3qJKDW4#BE zG@d;+%mYLWu{s!K#mHjeiY;Aro42l00xcOkuu>F6TYXdtQ>o ztUVtH@H!aIBgew1EUf)?d93@zm_F!oO#QbJVQiA%D+=h2*l}l72!s7P!!%b|7{VbUxTGKOUZFq6FSLv;?=RNkXD1^}8H(?<;lg(PZcz@XJJh z=0TbLiIE`ZjAM`kT%zFEL0vi~M1?Z+gHpISyVIAtkC>l|1OzzEO>4);_uT{k0sYLQ zC+mvJKg-aaRXioLc7V`~te;Pft>s0NW_q2hAZymIn>331FqfC%$0Rx|%~xuS)){m|O8 zR>v~XTEBuzERmY80}r!RhPNfvZy4=S-dj+WaYTC*iy`NV8=%`J6055HyBRXjS2aZ9 zV*JJn6(fE0@2h{#%H{&sXZE#4Ba)+0G)`5;p>w04JZ7iQT#x}Mxl|2Om_CR7upc>x z1J$YZ7D3kK%ubTZ32|?^?w?F(eL2Z1E}wCY`RWHe#^P-0>>a$75#S(91N>Ktys>)@ zL&##McR+mlFc1a3<#-@VRNr8eUv8);ykb<|cuQ#2{5vqPv*R~h?``hdo{lCC%uDudJXc(Orl<6?UY9&|+(^H?( zEMe+Xa;s~pIIHXd+iN6uRpSArAhW~gMH6_V{%pFFx6decs_ey$Ns6MW)KO|t`^bcW zc9mxQXR#^u%vrJmzOP-kZDRazJTN>d>`J`8(+B|aq-X2ZyivLy91T@BcN{JZd3TE( zh9=kIp5M>)IE1x01nBCpjR0O87bjF{6}aGa2f0<;Mr`?6P@wZ*KZu5IHDE?vh+PGK zfZ~S3VTh7<_Z#mnoETrqobCKuN2mom|Md6?HSexD?=CKH!8jWKJR1LdxsK)?L*xR4 z61n^#y3*&}W#-+r6T8@~No^h(H?M!6mg2akm4_M2>xwH{o+5PWc#U!lURE7&YPC1xT z59C7$-~OQCUS;oA#i4(4q&Kg9VsCp>-hi56?_i>mRFk6gtj`OoU5`6xrqGsCZTS`2 zs!J*GD}0d;Yq1snF_GvBAmrVp<=w4y7Qu#GX-c$BlZ>`oMU zK?f}qlL*1svHE;#i)MzrSv$B^)lzN**}2}iIMr~7VFt+pscpUEyP4QEeQg{MolskP z-H&!q4vjZ+wy^cx@ivf@!OY|PCK|6w=)91-BD6R}wc#5=u~TSob$$uk{g9^hai*Xj z$ME+4{*kqOS?NHQbV>-xJ&mIrS=wQ;koMy59Uj{e_-1-xUug4QK!m-Xhei7APvR_3 zoiB3aQ|L-)DV6Of`zD;#(B}q!*nC)fs@`_z@1eSa%jh<;LL_L<}tUfGi@uW6%BL^C%nB_ z!c}3aIe&!W*+z@S^F%dLLptrRMVZ88?%zR7>hCEo3!;IB)0Jj-d@=_{ zrPZ&;|88x>yx5}eU$yTs%KJdHzgC729YjBkKjC$KUr3slK?|F?5lOtt63Z7LClwiCof&u1LgAk%7hWU0H{r*!8$< z7zx#tUkdBl{8;zHmI7mwac{~J%#Hq}E|LM~p)cKN-l&+Zw~tlj6z?&H0=&!ub7++Z zuv9s8px{!!k#-m$BFeOFOJ{96r=U1B*%kENL;{0YfmM3=X9iOAiAVIXMD{N}HPF}$ zq+Tn~m@yk{glnAK#Y(yKGX$CFxCfc_UR_0i^(_Gm({q+c)OHHM`YX;)JD*VzM`a3rzGHRDuMc^!2JxxJC(K5 zw>dOIS4mb6$pPps3w}Jc`EJr{Sm_gCXMjk^87HerPGzmI%UK1g6|kgXy*6*a;+sZt z!r83w)7|!!AruC=wEi|7_VwhL1NMIg&ZmIO3tni>TRF4T)1H>yB zWD5dE^xmgY0W3#X$_?~j+bN(3G};Xr)9A^##Xg6K?1%d4OE5>B=|NXdju?He%V%(Fh0bHRv4E9QKRo#mzA(iL zy_MF|+0d}yczUw=AyR-PAa_6*s6FoJU7x#$X87fxR7@tXXyRNN@j`_o0|F1KWwd42 z{W(jFdnx?2Fe4neWZ+%-BVu7f1)4!q59b~lmPjc%+qdcwo}K2(rsoA2v6@cj1WYu} z8eP{wdSiWZzi0nl9*oe#fi4Wqr5Mrm2}JdS-Yic%bUp5RJ&tHS4ugmsNZS+n@FhYb z4MD`g_}yJ3-d&KxP!ZJDYnZ!#JC*G~G}8~2R(}5vnA&igyFVVw5G*V8GSY>Y)?gGP z$UakT9!st5OZlNA$~pyXpgjf=T}kuqDmV;*9fp2GSFeKkG*+<&1+gYQC_VlgQ0~gK zmF`^L`9xQ&yt|I?9sn?=ORY0OSCWQ*iQ2wGPD5JW_cWQX&2@U^ikQks7c+?1$bA2K zq!W%Mo1*{I=b}a^xppw3X(7Uk3bQSWcPcDf^s2GJO!DXc;N2$0W9s=~$Kdj|Z)P|V zO;4L3#3X`UwB$;ToSizQ62`H&uggEG`%?g|E*IqZl&))e{Q}5V?#oo}GY}IqT?Ip0 z*W-LMz-uQ`FLMzOsW{HrIL@6omw`B!u5{b@%6)8^;YR#eogS0{u))2p7y_v;@2kH| zoXa@9xUfvcw|`PX+fqWuQbHesdo2%4J0~`{CnbNI(m9^%w-uCgWVC1Iz1DLYe!ZY8 z24}Jz+W@MI2|z=`51V!?B|YDJlof$wH}j)F^$5{ko-1u~V$ZGK@%4wZ+a($zUZu0C z5~d`2;Z`D@82#mtN*>R(pYkQ`YY*Sh0FQpM58BVNGTLa-Xy|AQPRW1%s;TmJKXmaj zvoI&ZY-jZ7=T($2x28gDnk;9$vh)JB3cq_8@=NSoq66ejpQ=R>E+_Z=@)D=Hhps3R zDk^A3TU&qEvw=_bTgrT$pVeOUI_gNYH%T(rQ(wD5g&8jqdXDz?Mk@Apn(jH3kRI29 zFElEnQ1V1q&4IaF@f|-pe*d)oD?)phA}1?~-}VLD2Se1W%!qHH_Rk7$N&^R4;!OTiEhvz4RtuG z(SEJO!kZ3Qt+)gWdV-gloLA@$$CJYD&Nr`7iGkEXavWj3(b~;Y7uHwJEF9eH2PtA8 zWstZzOfqUj-7`s4X4ExYeb28wXhan2@#OL-zz#y1D9!blYj~XhP;y<9-xEx1;HS|Z zRTQJ{?f!YXkIU8UiT;7W0-dOVw`dq&H;!?H%E!)S5mRizfA$j%${jC2U_fz| zJ%D1hfu6naPzeWVzasu{-|!eF_S17f>iF;OIGN+rikkbkg>a9HGs^Qj-175F;Ti*G zQ%-y1K>PzQ8fCRNLgPytYLl=e-DaB;^}mBBzw%aTCTH<9C}S2sM)&f`5Xa7A0o^{6 zlp5=?`6`e)liiH224s6D((ZypLP5JWKk1W(AC+tkA?e=FlZi^2r-_%6`g9`uAufcp zMzo96N`plBU%j*bxEhGC4k_|!2GsQZee)rY)t4n1y3@5U+_~#EkAlE}`q>2l`d|WS z4sr5sBS!f=I2_#YzQ9j-qKff{j}v2=;D)JW_TgqO*GbPw;d5V-%Nzpg z;H8Vv42Q$LXWteH=Fe^OssEcmV2|RyrVpe z7Cm0;gtd9~kY)he4eqG6l&VKS_Nx+!1KCtMnMtu%E19FzO0D6 z{Gx)v8y1f^IMh;W{49CjwOf>IkNa3Pq0=+!&R*;0)6g_K$g`@U;WG!&Mh{%d=k z=3n^JGMtZ}-$ZUWwUg#3V{iRzpFw z>9B52f}WuWM~+-qgcHFaMU!+7NKMNaou8I;1WNZywb7gL$@3F&Ul%z}{?x>-?!nf? zi};i#EYiAO;LRaodbjqa*oyAY+h{us`Ay%4#JJE+Z1Z2ir7bK!@;^PYbBCJ*`@B?_ zpPTlFTZbgq*IWFsJRot6U6O2z$vhFw*Cx(lrHTE{Q~X6p+{lqQ6>=@+11Km+kXTEE zcT3Ik3pxbw`|(;9&=IfRUa~PpeCmh!gzp*shR?-GkswgQGo%w*nHZ7Um-;lRSA;R8 z_hHdioK)&4YE47FA9Z`RRPPh|j9$xRjX^Du6E2FI`l5X zGAQ%=;zS6es|t#5B&U`J4seEYV1pj#Jj26BMHYwHlF0Stbh*n%%rX4eZTQ#zGJEg6 z`$;$Vh4KtVm>#QeFObMcOk#WRtWg8_Od*j!FKDU1RlxSBKcM&R5hq$BBFH~fA}5kk z!eF`10dZ;uX?;q3K=lpJYM*@euaVP+p@6_9waDhfSp{X75hW#%C^QDiAg| zJ7AwcsVTRlA&zCrLlq#XvmSxRgwm~Qa4gqx#)!mI+kS1kgv~o*eF-;FXtsdo#n&mC znbr}{nFk_fB^~l*q5~cX#ENCz9K-eaP*a$-roJ%+WT5zqAvy_rzW|t+Xk`EZ delta 13694 zcmY*=1yEfvw{?qCC|um#U5itS+r{0bP~2TlvEuG-#ob+tySux?#ftO#y*K}x_h)9! z&aCY0lgUhyN!C7fcD=SSD!^{j#}EH0!>s>pJ~*TPj}_tmAM5_{%m*<;PdxQf`kN^Z zOoI3pls~J?(HCcT=EK5g>XsRTzpgaomb22_AZb2nn%JK**NU3~u?~MGo9m9hC7Pn=ii@CRxNp5 zCImaI7Ay58r)Y9+t)Cd}ZaGV#gOx5U= zQML?{l0tJXx-ubx-|EzWVohr zI1>1jI5n-=tT)3%Dv5Wb=wfd#WlxHq6so=aMmQo#LHmh}4MYho|B;89Z+yhhGDw0r zbYKIyD`>8iUnmEQWJ#_Nv>!u~=1&l%id}9Ue48JDgN#yv5A!g!g+NFvD3jOZ>HQH+ zohz!U#n>^A{i~p$@4VLOg1nqM-|FfloDQJka)@r_qLEze>&tdi8M36fI?H6fy?;DB zO6+F~1VSEmb_eN17>Elg6qj2!m2@6*LEmT`Ib++)ah8-U5P zm8euv@XvZq_n#xaq9lZOsH~mRMUw|U9v@L#th33%jqBF}=ZiDM1%e~EwBHSZ2tW0M zoVddJZx((tPzA6uND0`qOx0CdTS>4a`XEAggpw~cPiqb7i@IN1e09%0Z@mfsOegs} ztLA<`8-`UYVE>y#O)q>3 z+f#)_qJ=!XmtXLSHaeFNJ@>7T7Un04J1hH6Q9Ycnv1x{>a>&ieM`3q{;bh-2H3wMo z9ht_^COc$>DX0u-vWZZw2L|#c0ow5UAuIIC^1KE-DB)vth@64vx=yrqGsf30n#Mvg z$t@7zV)wW{ODW`d+`5O{vKJvQmW~8@hF8j}5|vXT%BorSqleU2c8;}ZPAT#R3W&2gJqAj{{|w&sR`{AC5&@*GTV+-y`8TT(ck;zl6$=z&mCKka!3?S zh7x|N?+OYvE`ILxLqYSC9LQhl*2)l($DRf@$)HvL4$aT9v-@`6)A zlrQu2<1X0S8IeYLu9FW#oUAiZUi^C8MX(Z=;x#thmz|k?8mBBfPH1+ zLHCB&c6cf>nl&t6)1*E&E8({OeJOr)_Kp$NzYF9D*JIVMlo=YYICk7rW_6~OFrNn> z+LAA&zT8?76*zIqBg)62(1!AZuas@r;--p}qPkX0CEo;ey)* z4BsVYt2rmN%0TPIl^x(qe-{ZS(Jn~W@Fgv)H|7vt@UM{NdwbnNN8bS8R8e8VGmf0D7^AZYPy2ru3i$acyP#cHH zyV_PH7eA0@W++CDe&-ER|EcLz*d3>Eem>tSw}sK5%_Q5PHD|_HI?u9EsMh!ORpdpr zM%*+z7x=KNbUmRhtT6HWc25uc;hMY-(ua`lVh$zT9sU}H3v2b$eBd=f)v9iUWX7x> z@h%Psvk6p=74a^jl*t~qe9c8NWB7DPjIaYj~2k z5Y}13C>7!NuQVJd1#Pd3q{2i>#!)v`8UTqa4B%3K#nJGy?OUeq?*xNIG)0Xj>hfdzRR?1wQ9L$Z(<%r}z}=xh)8*QGSz^C|bt^HIJ;ZeC7UXRkQ{ zt&t;UVin0|qw6{D#`iAI^UWEzVD*v$I#^JjA1#@Kj&bMfA2?b;vBg)Q}V=FWZ8 z0l!fun8``BftB(v>gvh&i={q3k=k|3#Z25+Wl|C&U))Pe_}JJ!--urbacF{GuMPz0 z`2xNcr@f+fJo)mosne;ZCK#SJ*~-4Ol4^p)AMXMqVe&3hVm|G*PnaA(%wf?{BO&6! zq#uhCej%qvIHrg*{t5S-PIrBX_zlM=Um>sbE?V~H4{&@yfuYz9j|+tzk`1LSQJ`q* z{26~f0q(&^6}0v(dx46EO~gKyP8DyS5w2UpWn3LiQ;AB809c`E{eHYr8y<=8LkHWh zq9@G#MJ-psL50~mJ#8Hu4vAGBzGo9pt8oQ$r?^GcDx47u_BdEf=N3MqTo0CPnz6Qf zA)?qY4bTio`&{zv-)9q$$QDW?OetzAbMeuF**;?h3gttf*RTXda=JVmR!{v&Te;;9 z>4S!j6imY1kJ}yupaLZ6gHFH>zbNoO7;p&Jjo|OT_;t%~Qo$xWWtqFYZO$JaYLvrik>9Tv<3@00V2gb!v9aq=%ng-rcrQ3C7KjO8)C> zv^}=^35MJ>GS%s*R7+S9>#Ng8+V!+&_Eac=@i zUD+zdY^_6)^|bYi_`4wm!(1M0!Pwoih=8f~EPgiZ>icNZn31jE5Jwn>p_HB<|7v1YaXcmco_haJnU#22zU^Ui>p= zI((|c#Rqa8`@FCqx`s0#-B=*no z8@qp%rc*;_n`^_ic`srr&b+=Wjzjfi|H zhF}9@Wk*whsprQ;s~zRi_!9cr4=Y4hjs}=?COy(RuV@Q(CYA3W&HfULW~_T5`w4m? zPuYIOtPwM>!+@9t&#xigUWIwCI;UHtqbMbdx;5t&9r-2@*m*FFNTa}nY@N|d*vu|K zT;$8ymi-!beWV$KC|~fO!YAbAwv@}h&JkKLy3`>9-jtX*Z55v0$~BF_Ro*bLlx_sN zpjn(Tc{e|e)#|W@rA-2SbK4Bv*&`>i5GuDQoLsXaq)SohD+x7(+48$KMX}X*;vFlP zGWJ#)L4!x==gKp@^k7?>>!`?SXR~lgNuw!T{Nm58-P8F;jWq2pBux5JEpSC2hI3 zr?Vj26|^fC`7=y{jAoU}o<L;Stwh^a{>S=POr=(U_ksBl6Whzq+vu z&eq_6Xa((h>n4K)k{MU4QV_6ih!G;uK+8S@fI(av*K2tkn;}_~)M3s-K zTkD4Rlgz7c9X7J1M(I4$wI2of%PF(w5kmQHEAi~NhUwKyfiLO=b!1>C~lg2W>sD_&t>*nyT{%& zgsx~>oW}k{g3oUj0UBz_>CZ#oUSRk{sBlF-OAQtxb=;{&krIW`CAYR4?=r^Btz6|Y zE88*Gh?vo)Mz&+aSZM=Byr}C&ETEScOjcl;A;QrJPFA7(@O91F7BAcNWXsX#lGE

77R_lQ0-tq{p#LnXz5 z9Cz(~Pe$I&!hHT?j25V>-#SIr^3<`4!n}Cz!V)q2N`+OYl@4d7x!%}&>cl5m2MI3N zR;6|~K*&=!1th2+CKPoz7)4zx5mfimRF)oEP(F^rC-sow@h;%Z_!&Zw6WZaO6$ZXu zR}@ZQ)D{Gv#OT(%FEDEqNW(cn%Gqh*N(>UF`&2yrou92tGs^g*kjj^?Ar=(yOUJ_J#iW? zxT)27I9z~pn-jy1x&EzZ7cLtMFQ)Jw(g;>HEA|v4hIy^Xo`%07wKWdz&E{KRf%kYE z(?Qc*C|t=V=XK)kbCGXimp3o3j~@n(PmVMcnh$?PRZ@sW<{VQAE*|;!s}^mT@luUl*Bk zhQWHH@|^CCJHAYey(g89k`rhlcVsKi$h$>4CWSwV?oY*w9#nxbNzy3p_yJG!|EbgGJ^ z-Q9iRyiIzfETReY3MlPq+&Z_ZqzC8JMUdgnou+tQ*B=A!!ypKhjc4e4#CH4a+9HV2O%NC=b_r?SCLjg>9lykj$Xa}?(wb_ z9l7w$cpD*jEOL&%{;8~fuxodfRJ9X)fKQ6`tRD+0Hy#X$oO<0TGZQkN(Q5x5(|%1@ zTj@ZHy8JcVK#O|p6vV>~k4!?5C%y+?@1gBY&3Kb=v9m{K;F0aSr|6~>CSXyFaqr-~ zM+Od@*8nW|<4EaX2_o*-^%p;CvSN-COn{E2{wg5*1 zb(B6z)3Olg9l9;Z6TzFHywfUq5Gq%xt4iq50}sYqMl zVEWu}!+RK#&^uR*e=yYWH#smu9}6)#^jHgyauOzY4TCXYEmlow!royTK(|NVBTr)I2> zQiV?^n9dXjj_4i`CWhK7)=#YqM5z9T!^yv&tgxVT6tNA+As~dnoNq0-guXF|e@-2a z2IGSsc*Ps_EGT<;L@2Q8yo|8;?*$+Xbaivz?tcb)E)uC%e1O_}YvN5ZB zFZvpxgHycVIaZj9toHjYwr8Q|K#+O;?##gD8QzG2&k>h`q<(Xp*P)_J`!V;QHZjq> z{}djEX>EKgAnIvlBkX=Kv!Dhzvk|7+KuwyZ!wTppNdmcjnr}J9DX~KN#fu?@PV*lV z>p}-!30*#>lvK~RoB(`lJpApeKz5f8~ z84(CK^GGVd9hCc$jJZ)%TcEfd31}4{w4tE`Ev|_9gFrT5PxH?~NeS=VF4b!?)6RU= z3A`oAFA`a*{7NH|$jF-8Juwxo5ybghg9%}A0C@)s8Se%{JBpH**d&W~N)*-A=5jh= zv}veO54lZlxA}Jc@Zi9~9L%PZEK78O+!tx-HLk5M!N?n+)h-kVA{-5T;o|IP%f>&F z!X(JyTxQ^CfE-8Y+}Ny}{fdhMd@il@0G%F`NzSVxp1Gq5lL?h&g9AS_CfRrY4urL+&rejwI;6e82_N4mG z=ce1Z6`gpu>t9T^brT!o%VfPAuf*Z7m=uJ{P2X*q5RsB!1vg-q3 z2g(QTH73O^wGP{)mQpGv3VbX%Z-07I28gaKg)OAuk78$umR|)b94{9uMq%C@2@@N56g?zfPbdoJ^dChYE&~*l9JB~QAR~IoIr_o=EORQODfGHJ@nkCFT!)o`);n^_PA~=>T z%YsV06vB;(Td;mCa*Ko`U!hirnzaK3()be_VQOG*0Nbpbo9H~UAecI zm;#*o-Kj^46X3k(U!`Fm8jXO!?s`MG+b}WwXPJsw*AM>#-^*l?AIFzNf%-QitLFam zMiS#GQEP6qJYJVhNLDBcJd2`$Ki|KUEP9pc6gQM8f8i`Dc9zxrj>f5|0&*f*6m?#v z=+)2?4UKNo48d&Wgs(`bVNNi#5nisC+*LP=2~^vW4Ec(HOp+8?$tp9i*2ri@26)j* zkn|rS9o!S`^0GXdXGLwd?jP{fb4mc?Qre}i3dxk(MOKAt|B}z@9@Ip(;*?aHS-91q zD>lSb`kCn=R#dzFXNvaGwS)E;7#G_R*ckJdcDoQR0No7I`AG`rrmhxb9+OyQ`pq>P z@EOO49}A!Dd!pqJLD!zYF{Iz06NfA%8q(!PI%FOAQlGDkxxKZUTt8NIzWia1d?0qL z#i#E3+AxTsghghL2guMXlhAj5R>x^;{zFpz9(NwCvC@7XRJCugY=y9*W+`^BQ`v&9OAx>%sbVmB9 z>nXoJa+|=QZx&pUp+K2>hXe4gIh=A^FdCf{|#Cmd2)o3@g z3Uvjb6ROt+PG$$MIXpg48O`FYgW$GKf7DG+x&Cwg@n`k0RB!~+^=yG4Qrb;0$Krhw+E_K^lWmA`T`Zbm_N~gn9Q0VTIIu_Uzh~N>+u&PdTD)%Ah z?=LqGS(|5gsNsdWXQAml+)(Y`3Nycm_Jx@~=?mOci3-`}TBDA6M5^joawL!X+NHtr z@Fdg4a)|XvJ6vB;V^HMuCKoHMXZ-s2%^7o|!gbr6%^7yZmKSx;LRW<{j=~=l*=@Eh zlVc^JZ*VFti)4g8YH!#s_(rpst?C`|| zFUy-~=Y;qgI}4M=?u&!h(ZQyRpX7TphqMFYvhn`n7q+_^qkgt;W;;7oS!w66CP42Q;c-VnU~Xo2viyrw5%hnT8j94@SIncz z!!Oi3ij&c2%EEd2tjRU(7MRDg=QrQP1T^MH`Oy4Nn5eMEh(IkuoborUd-x#aP#|Cm?xt}{#MPs zMNSsnK{eO(+qh%-xl#Idu%DeInc5z|A1fmu{{M?#5U0W>+CL~OYM)VS-dO%AZw#{5 zwGN0_cknEu&Me0G58JQp;}|iSy8KeydMU&I}dgKkcCzCJ;nVy4d^#7 z5FdPFoF`D;(v{tPO%~U+%bypb1*c!cj@Ezax%J7MoRa=&EHA9`<&wKi zb{GG}fa^s9RQ9`O^fd|n75IlxuiHf;(aLh6&m z3axCyXhT$WSb{jzEye<9_JdSlr?S-o^nEG25{?}kBih`2{j0v>{((t`%Dq+m-0H3g zJ6qK;;J_jT*W@ZU^aI~NhV@|8x2ShpZwe!&l9kTp{_;E3?7^+R5|uhI^AnYWU5`A` zw^zYUJuEN|64QZKWBY8=rGal+O-MUh2iBy7n22#O?)|6x_r^H?`+-SD7WO=HZz~oH z(=EhbgAf%e2--r-GqMxBlbk&24xk{Up-YNk;@B|7gNMElL%+VtL{V;zzPmUMwSzn^@{HJiA7L)YA!_)6E!A$l$j3_p~gsChhi=DE&ohxz2!0ym(<~r{$ zik!As-=og8n*naFY|p_ZHwMPB=74;|@O?8ow*HNqYG07*4Y;EXv!f6;g&lUpK2j&b z`=B1)WlBhADdIn!$1o@GiTrD5toS6@VeIWN_3-=TsA~t|OjEx{*M0H}AuY`^hSp$} zi_dy4Jsbc)mJklI2v;ni-}hg7T_E#3XE3p%$oV;a43NI!v2DvS@I$ z8W+}=m6qF$5HG|vi7C9IpCRa)5pa?P&~|7r;i-4$ramFofWZy8q}{bU1h;DJy7uvK zz)_b8d9D59axLZKu1k>}<&84ZA}&`%f;_oC@txWP*td3DO;)YGFHVIhK~5&Zvs5|%cky@GmlWD!+;Bk|)s8pV=$i2l!iRF>2F|*5<*aDPd zAw&u@l^S4FN`bDun934sFx~{XLwkk_4U!x!FVRxskyCt z1jRQna{}T-(?fkwi1KV#^0BTsc`~ymxeqvquX}=uzXpHk*CavCyo1&tGfp+V032+} z^eLM2oR2wSPy4L4@A1jotBtn_ZKt8Lq5cG`@5>mQLO2@unECZfHn3d6sDpR-&v0&( zDmu=#gFM-?RP=A}s9*qDMR4MBjDa+Yu5fti1yO+Rk(}}3NPiz!oJyRw(&CO$)idbk z<1n|CsLJ&jG~Rk+kSJFh6e9nHH?U~ijHo`pe+dLQrT)Tc;!OK`P}6X=|7!Puy`hm1!}P0{7ubF(flj8bv@*>1D3Pd z`$2XFx;K*1Y_~VpFP>D>N`{L7E8#OuF8T}(U}Zf3B9xW*pileQ6gEZ9v;dIc^Z$^* zJlZuS>HqNiK()_<|CBmu&8>KsY>1p6{;^`>d>o%N>80ors@Gs|Lb?yw%RpS-XM+Xe zHY?n}$ZqvBMeq$?r7%+~@Xws!UOM=?T5Pf|HGl$|STFKnL8Nu#{ zFlwdwN;430XeOg?kegmj0qWP}A{-54_v;cT8{d3#F^cZ$_f>TP%}!1-KwtdtcHYmL zw0+Zu-}K*#2D@|_5)FV}>4_856>;Dh(6G*?sb4e?)QM+Y$J<>W`MoxB@+Tj3s!S*a zd%x@%fD~Wg-UGv0s>;c!vvA?u?wgMFvqJg66_Wo(7iwQEL?n!#MOzsWcmFVR&6M2< zcLt#O&cksSvk%%ZvHgu?9xhr_qY!>3xARr64l7M=#Bzr4`?)N+*2V=H)22bnAivZ9v1 z1@OCTO8D=`f_KMl|4x-jkaweowHno%g}AA1KRkrnE==>YqP9}UwHloO>q*vrb@$r= zS*p8s<+^kEWjgyQsqlTHPW8A5l%nhIT7hBvvJENTwqtR;WiRWW3WgAI{=W$QeOS9w zS_m*))xya;=jCVe3%eY?;!A11zaY8eipM$Xv{!#%|H?3{isppXM4!mt`Yx$4U>}Q| zVMqp+5=$2%V3?X-0wN?2-$Bba%L2Gwz&KAndNp;U5YA4mDAc_&oenry6|w^P==Idq zLiGC+K&3Hx?umCNT!ny-rl0uFo=`xT|6L!h<_~@YUixK7Y{1IKCZ22xD++P)%l6!7 z4l&S2PS>}%ZpMIHJa%V4@IiAZW2OXe+M8<25s-}TkKjB=3>zOXjc~JkYnF|_Nqk4~ zj8qfHsU`hY@E; z-}_^Al-1HQ;B++^%|RN0E!(U91p!!rr2Un|VluJ!+xL|+^%-XRiieY!zpOMZR@5RT znjS{5I>N=$0|@xO)PdvHY-%VLWO9VyIn*tqpCS7W>llkNG}adMb>%k|fdEmmHxs*_ z<6b9023aEF8l`VP3@6iQIQQ_2{L*y$#t4*(&s!ZR_qsw`VKCH;#owzy`Av9!<<= zy1^pm-cc-IHvHd_cOFQZ5P~pw*6)u!9N*FG2KrON$0`YsAtUzM8ctm?)=HFTO4d7ztI_4)0m zd~##x@_suZu6{r)BE>8PM=V{IEfk6RsWj}0=E|mp`xos|ZtdtcFht#r0xrWoAM_WN zB(!nM4ct)`B+1G2(#Vd3UOmtp!JHSi)->w(MS)!VHwQ<785ab%&0S~47@0QVxG z?=32xN9{l`EPM9}y0KsO5gf=nwYs|fccbb(fXE|msGreHm_Z$Tbv?m5P6C)rSG zU#97A2$VRUL(Ro~T{fC=T*ORHCM`iv*8@-;ZrXJQO|~#IVgh}ZoC>Iy;t~8jjfIuQ zkL?>k=ySSL{l&;8#O`?~SROFY!DA3gMvLbOsLuC=J~s34PL-8hnH=FNC;N<8#Y z+sa!yi@0+<7s0B zhylaEuS|T0Z^6amNVD0A8%o=Hjt{w#fxSPIRG2w11899L(P)f%JMtNTINQxk1Q{ws zE5i}sBZe4UB3Vu()VM##fDEc zA(DAQNndw-@aPKJKo$ycr)|E{e3FpTaWxeyt+gwMa=E6ais)NjuW{k;9jrKmHV$(e zqhiKEku$c3-#<}3){_SuBq}$N|lbT$iE-R3Q;)SObSZO|3 z-7~b+ISUg4u&V+0fh?%0?(d8l{?ElYM2-${yv zaS$xdG&zYvh*8J$?UaX^BwJb01Q-zRjy&n7$ZyeAq4B5pcWtJP+02n=$a$ z>1BYT9N!fuJ4UtLF2rA=o+-een|>8TcPAjC>5u=rpx`Om^oZhX;3jpMsBVRLUTPor zVSLigrk9_9)Q-H4V|1HnA+GIqJSJ;S)r>&VZ=bIN!X+MPzg0YDbx!pj0oUU_B@8^T z=3b=QM1%ra#+3nz(=BRks>I@%wJX~akywMV{;%t^#rkLt|CE2TX^gY=Lk)UH1JCB% z*V?(&)rMRF6Y(CZs=es_78f%0+3p~R2ilM`tHKTa$LbLc){U9N%qRaJ0usYJoc`#T|8z+y=be^M_=19+1m;#K#P&qv!@6C&Dj?8 zlWSN|i=0f9*ckB5)QI$SZs@%nl66iGm$nS_&&rFBlTvuwZ#>CVaYG8|w#kxLqIPR= z!?PVl{lo#{m+rwP!IJR9ctI3Kk(#wTpr&58LPpNj2VMqajwZucIZ?5Qsxy&P_G9Y- zS9yZsweI|5F-NQjHi}+zk-UnB-ro$XIzwZVUraK87#0lce?r=}YAj$l0@Z2b#h$QM z;JZzAR%l@M9)d1UOal{FL!rZH`TQaLc$5y!aYdRIBu{`3{=!!QB_yIjc<@>~Iu>7a z21OVCZj6SXrxrV4Ieqltq35Xq4p`seDEje5vSUL5`bHTe1ZL@@IN zdD8>Fs%=ZsF$<4J*S^sfX#8qS6uUQIo_=Ub6vK|7BzH$Mdm=QMa!V`muLWXN>lhFG zG$5#3=$YKUvI@)hF!>k3HO!jmLBej&=yt1*N3PwXr|#9`Pe69U8PR|GTE+3YKlPg| znJNVFZ?D8cQiqcq3n98?j3RxwjRhX5&q&Cn*PWyJ`cp}=WC48u@}#h!J2@SCJG&)C z>$>&)vx~pSuD?agW$HdPqAM1r9K*$)rx>>92i!OmS#G>28cV2ilSy5g|CA@tB6~vCgIGc&Ayh5z2C1{PIyaSHp_catdN!R)2Gl8;gx3L1op^0={_Xb zcPKn(F+ZLjP`7$xE!IzvZR<{AM98Vq=Evrn>Flm`?oXuU`+l(xo}As7F}m0LSr962 zCXxR1CD#WMTlC$a^wa7b#XjEb&UA>cU+Mjq0`Y2-^rB|5r<|MdETfxo&3Iu7q| zxze_(8-DhtY{iVlVJJj={g zME6pq_eqXokc;hMV8UO&)(@r6sI?CEUHex-AscE!1|A~0O?FG4bS3Q~k>71$px=eY zbl~42NDahoaNW_~p>V~<2d-M)<14nx5oTC<^|!7Ol|hk7N|BjpO zTVCDoCmH*(j4ax#BDOdnf8AuC&Bl zdkuYW*YRM=Pc&>r;8c0=>gZ$Hyx;1`wH?2YL0P&Qb~lgux7ZwyA$#E$%vXwruPcKH zB$w!4IcCKbW7^qg-S*jIAGCwdPHQ#&UM#z<-S}tJr4HU%T2rK=Z{+!QUoxAaOmSip zJn@~WS$Z4y19Hl|aWbfldfu<7E*N#unRd2yLeYUD&M-*4uim6;(Wct78VKX>>KsvI z@j1^s;Eq{*+#;15c(xZdQ6oCVR*Be{E}%NNh+T-X^YiL%ITW8Zq$Y{tho0SHDoJV+ z{eby)<@i&pm#x-&jPpIj>J4{g2T*;+W#x$U8ot?`zfaPU*~k>Dl8Q0M)mz_x+D2?n z%1hpT{-D;OM}R9LJ)_Ol>Hdl-nShQ5^S3DyrBKg`ickgq)*W6WI(kOoZ|fmR%uSrl z);Mu?Q(-5}zl3^OoK2os7cHx`)2a2MU~T&;izuippH6r^$eN9p zu1TMkX?IZLAA)#SjadowE7T1yUj8!qDBUG6YP9jijJq~%%(kIejamh*3`)&gQ_)^V z4_-FggYHx|zi{$@7+E1a?A^*_75SFp-1wqu2->QRvYD|_e}SB@3>sdVuq~+`tJ?A2 zsu|*MHzlqLay_;I$)G1)gQ8mpa8*6|?IN#7s><#@*Ltfatmj#$F4hqi__prTW%xO7 zbS159bE$gfK(oRw%q5|wj?GuS@VlDksDg;^NofH?Px5GhCqq?lqHVs#}C z`Gs-)G~qk_$8UQFt@cAXOZtxgUL?!i5GegWaWeyHSkw*AwTdW#rCV^Xm& z^6EBw;5!Ji;T;AD{EtW06@O7yE~FuTp*styDOlFE>}`#?6N~bkTIUZG{P)UIMrIKP z500f?ZkTfWoW&@F9sPKWXXT58_M1eYjmK*4%nWaE3T8TA9687qntq#@|F3?NgK_GP zQ)Q)d#OohRNLr)eDv{6IEZ5z1cQ;j0#c z7>M0gjh%a>K61xureN!L)oeO2hRc0)&u#8Z5W;->#_wWKP^#Ml$|->VpXG4JuJX+? z>two-AuF3yC7TzF_o3$#fsytGJNrKmkW{8WMh_5lUS*wOPqHgfuciHW|JHXM;qUC~ zVKa+@ANy2v_YUEMS&tKndsNhyS3b2oF0MPsLbzrMOJq(nmUpUk#F06=%+(@YJxfWJ zg-YR+zJ)SO=;vT~`q8Ljmq_leNHQg1!ULA26}fNVPws7eGHkJ)`8e^=msZqeX5>qE z$Y<}TH6FM>y^we4L3WK@6%cE}uicGpV~3^U|32TAK|axyxq@Et_{*#07wiTOu5w;4 z8twF)6L&Nods}d~=O89?+N_imcFU;b*3$)e@kmhMH=e)bit3LQN=^-#^0J=Sf#UvK z`<#RQRTcc;w#$`2Wf1Q3S=KvtsUxM3_FE#>Ry`39Hg9nBkJu!Q1YeDK1CM`ZAAiXy zA?Rtsv*AQ!K@7H`#4Rx7^{oY^q~1aK`Ka?Iff5z(8p!GLec#i~QncfIG)*m=Qf__u z?DX(l-?^+>(~@@$&QN+*lZ*7`L2p`q-o=?)F6yR6B5|$dJ!}4pO6<$YTRnVPHqLop z-;5S1?CuR@h?d`X1@!W^dqHcAe9Q>NeSTrT5`E_UtytJ<}?ZPln4wukV){H^w^AJcF72s-1Su zuNN=gLMZMbU<`$$2m45D&Aqg#TRJ9gryueU8;J)RrH}Id41SsXSv0e}ex$5Xzx3do zW3Ts+{e#NtklFZJ8wMX~B+K;TB_nV+{#l^Ao#VivKl1&TrYz$jin9s+_(^Yaw_M${ zJe22GBRwo5E3NjeXsh-Sa@uy}GfK|Ic|(pmMlF=9+qT_(xSV|WV9ahCbx%thU!rII z#!Tig+d=qcQ9YzMo?m0v$(x#95%Mrl_w(HF@u~}GLQI$2XmJ!gQZC+7tK+R(`uYC7OSPyw|HG|sq6%jamKnQW_#j08U(Wjqt#9O0Y92P0e0A`4$*S&r zB(AiJ*^pDN-@#n(%SiY3P}wzVCwhlNGwdp z`x~cg&>-AVv}D5)&{w6@hlwf19_?@HT4e)R&V%ap$&R8Vwh#`9(-|dH1(~K>uQ!k5-2;! z80)E&MS7RYYe`9bh`HfP+Tg#W?e^#q`%|6F9QkUhQ`#BCfL79H5UA57O;Rb^^}ejg z0asO{xk& zADg+V5*@0iW%DLPt5X~^=8g2zGG7tBi$*iBB|p$8Q~-DccCQ zhY(BByruiRma1!O{;CyC@+k_TFme7&eZh(4!Gn~IZ?$Hakh<25aN>dT*m)i83di>_ z8F}Kv)x()t%NNF0?jQgDy0!O+_}P6+8hLAC;C8~dy+^*HmVbFP*7Jh3O{O(XKSY^D zAKUgo|Gvl~+V;&qYp8uISGSn#+!$Y=%qtu>nULUO%N0g)`8J$y9D%}N6KkDd(8+4X z_tA=#_e0^D5+TkTyogJB9=5t+I-l~yDnYG*p|?sv=o4vE->;iQ!PK{xZS4g+ybQz5 zZaEt1x001ZxmdL?ZP}N8YS_(Njxd(Yl9_lhUSa&kB}Mvt?O9f6uqnQK+(X@R3q89k zFskj!C74dP*L`0OkN?sA$4V>jkBc+aiK@kr3(?NHnCb6m-}^4HIheN6Wi-AYHZvSM zKdH9RnBMmyi_=#2D6{(U*z%0J<>SEq$IG%^5{_NsB{qvwMn#IEcqP+#&xsdEwf@-J zCRCZ6=XE5}CCjBZ_{up`qnCyy@7$cBC2mY_q_v7th#%#`Z)sNBHr9PN*x4~tmBHMC zjaq-t0tJ_&zWglSNcbBsmpV}EV#F96*;#Y&?@N0rZdh21wIf@0dQ-pHjy>4E_PMs$ z2WJfaQf=3J!`G<|Ia{{B5DecMpCsqA>Gt#SlGToMfl(zU*c}Ba} zWOp0tzL@ccJ9Ei}TQAG@$!*1w-$%&yrKP(_pDFpAHq|?M-t_FQP{NmtzqeeLqr;SM z-7+4_u<#ldMn2d^dRAse)sjjh4gYvLy59ah& zMHw-5DUPP~7&%Hc*iyxZJ;UFR{d%eU{~vmRu2 zN4YyT`eTZ{BxBLQHkET>E_|@m_XA%eVI8C{!L5vN^PYFCbk3r)8QztS+*Or!nZRUw zKOYV@i38!AdiDlYjpINhIkJ%5{BTImxptoHi1@4KVd9IM10(19EPNjJ);ityE>l*y z4za$)o>D|uPW~|voCaN%EFSry7=BL2df9tOhq>WbY@vB%Z{2Bk+1feqWUZ)UhBQgY z;$0&zuS+OS12#EJ?P?7BZ8_&V(}dv2TOH7_-m$Twd?L;(NP&e`3aQ z&b2%qkNf>`e_ZFf9CYNak9CFq{U(Visk1CAx~l7tGLWcHKCEZ$kT*GOY%Qawb~H32 z-0^k~juVSW*=|1}W~}XeKHD%~{I6Jd(RfzLu)X^Fn_#m0{Z%Xww_I#Q#R zWmDRJ9_l4t<0uH?CYhtH$1)5|D=f$MwSO7Hpk_5_971UHI*)P7d#m>fsk|FL(J!y6F|7 zbBdNtOZDsWlryXa$%fmIj(QFW2B?szc6sJnl%is9xYbxNQ`>dk!no9TECxT@Wn?sl z3$P+TJ|VQ#QH`uPwg2J%VNxnPKBn|fqO&kA^S>v7dp>VTJNrA2bxL8} zx@sGaTk}e)=Y33%OJqJ79w@th+jJ*RcqcvVZ0(o3rk#g`{+7B*;Mg7mS0O~3X*9{FvDQE)(MSn;Fj?Br4}g0NX^dsfqAQKQ*Mos?Y1vk_O*|2^*U z^Q-8Wne+C36rYOTg*oRn#1EcHaFA}O+?CueMgKURnI2uy@6@LGtzyVzAbdD-^}1=g zu$%BNOTVYCJuZv-xr(j_(4b@RABQxTf~3d(yRP4#2|9ssQIJGmvE&t-FY`V(_)55m zlKc9;-6|DS5yRYED*_lL=Z`r*b~2)3ABQ zN5W_2b-flx5!215gS{GK-tyc+kI~;&OdK=)um`7;>RuzOs*JoVoTMr-94h zEX6vC6utF&*~~V)r1hcPG1bY6KkIiba0^!-Y`2G$tjsbGnRTZ>J1(fVRp8ud6+u1J zLB8DnlK8cvOGRP*8qMve4osovuIyEQ)wuX+pZj5_qY@coal^+Fjr;9m{TKc05ch^=4r zT^3NaZ_TFFJ;F%)%iog*;YCkDb0F`g#$o&~lf}JSDY03Uxkz)`m2Vuu$8zJ6a(ZW+ zw;uE~OIugWq_$pMbnw#nF!aMY!ogzk!)~~Y(0TIEiH;a6V+_Y*U==HX9zo1o4}>1H zt#=6Oet)aXNnvT{r^N;H!E?rfAGIdpAhf!@yv%sV;$&g-&Pewl4ULJO(vk@8M{0Tx zWL>HZ(hmjAC)`$ZR$TwneXm0AN6K~QlRi4Fk8DFH@jX8Napq^+G*%wS#3I72gmf0g zz2!#Lj(8)FEs_i6WCo7g#}9No?u$%uSl396?=CK33MaY^Jw8A->Nq#mf2hnpe!RzB zrp=3P_LXpZu)55C;;LD9!olZRV4+(=hMw_};_Y$%Imtx`^zG7nEoDir8JnSoR!UZRJq5u=!Xbo)Vi=km~G3*unK0V7Y|D^NG zo#yz?v-Y5qw~$5b{9jIN^s$!sr$w$S>i+Sa?#WB zhF!kXnsTeAqyeiKD3z$$3Q#U}ZH|3T;hUZ%E!3dt&%3BY<<5&I2i|@>EvDcySlKVr zwoBXU_HusFsD*W%WksRQ0o;7b~2vSs7Ky;H8X$?%uE6A#bFc z7W3|4LQv+<*HURgu~l2#esjG7^4&Q5$)X(<-|TBk49STA9h?(>ecPRSWHR_<=}G79 zX~|NRaVlWDBoz!=+EtXMv#XD_qvij+uVgd|zXR&dg_w9I2)`Q8g|xpijhe~+78R*lmc`}3jUi+O7iE+_>P z#jN^K-Xix_;i_q{i=x_@&6lHI%I7w2yY$KIM(*G5;ycuKeU3j;K71qly-~jBxqU7N zZ_254ZvDTjko{#h+-^KAj6ct=)>(bl{7Aug%is5`pKkFATky@*A9D|?eY*N9B&t+e zve(YS#IQu>R!>x7<7BV&pF&q!kri&>T~YTNdFAWxRPxOl+hl@WESz0#=xwcLTh4X; zsdsvFH(uAqMRfvIa>Ud+*hw$%Ar*XVsZo#?FWg!-bo^Tg@Js#q7b)ZmNi|7486OupY| zUUW1vL(HP0AivKkB)>r-gfABtBfI^;F!QXJ6v2B#+1m{Fcs>{;8U> z_)<$;LXJ~Vam@?C(R*|>KGnQcP%Z3+Pu`VSFc77TkgGcf&wes%aGntfo(dbtvCaLU zxAk()g$LKQE+?fvyy9H=uedvY$agw$(a7nn~Ti)l}AwHjsbNg%0 z>M-)7F5P&R@DXgQ;qp>X=X>lGPsZJ>oR2Qg&ZLJITs)EJk{~2|FmJE7WK_Pwtzm~N z+XJ8GZo{XZZ2Tj2-8^?OUh{B_^e$Q1z{jP3-zS)du(ugpk+JeiFqd}t@3`bO-HhFN z-O@Krhcm$<8Ji}ZAps46t_L@q_qT;~T=@H5cm7$}oTkaCH=hzyx}95+w*_7|?tZ^4 zYW8_dtNkYC?%srtR^}d`qdY6N8(x++8E#d5DRo}h*dqMmebip5eesjR-sQrc#odo? zWYZIsZM%-;@5?njBlAyCmi@8eGehRq_3&`Lj{+9|0!wZEk__jyWX5h9$=D{mHjZ+B zEx!F8!G1@c`Gaoak+bz8d*++INmQK{csAv@=QHJq`$wDq-k%yCcb_ot{w@7IVDF}F zapDzQ&syXdqaP^<8Lf7SyIe;YqC<7tDNjDdi}ywetED2uyo%ZAp9)6u#@h`CBkNT^ z;RTv@`sRBZbH1N}uyFUuQdp>zI?pJmWkORZAi?T)zrG)L%aAvH8*_Bv?|UeEfwDS`;>80&&Owaxh*;RQ4fUf zSW@QR?T?X7N@(r|=B)VNQmVZ1GxPfg*1K-Jx$zo%sb zPiQ*irN_C%9lu%9^N~;L(p#=qg;tcGi0Y0%V|YzEM6y@;`+V&VT;UM&qgKJW0#30)WeHT1pe;_do%r+qimGKK#;xb+)-#pbi&fpqlE z_*c@N5jSH0`W@ae_VoGu$o5a&Hx~VQ-etO3(p%>?b<&AfK_PB!2H*>C3 z3Ancvkm<+!aH($4BRci!bEik>oQJjN^qCy5kForrzZ&oLnC3sIvF3eEmWyxhJt;B9lJ zF6Y`ecLDy#ghz{iqwd_;W2vKg*@XYO(!{9R{!pGC^JWaYf9iPdU!kO*x68kZC8X{O zwUkr7sd4!-{oTOit-0~|5Q(9NcqA;UhA5Ie-Jd-uX;TSv|xKYelgJj!VB&(+#k%a3bHx3_p`N?2^ z+Xuvr`&*AF_?&q$;6H~r@LBalTU9=|tzVVVr|tRia@7*II8XK_#Hz-<`^B0omk2p* zDHZ?d`hrAkn#F?~dLdfpykb{%`K2d}uf&!Km@kl2K8HrRl!^9*-BR~9YPfuRi=vEH zLs#%0>9C*D6MnvJAzgZzI}Tkt+UT_0;PR_^y(Q;5OJA-n@;*H@EhfyU*y_13iTsm{5Q(VPaGCq5MR(E8PV@-0vV$Rmt zMOWo~&|$;jsdw{#_h<+&d==RD6?-BnZgot5pHGKD`MWch#at!-R@os`?q2u=_7qi= z4hZSa8p{z2mp?JX&(x63`YWe_9;DPcA2SKOaSruzD=pOJgPu$-?Ui(d&UYarE3LI{ zrpK+$U8^>Xzd0OgW}7=XTT_+ovX;L1)jDZ%?t;0&Z*IkRIpaA=Rid8V>nHLjE|-$R zw$g7}m+bKF2)&Z)_&2!6U&~4AqS{04{!7jS1%9^|7d=@rn}t5cJ{_4*781G(U`%+0_{<#AWM zf4+YrZ_Jr=Hu@e$s=HSfYT+!GFYqE#)o^>q8PcXGd7-dAk<6Z*x%s!#B~>@aojm!& zDDKXcIr$$q{#gs1+S8r06WG{EcEOVzmjJG$wB#^RNltRfv&P_x@8uYy`IWfm)iR3` zQrJ`Kh0c}=zY+>0!k=t=7j9iG?!?}FYyIiHefjKdS9F{|Cy3_Bn%E3F74Hjc-UCNk zcV@{MUfnrz>$lX6AJX>h&jwky>t%15xIX_-*mGnp;+0X4$Svv50n97B?AptPUex?lc;Vxu zlK0P$bd}Acof<8?RJ*J9^AD4Hmp?g+^PkGN$v(rnmcrs~%I+iIRc5|1{N4`#JlekE zG9r_9_~VLE2yZjf`hB8u7rK!p_NSwcRefRU!INq`)`e#iLW|ojV7JJRbL>qZ!!r++ z=%we$htF#^Io}<8!S?@K**Zt;9DJLD)yHD5k8F4P*t@IGe&t~LOZM-53p4CQ0qW zBEvKN*9U&@^s4F+X>_Gy=cf7TCER%^l?E2998ewb>DX%X>Y?GlbnfD|V{o;@OnTn$ z8gdJz(hQwI+o#{dIJ!&#m_@)oJY3zqt=xoXT3ds>%s^oa+~A$Ifh3KN+)fjE=N)+PlTqXLT2K?&BFDMwyLBX=uMMbZf>{x zbbACN^gjAVdc%j%+hA3uj`hDoP6gv)TU(%qS6eQ<9ouo^TbS9)P*T^aZ+A$esb|do zoKKA+C&wNCdIF_T^)C)9_^U!tBeHbIR{=+}w`FoA^5>T+JZ(hh=WSKS{)h1JSzM&v zJ^wF)uGw>IKk=KG>O$V_O?_i^54Uh+bnzcI$r+$LbNmJ4V4Ve61}k z!u{dlcfzg3c7n9gw=bZ){b@ey2CQs`I}{cL$!bePuFf20Iv$7a$#hCQc1#<{;-+q` zie8l*k+kXeTYh;=TX>W-ysWsJAb_qkw%M)w?Ap5HG8#b;u>PXfl`+lmpQW$%uCn$! zRDV1>BRvoIjCGxU>l+p)u$UPqRsG^fBU3X`d?*6DZ1=Fp>GvM&@%7EoDi(^(=a)x= z-TeNkM*7d3T`v-oY6>>vyN3+F?ji{6cSPv(Q7;5tV_@~zJc&K+)bMeYExc8kl?(|D zEs>V!adl-MVknPT{yc{3#NB%z)4!FKBuNf7!@6ixX>pGjNJK7BC@Xm-mSv@5f<8#y zFVK$lz%M;4N2Bqc;#teOon5fUTHu$XB?Ih>*y<2xN8K{M0WJH?>wy+h&5*!;Tzy!y zK=um!A7y=eki>*h#RrMVUaG}QUfo!GgusKz`?D){(vU@v>kNFR5JD)!r#rbbb#ZU5 z)vO9n=Vtf8i*1?F>b@}a`YV*69V|C3CFIDvbx8xVK?j)>=LcD~wSS?!YhK>t5?=742#hn;~{=*`+FW7dmwN>~TKe=Bu zCYpo0m{y1IJqtqzuhc-gKDPL5#ZW$;a?WCoqr7VLi;dz=gHfwe?f$VI}rT*$-$OHN@8gWh7d_^Sxh zdb}fbK^r+f?FBX2U~Be3rB-MdzL3JgI5vJ2$mUX`htbN|MhC8+QYuc}ewYwXzkh(c zY?lM?Xr#_9dw`giy;6C!g?Ij;lg^T^pVBxXoO!f~|Jd|@^M&zyj;)QPyFTm%M#jrF zI7~XGt$qNB&}Vtd`d4kH)E_NGNJO$7kFJhutp*xR`YCl2w$Wyu@JAajt-q0oTr*(( zb9cW5%un-hYX2S7n&2kT+UQ22q6ms`+(aUB8dp0e*1+}NO9QcqB|Ct|_B5I1F>rYf zK^Z#S3Ms5ayXW2ndsZewiK|LAiUT6TBlRd*efILSNJ~$Hv3#8*jyr*Hu8cI$pXMZS%*5% zZ3h_ME06-0VW*09zrTn}VbKmvn}U979S<6LmTT*wPa~;JlJE9GQtN?Fo<>J6esz63 zV#N%{lz{%4&ubG%6;7E^5>YNup!HKocP08OMto~;K|b_2oynmR#4HN&|Vtp z*#A1g72|31mDi8{WCxz7g$WF@K2|t!O-%Wi4*sL-y~ly=5E&vcP8rKl#)3Xj1sX7d z>$2*ls1>_ObQ!@f({n|V#(4}fur9vcrd76)_Il|ioaKsLJh_Y4Kg(8QV{A%91Bn>} zv`<~k=w6}|ylM>LC#jQDH`tI8j|!swtd!vHZ!k9fSt}S~<{>uGG03fj31&i@-^pak zO-xiqg0R3w+5Z<6&12sT7LejumwNbzlW{W-GZ}Vy6SOB=%WCj%)}s~nS$d3Aur!aL zs6u33A4J^Z%&$wBhK8bwuDe>D+=M@j>Q_ohphK%N6}p`&w4lrPCr}2cwPt+YEAHSsZp}+B%}y2m5y3+_LQhV6Y4$nu zv2USDCH!q&;K6jd{Hn*M;t!dZ-hze`;-5WJK4*rYJ;j&jgn2PWu;M;W@ zL7HsXXNke_IkLQ&x-JaQPr+xnf&E>E0>EZv#dJEuzey*LW=^3^MI(iA@`q;XMuRPf62`q6aR;6#c?n1kGrbg<@Oq~;V>E{n0EgDRml?4|M{%-e3Q%22SxPs81fTh-oe{q?C)4=2= zP}PJ|#ER7}c*$U+i;H_3;iooa{BL>@XeYABGy@Mm(Vm!)Xl#lWMFNj+^5yY6J0|~~ zE>tY|iuY4Gf~+jX3qA@GbPV!WY9%^xpT;FUl!RR;0aT!1d!Ee^c&Q$=mq4|-5+o4{ z2{A#q7yj+xI34OL0#U~E0J=oh^H&vC10MsRGYitbLjByXI56XI`2 zdxE>z=c*Z%ZOW)J1=&#&g^M4-asC24fDf+*Dl|4#Z8!>z#GUN0m?Q@^^an3KwzQ5% z6O|O*fAc9e{c}IBV$TzfGtf*_{`3U_ecE96GI|Gj8enKDInx2|ji#@t^csLEn5fYv z)Yh>*HEBH(lPREWnb9nG%LqC>@;pF1Le(D)Wj4xXQ#LmO7zb<7czW2g3dP^X@k3w- zXDX$V$s-T3X|b#hfcfO#%%PAKf4K?Jd~SsZi?M@Kpl2nkB|?Yd(Hh4Xrjc-{C@c}V zUdiTi3UJRLW?>$6UE3Yc*;spqEEBy&8 zYLP4DyZsmHx{l&%zEb4JYqWv?#iNi)#WTUM959F};-xioGrRPH|74xXM7C$;#TEEy zfF_9B>y{o~yy@CXM=DK^qrk%~nkM5K9kHu+WrXT|ylb93Kh#8DFbIFQx-`^iJ!p`| zaM)m&X5zA$2DJL__Q2h7NJtW;DKZ836cP#!5Xf>waqz)^Ev=GTNqKPYQNG9E||!Q*#zz{_l$mb@)!=EUWu?!_47gg5^Wx3@{wK z#aYf5%RhC2N{~45{Q79H&Sp&=zT0j%1x$1R3@J|IeB>qW+bmweW?seyD5WA{{UGgM z+8BcYVC=$nL5gk6-iyFtgKAru_YyrZ>J#lCGfF{(<^{Ua^AiGZQ%fbp8x@4>wtKkYDwe)K*t zGE?Z$B07>_7z3;w>nlXa?}EWLgTTC?9!R4O+6A^K``83soVMf%3J&Kj+Z`lii27=H zLifmBH1L6w%;>a_=?|y30KYhj!AY?(V`+Te2NMWA^ae}EyV{d$!lxOwb0mQ(?A@1` z5CKE@tx-I(~LuuN*8#9$ZEqS!U3g*yDW!O`XRBtft;9w;F!@ z!ZehGkwODIak-r-_!>Q ztWz#P2>o^V9cGHt9v!Fh7O)HgshJbiQrFgOv4QrXu*w)*z@&mK1_RBSa7n&fHAPsc zZG2b|rXSr7E}_(n6B;0`nejTGs)CAHezRdSE{4ugukG^1@>qc3rR;*Y^s1X0k~M&|)(#`aV``4^JSA zypdE9UXe!vYu2?D$DFOR`2C?+`g(ZMRkV}>+LF1JtR)D$T2xp72+hO`fvfE^tN4vJhu_;4^_eMK$c`sIcwODi&FveX> zID7>aMyH4a0`DF+?@|7;H2pSXU^J*EtcwacPTO z>tIiY#x&z!3Luz82;^67KeAdG^{76_6*J>~Zw(c-k>pdd3!}umZ5lPl>+qRmb^s=P zoFO$G%}GYl#oqwVKBF~F^dY?_gTnwU2AcmK^A!+7V)lW@dt>}8E*--FINIjQ6`jn^K9?nG)0P{+rHt4>-E5C zu`H##NXtvqyk!W1MO35({yn@%fC(sge-UiCl~h7GORZ24-o{lC;0CG?phkWnl5+~U zK&AF-$ty@}124#lld$(PaT@`!=;8_h-{d9@TY^4=A2Vp+#sG_eSwg=Rj}S5%sWo_h z z$vdCIi(w4KX(0|3A=#WqzNL^=A}>M!x2u^7cKK;Gn_SQwaMa~z0w4Pl!?T` z8v92HBdLoW;_Al9E1@d-93fEI{Il}}JRZEOs5oM0BTnSoA$pwwt&A`s5d4LA&#ulA z4)6ew3t#(1>fm1{U!d8oaoT=F;tqXB`3Z2uH)0wq-G^$a&r#6AF}Cs*p9A{FjUI`P z;-WK$+k&o34!rhPV)JTx7=yCYH4TcZn3R4dJ`!LyS^c8?cc{-fXlZOyoD~a8yVlSW zoUNf9v5kQ1Dj(KuE;&BAA(1>2WmO4tn>I*2N`uGmy)kl4yn&NFv&{b_VBpKmrmARO z#x7mqu1ORK4Bq?kM+5_T?x3RGz*d{rM{qPdX+jCZw2Mr=bEXeTFy>3>a@S=*PQ1#{ z-26m|`+8#%u+Xb#ZVGs$=NZp+yLdRG6XnefW2`bcc5O699ndUjsChD&e^yNol?wI1 z_>QJYhZ+$#w(bb!JzSCl1L{NI!Q zG2GC>oq5I(YN3s=%H2EB0KT!^%<5t;QdlZ?$?6S!x#kaqvjpH>NX1YH$&7vl1Uv5e zok8Be;Go?i7{Uzx7^=x(1d~R0yW6Xd*gi~}p$h90lemc-!k{O{b2~{`A}IZPxx()4 zw0d$dPjSJmX(nMYzNfLGz;$6nlb;1f_^N)S-+#)>Cs==#XJHh8$aPX%;TOD<6SpEN3nW!2mRbA; zRv#k>;Ie9MQxyHQA^tG}ZqKSl0BUCHIm~#$w|_a)b`e7ut+j&!kJb$fAx(apAbe8E zL5bzA7L(|gLBR3q^6pp9Nb3_-h<t@${ei~4>z3JpyomMJ*&GIf z$0G#y!{WdA8ya>;NA>b?Qc~O#3{XzFdn6&EJ=g6w6C@nibJA3@9jvy65)b-U^9OaB zP1Yv}XdFeE!79(nJD|kbibb)rupc#}pW~D#lM3w-XSOO`&z{N>Lgk zq7Nmu9tg4;(+0f#Zl>aMz#!JSJ=E$&BQy$kI0|l4>g3oGu~be)6Y4I^4uB8*MzJ|- z&$xq85_r-5LxeZedCjuO6n&JZ;B;59AakW>SrhuZv`BT-*!Z zU@K69u?^e{%07Nd-yor0m~jWrdvtW!E(6M#LaXx0k9gMMd{;Unl)_}VaXl_^*KM}W zX{QJf7FVM21Z68F=*(Yju5A#za*;lExQ>@}yd5=j7JmGjJ5hI$zo>C69G14hRVuLE z>(D9CM>`&n1+g|Q)s>7fA62Px~#6|yP4!-eWp$%#wEW7fK4D9P)&WR@z;&9MbYmHR6CCX^(nfZVKL6J9;ehf zVUm;iDG{#eGk1T^vQlSRp$YFenE0_ zn=>}T#7pY=1@ZyT2KCZlc^~7xt^>TBZ*PSXw!{7-d(wdn$L4(AT?dPRwk*J#f=YkE z=DS5YsBPOAc_vcYiccVyb*y$IcknPv{Vb{|6kr{%fMDvgb0W~9u@dMB;@50|^3*G3 zstKN<%<5laea2@Zr)5yLvmkf_1{cstoXZGWP3r?LejHRih8v*kluy6)f5 zfUc=ecwNjyV$vR*;VL+ki;!_PK$(TLAa;s2NAiq{WM``*N;UO9s2oW;qWYU3TvWXpbFvGtQbpQJnl6_t_ z6bQ1~yGTZIy8oNB=htW%2Y?rogNMabP_~WS$-0a1#WsQymx7+Q~3w)2!8igh5{hpR=&ior_dE@ zle;mOD8rX1q(+ya3E7MY0|w*xZF^fxA_wiQLIhec8Tn?s(%}pAtGt3!&?AamY`r)M zuMMGt;{1Pt2Pq4Yp2aP5f4}Cw1u_7yCf?uCVmkWTMuaGWwv2I9kp0QQj7uA~e5P&% zPjMm#7Lo`){RgOoEV_r1zwagr@$lpe&=FH&EkA)3xNF)2*amsi0=dEt{{6UbvvF%(*0}> z$5v5^kl9EjX#62cfFqD<_V*))k+N!ojj{pS{whldQ#NC13G&Ps1$2$8!TNe^g67!> zbUhZ&;-!kVYcjrce1pjC=83%{TgHNkTR025}kAR@2VT;rEQ2LHG2C|pWhu`AOae#uuDCGXqF4ttafOJ?4o zf}4?`s);1NhfWv69+MYGfGj|X?$Sc(Pk19&+2+O6b7SmI058O@MYyGoZV9dPT)mZk!Q zg$kQo<-*3|nt%+iL#|4M;p-B9O7k$n-#x%Q6UP#!`~XS;Cz;FJIl(yBSOPRibQstA z6`zm<>XMIOdOMQxgco#lgB75u!ktFkdoG)#I86?=eVH>vQ`xsbrt;X&#z;0&n>OM< zpTb`aZGgOiT;B{wydgUmdT0R7R=1v`FaWhTu{Gk2|Jbem1>xYn^B$2-`pTt7kR0>s zt7dDXxpz~6n1U_=x|5-I7mWN$NJ|DmXKc@?lAS+(s>w zOMUI(yUN)L)PxwA0w_Ack^A@!W+oeC1Q^Br@3ww-*9ms$YBpdJPe?I64b z|Hh|KH~T@t4g7moC*j+ZbmoGG_Wevil;JB(t({yX$fufN^e;%1D^|bp>rB{SVgnom z3Y(L#sR(X_eCrFLa$i4cA5S=zFpw9h^o`KN<-OyIM)E%Z^Zg3}6MOv=QUX2=4zTB! zYyxK>11M?4EdV_}ASe}DB!N6{%;@C>7fUvq8ey;k3t$5YuKiToF4}<-AsF5df8AIEz=bY9 zF_GmDK775Q;T~sm7R{42bD0n`c^CHz)QsrwS@Z5_{Gqg;u7hkrO(aZ1b!8h_GD+;Rs6DBQXp zgz`}y_xX=XlIY}=ONbAz&rv0?`dqdU3L$ZgU#W253%1Oa`V`tvhmHHRG+3<7An8Rn zsk1p>;71tkWEN%L#(D@^6IeB>JBHi?z>78EFilh&BkTlr1xWoY?v*mr1dt^hSqj?z zm7iI!Ik{m$WAILHB7Y0K z6=+ARkkL8<3WattwU~A_b=L;(02a3bBHsT>zW-@@(ZWCNXe&O?j z>7?K;GK4FLW+3ju1BWo`9syW1CXQ^WbzYRfsZdD!1)b$;06z+YM5;8NlPeWJA~i); zJYIRqu5i|h`{uwOv_^2}U$Z>-(Xs`k`1YfO|8waE!WQoTjj|L!B8+^ufvP_~Qx^tb zWmdj`PXQHbrDQj?s<|&*bJ?x|Lhy-EFmap!?r>;~u!~OzL7@Rstw8*G?Y&G67J{Fw zl|=Ey{%kZvn2C4=@7uTo@-@%;0n7r!76v1i-@b@+XA{A-%r60qZ3|eP21t3r*SL{t zyb)BnJqHM~VdTvX8&_bN1Ytf!3nUrbBPvI9Ibd$XAgcw!UJj`am;x8phlPg-;z|A^ z;9`W82KqVV!_@jjK#dgd$%56dG#)D42$6{2VEGNK3Vf>pKHF%l&kwr9_xn=9q6f99 zX(CI{FoZNgsMzh_6HTY7^a4xaX0Va{NIHD80e;~1N*u*0_v-oL$c^u@)^&$iC*_$%~D>c;>7#s3$^!%2TMN3Ev%=~F@Htm?+m zr;(mb`)23oJD;P)N_EC7)N=kT80H^SkvsILlccZv`)ty;j9H(z<*o_}E|%a$Zi~c$ zAo4ZoVX4-U-6?0@L=-kuKT1)K7d%C3-D8!uk}xnJcSOxis<1+MPwlalD5}!_qu(53 zs8K6!0X@E_4h(b7sbn$21B{)sIGV&Dz1oV>{e>}8V#e-?|K9!!xc}+9)ws9RtW@bT z(=DalCtTkn&6R#N?eFV1-7?Mg-UfZyLJlHh^peM;&AmYy2InTF+ANPq;}vR`KJNg`xO1|K=nZIyumxR6}h%<@w;5rz1V-kMwoxBo_Y)7 zv+hN>3%~Sn!w>ijqhe*EzGuY>)!wI;+ZTT+jvRJ#6|NhpjQT#?sX1S3Z*N5Iyf=7u zvs5|b?6sB~=PGSGd~;6~lJvj-^2!;!{k5?0lZ`@}s*#J7ySZ3-@t2tO+Ndm}_SX2? zXe+9m!EId)e9XUli7BMB_uU1`1aDjQom9@(mAd!{xyhpqAN}~4f$^ll?Z8G-AUJ|z zIOa#zX|WguFP#)GRnoHNE{Eqb>Yv@2x};uMX&A<8zEP7X7W!BKKWj$NM~-oIKra@Xu7r6um4oPr6XO zxog5fqwUmIDd&_o5{5oK+OazBP1*s2tKZ|JzS#MXRL9p|N;Dk!H;{6(>R&83+@jR zMfIx>`(9Ff>^#$Y)w)*zpR{<2#QxQZ|8pbJsyM0;VJ|l|(A+9+h5xqhwODh8RAKyUNeU=&3K4D1vRypd3O0ct zwX$2?vMbLSWk}SzCzdC{!X|PlbJ(mw583-4%Yn^sk+bjCpp+cdDcR?^iS3Up3`ksk-lV zF;!niG2~OtQt#|U>){{B0A-#}at-iBPebpl{!M3AA&EMVYo-umL31T;$vt+=pnpqV zU{KLrl8)$kO>f5Jo>RlaP8z6!TK28%3Z2B12zxhmZsiNErOe|SGWNJVr&3~qRDC9F zJ0i1OzbT6C;g!x8i%xyHUT>Z9Dwv}tA_M=>@rSaz%YS|s^y|wLoRk&il#15xPsw_H zwl(+LfZ|z=l8!w#sDh)(bAu-fYE!;K$vZU^vo$qJr~0q=9Tu$*6|K**I_+yeQjM|v;WyoZUXiH;CJ4HpV^pQC>!)krjPcUt%bA0ut!|2k4 z>Zm17ZTy>uOu*3fu2ieV?mdqa4Xu=SPqv^{MMm0AQkz5EQq89MN0XinXaiE6*-N)MjOJYLOGtUup%P1eRGx4)w*5nK_SuxSN6q91ZKkC?#d7af zEN>R=UGg2Bezm%(JwPPwwmw7CA0zq0>K!f6DKOGIMM>+2$1jeepKQmJ@wO$~C!`nF z0z5G_evOtRuyna6%QhoM*^%dgfGA;eVJwMf-qqdL4wbkw(nDQE+ zkJZX2745M-*W@9K<-YrcFJs9sZ zrm8CSv|XBxUfSyA zsQZGu^}J)&LoL7JR|Y+Uw+{8g2GuE-=I$8W=h}-rP;H4(`>-H0`0mt0!z_`whYM}{ zzNwwOW3pc zj4B3(;DMjgYO+&3@T~W31JWFQoido6f;NA0O+q4FBpWNC*+B|$D!}a3V_JpXafPGDMrVZXo znO&pDR0N!7l(nGD8w{sefr zk96J6DffFlf66t?I=Lz~U+`>gszs@ZmcxF=IG1~#kUcAZWLRu+`U7Qm=hnF8qE}R% zFP~Rr7sazHUgo=PPkOJVEt4o=HRmaA>z_^0ch{~yuspQ~vG~+pFjUc%x#PRv8o546 zIY^kfZCQ5gniyV%dzmS@jH*wXh+}O*JWi#ou2mY-L2e)_8dvulmmsU(`l>8?<#y+k-LU25#2=MJx1sH z)g#zu`25sgq<%5=9jUoZy}xD z@t*VOMlOO}h^NN-O56)w6uP1wT_k)j`0^fp2>b+3FZn$_-ottO3|GIx>NlEwIR2}d z{e7(+4_61Sj;E*BYcjgGJ^iM`$$R)F{Q784?q_r%UI@y4fjF>-=2jAiYdo8{Ahltp z?_9XV$-DXlbTU&4 zJ)0W+cK8tX+rWB;ck5hCBp*n98ug8gZZmuuD>Q?1b?bMcDNViHH>+vt-MqzQv_Yyl zMf@!BX|Uu&>W+~=!+H))S&!}{{3TY6N6dH@O#n0AWX7WE@dwFnh_G58VZniYR; zt2nngSFwA7{Uwd_5~pgctsa1!@z!$=z3BcYih5;<pf5RWvxD5lv!>tOAJ^XT_~EW z@ceU<@SF!q+ztK{uqzl3Mt}>zF5p72Gq?!s1TF?Uf=j>-;8L(X_$k;9Tn4rUmxFD< z6<}*{B^VACrr~*d7X{CO#lRoI;^0}Z0C)i`37!TEg1>^n-~liRpKADA0UrkeXE9Wg$P0(B^TBWf`70~ye+q=Cr7s$Zu-n{w!-^}~i8>J5FBBI9PLMOjyl&5m0wdYQYKoztt z{hSIc*H=1Y`Bq8#ixHl_e*P3JLp&kOdY-mDY0qlcpOL#nt<=uo!@IwSAsP%t zmtCbqP+M_;fIeS+bOVZkP!R~7mRZdQ{(r55bc>mrw+qj}_s02ZxRJNoXq=zRuj&^0 zMaOv}1}m>*b>uZ8sWXurYgtLICQ9ezl%-|X^|db@VS<5Ey4XZiUx7F4BiN0>iEd6Q zku$&Z9Ws;y9xKigoz%y+FZ!ja!4dwA%i6fGk{O-0a_L>!Xrg823LWd4{Gzc?6Nr?X zL&h_%9Z2m6&noDwl%CF{q5?P4Rs+%6wI}DGEoX8MxW^o{wp9287#GFms$e7$TV}Ky zV&dM`9W2Xjz|A(tU8g-u*=do}o=h1U-PUWU_uuZHfvJ@z{0k=~ur{O5AY&UpC$TIe z$X{unpUsry=hF{AKEl+^6JC6wJkE!T-{wGM?+tYVIb<+0J0oi~+;j%iH*BYVvN3KV7>73-@U2k!%nKfHyHly=i&bM diff --git a/cpld/db/GR8RAM.tmw_info b/cpld/db/GR8RAM.tmw_info new file mode 100755 index 0000000..a0b049c --- /dev/null +++ b/cpld/db/GR8RAM.tmw_info @@ -0,0 +1,6 @@ +start_full_compilation:s:00:00:32 +start_analysis_synthesis:s:00:00:08-start_full_compilation +start_analysis_elaboration:s-start_full_compilation +start_fitter:s:00:00:11-start_full_compilation +start_assembler:s:00:00:05-start_full_compilation +start_timing_analyzer:s:00:00:08-start_full_compilation diff --git a/cpld/db/GR8RAM.vpr.ammdb b/cpld/db/GR8RAM.vpr.ammdb index d42d098719f022e4b61e80459f88a217cfc438dd..688626a94f72df0af3d296946228fddf39c2c997 100755 GIT binary patch delta 869 zcmV-r1DgDf2-ydaQ-3TH000000065400000001li00000007wo00000004LayjVMK z6hRQ}-30_hM34}10WLr=CWg#j62t`{;RYLW0k{AJ2pb$&#I$8{Br*;F38efavqROZ z>T2|uQP0YTk=(Q0{i^EKr)zuN_kDkDmeO|8=c4?b!KeEe`+q*o@gXe**Z03--eGwm zA8`sC-hIV!s2j@_&H&x4y&=^n1}X zk>9Ai=Y##)*6%m=zm-qk=YGugncpyT)`zX!bL`v&mO*J)w-{et};(ZATA z47?6huYV(aS)TbbKh6)wkK@DmQs15PEcVNM4+Or3f7x-xAMV#JJo{7Z6@7(|n$dW( zKE~U9P4D~D@b&kB;raZsKim&E{>&$Xi4K-;-f#JD`lX+HeZ7Ug@$=T=`wRw+l*aP_ zbGd&wzjEL03}V+I`8+gN`F)bN{-VO>?-l)+>VN&_5%Ak_-Yg!k)*Wyu9r;^ds{bs_ zUqZgtALqN&cjs~|yz6~5;%^5deTF_+^*P^<82=FX+j0KiLH}#%7smGx`}Ow$%eUq$ z$j>9*tS`f!49>TOm&D3b@2jk@CEvNcy;EZOjC~o1k1lKe7~kTv1^?^)C95KRTz_l7 z_*zrykL_nD8`sDD=8b%I(6<=P*Gc!8Pxvo|G!)+#;3L8Ec-;a2TK~Ks{dGhi+vopB vwDkXZUX;OKK1pde$#n_i#WH66(tknblj1jyKgTrHdnH6K zn-7?6VHE!*`Da+lVE#L>k89%{ApYx^(mDLn@RG^!_HpBv<7If2!R#Mlm#Q_M{Rj9* z{f{t@e0zvL?|AJ05dMWv1OEv2A8u#740{=@-Z}E=MD*<8FA!h+ExyNZQ#}XZhd#Y} zm@nvz>p!ne`G0dh*4Op<$LGWN&ZmKQR=w(aRGdH8Uk`r|&ufxe@l8I~Kli>)rS)VQ=%{{U|H7GX8zP@cpERZ+7O#@tm(d zKPf}>Jy{8%mG5uNr}j(r=lz=VaXjRb^=W*2`LiU#rGNVL{G0hqAJ+dpu!C>i4+c$| z7AQFEjQiUZ^gIrNg1L#^O=Z2F4KqSpTO_lBuy{F?E%K) zRppa0PtN}s{$G4O*ZK$Zy`CAK;AfCQQoQZVQqiw*Q$TjD;uw> z2iy61s(<@-`J?^3sei4v#xuXFPqnx7sdkQMeY7pw`hU#xq~ezp0)a-_*NNFXuaJeRJp)@2@A&g``-UI0Z{gHi?=_XkK;X`J+CXMzq}7^>G{C@v=4iH z@A3KI`3z6b_wYYLy>XuC`j7Sd1o#VI|GJ;Vd7NR_LG)%k=F^*Vy*+=n&rnLdKki^2 z-+w#xJQ#34CEYK|_nzsi&rglQ=N_6?@w}D>g(ZgeN)Om2+*ne8LwyOdU?+I8Gi@$#QzTtQBNUje6{~$zv%Jjdigxq xL%#U_&&XE>%jfUcwqEw(ANvvGGau&5>kyIiFOXl7>;AlHKGs{{egl)I12Te?_i_LL diff --git a/cpld/db/logic_util_heursitic.dat b/cpld/db/logic_util_heursitic.dat index 3d321f6e4cbb78711f77708e4f31cac1ef8d7e81..b4eec2b47e674c68ec591105af8e911d112450d5 100755 GIT binary patch literal 12584 zcmb8sXKxl{7>41u3+yhfE#0++?$%Nf6^V7liKqyI17tYkN{A9k)T9xdxHayHilCx0 zWV%sQ5XG(FK!pghj4Xwq{DHekkLTl=PTTM4!b>_K3w z!PbE71GX>NTCjCs>%lgFZ3No{wi#>-*jBJ@VEch>2YU$E{$LLUI{@rqU=Ig-1lWOK zj|4ji>``Dlz#a|u7_i5JJr3;gU{3&hBG{9_o(%RBu&06@4E8jzL%^O6_6)FRf*lI> zEU?4C4hMTS*b!hyf;|W9xnR!&dp_7vU`KGwuL65D*a={-0edajiD0h-I|=OdU~d3>BiK%`H-ViDb_&>=!QKM)R_cE52KxxuN5MV@_HnRJfPE6|Q(&J4 z`wZA;!A=AF9N6c|C((z`g-? zKG+3d7lK^`b}`r`VBZA$7TCAJz617Mu@u()f&CclCt#O@T>?W|A!EOP&73?;!e}VlQ>~^p_!0rUQ3+(RDc6LPhpD1?G z{_Ubic~2A*@eO-J8^?Wd4(oqmjpP4uj%!k!qnZRZ8Ei^u<9$;@8(o`7q=D@LwkOzh zuo+-8!DfXv-Zwk6@xD1=b3+^7kQdtchF)Ov!4`lm1X~2QH`rpZC16WK8~$w{K|U&1%8cfvpGI0Jafq6WC_3Enr*09t^e(Y(KE=VD|%H C5P^08 literal 13376 zcmb8#FW@h=`cj-Q9_e3U-U#-QC^Y^#=Qn zyMNy!TtGlTK!SgZPyW03+Js;eflUlH3D~4y1HmQ(8w55v*c4z>f(-_n3Tz12)L=uw zrU9E4Y#7*dVAF%m05&7oOkgvE%>p(n*lb|4gUtapC)iwI!@)*?%?&mW*t}r#fz1!L z0N6;dQD6&#Ed;g**rH&Ifh`WU1lW>bOMxv7whY*4uw}uP16v+!1+bOCRsmZTYz)|H zV5@_T1seyp7TDTg>wv8bwjS8}U>ksK2(}T}#$cO(Z3?y-*ydncfNcr371-8b+kkBg zwjJ2^U^{^A2(}a0&S1NM?FzOV*zRC^fb9vk7ueol`+)5WwjbF3UAU02zC+J#bB3!T?%#?*yUhXfL#f871-5a*MMCMb{*LDU^jr>2zC?L z&0x2H-3oRa*zI6X&wxD(_8i#rU@w5Z2=)@#%V4j7y$bdk*y~_#fV~O!7TDWh?|{7v_8!>#U>|^e z2=)=!$6%j;eG2v&*ymthfPD$}71-Bc-++A!_8r*wU_XHU2=)`$&tSiR{R;LQ*zaI} zfc**f7uerm|A75}!u!{FB>~ukU=x8&3^obaq+kQVCIcG;HaXZ7U{it(2Ac|O2-wtM zL&2s2n-**s*mPjigUtXoBiKw}GlR_nHY?a{V6%hG0X8StTwue&Mu5!?HV@dmVDo{^ z54HfuG0001Zob8=oZ`;Na#ozlW2)#GrP=81PjsaJedwp#;xsp*o7=<8P zOe@5eU6~3J;NpJvONxr6*e=hW3B9WY^45sfXgS<7GduH>y7%!u8&9u-`Q$cz+xT^u z3=huU+)nPtS67Xoxb`VsJk0LqZyWMP8o}So$s$`mY+n6O`9g4f9JHdJuVz{BdwD64 z)|>E+1ivh2H`5?$MM2nYO4N)(X$7Nn@o9FQ2Ja`=9|H+S{|+wFt6(slW%)ZB`#%N2 z-pBOI`2KD-&mP7PX%=K(?(_96(hun(onNPo;ICP_$gkYLZ8TSJ*nUeeo963nJih7A z*+Z5t)_<1&VR5tj^I?Cq*IfN)`S#}H`(Lyd0ec72>Ebf_gE84MF)1y|W*gW%dpA11 zTV{;O4lsGdIkF2M%wV)&4939V>F82o%83cEI6SysE-pEnJtLdk!#Xo=zRDKwJind=5W|QJ)tZj=^W4&k#@=uL_TmN zpOsNgJ%Z#jzZm5Dp#nM*&nV4KXSd1Ow$c3BB+C}Ft7VoxjBoO{eSLlV$~v8nPu`!8 zkAFKK4^EHI#-sCt^KoN;bRc`p$G?2E+I-P0yay!LgW>3}*#|1zM@E^B(^q7c>bUe7 zA<-W7PX@nH7LjC&R6;HiX*Q26gHvwfBGDcWey43B%@%jgg@MQ{fKEpwrjTH3$U&rAhqD&f9tHMdBjU==(%0;9O(onfjZqs*go8*8x z-FJ|RR!@l9NF9UY9(&tJLaS8@WfEy9KTaH7?C@ElgY;UsnUg^W$+hV9u-z!L<_x(X z3~N=ctn3;omb3{^e5(qIyZtUqeXHB&l1dlJwlvD^s4f!jywAm}uECLyJNI-=bi`SN zS&yxrx=2Lx(Zzr=Xq51T%l0wUPf>$&`iYT#M(opxk$8^!R8KB8su?*g#zyBZPKq%u z8i()BxCTs&v@<$fzRznAMBiN)sfX;ow*9ktb%khl_6s zZj{h{(FAFzTqu_;5+tJXA#pa14qg$tn_%yve?loUK{6^Eqtr7Q#&N0VxG!{ zq#lw`zDQ1#JtU#kNR{$r4X{NXVACcHf0Z5~!l3{z1X3It;zA(DK_eFeDGm*DA&}%yKoq8BtS zAdtOK%=g8NzaaNL<5 zLBBv6Low+W$YW?m{bC4YkVegTKyp(-C}Rz7^06P18H%yLKs1A%`wIj!_`$zGFoT}_ z3&b+`(Z4`4Loxdo6EuU|q>#;^r~d-k49)moAfKU_{|h8EGyuTklzvjo`^)+L3&Z+v z|LpN&KiHXsF^OweczSjFKE6uNF9zVHR ze)P*L*+viOwQLous>SRzUvk!Kd$6@j9^7;|J@VjY)VTv5+)SN4^5Etyz5^cIbVfY# zpzM$XH?07j16S9^+2rabUEBFITV%`0O(U2uZ^zenHxI=PKfe3XZCjs_|IzYxzO_)< ze0+Ir^Wo3lzqfV&RW^UFF#62IUUTcd%B=c)PrSHI&?c#TE?=KYRP|7vK9VO71nv!^ z6>Jd!Eqk+#OGK-FvDsbL@_%68D~pt4gcg@l>y>hPLCWo*#RvRaJJ{ z@l;Fuuq;RPsw0l2h^}3NT~BqY>PS1Dsyt0qdWxzWpI43lSTlZG+&!xoU#b@WxmJ8? z?8%#-REc(`6qaI!)X0RN}|EqorMDjrrUUPl@{)QT*^!>|TAb zAD(KvKcTh#@RWP;g?>0H)}ABl^Hf(|OxtZFxler^Kgy}D`+%DKtvZvguPy8sSDtRMI zJr_UXROG(!jQq%biTgdJ9yeRAr`-2k)B`WeHM(<0g?^Oi>b`7^p7JC0wcUrQwjZfa z-IuM=Q+|XgaX%InKRl%-XKE}w{fOx9YlP4b9?U(w5&BVfMmqpG`O!#FpG|9wQhprz z)XZpoo=QAHr`$Ku)%2F-L!bLNr5-n0uF&19ukve@yW=T!U$(~F=f|Fk-4~u+PpX6+ zP@f+qy83WhV~y^|o{HU{kJb2=<$B7!`qU50a+Tmd_oDA4+ijL3iu-)74r-ROl>4)6=*OPwxL04tk9@9PW__MgHy$kaN8Hmp z>Tls%?vJ?ll=}DFepEi+UHQ1r=ZPQrT#cC3=c$$-5k>vIz8dqnAKfuE3R`2A@}u&J z-R~(i!eUu{?&FlYptqd++^bK$tu3q1{hq4)as1u!l=~4{=*PH2{ZmTyc}mSrTP_lu zJ1X?UQ7!lJT)hO#XMXo#O8p(|A7}pW?o7LX`s!ajv^?!_AA8kbF|bT8xOP;}4?n4Y zxxT&~rG~*RSJLiz7&VS+IZC-_7u3IzWx14gzo*oLDa#e2`+l4HhcqqkDfjAA|JJ?b pIOU$(Q~#ckW%ao~$*N)S=bn1{%KHDiS-dG5Pp|fW`aj#mNjC%UFQ5Pb literal 2609 zcmV-13eNSc4*>uG0001Zob8=&Pa8)N#^3i-oczA=&H2AXkQ{JY8fa1*l^3Y848F7$ zV5m7qiB$Eo_s%B90qSR;;k?62c^7=X@!sq{GqbZlJ3ALQ*>HRoO-EPh>&j34cE5Y{ z>S}Z|JUgpI#kEiA>~?ZJeO-}PQi=Yak7n8Y_Wsr1mM=sH2T?8g@obVszb`LEQhOD@ zlIYERaygEYS`x+0swCAUmRdAOXP+kLY4l-qei2DD_$4|?&!XOVlI3?+c7KSXos0C# z@aB3l&2ERcX%=N)Zu0TX(vRsZot~$a=&wmS%dgzLu2dH{tltt%#`$@@jgTa^aeA=U<(*L9Gpsvdg3;)_+~V{I2Fod2bk=4&*!sKZkQ4~8RceMkZ?}= z`=?FLB+|}@!D-A{MAFIUyvK~jzqc{q}k#1Xq=8It4KZv?+3^IH! zOK*pl`E6fcU%zsf_=oR491jnEJs$QB4~~X|dWvODO?PIdLpmDTE#X5lj+#diCH zza}54WS1b#zTJD*`<1eYG`pNWF3l%MvJ0bJa7kQ}9yv^;**voJ4mpJ((eC$tXKmJy zYKtf5^i@NmUFK2YGJXxIc4?JMd^IGaMQK9XL^3KeJ{JaSNJn=QA5%t=j`sWe2h*}+ zU|e1dtRc}Z_YmYJ0?Br{%<7y`B;4-R{4=@Rsk>FHT-jDf(#@kmgR_WaTf`SGSl1n@ zRnnJ8vUx?#MXNeeY(M?jPyeQB)w)ySlMXjfhs;M>bVz(fNlgW-I#f{c?A&5+AO(H+ z`5>Mplu5UGm6K4zsaL{9qz2N^;@PPwY9J9!`(3KD(Lfq1LI)?yhMR;)qewyvUkYUs zX(->Kyghlr`;!LJYcY4644O!;MW>7G?=>AuRc@eem8x)MWfSiBils~1bn0&=j=6-*YpLYsUWjBd+<>a*+rNXl^6(DHXxSR2b{`$2qvG_J3rZb_)&o{~SIHh;+rmHs~JqeRgk$uS-M*=!z zk1RV*%|G&>y5poEPUanaRK2&UW54$2g56lW_U%h|_Osb&db?yk)_9J8DhewfuV+{3 z__3KsPbaa{sUH`@C3X=rxz&@{Eh&QTpA%zxg$)h!Hm$g*@37%%kv|3xPZe&0Pq_LloeJxBw!GnR04+kO2ikB0SI` z5E3ccg^K4d9~6;okRk$6k)qGXKR?7pcmhQnVG;76M<6buVNe7DBMJybATq*JD1wO* z1%@J9!8l|q9EWTJ zhinDvkZn*MvIVR|AlJcT7y{7_4Tm9+?%+WTfpACBrRR$t2zc;(gh1v(12YK3E>tvw zK=ML?GYBLvG(3Yq@*G`_{(`@Sr?T5Ic)&!)$bRncms? zG?``d(Pbr?&aZ~&*O#}&&%CVeUioe9Gx9ghucm7QmHUrhUc3MBNB8fnJ^v<~KW;Gk z$iz-{?YWjA?eU^`a-E=6vT;kx;i!ed-~2E~o4(^jN#`^t`6*9i`nQ zc-|gs6Lfi=YJ?%arncWvo~N2&cq*~?lzIrB*OYxZm8e@TRAcvXTlasPy5DwRA2oEJ zYUlocGxwpf2RA=x<9bf#_Dx&~qv6)H_f#{CoowBH+o2wU=QU+tOsh|EJg+Hx^{I#8 zxi@KlGEfUceRX^FsfXa%Q}#8YdI+BP9rlf+Bn;hiAA8lLkLPWN{Z>{z z1kbV8{s^rdhNslYw8VTKhNsljVtJlY!v>z)xb|zR8Ak4_+d~oRA$YE*>~o)b2%h({ z_HA5s&)u`9>}&K|AbVMRPpQ8O<9Rn@uRe9-!E^4juM*Tl@O+43zowEfxaFpOjjm=G zJbTK%kE>29o+G+_<=GAcolNW_y867?b1%Vu-zS08==R{UdI+97dG_Z`>MvM%-p$yb zIJCp)x~LORiJl5$O||SJdJ@K(Qh&RlL{9~>@3XJbC5+ssZughxsb(1Ul$wStQGH?T zki~vCquwTX_LO~`io=Lg9s4zHf&`qv`9)6mb3C-%Dzb<@vtJh3M&)Q6~^*OYxWSO1!y=Ps^&h1d#X ze`a6y#9_21)jv{Fo~P75Wb1iJ*@r3hclJE5DSP#)dw!l_X!~MXJp|95GIvxQMm5#4 zUs5%7nEJjydoE>T-@#XZZNaln>?4X=s-8oy{hCq_!E@nbzdutS;dzcz=8lTP5TE)d z$4U(7Vf3Zz_F+mr1kb6@K1`{9bky^jvfs(7hv0cl*?US&ZhH2VeVkJNH1=apJ$&Wv TzZ@-Y%7){!-5>q~qjl0qTG0{o diff --git a/cpld/output_files/GR8RAM.asm.rpt b/cpld/output_files/GR8RAM.asm.rpt index 01eec4e..af658b4 100755 --- a/cpld/output_files/GR8RAM.asm.rpt +++ b/cpld/output_files/GR8RAM.asm.rpt @@ -1,5 +1,5 @@ Assembler report for GR8RAM -Fri Mar 19 07:33:15 2021 +Fri Mar 19 16:35:30 2021 Quartus II 32-bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition @@ -37,7 +37,7 @@ applicable agreement for further details. +---------------------------------------------------------------+ ; Assembler Summary ; +-----------------------+---------------------------------------+ -; Assembler Status ; Successful - Fri Mar 19 07:33:15 2021 ; +; Assembler Status ; Successful - Fri Mar 19 16:35:30 2021 ; ; Revision Name ; GR8RAM ; ; Top-level Entity Name ; GR8RAM ; ; Family ; MAX II ; @@ -90,8 +90,8 @@ applicable agreement for further details. ; Option ; Setting ; +----------------+-------------------------------------------------------+ ; Device ; EPM240T100C5 ; -; JTAG usercode ; 0x00164F11 ; -; Checksum ; 0x00165309 ; +; JTAG usercode ; 0x0016B2B7 ; +; Checksum ; 0x0016B52F ; +----------------+-------------------------------------------------------+ @@ -101,14 +101,14 @@ applicable agreement for further details. Info: ******************************************************************* Info: Running Quartus II 32-bit Assembler Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition - Info: Processing started: Fri Mar 19 07:33:13 2021 + Info: Processing started: Fri Mar 19 16:35:28 2021 Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM Info (115031): Writing out detailed assembly data for power analysis Info (115030): Assembler is generating device programming files Info: Quartus II 32-bit Assembler was successful. 0 errors, 0 warnings Info: Peak virtual memory: 293 megabytes - Info: Processing ended: Fri Mar 19 07:33:15 2021 - Info: Elapsed time: 00:00:02 + Info: Processing ended: Fri Mar 19 16:35:31 2021 + Info: Elapsed time: 00:00:03 Info: Total CPU time (on all processors): 00:00:02 diff --git a/cpld/output_files/GR8RAM.done b/cpld/output_files/GR8RAM.done index ac942b9..9a0673b 100755 --- a/cpld/output_files/GR8RAM.done +++ b/cpld/output_files/GR8RAM.done @@ -1 +1 @@ -Fri Mar 19 07:33:23 2021 +Fri Mar 19 16:35:39 2021 diff --git a/cpld/output_files/GR8RAM.fit.rpt b/cpld/output_files/GR8RAM.fit.rpt index d4a1357..56df282 100755 --- a/cpld/output_files/GR8RAM.fit.rpt +++ b/cpld/output_files/GR8RAM.fit.rpt @@ -1,5 +1,5 @@ Fitter report for GR8RAM -Fri Mar 19 07:33:10 2021 +Fri Mar 19 16:35:25 2021 Quartus II 32-bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition @@ -57,14 +57,14 @@ applicable agreement for further details. +-----------------------------------------------------------------------------+ ; Fitter Summary ; +---------------------------+-------------------------------------------------+ -; Fitter Status ; Successful - Fri Mar 19 07:33:10 2021 ; +; Fitter Status ; Successful - Fri Mar 19 16:35:25 2021 ; ; Quartus II 32-bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; ; Revision Name ; GR8RAM ; ; Top-level Entity Name ; GR8RAM ; ; Family ; MAX II ; ; Device ; EPM240T100C5 ; ; Timing Models ; Final ; -; Total logic elements ; 235 / 240 ( 98 % ) ; +; Total logic elements ; 217 / 240 ( 90 % ) ; ; Total pins ; 68 / 80 ( 85 % ) ; ; Total virtual pins ; 0 ; ; UFM blocks ; 1 / 1 ( 100 % ) ; @@ -79,15 +79,16 @@ applicable agreement for further details. ; Device ; EPM240T100C5 ; ; ; Minimum Core Junction Temperature ; 0 ; ; ; Maximum Core Junction Temperature ; 85 ; ; +; Placement Effort Multiplier ; 2.0 ; 1.0 ; +; Router Effort Multiplier ; 2.0 ; 1.0 ; ; Fit Attempts to Skip ; 0 ; 0.0 ; ; Device I/O Standard ; 3.3-V LVTTL ; ; +; Final Placement Optimizations ; Always ; Automatically ; ; Fitter Effort ; Standard Fit ; Auto Fit ; ; Use smart compilation ; Off ; Off ; ; Enable parallel Assembler and TimeQuest Timing Analyzer during compilation ; On ; On ; ; Enable compact report table ; Off ; Off ; ; Router Timing Optimization Level ; Normal ; Normal ; -; Placement Effort Multiplier ; 1.0 ; 1.0 ; -; Router Effort Multiplier ; 1.0 ; 1.0 ; ; Always Enable Input Buffers ; Off ; Off ; ; Optimize Hold Timing ; IO Paths and Minimum TPD Paths ; IO Paths and Minimum TPD Paths ; ; Optimize Multi-Corner Timing ; Off ; Off ; @@ -98,7 +99,6 @@ applicable agreement for further details. ; Regenerate full fit report during ECO compiles ; Off ; Off ; ; Optimize IOC Register Placement for Timing ; Normal ; Normal ; ; Limit to One Fitting Attempt ; Off ; Off ; -; Final Placement Optimizations ; Automatically ; Automatically ; ; Fitter Aggressive Routability Optimizations ; Automatically ; Automatically ; ; Fitter Initial Placement Seed ; 1 ; 1 ; ; Slow Slew Rate ; Off ; Off ; @@ -128,12 +128,12 @@ applicable agreement for further details. ; Number detected on machine ; 2 ; ; Maximum allowed ; 2 ; ; ; ; -; Average used ; 1.33 ; +; Average used ; 1.50 ; ; Maximum used ; 2 ; ; ; ; ; Usage by Processor ; % Time Used ; ; Processor 1 ; 100.0% ; -; Processor 2 ; 33.3% ; +; Processor 2 ; 50.0% ; +----------------------------+-------------+ @@ -148,28 +148,28 @@ The pin-out file can be found in Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.pin. +---------------------------------------------+--------------------+ ; Resource ; Usage ; +---------------------------------------------+--------------------+ -; Total logic elements ; 235 / 240 ( 98 % ) ; -; -- Combinational with no register ; 139 ; -; -- Register only ; 4 ; -; -- Combinational with a register ; 92 ; +; Total logic elements ; 217 / 240 ( 90 % ) ; +; -- Combinational with no register ; 122 ; +; -- Register only ; 9 ; +; -- Combinational with a register ; 86 ; ; ; ; ; Logic element usage by number of LUT inputs ; ; -; -- 4 input functions ; 96 ; +; -- 4 input functions ; 80 ; ; -- 3 input functions ; 45 ; -; -- 2 input functions ; 80 ; -; -- 1 input functions ; 9 ; +; -- 2 input functions ; 71 ; +; -- 1 input functions ; 11 ; ; -- 0 input functions ; 1 ; ; ; ; ; Logic elements by mode ; ; -; -- normal mode ; 177 ; +; -- normal mode ; 159 ; ; -- arithmetic mode ; 58 ; -; -- qfbk mode ; 18 ; +; -- qfbk mode ; 13 ; ; -- register cascade mode ; 0 ; -; -- synchronous clear/load mode ; 45 ; +; -- synchronous clear/load mode ; 43 ; ; -- asynchronous clear/load mode ; 0 ; ; ; ; -; Total registers ; 96 / 240 ( 40 % ) ; -; Total LABs ; 24 / 24 ( 100 % ) ; +; Total registers ; 95 / 240 ( 40 % ) ; +; Total LABs ; 23 / 24 ( 96 % ) ; ; Logic elements in carry chains ; 62 ; ; Virtual pins ; 0 ; ; I/O pins ; 68 / 80 ( 85 % ) ; @@ -179,12 +179,12 @@ The pin-out file can be found in Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.pin. ; UFM blocks ; 1 / 1 ( 100 % ) ; ; Global clocks ; 1 / 4 ( 25 % ) ; ; JTAGs ; 0 / 1 ( 0 % ) ; -; Average interconnect usage (total/H/V) ; 34% / 42% / 26% ; -; Peak interconnect usage (total/H/V) ; 34% / 42% / 26% ; -; Maximum fan-out ; 96 ; -; Highest non-global fan-out ; 31 ; -; Total fan-out ; 948 ; -; Average fan-out ; 3.12 ; +; Average interconnect usage (total/H/V) ; 24% / 25% / 23% ; +; Peak interconnect usage (total/H/V) ; 24% / 25% / 23% ; +; Maximum fan-out ; 95 ; +; Highest non-global fan-out ; 28 ; +; Total fan-out ; 856 ; +; Average fan-out ; 2.99 ; +---------------------------------------------+--------------------+ @@ -193,30 +193,30 @@ The pin-out file can be found in Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.pin. +---------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------+--------------+--------------+----------------------+ ; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Cell number ; Combinational Fan-Out ; Registered Fan-Out ; Global ; PCI I/O Enabled ; Bus Hold ; Weak Pull Up ; I/O Standard ; Location assigned by ; +---------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------+--------------+--------------+----------------------+ -; C25M ; 14 ; 1 ; 1 ; 2 ; 0 ; 96 ; 0 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; Fitter ; -; MISO ; 33 ; 1 ; 3 ; 0 ; 2 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; Fitter ; -; PHI0 ; 12 ; 1 ; 1 ; 3 ; 3 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; Fitter ; -; RA[0] ; 30 ; 1 ; 3 ; 0 ; 3 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; Fitter ; -; RA[10] ; 16 ; 1 ; 1 ; 2 ; 2 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; Fitter ; -; RA[11] ; 20 ; 1 ; 1 ; 1 ; 2 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; Fitter ; -; RA[12] ; 1 ; 2 ; 2 ; 5 ; 3 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; Fitter ; -; RA[13] ; 97 ; 2 ; 3 ; 5 ; 3 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; Fitter ; -; RA[14] ; 36 ; 1 ; 4 ; 0 ; 2 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; Fitter ; -; RA[15] ; 95 ; 2 ; 3 ; 5 ; 1 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; Fitter ; -; RA[1] ; 92 ; 2 ; 3 ; 5 ; 0 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; Fitter ; -; RA[2] ; 35 ; 1 ; 3 ; 0 ; 0 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; Fitter ; -; RA[3] ; 96 ; 2 ; 3 ; 5 ; 2 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; Fitter ; -; RA[4] ; 84 ; 2 ; 6 ; 5 ; 3 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; Fitter ; -; RA[5] ; 81 ; 2 ; 6 ; 5 ; 0 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; Fitter ; -; RA[6] ; 82 ; 2 ; 6 ; 5 ; 1 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; Fitter ; -; RA[7] ; 34 ; 1 ; 3 ; 0 ; 1 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; Fitter ; -; RA[8] ; 15 ; 1 ; 1 ; 2 ; 1 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; Fitter ; -; RA[9] ; 17 ; 1 ; 1 ; 2 ; 3 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; Fitter ; -; nDEVSEL ; 77 ; 2 ; 7 ; 5 ; 2 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; Fitter ; +; C25M ; 14 ; 1 ; 1 ; 2 ; 0 ; 95 ; 0 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; Fitter ; +; MISO ; 28 ; 1 ; 2 ; 0 ; 1 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; Fitter ; +; PHI0 ; 72 ; 2 ; 8 ; 4 ; 2 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; Fitter ; +; RA[0] ; 17 ; 1 ; 1 ; 2 ; 3 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; Fitter ; +; RA[10] ; 34 ; 1 ; 3 ; 0 ; 1 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; Fitter ; +; RA[11] ; 39 ; 1 ; 5 ; 0 ; 3 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; Fitter ; +; RA[12] ; 58 ; 2 ; 8 ; 2 ; 2 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; Fitter ; +; RA[13] ; 57 ; 2 ; 8 ; 2 ; 3 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; Fitter ; +; RA[14] ; 61 ; 2 ; 8 ; 2 ; 1 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; Fitter ; +; RA[15] ; 62 ; 2 ; 8 ; 2 ; 0 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; Fitter ; +; RA[1] ; 38 ; 1 ; 4 ; 0 ; 0 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; Fitter ; +; RA[2] ; 16 ; 1 ; 1 ; 2 ; 2 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; Fitter ; +; RA[3] ; 12 ; 1 ; 1 ; 3 ; 3 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; Fitter ; +; RA[4] ; 36 ; 1 ; 4 ; 0 ; 2 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; Fitter ; +; RA[5] ; 15 ; 1 ; 1 ; 2 ; 1 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; Fitter ; +; RA[6] ; 91 ; 2 ; 4 ; 5 ; 2 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; Fitter ; +; RA[7] ; 35 ; 1 ; 3 ; 0 ; 0 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; Fitter ; +; RA[8] ; 33 ; 1 ; 3 ; 0 ; 2 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; Fitter ; +; RA[9] ; 37 ; 1 ; 4 ; 0 ; 1 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; Fitter ; +; nDEVSEL ; 67 ; 2 ; 8 ; 3 ; 2 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; Fitter ; ; nIOSEL ; 71 ; 2 ; 8 ; 4 ; 3 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; Fitter ; -; nIOSTRB ; 72 ; 2 ; 8 ; 4 ; 2 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; Fitter ; -; nRES ; 64 ; 2 ; 8 ; 3 ; 4 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; Fitter ; -; nWE ; 8 ; 1 ; 1 ; 3 ; 2 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; Fitter ; +; nIOSTRB ; 64 ; 2 ; 8 ; 3 ; 4 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; Fitter ; +; nRES ; 73 ; 2 ; 8 ; 4 ; 1 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; Fitter ; +; nWE ; 74 ; 2 ; 8 ; 4 ; 0 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; Fitter ; +---------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------+--------------+--------------+----------------------+ @@ -225,34 +225,34 @@ The pin-out file can be found in Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.pin. +---------+-------+----------+--------------+--------------+-------------+-----------------+----------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+------------------------+----------------------+-------+----------------------+---------------------+ ; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Cell number ; Output Register ; Slow Slew Rate ; PCI I/O Enabled ; Open Drain ; TRI Primitive ; Bus Hold ; Weak Pull Up ; I/O Standard ; Current Strength ; Fast Output Connection ; Location assigned by ; Load ; Output Enable Source ; Output Enable Group ; +---------+-------+----------+--------------+--------------+-------------+-----------------+----------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+------------------------+----------------------+-------+----------------------+---------------------+ -; DQMH ; 75 ; 2 ; 7 ; 5 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; yes ; Fitter ; 10 pF ; - ; - ; -; DQML ; 76 ; 2 ; 7 ; 5 ; 1 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; yes ; Fitter ; 10 pF ; - ; - ; -; FCK ; 29 ; 1 ; 2 ; 0 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; yes ; Fitter ; 10 pF ; - ; - ; -; MOSI ; 28 ; 1 ; 2 ; 0 ; 1 ; no ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; Fitter ; 10 pF ; - ; - ; -; RAdir ; 78 ; 2 ; 7 ; 5 ; 3 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; Fitter ; 10 pF ; - ; - ; -; RCKE ; 66 ; 2 ; 8 ; 3 ; 3 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; Fitter ; 10 pF ; - ; - ; -; RDdir ; 100 ; 2 ; 2 ; 5 ; 2 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; Fitter ; 10 pF ; - ; - ; -; SA[0] ; 73 ; 2 ; 8 ; 4 ; 1 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; Fitter ; 10 pF ; - ; - ; -; SA[10] ; 62 ; 2 ; 8 ; 2 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; Fitter ; 10 pF ; - ; - ; -; SA[11] ; 88 ; 2 ; 5 ; 5 ; 3 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; Fitter ; 10 pF ; - ; - ; -; SA[12] ; 70 ; 2 ; 8 ; 4 ; 4 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; Fitter ; 10 pF ; - ; - ; -; SA[1] ; 21 ; 1 ; 1 ; 1 ; 3 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; Fitter ; 10 pF ; - ; - ; -; SA[2] ; 61 ; 2 ; 8 ; 2 ; 1 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; Fitter ; 10 pF ; - ; - ; -; SA[3] ; 39 ; 1 ; 5 ; 0 ; 3 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; Fitter ; 10 pF ; - ; - ; -; SA[4] ; 74 ; 2 ; 8 ; 4 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; Fitter ; 10 pF ; - ; - ; -; SA[5] ; 85 ; 2 ; 5 ; 5 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; Fitter ; 10 pF ; - ; - ; -; SA[6] ; 83 ; 2 ; 6 ; 5 ; 2 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; yes ; Fitter ; 10 pF ; - ; - ; -; SA[7] ; 19 ; 1 ; 1 ; 1 ; 1 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; Fitter ; 10 pF ; - ; - ; -; SA[8] ; 18 ; 1 ; 1 ; 1 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; Fitter ; 10 pF ; - ; - ; -; SA[9] ; 67 ; 2 ; 8 ; 3 ; 2 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; Fitter ; 10 pF ; - ; - ; -; SBA[0] ; 43 ; 1 ; 6 ; 0 ; 3 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; Fitter ; 10 pF ; - ; - ; -; SBA[1] ; 86 ; 2 ; 5 ; 5 ; 1 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; Fitter ; 10 pF ; - ; - ; -; nCAS ; 58 ; 2 ; 8 ; 2 ; 2 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; Fitter ; 10 pF ; - ; - ; -; nFCS ; 38 ; 1 ; 4 ; 0 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; yes ; Fitter ; 10 pF ; - ; - ; -; nRAS ; 68 ; 2 ; 8 ; 3 ; 1 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; Fitter ; 10 pF ; - ; - ; -; nRCS ; 57 ; 2 ; 8 ; 2 ; 3 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; yes ; Fitter ; 10 pF ; - ; - ; -; nRESout ; 37 ; 1 ; 4 ; 0 ; 1 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; Fitter ; 10 pF ; - ; - ; -; nSWE ; 69 ; 2 ; 8 ; 3 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; Fitter ; 10 pF ; - ; - ; +; DQMH ; 48 ; 1 ; 6 ; 0 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; Fitter ; 10 pF ; - ; - ; +; DQML ; 66 ; 2 ; 8 ; 3 ; 3 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; Fitter ; 10 pF ; - ; - ; +; FCK ; 30 ; 1 ; 3 ; 0 ; 3 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; yes ; Fitter ; 10 pF ; - ; - ; +; MOSI ; 21 ; 1 ; 1 ; 1 ; 3 ; no ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; Fitter ; 10 pF ; - ; - ; +; RAdir ; 5 ; 1 ; 1 ; 4 ; 3 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; Fitter ; 10 pF ; - ; - ; +; RCKE ; 70 ; 2 ; 8 ; 4 ; 4 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; Fitter ; 10 pF ; - ; - ; +; RDdir ; 75 ; 2 ; 7 ; 5 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; Fitter ; 10 pF ; - ; - ; +; SA[0] ; 1 ; 2 ; 2 ; 5 ; 3 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; Fitter ; 10 pF ; - ; - ; +; SA[10] ; 55 ; 2 ; 8 ; 1 ; 1 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; Fitter ; 10 pF ; - ; - ; +; SA[11] ; 42 ; 1 ; 5 ; 0 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; Fitter ; 10 pF ; - ; - ; +; SA[12] ; 44 ; 1 ; 6 ; 0 ; 2 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; Fitter ; 10 pF ; - ; - ; +; SA[1] ; 100 ; 2 ; 2 ; 5 ; 2 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; Fitter ; 10 pF ; - ; - ; +; SA[2] ; 4 ; 1 ; 1 ; 4 ; 2 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; Fitter ; 10 pF ; - ; - ; +; SA[3] ; 88 ; 2 ; 5 ; 5 ; 3 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; Fitter ; 10 pF ; - ; - ; +; SA[4] ; 54 ; 2 ; 8 ; 1 ; 2 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; Fitter ; 10 pF ; - ; - ; +; SA[5] ; 77 ; 2 ; 7 ; 5 ; 2 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; Fitter ; 10 pF ; - ; - ; +; SA[6] ; 98 ; 2 ; 2 ; 5 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; Fitter ; 10 pF ; - ; - ; +; SA[7] ; 81 ; 2 ; 6 ; 5 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; Fitter ; 10 pF ; - ; - ; +; SA[8] ; 3 ; 1 ; 1 ; 4 ; 1 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; Fitter ; 10 pF ; - ; - ; +; SA[9] ; 52 ; 2 ; 8 ; 1 ; 4 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; Fitter ; 10 pF ; - ; - ; +; SBA[0] ; 86 ; 2 ; 5 ; 5 ; 1 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; Fitter ; 10 pF ; - ; - ; +; SBA[1] ; 68 ; 2 ; 8 ; 3 ; 1 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; Fitter ; 10 pF ; - ; - ; +; nCAS ; 83 ; 2 ; 6 ; 5 ; 2 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; Fitter ; 10 pF ; - ; - ; +; nFCS ; 43 ; 1 ; 6 ; 0 ; 3 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; Fitter ; 10 pF ; - ; - ; +; nRAS ; 69 ; 2 ; 8 ; 3 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; Fitter ; 10 pF ; - ; - ; +; nRCS ; 84 ; 2 ; 6 ; 5 ; 3 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; Fitter ; 10 pF ; - ; - ; +; nRESout ; 19 ; 1 ; 1 ; 1 ; 1 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; Fitter ; 10 pF ; - ; - ; +; nSWE ; 82 ; 2 ; 6 ; 5 ; 1 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; Fitter ; 10 pF ; - ; - ; +---------+-------+----------+--------------+--------------+-------------+-----------------+----------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+------------------------+----------------------+-------+----------------------+---------------------+ @@ -261,22 +261,22 @@ The pin-out file can be found in Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.pin. +-------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------------+-----------------+------------+----------+--------------+--------------+------------------+------------------------+----------------------+-------+----------------------+---------------------+ ; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Cell number ; Combinational Fan-Out ; Registered Fan-Out ; Global ; Output Register ; Slow Slew Rate ; PCI I/O Enabled ; Open Drain ; Bus Hold ; Weak Pull Up ; I/O Standard ; Current Strength ; Fast Output Connection ; Location assigned by ; Load ; Output Enable Source ; Output Enable Group ; +-------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------------+-----------------+------------+----------+--------------+--------------+------------------+------------------------+----------------------+-------+----------------------+---------------------+ -; RD[0] ; 2 ; 1 ; 1 ; 4 ; 0 ; 4 ; 0 ; no ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; Fitter ; 10 pF ; comb~2 (inverted) ; - ; -; RD[1] ; 7 ; 1 ; 1 ; 3 ; 1 ; 4 ; 0 ; no ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; Fitter ; 10 pF ; comb~2 (inverted) ; - ; -; RD[2] ; 98 ; 2 ; 2 ; 5 ; 0 ; 3 ; 0 ; no ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; Fitter ; 10 pF ; comb~2 (inverted) ; - ; -; RD[3] ; 3 ; 1 ; 1 ; 4 ; 1 ; 3 ; 0 ; no ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; Fitter ; 10 pF ; comb~2 (inverted) ; - ; -; RD[4] ; 5 ; 1 ; 1 ; 4 ; 3 ; 3 ; 0 ; no ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; Fitter ; 10 pF ; comb~2 (inverted) ; - ; -; RD[5] ; 99 ; 2 ; 2 ; 5 ; 1 ; 3 ; 0 ; no ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; Fitter ; 10 pF ; comb~2 (inverted) ; - ; -; RD[6] ; 4 ; 1 ; 1 ; 4 ; 2 ; 3 ; 0 ; no ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; Fitter ; 10 pF ; comb~2 (inverted) ; - ; -; RD[7] ; 6 ; 1 ; 1 ; 3 ; 0 ; 4 ; 0 ; no ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; Fitter ; 10 pF ; comb~2 (inverted) ; - ; -; SD[0] ; 42 ; 1 ; 5 ; 0 ; 0 ; 0 ; 0 ; no ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; Fitter ; 10 pF ; SDOE ; - ; -; SD[1] ; 40 ; 1 ; 5 ; 0 ; 2 ; 0 ; 0 ; no ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; Fitter ; 10 pF ; SDOE ; - ; -; SD[2] ; 53 ; 2 ; 8 ; 1 ; 3 ; 0 ; 0 ; no ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; Fitter ; 10 pF ; SDOE ; - ; -; SD[3] ; 51 ; 1 ; 7 ; 0 ; 0 ; 0 ; 0 ; no ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; Fitter ; 10 pF ; SDOE ; - ; -; SD[4] ; 41 ; 1 ; 5 ; 0 ; 1 ; 0 ; 0 ; no ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; Fitter ; 10 pF ; SDOE ; - ; -; SD[5] ; 52 ; 2 ; 8 ; 1 ; 4 ; 0 ; 0 ; no ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; Fitter ; 10 pF ; SDOE ; - ; -; SD[6] ; 27 ; 1 ; 2 ; 0 ; 2 ; 0 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; yes ; Fitter ; 10 pF ; SDOE ; - ; -; SD[7] ; 26 ; 1 ; 2 ; 0 ; 3 ; 0 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; yes ; Fitter ; 10 pF ; SDOE ; - ; +; RD[0] ; 92 ; 2 ; 3 ; 5 ; 0 ; 3 ; 0 ; no ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; Fitter ; 10 pF ; comb~2 (inverted) ; - ; +; RD[1] ; 90 ; 2 ; 4 ; 5 ; 1 ; 3 ; 0 ; no ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; Fitter ; 10 pF ; comb~2 (inverted) ; - ; +; RD[2] ; 85 ; 2 ; 5 ; 5 ; 0 ; 3 ; 0 ; no ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; Fitter ; 10 pF ; comb~2 (inverted) ; - ; +; RD[3] ; 96 ; 2 ; 3 ; 5 ; 2 ; 3 ; 0 ; no ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; Fitter ; 10 pF ; comb~2 (inverted) ; - ; +; RD[4] ; 95 ; 2 ; 3 ; 5 ; 1 ; 3 ; 0 ; no ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; Fitter ; 10 pF ; comb~2 (inverted) ; - ; +; RD[5] ; 97 ; 2 ; 3 ; 5 ; 3 ; 3 ; 0 ; no ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; Fitter ; 10 pF ; comb~2 (inverted) ; - ; +; RD[6] ; 89 ; 2 ; 4 ; 5 ; 0 ; 3 ; 0 ; no ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; Fitter ; 10 pF ; comb~2 (inverted) ; - ; +; RD[7] ; 87 ; 2 ; 5 ; 5 ; 2 ; 4 ; 0 ; no ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; Fitter ; 10 pF ; comb~2 (inverted) ; - ; +; SD[0] ; 29 ; 1 ; 2 ; 0 ; 0 ; 0 ; 0 ; no ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; Fitter ; 10 pF ; SDOE ; - ; +; SD[1] ; 8 ; 1 ; 1 ; 3 ; 2 ; 0 ; 0 ; no ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; Fitter ; 10 pF ; SDOE ; - ; +; SD[2] ; 27 ; 1 ; 2 ; 0 ; 2 ; 0 ; 0 ; no ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; Fitter ; 10 pF ; SDOE ; - ; +; SD[3] ; 26 ; 1 ; 2 ; 0 ; 3 ; 0 ; 0 ; no ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; 16mA ; yes ; Fitter ; 10 pF ; SDOE ; - ; +; SD[4] ; 7 ; 1 ; 1 ; 3 ; 1 ; 0 ; 0 ; no ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; Fitter ; 10 pF ; SDOE ; - ; +; SD[5] ; 6 ; 1 ; 1 ; 3 ; 0 ; 0 ; 0 ; no ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; Fitter ; 10 pF ; SDOE ; - ; +; SD[6] ; 18 ; 1 ; 1 ; 1 ; 0 ; 0 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; yes ; Fitter ; 10 pF ; SDOE ; - ; +; SD[7] ; 20 ; 1 ; 1 ; 1 ; 2 ; 0 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; yes ; Fitter ; 10 pF ; SDOE ; - ; +-------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------------+-----------------+------------+----------+--------------+--------------+------------------+------------------------+----------------------+-------+----------------------+---------------------+ @@ -285,8 +285,8 @@ The pin-out file can be found in Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.pin. +----------+------------------+---------------+--------------+ ; I/O Bank ; Usage ; VCCIO Voltage ; VREF Voltage ; +----------+------------------+---------------+--------------+ -; 1 ; 33 / 38 ( 87 % ) ; 3.3V ; -- ; -; 2 ; 35 / 42 ( 83 % ) ; 3.3V ; -- ; +; 1 ; 31 / 38 ( 82 % ) ; 3.3V ; -- ; +; 2 ; 37 / 42 ( 88 % ) ; 3.3V ; -- ; +----------+------------------+---------------+--------------+ @@ -295,106 +295,106 @@ The pin-out file can be found in Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.pin. +----------+------------+----------+----------------+--------+--------------+-----------+------------+-----------------+----------+--------------+ ; Location ; Pad Number ; I/O Bank ; Pin Name/Usage ; Dir. ; I/O Standard ; Voltage ; I/O Type ; User Assignment ; Bus Hold ; Weak Pull Up ; +----------+------------+----------+----------------+--------+--------------+-----------+------------+-----------------+----------+--------------+ -; 1 ; 83 ; 2 ; RA[12] ; input ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; -; 2 ; 0 ; 1 ; RD[0] ; bidir ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; -; 3 ; 1 ; 1 ; RD[3] ; bidir ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; -; 4 ; 2 ; 1 ; RD[6] ; bidir ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; -; 5 ; 3 ; 1 ; RD[4] ; bidir ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; -; 6 ; 4 ; 1 ; RD[7] ; bidir ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; -; 7 ; 5 ; 1 ; RD[1] ; bidir ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; -; 8 ; 6 ; 1 ; nWE ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 1 ; 83 ; 2 ; SA[0] ; output ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; +; 2 ; 0 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 3 ; 1 ; 1 ; SA[8] ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 4 ; 2 ; 1 ; SA[2] ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 5 ; 3 ; 1 ; RAdir ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 6 ; 4 ; 1 ; SD[5] ; bidir ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 7 ; 5 ; 1 ; SD[4] ; bidir ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 8 ; 6 ; 1 ; SD[1] ; bidir ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; ; 9 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; ; -- ; -- ; ; 10 ; ; ; GNDIO ; gnd ; ; ; -- ; ; -- ; -- ; ; 11 ; ; ; GNDINT ; gnd ; ; ; -- ; ; -- ; -- ; -; 12 ; 7 ; 1 ; PHI0 ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 12 ; 7 ; 1 ; RA[3] ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; ; 13 ; ; ; VCCINT ; power ; ; 2.5V/3.3V ; -- ; ; -- ; -- ; ; 14 ; 8 ; 1 ; C25M ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; -; 15 ; 9 ; 1 ; RA[8] ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; -; 16 ; 10 ; 1 ; RA[10] ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; -; 17 ; 11 ; 1 ; RA[9] ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; -; 18 ; 12 ; 1 ; SA[8] ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; -; 19 ; 13 ; 1 ; SA[7] ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; -; 20 ; 14 ; 1 ; RA[11] ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; -; 21 ; 15 ; 1 ; SA[1] ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 15 ; 9 ; 1 ; RA[5] ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 16 ; 10 ; 1 ; RA[2] ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 17 ; 11 ; 1 ; RA[0] ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 18 ; 12 ; 1 ; SD[6] ; bidir ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 19 ; 13 ; 1 ; nRESout ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 20 ; 14 ; 1 ; SD[7] ; bidir ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 21 ; 15 ; 1 ; MOSI ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; ; 22 ; 16 ; 1 ; #TMS ; input ; ; ; -- ; ; -- ; -- ; ; 23 ; 17 ; 1 ; #TDI ; input ; ; ; -- ; ; -- ; -- ; ; 24 ; 18 ; 1 ; #TCK ; input ; ; ; -- ; ; -- ; -- ; ; 25 ; 19 ; 1 ; #TDO ; output ; ; ; -- ; ; -- ; -- ; -; 26 ; 20 ; 1 ; SD[7] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; -; 27 ; 21 ; 1 ; SD[6] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; -; 28 ; 22 ; 1 ; MOSI ; output ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; -; 29 ; 23 ; 1 ; FCK ; output ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; -; 30 ; 24 ; 1 ; RA[0] ; input ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; +; 26 ; 20 ; 1 ; SD[3] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; +; 27 ; 21 ; 1 ; SD[2] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; +; 28 ; 22 ; 1 ; MISO ; input ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; +; 29 ; 23 ; 1 ; SD[0] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; +; 30 ; 24 ; 1 ; FCK ; output ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; ; 31 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; ; -- ; -- ; ; 32 ; ; ; GNDIO ; gnd ; ; ; -- ; ; -- ; -- ; -; 33 ; 25 ; 1 ; MISO ; input ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; -; 34 ; 26 ; 1 ; RA[7] ; input ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; -; 35 ; 27 ; 1 ; RA[2] ; input ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; -; 36 ; 28 ; 1 ; RA[14] ; input ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; -; 37 ; 29 ; 1 ; nRESout ; output ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; -; 38 ; 30 ; 1 ; nFCS ; output ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; -; 39 ; 31 ; 1 ; SA[3] ; output ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; -; 40 ; 32 ; 1 ; SD[1] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; -; 41 ; 33 ; 1 ; SD[4] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; -; 42 ; 34 ; 1 ; SD[0] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; -; 43 ; 35 ; 1 ; SBA[0] ; output ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; -; 44 ; 36 ; 1 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 33 ; 25 ; 1 ; RA[8] ; input ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; +; 34 ; 26 ; 1 ; RA[10] ; input ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; +; 35 ; 27 ; 1 ; RA[7] ; input ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; +; 36 ; 28 ; 1 ; RA[4] ; input ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; +; 37 ; 29 ; 1 ; RA[9] ; input ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; +; 38 ; 30 ; 1 ; RA[1] ; input ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; +; 39 ; 31 ; 1 ; RA[11] ; input ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; +; 40 ; 32 ; 1 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 41 ; 33 ; 1 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 42 ; 34 ; 1 ; SA[11] ; output ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; +; 43 ; 35 ; 1 ; nFCS ; output ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; +; 44 ; 36 ; 1 ; SA[12] ; output ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; ; 45 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; ; -- ; -- ; ; 46 ; ; ; GNDIO ; gnd ; ; ; -- ; ; -- ; -- ; ; 47 ; 37 ; 1 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 48 ; 38 ; 1 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 48 ; 38 ; 1 ; DQMH ; output ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; ; 49 ; 39 ; 1 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; ; 50 ; 40 ; 1 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 51 ; 41 ; 1 ; SD[3] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; -; 52 ; 42 ; 2 ; SD[5] ; bidir ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; -; 53 ; 43 ; 2 ; SD[2] ; bidir ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; -; 54 ; 44 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 55 ; 45 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 51 ; 41 ; 1 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 52 ; 42 ; 2 ; SA[9] ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 53 ; 43 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 54 ; 44 ; 2 ; SA[4] ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 55 ; 45 ; 2 ; SA[10] ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; ; 56 ; 46 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 57 ; 47 ; 2 ; nRCS ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; -; 58 ; 48 ; 2 ; nCAS ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 57 ; 47 ; 2 ; RA[13] ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 58 ; 48 ; 2 ; RA[12] ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; ; 59 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; ; 60 ; ; ; GNDIO ; gnd ; ; ; -- ; ; -- ; -- ; -; 61 ; 49 ; 2 ; SA[2] ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; -; 62 ; 50 ; 2 ; SA[10] ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 61 ; 49 ; 2 ; RA[14] ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 62 ; 50 ; 2 ; RA[15] ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; ; 63 ; ; ; VCCINT ; power ; ; 2.5V/3.3V ; -- ; ; -- ; -- ; -; 64 ; 51 ; 2 ; nRES ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 64 ; 51 ; 2 ; nIOSTRB ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; ; 65 ; ; ; GNDINT ; gnd ; ; ; -- ; ; -- ; -- ; -; 66 ; 52 ; 2 ; RCKE ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; -; 67 ; 53 ; 2 ; SA[9] ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; -; 68 ; 54 ; 2 ; nRAS ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; -; 69 ; 55 ; 2 ; nSWE ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; -; 70 ; 56 ; 2 ; SA[12] ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 66 ; 52 ; 2 ; DQML ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 67 ; 53 ; 2 ; nDEVSEL ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 68 ; 54 ; 2 ; SBA[1] ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 69 ; 55 ; 2 ; nRAS ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 70 ; 56 ; 2 ; RCKE ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; ; 71 ; 57 ; 2 ; nIOSEL ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; -; 72 ; 58 ; 2 ; nIOSTRB ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; -; 73 ; 59 ; 2 ; SA[0] ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; -; 74 ; 60 ; 2 ; SA[4] ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; -; 75 ; 61 ; 2 ; DQMH ; output ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; -; 76 ; 62 ; 2 ; DQML ; output ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; -; 77 ; 63 ; 2 ; nDEVSEL ; input ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; -; 78 ; 64 ; 2 ; RAdir ; output ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; +; 72 ; 58 ; 2 ; PHI0 ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 73 ; 59 ; 2 ; nRES ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 74 ; 60 ; 2 ; nWE ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 75 ; 61 ; 2 ; RDdir ; output ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; +; 76 ; 62 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 77 ; 63 ; 2 ; SA[5] ; output ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; +; 78 ; 64 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; ; 79 ; ; ; GNDIO ; gnd ; ; ; -- ; ; -- ; -- ; ; 80 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 81 ; 65 ; 2 ; RA[5] ; input ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; -; 82 ; 66 ; 2 ; RA[6] ; input ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; -; 83 ; 67 ; 2 ; SA[6] ; output ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; -; 84 ; 68 ; 2 ; RA[4] ; input ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; -; 85 ; 69 ; 2 ; SA[5] ; output ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; -; 86 ; 70 ; 2 ; SBA[1] ; output ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; -; 87 ; 71 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 88 ; 72 ; 2 ; SA[11] ; output ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; -; 89 ; 73 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 90 ; 74 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 91 ; 75 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 92 ; 76 ; 2 ; RA[1] ; input ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; +; 81 ; 65 ; 2 ; SA[7] ; output ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; +; 82 ; 66 ; 2 ; nSWE ; output ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; +; 83 ; 67 ; 2 ; nCAS ; output ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; +; 84 ; 68 ; 2 ; nRCS ; output ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; +; 85 ; 69 ; 2 ; RD[2] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; +; 86 ; 70 ; 2 ; SBA[0] ; output ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; +; 87 ; 71 ; 2 ; RD[7] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; +; 88 ; 72 ; 2 ; SA[3] ; output ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; +; 89 ; 73 ; 2 ; RD[6] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; +; 90 ; 74 ; 2 ; RD[1] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; +; 91 ; 75 ; 2 ; RA[6] ; input ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; +; 92 ; 76 ; 2 ; RD[0] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; ; 93 ; ; ; GNDIO ; gnd ; ; ; -- ; ; -- ; -- ; ; 94 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 95 ; 77 ; 2 ; RA[15] ; input ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; -; 96 ; 78 ; 2 ; RA[3] ; input ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; -; 97 ; 79 ; 2 ; RA[13] ; input ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; -; 98 ; 80 ; 2 ; RD[2] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; -; 99 ; 81 ; 2 ; RD[5] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; -; 100 ; 82 ; 2 ; RDdir ; output ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; +; 95 ; 77 ; 2 ; RD[4] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; +; 96 ; 78 ; 2 ; RD[3] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; +; 97 ; 79 ; 2 ; RD[5] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; +; 98 ; 80 ; 2 ; SA[6] ; output ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; +; 99 ; 81 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 100 ; 82 ; 2 ; SA[1] ; output ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; +----------+------------+----------+----------------+--------+--------------+-----------+------------+-----------------+----------+--------------+ Note: Pin directions (input, output or bidir) are based on device operating in user mode. @@ -420,7 +420,7 @@ Note: User assignments will override these defaults. The user specified values a +-----------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+------------------------------------------------------------------------+--------------+ ; Compilation Hierarchy Node ; Logic Cells ; LC Registers ; UFM Blocks ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Carry Chain LCs ; Packed LCs ; Full Hierarchy Name ; Library Name ; +-----------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+------------------------------------------------------------------------+--------------+ -; |GR8RAM ; 235 (235) ; 96 ; 1 ; 68 ; 0 ; 139 (139) ; 4 (4) ; 92 (92) ; 62 (62) ; 18 (18) ; |GR8RAM ; work ; +; |GR8RAM ; 217 (217) ; 95 ; 1 ; 68 ; 0 ; 122 (122) ; 9 (9) ; 86 (86) ; 62 (62) ; 13 (13) ; |GR8RAM ; work ; ; |UFM:UFM_inst| ; 0 (0) ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |GR8RAM|UFM:UFM_inst ; work ; ; |UFM_altufm_none_0ep:UFM_altufm_none_0ep_component| ; 0 (0) ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |GR8RAM|UFM:UFM_inst|UFM_altufm_none_0ep:UFM_altufm_none_0ep_component ; work ; +-----------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+------------------------------------------------------------------------+--------------+ @@ -438,22 +438,22 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; nIOSTRB ; Input ; (1) ; ; nIOSEL ; Input ; (1) ; ; C25M ; Input ; (0) ; -; RA[1] ; Input ; (1) ; -; RA[10] ; Input ; (1) ; -; RA[2] ; Input ; (1) ; -; RA[11] ; Input ; (1) ; -; RA[3] ; Input ; (1) ; -; RA[4] ; Input ; (1) ; -; RA[5] ; Input ; (1) ; -; RA[6] ; Input ; (1) ; -; RA[7] ; Input ; (1) ; -; RA[8] ; Input ; (1) ; -; RA[9] ; Input ; (1) ; ; RA[0] ; Input ; (1) ; +; RA[10] ; Input ; (1) ; +; RA[7] ; Input ; (1) ; +; RA[1] ; Input ; (1) ; +; RA[9] ; Input ; (1) ; +; RA[8] ; Input ; (1) ; +; RA[6] ; Input ; (1) ; +; RA[5] ; Input ; (1) ; +; RA[4] ; Input ; (1) ; +; RA[3] ; Input ; (1) ; +; RA[2] ; Input ; (1) ; ; RA[14] ; Input ; (1) ; ; RA[15] ; Input ; (1) ; ; RA[12] ; Input ; (1) ; ; RA[13] ; Input ; (1) ; +; RA[11] ; Input ; (1) ; ; nRES ; Input ; (1) ; ; MISO ; Input ; (1) ; ; nRESout ; Output ; -- ; @@ -508,18 +508,16 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +-------------+-------------+---------+---------------+--------+----------------------+------------------+ ; Name ; Location ; Fan-Out ; Usage ; Global ; Global Resource Used ; Global Line Name ; +-------------+-------------+---------+---------------+--------+----------------------+------------------+ -; ARCLK~8 ; LC_X7_Y1_N9 ; 2 ; Clock enable ; no ; -- ; -- ; -; Addr[19]~16 ; LC_X4_Y3_N1 ; 7 ; Clock enable ; no ; -- ; -- ; -; Addr[4]~4 ; LC_X4_Y3_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -; Addr[8]~2 ; LC_X4_Y3_N7 ; 8 ; Clock enable ; no ; -- ; -- ; -; Bank[1]~1 ; LC_X2_Y3_N2 ; 2 ; Clock enable ; no ; -- ; -- ; -; C25M ; PIN_14 ; 96 ; Clock ; yes ; Global Clock ; GCLK1 ; -; DRCLK~3 ; LC_X7_Y1_N5 ; 2 ; Clock enable ; no ; -- ; -- ; -; MOSIOE ; LC_X3_Y1_N9 ; 3 ; Output enable ; no ; -- ; -- ; -; PSStart~1 ; LC_X7_Y3_N4 ; 16 ; Clock enable ; no ; -- ; -- ; -; SDOE ; LC_X2_Y1_N0 ; 8 ; Output enable ; no ; -- ; -- ; -; always17~0 ; LC_X2_Y1_N4 ; 2 ; Clock enable ; no ; -- ; -- ; -; nRESr ; LC_X7_Y3_N1 ; 31 ; Sync. clear ; no ; -- ; -- ; +; Addr[0]~8 ; LC_X3_Y2_N8 ; 8 ; Clock enable ; no ; -- ; -- ; +; Addr[13]~11 ; LC_X5_Y2_N2 ; 8 ; Clock enable ; no ; -- ; -- ; +; Addr[17]~7 ; LC_X5_Y2_N3 ; 7 ; Clock enable ; no ; -- ; -- ; +; C25M ; PIN_14 ; 95 ; Clock ; yes ; Global Clock ; GCLK1 ; +; MOSIOE ; LC_X6_Y2_N7 ; 4 ; Output enable ; no ; -- ; -- ; +; PSStart~1 ; LC_X7_Y4_N5 ; 16 ; Clock enable ; no ; -- ; -- ; +; SDOE ; LC_X2_Y1_N8 ; 8 ; Output enable ; no ; -- ; -- ; +; SS[0]~4 ; LC_X4_Y1_N1 ; 2 ; Clock enable ; no ; -- ; -- ; +; always18~0 ; LC_X2_Y1_N3 ; 2 ; Clock enable ; no ; -- ; -- ; +; nRESr ; LC_X7_Y4_N4 ; 28 ; Sync. clear ; no ; -- ; -- ; +-------------+-------------+---------+---------------+--------+----------------------+------------------+ @@ -528,403 +526,378 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +------+----------+---------+----------------------+------------------+ ; Name ; Location ; Fan-Out ; Global Resource Used ; Global Line Name ; +------+----------+---------+----------------------+------------------+ -; C25M ; PIN_14 ; 96 ; Global Clock ; GCLK1 ; +; C25M ; PIN_14 ; 95 ; Global Clock ; GCLK1 ; +------+----------+---------+----------------------+------------------+ -+---------------------------------+ -; Non-Global High Fan-Out Signals ; -+-------------------+-------------+ -; Name ; Fan-Out ; -+-------------------+-------------+ -; nRESr ; 31 ; -; RAMSpecSEL~4 ; 19 ; -; Amux[1] ; 19 ; -; Amux[0] ; 18 ; -; Amux[2] ; 17 ; -; PSStart~1 ; 16 ; -; PS[1] ; 15 ; -; PS[0] ; 15 ; -; RAcur[0] ; 14 ; -; PS[2] ; 12 ; -; Addr[19]~10 ; 11 ; -; Addr[4]~3 ; 11 ; -; always10~0 ; 11 ; -; LS[1] ; 10 ; -; LS[4] ; 9 ; -; comb~2 ; 9 ; -; SDOE ; 8 ; -; Addr[4]~4 ; 8 ; -; Addr[8]~2 ; 8 ; -; LS[6] ; 8 ; -; LS[5] ; 8 ; -; nRESout~reg0 ; 8 ; -; Addr[19]~16 ; 7 ; -; LS[3] ; 7 ; -; RAMSpecSEL~1 ; 6 ; -; Equal5~0 ; 6 ; -; SetLoaded ; 5 ; -; Add1~112 ; 5 ; -; Add2~47 ; 5 ; -; nWEcur ; 5 ; -; Add1~57 ; 5 ; -; Add2~22 ; 5 ; -; Add1~42 ; 5 ; -; RAMSpecSEL~3 ; 5 ; -; Add1~2 ; 5 ; -; LS[8]~29 ; 5 ; -; LS[15] ; 5 ; -; LS[14] ; 5 ; -; LS[13] ; 5 ; -; LS[12] ; 5 ; -; LS[2] ; 5 ; -; LS[3]~1 ; 5 ; -; Equal43~1 ; 5 ; -; RD[7]~7 ; 4 ; -; RD[1]~1 ; 4 ; -; RD[0]~0 ; 4 ; -; Equal10~0 ; 4 ; -; Addr[22] ; 4 ; -; Addr[21] ; 4 ; -; Addr[20] ; 4 ; -; Addr[19] ; 4 ; -; Addr[18] ; 4 ; -; Addr[17] ; 4 ; -; Addr[16] ; 4 ; -; RAcur[1] ; 4 ; -; LS[13]~21 ; 4 ; -; LS[0] ; 4 ; -; Addr[7] ; 4 ; -; Addr[15] ; 4 ; -; RD[6]~6 ; 3 ; -; RD[5]~5 ; 3 ; -; RD[4]~4 ; 3 ; -; RD[3]~3 ; 3 ; -; RD[2]~2 ; 3 ; -; ARCLK~6 ; 3 ; -; MOSIOE ; 3 ; -; Mux0~0 ; 3 ; -; Add3~17 ; 3 ; -; Add2~57 ; 3 ; -; always10~1 ; 3 ; -; RAcur[2] ; 3 ; -; RAcur[3] ; 3 ; -; RACr ; 3 ; -; LS[10] ; 3 ; -; LS[9] ; 3 ; -; LS[8] ; 3 ; -; LS[7] ; 3 ; -; DRCLK~0 ; 3 ; -; ARCLK~0 ; 3 ; -; LS[11] ; 3 ; -; LS[17] ; 3 ; -; LS[16] ; 3 ; -; Addr[9] ; 3 ; -; Addr[8] ; 3 ; -; Addr[14] ; 3 ; -; Addr[13] ; 3 ; -; Addr[12] ; 3 ; -; Addr[11] ; 3 ; -; Addr[10] ; 3 ; -; REGEN ; 3 ; -; nIOSEL ; 2 ; -; nIOSTRB ; 2 ; -; nDEVSEL ; 2 ; -; nWE ; 2 ; -; PHI0 ; 2 ; -; always17~0 ; 2 ; -; ARCLK~8 ; 2 ; -; DRCLK~3 ; 2 ; -; DRCLK~2 ; 2 ; -; ARCLK~3 ; 2 ; -; MOSIout ; 2 ; -; FCKEN ; 2 ; -; Equal12~0 ; 2 ; -; FCS~0 ; 2 ; -; Equal10~1 ; 2 ; -; MOSIout~1 ; 2 ; -; ARCLK~2 ; 2 ; -; Mux0~4 ; 2 ; -; Mux0~3 ; 2 ; -; Mux2~0 ; 2 ; -; RefReqd ; 2 ; -; Add1~97 ; 2 ; -; Bank[1]~1 ; 2 ; -; DEVSELr ; 2 ; -; RAcur[7] ; 2 ; -; RAMSpecSEL~2 ; 2 ; -; ROMSpecRD ; 2 ; -; RAcur[10] ; 2 ; -; always9~3 ; 2 ; -; always9~0 ; 2 ; -; RAMSpecSEL~0 ; 2 ; -; IOSELr ; 2 ; -; Equal0~3 ; 2 ; -; Equal0~1 ; 2 ; -; FCS ; 2 ; -; RCKE~reg0 ; 2 ; -; DQML~1 ; 2 ; -; DQML~0 ; 2 ; -; Addr[0] ; 2 ; -; RAcur[9] ; 2 ; -; RAcur[8] ; 2 ; -; Addr[6] ; 2 ; -; Addr[5] ; 2 ; -; Addr[4] ; 2 ; -; Addr[3] ; 2 ; -; Addr[2] ; 2 ; -; Addr[1] ; 2 ; -; IOROMEN ; 2 ; -; MISO ; 1 ; -; nRES ; 1 ; -; RA[13] ; 1 ; -; RA[12] ; 1 ; -; RA[15] ; 1 ; -; RA[14] ; 1 ; -; RA[0] ; 1 ; -; RA[9] ; 1 ; -; RA[8] ; 1 ; -; RA[7] ; 1 ; -; RA[6] ; 1 ; -; RA[5] ; 1 ; -; RA[4] ; 1 ; -; RA[3] ; 1 ; -; RA[11] ; 1 ; -; RA[2] ; 1 ; -; RA[10] ; 1 ; -; RA[1] ; 1 ; -; ~GND ; 1 ; -; WRD[7] ; 1 ; -; WRD[6] ; 1 ; -; Equal37~0 ; 1 ; -; MOSIout~3 ; 1 ; -; MOSIout~2 ; 1 ; -; Equal13~0 ; 1 ; -; DEVSELr0 ; 1 ; -; nRESr0 ; 1 ; -; IOSTRBr0 ; 1 ; -; IOSELr0 ; 1 ; -; PHI0r0 ; 1 ; -; ARCLK~5 ; 1 ; -; ARCLK~4 ; 1 ; -; DRShift~0 ; 1 ; -; FCS~1 ; 1 ; -; MOSIout~0 ; 1 ; -; ARCLK~1 ; 1 ; -; Add1~110 ; 1 ; -; Mux2~1 ; 1 ; -; Equal5~1 ; 1 ; -; Mux0~2 ; 1 ; -; Mux0~1 ; 1 ; -; Add1~105 ; 1 ; -; Addr~29 ; 1 ; -; Add2~70 ; 1 ; -; Add3~30 ; 1 ; -; Add1~102COUT1_166 ; 1 ; -; Add1~102 ; 1 ; -; Add1~100 ; 1 ; -; Addr~27 ; 1 ; -; Add3~27COUT1_50 ; 1 ; -; Add3~27 ; 1 ; -; Add3~25 ; 1 ; -; Add2~67COUT1_108 ; 1 ; -; Add2~67 ; 1 ; -; Add2~65 ; 1 ; -; Add1~95 ; 1 ; -; Addr~25 ; 1 ; -; Add2~62COUT1_106 ; 1 ; -; Add2~62 ; 1 ; -; Add2~60 ; 1 ; -; Add3~22COUT1_48 ; 1 ; -; Add3~22 ; 1 ; -; Add3~20 ; 1 ; -; Add1~92COUT1_164 ; 1 ; -; Add1~92 ; 1 ; -; Add1~90 ; 1 ; -; Addr~23 ; 1 ; -; Add3~15 ; 1 ; -; Add2~55 ; 1 ; -; Add1~87COUT1_162 ; 1 ; -; Add1~87 ; 1 ; -; Add1~85 ; 1 ; -; Addr~21 ; 1 ; -; Add2~52COUT1_104 ; 1 ; -; Add2~52 ; 1 ; -; Add2~50 ; 1 ; -; Add3~12COUT1_46 ; 1 ; -; Add3~12 ; 1 ; -; Add3~10 ; 1 ; -; Add1~82COUT1_148 ; 1 ; -; Add1~82 ; 1 ; -; Add1~80 ; 1 ; -; Addr~20 ; 1 ; -; Add2~45 ; 1 ; -; Add1~77COUT1_160 ; 1 ; -; Add1~77 ; 1 ; -; Add1~75 ; 1 ; -; Addr~18 ; 1 ; -; Add3~7COUT1_44 ; 1 ; -; Add3~7 ; 1 ; -; Add3~5 ; 1 ; -; Add2~42COUT1_102 ; 1 ; -; Add2~42 ; 1 ; -; Add2~40 ; 1 ; -; Add1~72COUT1_146 ; 1 ; -; Add1~72 ; 1 ; -; Add1~70 ; 1 ; -; Addr~17 ; 1 ; -; Add2~37COUT1_88 ; 1 ; -; Add2~37 ; 1 ; -; Add2~35 ; 1 ; -; Addr[19]~15 ; 1 ; -; Addr[19]~14 ; 1 ; -; Addr[19]~13 ; 1 ; -; Add1~67COUT1_158 ; 1 ; -; Add1~67 ; 1 ; -; Add1~65 ; 1 ; -; Addr~11 ; 1 ; -; Add2~32COUT1_100 ; 1 ; -; Add2~32 ; 1 ; -; Add2~30 ; 1 ; -; Add3~2COUT1_42 ; 1 ; -; Add3~2 ; 1 ; -; Add3~0 ; 1 ; -; Add1~62COUT1_144 ; 1 ; -; Add1~62 ; 1 ; -; Add1~60 ; 1 ; -; Add1~55 ; 1 ; -; Addr~9 ; 1 ; -; Add2~27COUT1_98 ; 1 ; -; Add2~27 ; 1 ; -; Add2~25 ; 1 ; -; Add1~52COUT1_142 ; 1 ; -; Add1~52 ; 1 ; -; Add1~50 ; 1 ; -; Add1~47COUT1_156 ; 1 ; -; Add1~47 ; 1 ; -; Add1~45 ; 1 ; -; Addr~8 ; 1 ; -; Add2~20 ; 1 ; -; Add1~40 ; 1 ; -; Add1~37COUT1_140 ; 1 ; -; Add1~37 ; 1 ; -; Add1~35 ; 1 ; -; Add1~32COUT1_154 ; 1 ; -; Add1~32 ; 1 ; -; Add1~30 ; 1 ; -; Addr~7 ; 1 ; -; Add2~17COUT1_96 ; 1 ; -; Add2~17 ; 1 ; -; Add2~15 ; 1 ; -; Add1~27COUT1_138 ; 1 ; -; Add1~27 ; 1 ; -; Add1~25 ; 1 ; -; Add1~22COUT1_152 ; 1 ; -; Add1~22 ; 1 ; -; Add1~20 ; 1 ; -; Addr~6 ; 1 ; -; Add2~12COUT1_94 ; 1 ; -; Add2~12 ; 1 ; -; Add2~10 ; 1 ; -; Add1~17COUT1_136 ; 1 ; -; Add1~17 ; 1 ; -; Add1~15 ; 1 ; -; Add1~12COUT1_150 ; 1 ; -; Add1~12 ; 1 ; -; Add1~10 ; 1 ; -; Addr~5 ; 1 ; -; Add2~7COUT1_92 ; 1 ; -; Add2~7 ; 1 ; -; Add2~5 ; 1 ; -; Add1~7COUT1_134 ; 1 ; -; Add1~7 ; 1 ; -; Add1~5 ; 1 ; -; Addr[8]~1 ; 1 ; -; Add1~0 ; 1 ; -; Addr~0 ; 1 ; -; Add2~2COUT1_90 ; 1 ; -; Add2~2 ; 1 ; -; Add2~0 ; 1 ; -; PHI0r1 ; 1 ; -; PSStart~0 ; 1 ; -; RAcur[4] ; 1 ; -; always9~2 ; 1 ; -; always9~1 ; 1 ; -; Equal0~2 ; 1 ; -; LS[10]~33COUT1_64 ; 1 ; -; LS[10]~33 ; 1 ; -; LS[9]~31COUT1_62 ; 1 ; -; LS[9]~31 ; 1 ; -; LS[7]~27COUT1_60 ; 1 ; -; LS[7]~27 ; 1 ; -; LS[15]~25COUT1_72 ; 1 ; -; LS[15]~25 ; 1 ; -; LS[14]~23COUT1_70 ; 1 ; -; LS[14]~23 ; 1 ; -; LS[12]~19COUT1_68 ; 1 ; -; LS[12]~19 ; 1 ; -; LS[6]~17COUT1_58 ; 1 ; -; LS[6]~17 ; 1 ; -; LS[5]~15COUT1_56 ; 1 ; -; LS[5]~15 ; 1 ; -; LS[4]~13COUT1_54 ; 1 ; -; LS[4]~13 ; 1 ; -; LS[2]~11COUT1_52 ; 1 ; -; LS[2]~11 ; 1 ; -; Equal0~0 ; 1 ; -; LS[11]~9COUT1_66 ; 1 ; -; LS[11]~9 ; 1 ; -; LS[16]~5COUT1_74 ; 1 ; -; LS[16]~5 ; 1 ; -; LS[1]~3COUT1_50 ; 1 ; -; LS[1]~3 ; 1 ; -; ARShift ; 1 ; -; ARCLK ; 1 ; -; DRShift ; 1 ; -; DRCLK ; 1 ; -; FCK~reg0 ; 1 ; -; DQMH~0 ; 1 ; -; DQML~2 ; 1 ; -; nSWE~reg0 ; 1 ; -; nCAS~reg0 ; 1 ; -; nRAS~reg0 ; 1 ; -; nRCS~reg0 ; 1 ; -; SA~25 ; 1 ; -; SA~24 ; 1 ; -; SA~23 ; 1 ; -; SA~22 ; 1 ; -; SA~21 ; 1 ; -; SA~20 ; 1 ; -; SA~19 ; 1 ; -; SA~18 ; 1 ; -; SA~17 ; 1 ; -; SA~16 ; 1 ; -; SA~15 ; 1 ; -; RAcur[6] ; 1 ; -; SA~14 ; 1 ; -; SA~13 ; 1 ; -; RAcur[5] ; 1 ; -; SA~12 ; 1 ; -; SA~11 ; 1 ; -; SA~10 ; 1 ; -; SA~9 ; 1 ; -; Bank[1] ; 1 ; -; SA~8 ; 1 ; -; SA~7 ; 1 ; -; SA~6 ; 1 ; -; Bank[0] ; 1 ; -; SA~5 ; 1 ; -; SA~4 ; 1 ; -; RAcur[11] ; 1 ; -; SA~3 ; 1 ; -; SA~2 ; 1 ; -; SA~1 ; 1 ; -; SA~0 ; 1 ; -; Equal43~0 ; 1 ; -; PHI0r2 ; 1 ; -; comb~1 ; 1 ; -; comb~0 ; 1 ; -+-------------------+-------------+ ++-------------------------------------------------------------------------------------------------------+ +; Non-Global High Fan-Out Signals ; ++---------------------------------------------------------------------------------------------+---------+ +; Name ; Fan-Out ; ++---------------------------------------------------------------------------------------------+---------+ +; nRESr ; 28 ; +; RAMSpecSEL~2 ; 23 ; +; PSStart~1 ; 16 ; +; RAcur[0] ; 15 ; +; PS[1] ; 15 ; +; PS[0] ; 15 ; +; PS[2] ; 13 ; +; LS[1] ; 12 ; +; Addr[17]~1 ; 11 ; +; Addr[17]~0 ; 11 ; +; always10~0 ; 11 ; +; LS[3] ; 10 ; +; comb~2 ; 9 ; +; SDOE ; 8 ; +; Addr[13]~11 ; 8 ; +; Addr[0]~8 ; 8 ; +; LS[5] ; 8 ; +; LS[2] ; 8 ; +; nRESout~reg0 ; 8 ; +; Addr[17]~7 ; 7 ; +; LS[0] ; 7 ; +; SS[1] ; 6 ; +; Equal40~1 ; 6 ; +; LS[6] ; 6 ; +; LS[4] ; 6 ; +; Add2~67 ; 5 ; +; Add1~97 ; 5 ; +; Add1~47 ; 5 ; +; Add2~22 ; 5 ; +; Add1~22 ; 5 ; +; SS[0] ; 5 ; +; MOSIout~1 ; 5 ; +; Add1~7 ; 5 ; +; LS[8]~31 ; 5 ; +; LS[12] ; 5 ; +; LS[3]~1 ; 5 ; +; Amux[2] ; 5 ; +; RD[7]~7 ; 4 ; +; DRShift~0 ; 4 ; +; MOSIOE ; 4 ; +; nWEcur ; 4 ; +; Addr[22] ; 4 ; +; RAcur[1] ; 4 ; +; LS[15] ; 4 ; +; LS[14] ; 4 ; +; LS[13]~9 ; 4 ; +; LS[13] ; 4 ; +; Amux[1] ; 4 ; +; RD[6]~6 ; 3 ; +; RD[5]~5 ; 3 ; +; RD[4]~4 ; 3 ; +; RD[3]~3 ; 3 ; +; RD[2]~2 ; 3 ; +; RD[1]~1 ; 3 ; +; RD[0]~0 ; 3 ; +; Addr[16] ; 3 ; +; Addr[17] ; 3 ; +; Addr[18] ; 3 ; +; Addr[19] ; 3 ; +; Addr[20] ; 3 ; +; Add2~27 ; 3 ; +; Add3~17 ; 3 ; +; Addr[21] ; 3 ; +; Equal12~0 ; 3 ; +; Equal40~3 ; 3 ; +; Mux0~0 ; 3 ; +; always10~1 ; 3 ; +; Addr[15] ; 3 ; +; Addr[7] ; 3 ; +; RAMSpecSEL~0 ; 3 ; +; RACr ; 3 ; +; RAcur[2] ; 3 ; +; Equal5~0 ; 3 ; +; LS[8] ; 3 ; +; LS[11] ; 3 ; +; LS[10] ; 3 ; +; LS[9] ; 3 ; +; LS[16] ; 3 ; +; FCS~0 ; 3 ; +; LS[7] ; 3 ; +; LS[17] ; 3 ; +; REGEN ; 3 ; +; nIOSEL ; 2 ; +; nIOSTRB ; 2 ; +; nDEVSEL ; 2 ; +; nWE ; 2 ; +; PHI0 ; 2 ; +; Addr[8] ; 2 ; +; Addr[9] ; 2 ; +; Addr[10] ; 2 ; +; Addr[11] ; 2 ; +; Addr[12] ; 2 ; +; Addr[13] ; 2 ; +; Addr[14] ; 2 ; +; always18~0 ; 2 ; +; Add1~27 ; 2 ; +; SS[0]~4 ; 2 ; +; Equal41~1 ; 2 ; +; Equal41~0 ; 2 ; +; Equal40~4 ; 2 ; +; Equal36~0 ; 2 ; +; MOSIout ; 2 ; +; FCKEN ; 2 ; +; Equal11~0 ; 2 ; +; FCS~1 ; 2 ; +; Equal9~0 ; 2 ; +; Mux0~4 ; 2 ; +; Mux0~3 ; 2 ; +; Mux2~0 ; 2 ; +; RefReqd ; 2 ; +; Equal5~1 ; 2 ; +; RAcur[3] ; 2 ; +; RAMSpecSEL~1 ; 2 ; +; ROMSpecRD ; 2 ; +; always9~3 ; 2 ; +; RAcur[8] ; 2 ; +; RAcur[9] ; 2 ; +; RAcur[7] ; 2 ; +; IOSELr ; 2 ; +; Equal0~3 ; 2 ; +; Equal40~0 ; 2 ; +; FCS ; 2 ; +; RCKE~reg0 ; 2 ; +; DQML~1 ; 2 ; +; DQML~0 ; 2 ; +; Addr[0] ; 2 ; +; Amux[0] ; 2 ; +; IOROMEN ; 2 ; +; MISO ; 1 ; +; nRES ; 1 ; +; RA[11] ; 1 ; +; RA[13] ; 1 ; +; RA[12] ; 1 ; +; RA[15] ; 1 ; +; RA[14] ; 1 ; +; RA[2] ; 1 ; +; RA[3] ; 1 ; +; RA[4] ; 1 ; +; RA[5] ; 1 ; +; RA[6] ; 1 ; +; RA[8] ; 1 ; +; RA[9] ; 1 ; +; RA[1] ; 1 ; +; RA[7] ; 1 ; +; RA[10] ; 1 ; +; RA[0] ; 1 ; +; ~GND ; 1 ; +; Addr~30 ; 1 ; +; Addr~29 ; 1 ; +; Add1~112COUT1_146 ; 1 ; +; Add1~112 ; 1 ; +; Add1~110 ; 1 ; +; Add2~72COUT1_88 ; 1 ; +; Add2~72 ; 1 ; +; Add2~70 ; 1 ; +; Addr~28 ; 1 ; +; Addr[1] ; 1 ; +; Addr~26 ; 1 ; +; Add1~107COUT1_148 ; 1 ; +; Add1~107 ; 1 ; +; Add1~105 ; 1 ; +; Add2~65 ; 1 ; +; Addr~25 ; 1 ; +; Add1~102COUT1_134 ; 1 ; +; Add1~102 ; 1 ; +; Add1~100 ; 1 ; +; Addr[2] ; 1 ; +; Addr~23 ; 1 ; +; Add1~95 ; 1 ; +; Add2~62COUT1_90 ; 1 ; +; Add2~62 ; 1 ; +; Add2~60 ; 1 ; +; Addr~22 ; 1 ; +; Add1~92COUT1_136 ; 1 ; +; Add1~92 ; 1 ; +; Add1~90 ; 1 ; +; Addr[3] ; 1 ; +; Add1~87COUT1_158 ; 1 ; +; Add1~87 ; 1 ; +; Add1~85 ; 1 ; +; Add2~57COUT1_100 ; 1 ; +; Add2~57 ; 1 ; +; Add2~55 ; 1 ; +; Add3~32COUT1_42 ; 1 ; +; Add3~32 ; 1 ; +; Add3~30 ; 1 ; +; Addr~20 ; 1 ; +; Add1~82COUT1_150 ; 1 ; +; Add1~82 ; 1 ; +; Add1~80 ; 1 ; +; Add2~52COUT1_92 ; 1 ; +; Add2~52 ; 1 ; +; Add2~50 ; 1 ; +; Addr~19 ; 1 ; +; Add1~77COUT1_138 ; 1 ; +; Add1~77 ; 1 ; +; Add1~75 ; 1 ; +; Addr[4] ; 1 ; +; Add1~72COUT1_160 ; 1 ; +; Add1~72 ; 1 ; +; Add1~70 ; 1 ; +; Add2~47COUT1_102 ; 1 ; +; Add2~47 ; 1 ; +; Add2~45 ; 1 ; +; Add3~27COUT1_44 ; 1 ; +; Add3~27 ; 1 ; +; Add3~25 ; 1 ; +; Addr~17 ; 1 ; +; Add1~67COUT1_152 ; 1 ; +; Add1~67 ; 1 ; +; Add1~65 ; 1 ; +; Add2~42COUT1_94 ; 1 ; +; Add2~42 ; 1 ; +; Add2~40 ; 1 ; +; Addr~16 ; 1 ; +; Add1~62COUT1_140 ; 1 ; +; Add1~62 ; 1 ; +; Add1~60 ; 1 ; +; Addr[5] ; 1 ; +; Add1~57COUT1_162 ; 1 ; +; Add1~57 ; 1 ; +; Add1~55 ; 1 ; +; Add2~37COUT1_104 ; 1 ; +; Add2~37 ; 1 ; +; Add2~35 ; 1 ; +; Add3~22COUT1_46 ; 1 ; +; Add3~22 ; 1 ; +; Add3~20 ; 1 ; +; Addr~14 ; 1 ; +; Add1~52COUT1_154 ; 1 ; +; Add1~52 ; 1 ; +; Add1~50 ; 1 ; +; Add2~32COUT1_96 ; 1 ; +; Add2~32 ; 1 ; +; Add2~30 ; 1 ; +; Add1~45 ; 1 ; +; Addr[6] ; 1 ; +; Add1~42COUT1_164 ; 1 ; +; Add1~42 ; 1 ; +; Add1~40 ; 1 ; +; Add2~25 ; 1 ; +; Add3~15 ; 1 ; +; Addr~12 ; 1 ; +; Add1~37COUT1_156 ; 1 ; +; Add1~37 ; 1 ; +; Add1~35 ; 1 ; +; Add2~20 ; 1 ; +; Add1~32COUT1_142 ; 1 ; +; Add1~32 ; 1 ; +; Add1~30 ; 1 ; +; Add1~25 ; 1 ; +; Add2~17COUT1_106 ; 1 ; +; Add2~17 ; 1 ; +; Add2~15 ; 1 ; +; Add3~12COUT1_48 ; 1 ; +; Add3~12 ; 1 ; +; Add3~10 ; 1 ; +; SS[0]~3 ; 1 ; +; SS[0]~2 ; 1 ; +; Equal40~5 ; 1 ; +; SS[0]~1 ; 1 ; +; SS[0]~0 ; 1 ; +; WRD[7] ; 1 ; +; WRD[6] ; 1 ; +; MOSIOE~0 ; 1 ; +; MOSIout~4 ; 1 ; +; MOSIout~3 ; 1 ; +; MOSIout~2 ; 1 ; +; Equal12~1 ; 1 ; +; DEVSELr0 ; 1 ; +; Addr[13]~10 ; 1 ; +; Add1~20 ; 1 ; +; Addr~9 ; 1 ; +; Add2~12COUT1_98 ; 1 ; +; Add2~12 ; 1 ; +; Add2~10 ; 1 ; +; Add1~17COUT1_144 ; 1 ; +; Add1~17 ; 1 ; +; Add1~15 ; 1 ; +; Add1~12COUT1_166 ; 1 ; +; Add1~12 ; 1 ; +; Add1~10 ; 1 ; +; Add2~7COUT1_108 ; 1 ; +; Add2~7 ; 1 ; +; Add2~5 ; 1 ; +; Add3~7COUT1_50 ; 1 ; +; Add3~7 ; 1 ; +; Add3~5 ; 1 ; +; nRESr0 ; 1 ; +; IOSTRBr0 ; 1 ; +; IOSELr0 ; 1 ; +; PHI0r0 ; 1 ; +; DRShift~1 ; 1 ; +; FCS~2 ; 1 ; +; MOSIout~0 ; 1 ; +; Equal40~2 ; 1 ; +; Add1~5 ; 1 ; +; Mux2~1 ; 1 ; +; PHI0r1 ; 1 ; +; PSStart~0 ; 1 ; +; Mux0~2 ; 1 ; +; Mux0~1 ; 1 ; +; DEVSELr ; 1 ; +; Addr[17]~6 ; 1 ; +; Addr[17]~5 ; 1 ; +; Addr[17]~4 ; 1 ; +; Add1~0 ; 1 ; +; Addr~2 ; 1 ; +; Add2~0 ; 1 ; +; always9~4 ; 1 ; +; Add3~0 ; 1 ; +; always9~2 ; 1 ; +; always9~1 ; 1 ; +; RAcur[5] ; 1 ; +; RAcur[10] ; 1 ; +; always9~0 ; 1 ; +; Equal0~2 ; 1 ; +; LS[5]~33COUT1_56 ; 1 ; +; LS[5]~33 ; 1 ; +; Equal0~1 ; 1 ; +; LS[11]~29COUT1_66 ; 1 ; +; LS[11]~29 ; 1 ; +; LS[10]~27COUT1_64 ; 1 ; +; LS[10]~27 ; 1 ; +; LS[9]~25COUT1_62 ; 1 ; +; LS[9]~25 ; 1 ; +; LS[16]~23COUT1_74 ; 1 ; +; LS[16]~23 ; 1 ; +; LS[6]~21COUT1_58 ; 1 ; +; LS[6]~21 ; 1 ; +; LS[4]~19COUT1_54 ; 1 ; +; LS[4]~19 ; 1 ; +; Equal0~0 ; 1 ; +; LS[2]~17COUT1_52 ; 1 ; +; LS[2]~17 ; 1 ; +; LS[7]~15COUT1_60 ; 1 ; +; LS[7]~15 ; 1 ; +; LS[15]~13COUT1_72 ; 1 ; +; LS[15]~13 ; 1 ; +; LS[14]~11COUT1_70 ; 1 ; +; LS[14]~11 ; 1 ; +; LS[12]~7COUT1_68 ; 1 ; +; LS[12]~7 ; 1 ; +; LS[1]~3COUT1_50 ; 1 ; +; LS[1]~3 ; 1 ; +; ARShift ; 1 ; +; ARCLK ; 1 ; +; DRShift ; 1 ; +; DRCLK ; 1 ; +; FCK~reg0 ; 1 ; +; DQMH~0 ; 1 ; +; DQML~2 ; 1 ; +; nSWE~reg0 ; 1 ; +; nCAS~reg0 ; 1 ; +; nRAS~reg0 ; 1 ; +; nRCS~reg0 ; 1 ; +; Equal53~0 ; 1 ; +; Equal49~0 ; 1 ; +; PHI0r2 ; 1 ; +; comb~1 ; 1 ; +; comb~0 ; 1 ; +; UFM:UFM_inst|UFM_altufm_none_0ep:UFM_altufm_none_0ep_component|wire_maxii_ufm_block1_drdout ; 1 ; ++---------------------------------------------------------------------------------------------+---------+ +--------------------------------------------------+ @@ -932,97 +905,92 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +-----------------------------+--------------------+ ; Other Routing Resource Type ; Usage ; +-----------------------------+--------------------+ -; C4s ; 171 / 784 ( 22 % ) ; -; Direct links ; 69 / 888 ( 8 % ) ; +; C4s ; 148 / 784 ( 19 % ) ; +; Direct links ; 67 / 888 ( 8 % ) ; ; Global clocks ; 1 / 4 ( 25 % ) ; ; LAB clocks ; 6 / 32 ( 19 % ) ; -; LUT chains ; 19 / 216 ( 9 % ) ; -; Local interconnects ; 400 / 888 ( 45 % ) ; -; R4s ; 245 / 704 ( 35 % ) ; +; LUT chains ; 22 / 216 ( 10 % ) ; +; Local interconnects ; 327 / 888 ( 37 % ) ; +; R4s ; 147 / 704 ( 21 % ) ; +-----------------------------+--------------------+ +---------------------------------------------------------------------------+ ; LAB Logic Elements ; +--------------------------------------------+------------------------------+ -; Number of Logic Elements (Average = 9.79) ; Number of LABs (Total = 24) ; +; Number of Logic Elements (Average = 9.43) ; Number of LABs (Total = 23) ; +--------------------------------------------+------------------------------+ ; 1 ; 0 ; ; 2 ; 0 ; ; 3 ; 0 ; -; 4 ; 0 ; +; 4 ; 1 ; ; 5 ; 0 ; ; 6 ; 0 ; ; 7 ; 1 ; -; 8 ; 0 ; +; 8 ; 1 ; ; 9 ; 2 ; -; 10 ; 21 ; +; 10 ; 18 ; +--------------------------------------------+------------------------------+ +-------------------------------------------------------------------+ ; LAB-wide Signals ; +------------------------------------+------------------------------+ -; LAB-wide Signals (Average = 1.79) ; Number of LABs (Total = 24) ; +; LAB-wide Signals (Average = 1.83) ; Number of LABs (Total = 23) ; +------------------------------------+------------------------------+ -; 1 Clock ; 22 ; -; 1 Clock enable ; 8 ; +; 1 Clock ; 21 ; +; 1 Clock enable ; 10 ; ; 1 Sync. clear ; 10 ; -; 2 Clock enables ; 3 ; +; 2 Clock enables ; 1 ; +------------------------------------+------------------------------+ -+-----------------------------------------------------------------------------+ -; LAB Signals Sourced ; -+----------------------------------------------+------------------------------+ -; Number of Signals Sourced (Average = 10.50) ; Number of LABs (Total = 24) ; -+----------------------------------------------+------------------------------+ -; 0 ; 0 ; -; 1 ; 0 ; -; 2 ; 0 ; -; 3 ; 0 ; -; 4 ; 0 ; -; 5 ; 0 ; -; 6 ; 0 ; -; 7 ; 1 ; -; 8 ; 0 ; -; 9 ; 2 ; -; 10 ; 17 ; -; 11 ; 0 ; -; 12 ; 0 ; -; 13 ; 1 ; -; 14 ; 2 ; -; 15 ; 0 ; -; 16 ; 1 ; -+----------------------------------------------+------------------------------+ ++----------------------------------------------------------------------------+ +; LAB Signals Sourced ; ++---------------------------------------------+------------------------------+ +; Number of Signals Sourced (Average = 9.83) ; Number of LABs (Total = 23) ; ++---------------------------------------------+------------------------------+ +; 0 ; 0 ; +; 1 ; 0 ; +; 2 ; 0 ; +; 3 ; 0 ; +; 4 ; 0 ; +; 5 ; 1 ; +; 6 ; 0 ; +; 7 ; 1 ; +; 8 ; 1 ; +; 9 ; 2 ; +; 10 ; 15 ; +; 11 ; 1 ; +; 12 ; 0 ; +; 13 ; 1 ; +; 14 ; 1 ; ++---------------------------------------------+------------------------------+ +--------------------------------------------------------------------------------+ ; LAB Signals Sourced Out ; +-------------------------------------------------+------------------------------+ -; Number of Signals Sourced Out (Average = 7.63) ; Number of LABs (Total = 24) ; +; Number of Signals Sourced Out (Average = 7.17) ; Number of LABs (Total = 23) ; +-------------------------------------------------+------------------------------+ ; 0 ; 0 ; ; 1 ; 0 ; -; 2 ; 0 ; +; 2 ; 1 ; ; 3 ; 0 ; -; 4 ; 2 ; -; 5 ; 1 ; -; 6 ; 4 ; -; 7 ; 5 ; -; 8 ; 5 ; +; 4 ; 1 ; +; 5 ; 3 ; +; 6 ; 2 ; +; 7 ; 6 ; +; 8 ; 4 ; ; 9 ; 2 ; ; 10 ; 4 ; -; 11 ; 0 ; -; 12 ; 0 ; -; 13 ; 1 ; +-------------------------------------------------+------------------------------+ +-----------------------------------------------------------------------------+ ; LAB Distinct Inputs ; +----------------------------------------------+------------------------------+ -; Number of Distinct Inputs (Average = 15.25) ; Number of LABs (Total = 24) ; +; Number of Distinct Inputs (Average = 13.04) ; Number of LABs (Total = 23) ; +----------------------------------------------+------------------------------+ ; 0 ; 0 ; ; 1 ; 0 ; @@ -1030,22 +998,22 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; 3 ; 0 ; ; 4 ; 0 ; ; 5 ; 1 ; -; 6 ; 0 ; -; 7 ; 0 ; +; 6 ; 1 ; +; 7 ; 1 ; ; 8 ; 0 ; -; 9 ; 0 ; -; 10 ; 1 ; -; 11 ; 1 ; -; 12 ; 2 ; -; 13 ; 1 ; +; 9 ; 1 ; +; 10 ; 3 ; +; 11 ; 2 ; +; 12 ; 0 ; +; 13 ; 0 ; ; 14 ; 2 ; -; 15 ; 2 ; -; 16 ; 3 ; -; 17 ; 1 ; -; 18 ; 2 ; -; 19 ; 1 ; -; 20 ; 3 ; -; 21 ; 3 ; +; 15 ; 0 ; +; 16 ; 5 ; +; 17 ; 2 ; +; 18 ; 1 ; +; 19 ; 2 ; +; 20 ; 0 ; +; 21 ; 1 ; +----------------------------------------------+------------------------------+ @@ -1130,32 +1098,34 @@ Critical Warning (169085): No exact pin location assignment(s) for 68 pins of 68 Info (169086): Pin nIOSTRB not assigned to an exact location on the device Info (169086): Pin nIOSEL not assigned to an exact location on the device Info (169086): Pin C25M not assigned to an exact location on the device - Info (169086): Pin RA[1] not assigned to an exact location on the device - Info (169086): Pin RA[10] not assigned to an exact location on the device - Info (169086): Pin RA[2] not assigned to an exact location on the device - Info (169086): Pin RA[11] not assigned to an exact location on the device - Info (169086): Pin RA[3] not assigned to an exact location on the device - Info (169086): Pin RA[4] not assigned to an exact location on the device - Info (169086): Pin RA[5] not assigned to an exact location on the device - Info (169086): Pin RA[6] not assigned to an exact location on the device - Info (169086): Pin RA[7] not assigned to an exact location on the device - Info (169086): Pin RA[8] not assigned to an exact location on the device - Info (169086): Pin RA[9] not assigned to an exact location on the device Info (169086): Pin RA[0] not assigned to an exact location on the device + Info (169086): Pin RA[10] not assigned to an exact location on the device + Info (169086): Pin RA[7] not assigned to an exact location on the device + Info (169086): Pin RA[1] not assigned to an exact location on the device + Info (169086): Pin RA[9] not assigned to an exact location on the device + Info (169086): Pin RA[8] not assigned to an exact location on the device + Info (169086): Pin RA[6] not assigned to an exact location on the device + Info (169086): Pin RA[5] not assigned to an exact location on the device + Info (169086): Pin RA[4] not assigned to an exact location on the device + Info (169086): Pin RA[3] not assigned to an exact location on the device + Info (169086): Pin RA[2] not assigned to an exact location on the device Info (169086): Pin RA[14] not assigned to an exact location on the device Info (169086): Pin RA[15] not assigned to an exact location on the device Info (169086): Pin RA[12] not assigned to an exact location on the device Info (169086): Pin RA[13] not assigned to an exact location on the device + Info (169086): Pin RA[11] not assigned to an exact location on the device Info (169086): Pin nRES not assigned to an exact location on the device Info (169086): Pin MISO not assigned to an exact location on the device Critical Warning (332012): Synopsys Design Constraints File file not found: 'GR8RAM.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design. Info (332144): No user constrained base clocks found in the design Info (332128): Timing requirements not specified -- optimizing circuit to achieve the following default global requirements Info (332127): Assuming a default timing requirement -Info (332111): Found 1 clocks +Info (332111): Found 3 clocks Info (332111): Period Clock Name Info (332111): ======== ============ + Info (332111): 1.000 ARCLK Info (332111): 1.000 C25M + Info (332111): 1.000 DRCLK Info (186079): Completed User Assigned Global Signals Promotion Operation Info (186215): Automatically promoted signal "C25M" to use Global clock in PIN 14 Info (186079): Completed Auto Global Promotion Operation @@ -1178,18 +1148,18 @@ Info (170191): Fitter placement operations beginning Info (170137): Fitter placement was successful Info (170192): Fitter placement operations ending: elapsed time is 00:00:03 Info (170193): Fitter routing operations beginning -Info (170195): Router estimated average interconnect usage is 27% of the available device resources - Info (170196): Router estimated peak interconnect usage is 27% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5 +Info (170195): Router estimated average interconnect usage is 19% of the available device resources + Info (170196): Router estimated peak interconnect usage is 19% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5 Info (170194): Fitter routing operations ending: elapsed time is 00:00:01 -Info (11888): Total time spent on timing analysis during the Fitter is 0.39 seconds. +Info (11888): Total time spent on timing analysis during the Fitter is 0.52 seconds. Info (11218): Fitter post-fit operations ending: elapsed time is 00:00:00 Warning (169174): The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'. Info (144001): Generated suppressed messages file Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.fit.smsg Info: Quartus II 32-bit Fitter was successful. 0 errors, 4 warnings - Info: Peak virtual memory: 373 megabytes - Info: Processing ended: Fri Mar 19 07:33:11 2021 - Info: Elapsed time: 00:00:10 - Info: Total CPU time (on all processors): 00:00:09 + Info: Peak virtual memory: 376 megabytes + Info: Processing ended: Fri Mar 19 16:35:25 2021 + Info: Elapsed time: 00:00:09 + Info: Total CPU time (on all processors): 00:00:08 +----------------------------+ diff --git a/cpld/output_files/GR8RAM.fit.summary b/cpld/output_files/GR8RAM.fit.summary index c11276c..9e6df38 100755 --- a/cpld/output_files/GR8RAM.fit.summary +++ b/cpld/output_files/GR8RAM.fit.summary @@ -1,11 +1,11 @@ -Fitter Status : Successful - Fri Mar 19 07:33:10 2021 +Fitter Status : Successful - Fri Mar 19 16:35:25 2021 Quartus II 32-bit Version : 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition Revision Name : GR8RAM Top-level Entity Name : GR8RAM Family : MAX II Device : EPM240T100C5 Timing Models : Final -Total logic elements : 235 / 240 ( 98 % ) +Total logic elements : 217 / 240 ( 90 % ) Total pins : 68 / 80 ( 85 % ) Total virtual pins : 0 UFM blocks : 1 / 1 ( 100 % ) diff --git a/cpld/output_files/GR8RAM.flow.rpt b/cpld/output_files/GR8RAM.flow.rpt index 4563710..d4a3c25 100755 --- a/cpld/output_files/GR8RAM.flow.rpt +++ b/cpld/output_files/GR8RAM.flow.rpt @@ -1,5 +1,5 @@ Flow report for GR8RAM -Fri Mar 19 07:33:22 2021 +Fri Mar 19 16:35:37 2021 Quartus II 32-bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition @@ -40,14 +40,14 @@ applicable agreement for further details. +-----------------------------------------------------------------------------+ ; Flow Summary ; +---------------------------+-------------------------------------------------+ -; Flow Status ; Successful - Fri Mar 19 07:33:15 2021 ; +; Flow Status ; Successful - Fri Mar 19 16:35:30 2021 ; ; Quartus II 32-bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; ; Revision Name ; GR8RAM ; ; Top-level Entity Name ; GR8RAM ; ; Family ; MAX II ; ; Device ; EPM240T100C5 ; ; Timing Models ; Final ; -; Total logic elements ; 235 / 240 ( 98 % ) ; +; Total logic elements ; 217 / 240 ( 90 % ) ; ; Total pins ; 68 / 80 ( 85 % ) ; ; Total virtual pins ; 0 ; ; UFM blocks ; 1 / 1 ( 100 % ) ; @@ -59,28 +59,37 @@ applicable agreement for further details. +-------------------+---------------------+ ; Option ; Setting ; +-------------------+---------------------+ -; Start date & time ; 03/19/2021 07:32:56 ; +; Start date & time ; 03/19/2021 16:35:10 ; ; Main task ; Compilation ; ; Revision Name ; GR8RAM ; +-------------------+---------------------+ -+-------------------------------------------------------------------------------------------------------------------+ -; Flow Non-Default Global Settings ; -+---------------------------------------+--------------------------------+---------------+-------------+------------+ -; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ; -+---------------------------------------+--------------------------------+---------------+-------------+------------+ -; COMPILER_SIGNATURE_ID ; 44085571633675.161615357603936 ; -- ; -- ; -- ; -; FITTER_EFFORT ; Standard Fit ; Auto Fit ; -- ; -- ; -; IP_TOOL_NAME ; ALTUFM_NONE ; -- ; -- ; -- ; -; IP_TOOL_VERSION ; 13.0 ; -- ; -- ; -- ; -; MAXII_OPTIMIZATION_TECHNIQUE ; Area ; Balanced ; -- ; -- ; -; MAX_CORE_JUNCTION_TEMP ; 85 ; -- ; -- ; -- ; -; MIN_CORE_JUNCTION_TEMP ; 0 ; -- ; -- ; -- ; -; POWER_EXT_SUPPLY_VOLTAGE_TO_REGULATOR ; 3.3V ; -- ; -- ; -- ; -; PROJECT_OUTPUT_DIRECTORY ; output_files ; -- ; -- ; -- ; -; SYNTH_TIMING_DRIVEN_SYNTHESIS ; Off ; -- ; -- ; -- ; -+---------------------------------------+--------------------------------+---------------+-------------+------------+ ++-----------------------------------------------------------------------------------------------------------------------------+ +; Flow Non-Default Global Settings ; ++-------------------------------------------------+--------------------------------+---------------+-------------+------------+ +; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ; ++-------------------------------------------------+--------------------------------+---------------+-------------+------------+ +; ADV_NETLIST_OPT_SYNTH_WYSIWYG_REMAP ; On ; Off ; -- ; -- ; +; ALLOW_SHIFT_REGISTER_MERGING_ACROSS_HIERARCHIES ; Always ; Auto ; -- ; -- ; +; ALM_REGISTER_PACKING_EFFORT ; High ; Medium ; -- ; -- ; +; AUTO_RESOURCE_SHARING ; On ; Off ; -- ; -- ; +; COMPILER_SIGNATURE_ID ; 44085571633675.161618611002356 ; -- ; -- ; -- ; +; FINAL_PLACEMENT_OPTIMIZATION ; Always ; Automatically ; -- ; -- ; +; FITTER_EFFORT ; Standard Fit ; Auto Fit ; -- ; -- ; +; IP_TOOL_NAME ; ALTUFM_NONE ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 13.0 ; -- ; -- ; -- ; +; MAXII_OPTIMIZATION_TECHNIQUE ; Area ; Balanced ; -- ; -- ; +; MAX_CORE_JUNCTION_TEMP ; 85 ; -- ; -- ; -- ; +; MIN_CORE_JUNCTION_TEMP ; 0 ; -- ; -- ; -- ; +; PLACEMENT_EFFORT_MULTIPLIER ; 2.0 ; 1.0 ; -- ; -- ; +; POWER_EXT_SUPPLY_VOLTAGE_TO_REGULATOR ; 3.3V ; -- ; -- ; -- ; +; PROJECT_OUTPUT_DIRECTORY ; output_files ; -- ; -- ; -- ; +; REMOVE_REDUNDANT_LOGIC_CELLS ; On ; Off ; -- ; -- ; +; ROUTER_EFFORT_MULTIPLIER ; 2.0 ; 1.0 ; -- ; -- ; +; SAFE_STATE_MACHINE ; On ; Off ; -- ; -- ; +; SYNTH_TIMING_DRIVEN_SYNTHESIS ; Off ; -- ; -- ; -- ; ++-------------------------------------------------+--------------------------------+---------------+-------------+------------+ +-------------------------------------------------------------------------------------------------------------------------------+ @@ -88,11 +97,11 @@ applicable agreement for further details. +---------------------------+--------------+-------------------------+---------------------+------------------------------------+ ; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ; +---------------------------+--------------+-------------------------+---------------------+------------------------------------+ -; Analysis & Synthesis ; 00:00:05 ; 1.0 ; 301 MB ; 00:00:05 ; -; Fitter ; 00:00:09 ; 1.3 ; 373 MB ; 00:00:08 ; +; Analysis & Synthesis ; 00:00:05 ; 1.0 ; 301 MB ; 00:00:04 ; +; Fitter ; 00:00:09 ; 1.5 ; 376 MB ; 00:00:08 ; ; Assembler ; 00:00:02 ; 1.0 ; 292 MB ; 00:00:02 ; -; TimeQuest Timing Analyzer ; 00:00:04 ; 1.0 ; 275 MB ; 00:00:05 ; -; Total ; 00:00:20 ; -- ; -- ; 00:00:20 ; +; TimeQuest Timing Analyzer ; 00:00:04 ; 1.0 ; 275 MB ; 00:00:04 ; +; Total ; 00:00:20 ; -- ; -- ; 00:00:18 ; +---------------------------+--------------+-------------------------+---------------------+------------------------------------+ diff --git a/cpld/output_files/GR8RAM.jdi b/cpld/output_files/GR8RAM.jdi index c9b4840..723609f 100755 --- a/cpld/output_files/GR8RAM.jdi +++ b/cpld/output_files/GR8RAM.jdi @@ -1,6 +1,6 @@ - + diff --git a/cpld/output_files/GR8RAM.map.rpt b/cpld/output_files/GR8RAM.map.rpt index 8ee9a02..ea83aff 100755 --- a/cpld/output_files/GR8RAM.map.rpt +++ b/cpld/output_files/GR8RAM.map.rpt @@ -1,5 +1,5 @@ Analysis & Synthesis report for GR8RAM -Fri Mar 19 07:32:59 2021 +Fri Mar 19 16:35:14 2021 Quartus II 32-bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition @@ -47,12 +47,12 @@ applicable agreement for further details. +-------------------------------------------------------------------------------+ ; Analysis & Synthesis Summary ; +-----------------------------+-------------------------------------------------+ -; Analysis & Synthesis Status ; Successful - Fri Mar 19 07:32:59 2021 ; +; Analysis & Synthesis Status ; Successful - Fri Mar 19 16:35:14 2021 ; ; Quartus II 32-bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; ; Revision Name ; GR8RAM ; ; Top-level Entity Name ; GR8RAM ; ; Family ; MAX II ; -; Total logic elements ; 260 ; +; Total logic elements ; 237 ; ; Total pins ; 68 ; ; Total virtual pins ; 0 ; ; UFM blocks ; 1 / 1 ( 100 % ) ; @@ -67,7 +67,12 @@ applicable agreement for further details. ; Device ; EPM240T100C5 ; ; ; Top-level entity name ; GR8RAM ; GR8RAM ; ; Family name ; MAX II ; Cyclone IV GX ; +; Safe State Machine ; On ; Off ; +; Remove Redundant Logic Cells ; On ; Off ; ; Optimization Technique ; Area ; Balanced ; +; Perform WYSIWYG Primitive Resynthesis ; On ; Off ; +; Allow Shift Register Merging across Hierarchies ; Always ; Auto ; +; Auto Resource Sharing ; On ; Off ; ; Use smart compilation ; Off ; Off ; ; Enable parallel Assembler and TimeQuest Timing Analyzer during compilation ; On ; On ; ; Enable compact report table ; Off ; Off ; @@ -78,7 +83,6 @@ applicable agreement for further details. ; Verilog Version ; Verilog_2001 ; Verilog_2001 ; ; VHDL Version ; VHDL_1993 ; VHDL_1993 ; ; State Machine Processing ; Auto ; Auto ; -; Safe State Machine ; Off ; Off ; ; Extract Verilog State Machines ; On ; On ; ; Extract VHDL State Machines ; On ; On ; ; Ignore Verilog initial constructs ; Off ; Off ; @@ -89,7 +93,6 @@ applicable agreement for further details. ; Parallel Synthesis ; On ; On ; ; NOT Gate Push-Back ; On ; On ; ; Power-Up Don't Care ; On ; On ; -; Remove Redundant Logic Cells ; Off ; Off ; ; Remove Duplicate Registers ; On ; On ; ; Ignore CARRY Buffers ; Off ; Off ; ; Ignore CASCADE Buffers ; Off ; Off ; @@ -101,13 +104,10 @@ applicable agreement for further details. ; Carry Chain Length ; 70 ; 70 ; ; Auto Carry Chains ; On ; On ; ; Auto Open-Drain Pins ; On ; On ; -; Perform WYSIWYG Primitive Resynthesis ; Off ; Off ; ; Auto Shift Register Replacement ; Auto ; Auto ; -; Allow Shift Register Merging across Hierarchies ; Auto ; Auto ; ; Auto Clock Enable Replacement ; On ; On ; ; Allow Synchronous Control Signals ; On ; On ; ; Force Use of Synchronous Clear Signals ; Off ; Off ; -; Auto Resource Sharing ; Off ; Off ; ; Use LogicLock Constraints during Resource Balancing ; On ; On ; ; Ignore translate_off and synthesis_off directives ; Off ; Off ; ; Report Parameter Settings ; On ; On ; @@ -164,34 +164,34 @@ applicable agreement for further details. +---------------------------------------------+-------+ ; Resource ; Usage ; +---------------------------------------------+-------+ -; Total logic elements ; 260 ; -; -- Combinational with no register ; 164 ; +; Total logic elements ; 237 ; +; -- Combinational with no register ; 142 ; ; -- Register only ; 29 ; -; -- Combinational with a register ; 67 ; +; -- Combinational with a register ; 66 ; ; ; ; ; Logic element usage by number of LUT inputs ; ; -; -- 4 input functions ; 96 ; +; -- 4 input functions ; 80 ; ; -- 3 input functions ; 45 ; -; -- 2 input functions ; 80 ; -; -- 1 input functions ; 9 ; +; -- 2 input functions ; 71 ; +; -- 1 input functions ; 11 ; ; -- 0 input functions ; 1 ; ; ; ; ; Logic elements by mode ; ; -; -- normal mode ; 202 ; +; -- normal mode ; 179 ; ; -- arithmetic mode ; 58 ; ; -- qfbk mode ; 0 ; ; -- register cascade mode ; 0 ; ; -- synchronous clear/load mode ; 25 ; ; -- asynchronous clear/load mode ; 0 ; ; ; ; -; Total registers ; 96 ; +; Total registers ; 95 ; ; Total logic cells in carry chains ; 62 ; ; I/O pins ; 68 ; ; UFM blocks ; 1 ; ; Maximum fan-out node ; C25M ; -; Maximum fan-out ; 96 ; -; Total fan-out ; 933 ; -; Average fan-out ; 2.84 ; +; Maximum fan-out ; 95 ; +; Total fan-out ; 836 ; +; Average fan-out ; 2.73 ; +---------------------------------------------+-------+ @@ -200,7 +200,7 @@ applicable agreement for further details. +-----------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+------------------------------------------------------------------------+--------------+ ; Compilation Hierarchy Node ; Logic Cells ; LC Registers ; UFM Blocks ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Carry Chain LCs ; Packed LCs ; Full Hierarchy Name ; Library Name ; +-----------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+------------------------------------------------------------------------+--------------+ -; |GR8RAM ; 260 (260) ; 96 ; 1 ; 68 ; 0 ; 164 (164) ; 29 (29) ; 67 (67) ; 62 (62) ; 0 (0) ; |GR8RAM ; work ; +; |GR8RAM ; 237 (237) ; 95 ; 1 ; 68 ; 0 ; 142 (142) ; 29 (29) ; 66 (66) ; 62 (62) ; 0 (0) ; |GR8RAM ; work ; ; |UFM:UFM_inst| ; 0 (0) ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |GR8RAM|UFM:UFM_inst ; work ; ; |UFM_altufm_none_0ep:UFM_altufm_none_0ep_component| ; 0 (0) ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |GR8RAM|UFM:UFM_inst|UFM_altufm_none_0ep:UFM_altufm_none_0ep_component ; work ; +-----------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+------------------------------------------------------------------------+--------------+ @@ -243,12 +243,12 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +----------------------------------------------+-------+ ; Statistic ; Value ; +----------------------------------------------+-------+ -; Total registers ; 96 ; +; Total registers ; 95 ; ; Number of registers using Synchronous Clear ; 25 ; ; Number of registers using Synchronous Load ; 0 ; ; Number of registers using Asynchronous Clear ; 0 ; ; Number of registers using Asynchronous Load ; 0 ; -; Number of registers using Clock Enable ; 45 ; +; Number of registers using Clock Enable ; 41 ; ; Number of registers using Preset ; 0 ; +----------------------------------------------+-------+ @@ -273,15 +273,11 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; Multiplexer Inputs ; Bus Width ; Baseline Area ; Area if Restructured ; Saving if Restructured ; Registered ; Example Multiplexer Output ; +--------------------+-----------+---------------+----------------------+------------------------+------------+----------------------------+ ; 3:1 ; 3 bits ; 6 LEs ; 6 LEs ; 0 LEs ; Yes ; |GR8RAM|PS[0] ; -; 3:1 ; 2 bits ; 4 LEs ; 2 LEs ; 2 LEs ; Yes ; |GR8RAM|Bank[1] ; -; 5:1 ; 8 bits ; 24 LEs ; 16 LEs ; 8 LEs ; Yes ; |GR8RAM|Addr[4] ; -; 7:1 ; 8 bits ; 32 LEs ; 16 LEs ; 16 LEs ; Yes ; |GR8RAM|Addr[8] ; -; 13:1 ; 2 bits ; 16 LEs ; 12 LEs ; 4 LEs ; Yes ; |GR8RAM|DRCLK ; -; 9:1 ; 8 bits ; 48 LEs ; 24 LEs ; 24 LEs ; Yes ; |GR8RAM|Addr[19] ; -; 8:1 ; 2 bits ; 10 LEs ; 6 LEs ; 4 LEs ; No ; |GR8RAM|SA ; -; 8:1 ; 5 bits ; 25 LEs ; 15 LEs ; 10 LEs ; No ; |GR8RAM|SA ; -; 8:1 ; 4 bits ; 20 LEs ; 12 LEs ; 8 LEs ; No ; |GR8RAM|SA ; -; 8:1 ; 2 bits ; 10 LEs ; 6 LEs ; 4 LEs ; No ; |GR8RAM|DQMH ; +; 5:1 ; 8 bits ; 24 LEs ; 16 LEs ; 8 LEs ; Yes ; |GR8RAM|Addr[0] ; +; 6:1 ; 2 bits ; 8 LEs ; 4 LEs ; 4 LEs ; Yes ; |GR8RAM|SS[0] ; +; 7:1 ; 8 bits ; 32 LEs ; 16 LEs ; 16 LEs ; Yes ; |GR8RAM|Addr[13] ; +; 9:1 ; 8 bits ; 48 LEs ; 24 LEs ; 24 LEs ; Yes ; |GR8RAM|Addr[17] ; +; 8:1 ; 2 bits ; 10 LEs ; 6 LEs ; 4 LEs ; No ; |GR8RAM|DQML ; +--------------------+-----------+---------------+----------------------+------------------------+------------+----------------------------+ @@ -304,7 +300,7 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi Info: ******************************************************************* Info: Running Quartus II 32-bit Analysis & Synthesis Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition - Info: Processing started: Fri Mar 19 07:32:54 2021 + Info: Processing started: Fri Mar 19 16:35:09 2021 Info: Command: quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM Info (20030): Parallel compilation is enabled and will use 2 of the 2 processors detected Info (12021): Found 2 design units, including 2 entities, in source file ufm.v @@ -316,33 +312,48 @@ Warning (10236): Verilog HDL Implicit Net warning at gr8ram.v(223): created impl Warning (10236): Verilog HDL Implicit Net warning at gr8ram.v(226): created implicit net for "RTPB" Info (12127): Elaborating entity "GR8RAM" for the top level hierarchy Warning (10858): Verilog HDL warning at gr8ram.v(86): object RDout used but never assigned +Warning (10036): Verilog HDL or VHDL warning at gr8ram.v(118): object "Bank" assigned a value but never read Warning (10036): Verilog HDL or VHDL warning at gr8ram.v(228): object "UFMBr" assigned a value but never read Warning (10036): Verilog HDL or VHDL warning at gr8ram.v(230): object "RTPBr" assigned a value but never read -Warning (10036): Verilog HDL or VHDL warning at gr8ram.v(332): object "RefReady" assigned a value but never read +Warning (10036): Verilog HDL or VHDL warning at gr8ram.v(335): object "RefReady" assigned a value but never read Warning (10230): Verilog HDL assignment warning at gr8ram.v(24): truncated value with size 32 to match size of target (18) Warning (10230): Verilog HDL assignment warning at gr8ram.v(100): truncated value with size 32 to match size of target (24) Warning (10230): Verilog HDL assignment warning at gr8ram.v(104): truncated value with size 32 to match size of target (16) Warning (10230): Verilog HDL assignment warning at gr8ram.v(109): truncated value with size 32 to match size of target (8) -Warning (10230): Verilog HDL assignment warning at gr8ram.v(327): truncated value with size 32 to match size of target (3) +Warning (10230): Verilog HDL assignment warning at gr8ram.v(330): truncated value with size 32 to match size of target (3) Warning (10030): Net "RDout" at gr8ram.v(86) has no driver or initial value, using a default initial value '0' -Warning (10030): Net "WRD[5..0]" at gr8ram.v(312) has no driver or initial value, using a default initial value '0' +Warning (10030): Net "WRD[5..0]" at gr8ram.v(315) has no driver or initial value, using a default initial value '0' +Warning (10034): Output port "SA[11..0]" at gr8ram.v(347) has no driver Info (12128): Elaborating entity "UFM" for hierarchy "UFM:UFM_inst" Info (12128): Elaborating entity "UFM_altufm_none_0ep" for hierarchy "UFM:UFM_inst|UFM_altufm_none_0ep:UFM_altufm_none_0ep_component" +Info (17026): Resynthesizing 0 WYSIWYG logic cells and I/Os using "area" technology mapper which leaves 0 WYSIWYG logic cells and I/Os untouched Warning (13046): Tri-state node(s) do not directly drive top-level pin(s) Warning (13047): Converted the fan-out from the tri-state buffer "MOSI" to the node "WRD[6]" into an OR gate Warning (13024): Output pins are stuck at VCC or GND Warning (13410): Pin "RAdir" is stuck at VCC Warning (13410): Pin "SBA[0]" is stuck at GND -Info (21057): Implemented 329 device resources after synthesis - the final resource count might be different + Warning (13410): Pin "SA[0]" is stuck at GND + Warning (13410): Pin "SA[1]" is stuck at GND + Warning (13410): Pin "SA[2]" is stuck at GND + Warning (13410): Pin "SA[3]" is stuck at GND + Warning (13410): Pin "SA[4]" is stuck at GND + Warning (13410): Pin "SA[5]" is stuck at GND + Warning (13410): Pin "SA[6]" is stuck at GND + Warning (13410): Pin "SA[7]" is stuck at GND + Warning (13410): Pin "SA[8]" is stuck at GND + Warning (13410): Pin "SA[9]" is stuck at GND + Warning (13410): Pin "SA[10]" is stuck at GND + Warning (13410): Pin "SA[11]" is stuck at GND +Info (21057): Implemented 306 device resources after synthesis - the final resource count might be different Info (21058): Implemented 24 input pins Info (21059): Implemented 28 output pins Info (21060): Implemented 16 bidirectional pins - Info (21061): Implemented 260 logic cells + Info (21061): Implemented 237 logic cells Info (21070): Implemented 1 User Flash Memory blocks Info (144001): Generated suppressed messages file Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.map.smsg -Info: Quartus II 32-bit Analysis & Synthesis was successful. 0 errors, 19 warnings +Info: Quartus II 32-bit Analysis & Synthesis was successful. 0 errors, 33 warnings Info: Peak virtual memory: 301 megabytes - Info: Processing ended: Fri Mar 19 07:32:59 2021 + Info: Processing ended: Fri Mar 19 16:35:14 2021 Info: Elapsed time: 00:00:05 Info: Total CPU time (on all processors): 00:00:05 diff --git a/cpld/output_files/GR8RAM.map.smsg b/cpld/output_files/GR8RAM.map.smsg index a19806b..a65a837 100755 --- a/cpld/output_files/GR8RAM.map.smsg +++ b/cpld/output_files/GR8RAM.map.smsg @@ -1,4 +1,4 @@ Warning (10463): Verilog HDL Declaration warning at UFM.v(72): "program" is SystemVerilog-2005 keyword Warning (10463): Verilog HDL Declaration warning at UFM.v(188): "program" is SystemVerilog-2005 keyword Warning (10273): Verilog HDL warning at gr8ram.v(85): extended using "x" or "z" -Warning (10273): Verilog HDL warning at gr8ram.v(311): extended using "x" or "z" +Warning (10273): Verilog HDL warning at gr8ram.v(314): extended using "x" or "z" diff --git a/cpld/output_files/GR8RAM.map.summary b/cpld/output_files/GR8RAM.map.summary index da0abaa..67c5a86 100755 --- a/cpld/output_files/GR8RAM.map.summary +++ b/cpld/output_files/GR8RAM.map.summary @@ -1,9 +1,9 @@ -Analysis & Synthesis Status : Successful - Fri Mar 19 07:32:59 2021 +Analysis & Synthesis Status : Successful - Fri Mar 19 16:35:14 2021 Quartus II 32-bit Version : 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition Revision Name : GR8RAM Top-level Entity Name : GR8RAM Family : MAX II -Total logic elements : 260 +Total logic elements : 237 Total pins : 68 Total virtual pins : 0 UFM blocks : 1 / 1 ( 100 % ) diff --git a/cpld/output_files/GR8RAM.pin b/cpld/output_files/GR8RAM.pin index b748cdf..c508b09 100755 --- a/cpld/output_files/GR8RAM.pin +++ b/cpld/output_files/GR8RAM.pin @@ -62,103 +62,103 @@ CHIP "GR8RAM" ASSIGNED TO AN: EPM240T100C5 Pin Name/Usage : Location : Dir. : I/O Standard : Voltage : I/O Bank : User Assignment ------------------------------------------------------------------------------------------------------------- -RA[12] : 1 : input : 3.3-V LVTTL : : 2 : N -RD[0] : 2 : bidir : 3.3-V LVTTL : : 1 : N -RD[3] : 3 : bidir : 3.3-V LVTTL : : 1 : N -RD[6] : 4 : bidir : 3.3-V LVTTL : : 1 : N -RD[4] : 5 : bidir : 3.3-V LVTTL : : 1 : N -RD[7] : 6 : bidir : 3.3-V LVTTL : : 1 : N -RD[1] : 7 : bidir : 3.3-V LVTTL : : 1 : N -nWE : 8 : input : 3.3-V LVTTL : : 1 : N +SA[0] : 1 : output : 3.3-V LVTTL : : 2 : N +GND* : 2 : : : : 1 : +SA[8] : 3 : output : 3.3-V LVTTL : : 1 : N +SA[2] : 4 : output : 3.3-V LVTTL : : 1 : N +RAdir : 5 : output : 3.3-V LVTTL : : 1 : N +SD[5] : 6 : bidir : 3.3-V LVTTL : : 1 : N +SD[4] : 7 : bidir : 3.3-V LVTTL : : 1 : N +SD[1] : 8 : bidir : 3.3-V LVTTL : : 1 : N VCCIO1 : 9 : power : : 3.3V : 1 : GNDIO : 10 : gnd : : : : GNDINT : 11 : gnd : : : : -PHI0 : 12 : input : 3.3-V LVTTL : : 1 : N +RA[3] : 12 : input : 3.3-V LVTTL : : 1 : N VCCINT : 13 : power : : 2.5V/3.3V : : C25M : 14 : input : 3.3-V LVTTL : : 1 : N -RA[8] : 15 : input : 3.3-V LVTTL : : 1 : N -RA[10] : 16 : input : 3.3-V LVTTL : : 1 : N -RA[9] : 17 : input : 3.3-V LVTTL : : 1 : N -SA[8] : 18 : output : 3.3-V LVTTL : : 1 : N -SA[7] : 19 : output : 3.3-V LVTTL : : 1 : N -RA[11] : 20 : input : 3.3-V LVTTL : : 1 : N -SA[1] : 21 : output : 3.3-V LVTTL : : 1 : N +RA[5] : 15 : input : 3.3-V LVTTL : : 1 : N +RA[2] : 16 : input : 3.3-V LVTTL : : 1 : N +RA[0] : 17 : input : 3.3-V LVTTL : : 1 : N +SD[6] : 18 : bidir : 3.3-V LVTTL : : 1 : N +nRESout : 19 : output : 3.3-V LVTTL : : 1 : N +SD[7] : 20 : bidir : 3.3-V LVTTL : : 1 : N +MOSI : 21 : output : 3.3-V LVTTL : : 1 : N TMS : 22 : input : : : 1 : TDI : 23 : input : : : 1 : TCK : 24 : input : : : 1 : TDO : 25 : output : : : 1 : -SD[7] : 26 : bidir : 3.3-V LVTTL : : 1 : N -SD[6] : 27 : bidir : 3.3-V LVTTL : : 1 : N -MOSI : 28 : output : 3.3-V LVTTL : : 1 : N -FCK : 29 : output : 3.3-V LVTTL : : 1 : N -RA[0] : 30 : input : 3.3-V LVTTL : : 1 : N +SD[3] : 26 : bidir : 3.3-V LVTTL : : 1 : N +SD[2] : 27 : bidir : 3.3-V LVTTL : : 1 : N +MISO : 28 : input : 3.3-V LVTTL : : 1 : N +SD[0] : 29 : bidir : 3.3-V LVTTL : : 1 : N +FCK : 30 : output : 3.3-V LVTTL : : 1 : N VCCIO1 : 31 : power : : 3.3V : 1 : GNDIO : 32 : gnd : : : : -MISO : 33 : input : 3.3-V LVTTL : : 1 : N -RA[7] : 34 : input : 3.3-V LVTTL : : 1 : N -RA[2] : 35 : input : 3.3-V LVTTL : : 1 : N -RA[14] : 36 : input : 3.3-V LVTTL : : 1 : N -nRESout : 37 : output : 3.3-V LVTTL : : 1 : N -nFCS : 38 : output : 3.3-V LVTTL : : 1 : N -SA[3] : 39 : output : 3.3-V LVTTL : : 1 : N -SD[1] : 40 : bidir : 3.3-V LVTTL : : 1 : N -SD[4] : 41 : bidir : 3.3-V LVTTL : : 1 : N -SD[0] : 42 : bidir : 3.3-V LVTTL : : 1 : N -SBA[0] : 43 : output : 3.3-V LVTTL : : 1 : N -GND* : 44 : : : : 1 : +RA[8] : 33 : input : 3.3-V LVTTL : : 1 : N +RA[10] : 34 : input : 3.3-V LVTTL : : 1 : N +RA[7] : 35 : input : 3.3-V LVTTL : : 1 : N +RA[4] : 36 : input : 3.3-V LVTTL : : 1 : N +RA[9] : 37 : input : 3.3-V LVTTL : : 1 : N +RA[1] : 38 : input : 3.3-V LVTTL : : 1 : N +RA[11] : 39 : input : 3.3-V LVTTL : : 1 : N +GND* : 40 : : : : 1 : +GND* : 41 : : : : 1 : +SA[11] : 42 : output : 3.3-V LVTTL : : 1 : N +nFCS : 43 : output : 3.3-V LVTTL : : 1 : N +SA[12] : 44 : output : 3.3-V LVTTL : : 1 : N VCCIO1 : 45 : power : : 3.3V : 1 : GNDIO : 46 : gnd : : : : GND* : 47 : : : : 1 : -GND* : 48 : : : : 1 : +DQMH : 48 : output : 3.3-V LVTTL : : 1 : N GND* : 49 : : : : 1 : GND* : 50 : : : : 1 : -SD[3] : 51 : bidir : 3.3-V LVTTL : : 1 : N -SD[5] : 52 : bidir : 3.3-V LVTTL : : 2 : N -SD[2] : 53 : bidir : 3.3-V LVTTL : : 2 : N -GND* : 54 : : : : 2 : -GND* : 55 : : : : 2 : +GND* : 51 : : : : 1 : +SA[9] : 52 : output : 3.3-V LVTTL : : 2 : N +GND* : 53 : : : : 2 : +SA[4] : 54 : output : 3.3-V LVTTL : : 2 : N +SA[10] : 55 : output : 3.3-V LVTTL : : 2 : N GND* : 56 : : : : 2 : -nRCS : 57 : output : 3.3-V LVTTL : : 2 : N -nCAS : 58 : output : 3.3-V LVTTL : : 2 : N +RA[13] : 57 : input : 3.3-V LVTTL : : 2 : N +RA[12] : 58 : input : 3.3-V LVTTL : : 2 : N VCCIO2 : 59 : power : : 3.3V : 2 : GNDIO : 60 : gnd : : : : -SA[2] : 61 : output : 3.3-V LVTTL : : 2 : N -SA[10] : 62 : output : 3.3-V LVTTL : : 2 : N +RA[14] : 61 : input : 3.3-V LVTTL : : 2 : N +RA[15] : 62 : input : 3.3-V LVTTL : : 2 : N VCCINT : 63 : power : : 2.5V/3.3V : : -nRES : 64 : input : 3.3-V LVTTL : : 2 : N +nIOSTRB : 64 : input : 3.3-V LVTTL : : 2 : N GNDINT : 65 : gnd : : : : -RCKE : 66 : output : 3.3-V LVTTL : : 2 : N -SA[9] : 67 : output : 3.3-V LVTTL : : 2 : N -nRAS : 68 : output : 3.3-V LVTTL : : 2 : N -nSWE : 69 : output : 3.3-V LVTTL : : 2 : N -SA[12] : 70 : output : 3.3-V LVTTL : : 2 : N +DQML : 66 : output : 3.3-V LVTTL : : 2 : N +nDEVSEL : 67 : input : 3.3-V LVTTL : : 2 : N +SBA[1] : 68 : output : 3.3-V LVTTL : : 2 : N +nRAS : 69 : output : 3.3-V LVTTL : : 2 : N +RCKE : 70 : output : 3.3-V LVTTL : : 2 : N nIOSEL : 71 : input : 3.3-V LVTTL : : 2 : N -nIOSTRB : 72 : input : 3.3-V LVTTL : : 2 : N -SA[0] : 73 : output : 3.3-V LVTTL : : 2 : N -SA[4] : 74 : output : 3.3-V LVTTL : : 2 : N -DQMH : 75 : output : 3.3-V LVTTL : : 2 : N -DQML : 76 : output : 3.3-V LVTTL : : 2 : N -nDEVSEL : 77 : input : 3.3-V LVTTL : : 2 : N -RAdir : 78 : output : 3.3-V LVTTL : : 2 : N +PHI0 : 72 : input : 3.3-V LVTTL : : 2 : N +nRES : 73 : input : 3.3-V LVTTL : : 2 : N +nWE : 74 : input : 3.3-V LVTTL : : 2 : N +RDdir : 75 : output : 3.3-V LVTTL : : 2 : N +GND* : 76 : : : : 2 : +SA[5] : 77 : output : 3.3-V LVTTL : : 2 : N +GND* : 78 : : : : 2 : GNDIO : 79 : gnd : : : : VCCIO2 : 80 : power : : 3.3V : 2 : -RA[5] : 81 : input : 3.3-V LVTTL : : 2 : N -RA[6] : 82 : input : 3.3-V LVTTL : : 2 : N -SA[6] : 83 : output : 3.3-V LVTTL : : 2 : N -RA[4] : 84 : input : 3.3-V LVTTL : : 2 : N -SA[5] : 85 : output : 3.3-V LVTTL : : 2 : N -SBA[1] : 86 : output : 3.3-V LVTTL : : 2 : N -GND* : 87 : : : : 2 : -SA[11] : 88 : output : 3.3-V LVTTL : : 2 : N -GND* : 89 : : : : 2 : -GND* : 90 : : : : 2 : -GND* : 91 : : : : 2 : -RA[1] : 92 : input : 3.3-V LVTTL : : 2 : N +SA[7] : 81 : output : 3.3-V LVTTL : : 2 : N +nSWE : 82 : output : 3.3-V LVTTL : : 2 : N +nCAS : 83 : output : 3.3-V LVTTL : : 2 : N +nRCS : 84 : output : 3.3-V LVTTL : : 2 : N +RD[2] : 85 : bidir : 3.3-V LVTTL : : 2 : N +SBA[0] : 86 : output : 3.3-V LVTTL : : 2 : N +RD[7] : 87 : bidir : 3.3-V LVTTL : : 2 : N +SA[3] : 88 : output : 3.3-V LVTTL : : 2 : N +RD[6] : 89 : bidir : 3.3-V LVTTL : : 2 : N +RD[1] : 90 : bidir : 3.3-V LVTTL : : 2 : N +RA[6] : 91 : input : 3.3-V LVTTL : : 2 : N +RD[0] : 92 : bidir : 3.3-V LVTTL : : 2 : N GNDIO : 93 : gnd : : : : VCCIO2 : 94 : power : : 3.3V : 2 : -RA[15] : 95 : input : 3.3-V LVTTL : : 2 : N -RA[3] : 96 : input : 3.3-V LVTTL : : 2 : N -RA[13] : 97 : input : 3.3-V LVTTL : : 2 : N -RD[2] : 98 : bidir : 3.3-V LVTTL : : 2 : N -RD[5] : 99 : bidir : 3.3-V LVTTL : : 2 : N -RDdir : 100 : output : 3.3-V LVTTL : : 2 : N +RD[4] : 95 : bidir : 3.3-V LVTTL : : 2 : N +RD[3] : 96 : bidir : 3.3-V LVTTL : : 2 : N +RD[5] : 97 : bidir : 3.3-V LVTTL : : 2 : N +SA[6] : 98 : output : 3.3-V LVTTL : : 2 : N +GND* : 99 : : : : 2 : +SA[1] : 100 : output : 3.3-V LVTTL : : 2 : N diff --git a/cpld/output_files/GR8RAM.pof b/cpld/output_files/GR8RAM.pof index 293423359731957c3e8326b790c524368ca9428e..6b2b226573ed438bb017ee5a5e79e0da4cc63e88 100755 GIT binary patch literal 7879 zcmeHMe{fvYbzb8baAILHl*Euo2opjlGsZtu^TQr_QwUB;N^ytSG}Fq$2FthwsbgE- z81eQ(XH1<81Wy9SuDf!YDKvpt%8bVdvAn%*YdbSR(k4x08I-rTjT@;!-hCos_i6X( z-qY{gw=0B){M&zu?A`P3J?DJ)oO91P_wMe7d)LQev3aq}?0YNT|Gaa{&fT568t=Zl zv1!$^tvhx%ZrIteedm@(AKkXIanrV)yLNQ6H?C~DdBx2u8}I1c@yJ7stD06duDE6S z%2mr(tytOAc;B|2dv-jyt#QMa2mhvVW#fHcX}o{i*2cRY+OZp&u}flgSmdq^_pJJ( z6<=GqV#S@SWAkGRF}1OM_m16eYPVY zf7XAWJk~Ffg8nNe$^5XUA5jN$=6JySb`gGc+@3*xpqihSFSIf;2cETG61g%IRXwZk>2!pnSYC>YUeMu$@eHu?h^$d-=_0Pr!PSwQ*yVp6>^Lh>yj3Ht2c%J2x0uJ&xDDd`&Mlx>X zKgN>f@&zMuc)%F?eMKEAs}KJL_JcHL!R8R#`n43#)%>Bn@}=3seS<2Dr#at1Jw;I; z@eMMdBEi>5$$darKBUl3{Hnd<G1G0meklDgn&(-HL_%<(+%f&%oB zU-+kmr%Ct7ko93P^V!d?xE8!ppRY+4GoqFCs`?!x1ya84doqyuP{7_wtP=|+&OTSQ2 z{wG7@`-SSdj60D77UIKpV5~TJpd2SkdlEPS{OhXPAAjVG8NYR02O;`q>idH-dDCY7 z%8V|*%JOuK6!OVj$M%|0pPEV07@oBW?~m3Gw0V1Q+7SpGeAm-bn_U~j`o2M{uOItF z-u%3&6(3a7GtbS4whfhdYFd?tPUa@-V^7%l=(QLA&8QnMIq}>{(Xgp9RX?q! zhE85lu76;^UB9LCSA%E9x1Tya@N~AVts&t~UQ=J#)#TT^*R)3V4_!F;*M;_FOP5~# z?fr+EQvbZBf9Ur9JCF6RjqDH8s(T83DLNMm#q+sr5_acRHGZT^9H%@4KugFQ-?(Au z#-sB%PvfeTNFd)z=qJ7Cd&MvZc|AqGrJMm6Bk@_k2pAvz&sG=DJ4I#t5XZkj9k4^` z90soEE9Psf=LZ_iA?J@8&xFyrRl8RRKPV{*qx=d4RE5=pF4O#kVCs8#%P?N3R7Sua zA9nCq^yjuhFm{Ja6ED*$hff2=0|OPU>9JuTk;@3JX#ESy-~yi z^~Ctr^JGF-7|&0$pCy5K>(PiG(gdFiDWz#0g&y*8Cdc(z#m{Hbx>~;+SLq_xyK%MA z(w7(m-`a_IB7Q~zC73dzliX;Y^5{%Pv7a!X(%^@b4D!&cSbYx{3Ijt?f1(6mHFZwG zp3osuQU370Y3Py4_^qVhCW-wKnzESZ^?hx?1g=QhdH6q~$W7XM#QY5RJK-cKd2{Je z^2&REm6@49q6_@=BOB#L7(D^@`cs}Wh ziD>;w?BUY&sW|HIruK&V`TM57c);9tapP~L}sO{tP7fgd6`;W=`um5eNPuWA}-fK6Z{<1?4eEiM@ z(+i%+tT;J2tFOI5KL73-c>3A4?T>u9dFs)Dn~xtqpOSC2M)4c?+`(_{zx>$umkzgo z``W8gKR9rBD4qW5vHl~G{W4A6=zW@+gHq`Goj5O(LIi_|NEBbCK#O_;^&~|G^=Aq= zV-Sys&xd6%?hnWdl!!l!y)v71=qV*JuE_4~g1w21oX?oD&*>{IK?y4ESn~5Tw(bmk~~Z^2HOx_4i^N5^?E3pFxhpMaZS4L1Yjwn_n*U8OH%1wCIF5PjDB|erV6nM-gkIG!=o9qzQ$} zd^@RB;LziV9*ZX>`Uc=a+^?}OS;Wa?*dB|^^N2)iKH&IEaha^%c7g&?2HC9u9p+Wf_y7+Dg(>EeAp+LsD< zyzr`f&bZ6|((0e6?Alv;^RubvGvhxwSpLj)FILw5!KY%eNqnm8IS3nvbq);9Z24~H$tx%8uU`_? zU-;wa%+ZJb!M&%f+S;5zm$w|wJaNfP{lX@h>br`0Ji;-ShI&;jx$3qz7O8&f1|P{dX^0yEcjs@~S&6gjVtK4EaKj z8e@mO^`k{qX112L?v*zMmyzXXu~-XZCp7`>WvyANQ3Ko?7s- z`HhW$ysa**7bhtVLyy=K;RF$5_qhK zwgM^wr;mh@Gkkw`3NI=`i;euFqq5{vaxg_5>-sw;evA9o*bQVH5%F8-e;gCveERmS zg}z+L{d5bBEL&$T@cPLJKXJw&UyAe#QxI?K6ULv)!HBYte8Ae!$=aV!U-hx>*rilHw4}%0bK+OUN|(KK{D%QO+uinX`jgXF zEjk!$p43l0RrSw%E7$S(*xdvFm`$!mNFN!yVt;zu&O^@N*O?7kG3#>QA6Q=M>MQpH}fX&yu=~5k6_Tke5awe?-JD z<5LOtV?-Bx)dL-ki@r$|wKEd+Z|n!>7y4}h`iL6;wKmVPH)-oTs;8%g{StN`>%#Go3EJaTz{Q;9r(xAENU8~?N2yO z*~Q7}YYyz2nHRg{#syT5`olgF?ys@2@$FAJmkcd_Z2Fpo`)00hxaG#xll6yc^*50E zO5*$*gQrtNZEg7erRZLBCXpCVq5dk?{tE*yZT;_4`3s9qx3_mUc|W@C$WNCpy<^!o ztMw<9G+`)R8HfFX>yPh|bLub17$rkjBCqjD-USbpgz)-Re5p(V`Rk7&e_^jCzVcxI zu$!PoN`p#rdeNVemtKg^4+tf`7qaz*`b<`o-7daI2@M_AWD0pu*Wzf@Zb{{e8iy$3 zMsk)0ww@L11;PU_hdOQY(;Um81odR|xkV z-5n`9gN|bh4KzvJF|5Lb*VfTg;(f>230Oyx)OEru(EE=3Ft1B^_XeKtUGi?u`R?bO z`x2F9BtS+BZIj6kh?mK7CxpPj% z?8@>-*KBB7_tcv5ho5}v>GIj-kAAy+<(eOsFIv5B6F4IiBiBI4qKB8wxvS!_*%cKJ z%#C~{@>f{;Uj3$Zo1R&-I#Px``sdon)i;_i`r{8;Nkv2wfB$3Ls`|&*z5j(C^07fP zp4R-T>R_h5ZCHs6c@=J6XR59-oeQY1)G(Jm02Q4qeAID*e z0<*4hE#{TvgC61ouO#1-fdd>P-*-LWj7sH_VtPGF$!3i&O7a=(AtvjHT(t}90XKX> zNv_s2<_FLxp@@U-b7kjAj?u|FQ6NV7y)G5h*}$e6iW_}+318zJTqR?Ys)L-cvG;&4 zfTK$EnCFt8wbRhYizTyx_HW=zn36Vrky)3hp%wZTitX>@1EJ!L}=0Qn0Juv*8dID)my3i<8gb z2czHHMPhLxE>9>*T9n9|_#*>28U8gf_;2|W)S88#((xkvqT&fbwz`yG@c+}mTd&CD zRZvaqB^hU-o>o?Iop12deyocq>}u9?W%d!@;aa0^{*QvIroMHDitTPv5Vt6Wh!S-& zi_-1OS5|q2nshr)R#9`lK3Gz;+5S@gsEtj2&hGWetN$;Sk~RftHbPFfjsWBx99`x< zJ!|!$ik=T9RX={+j^cs|hi6m__nv$q(WdeFdylzGW?_k~9%%LN{>|6X`!vkd(_B`KrUFGSUofaP2f9~AQQ)~OO z?n6I!>!;6aee<{T+TN;sqO)a>&~%4$@zQ)AIITKwJg*U1gmpjbmF%N-ro8o@Y!{SH zaX&BVIOxdd5*_dGuk+yH&IKQH9M~+B3>I-HQvt4Q$ z?+=|te)_OE%h^I#nA}*R92Y*iK_0FO%;(};BgMOaS zb}951Ws`6CYw|koQsasPqdKm>rwSsFj8=yA)d@Vz129E{L>8b0rpQ9MYJ7=sr}ZdP z=mfPSYqk8Ck~zrJ>-6(a;~2BC57LFAox*-nIXYkE0RZbYZzFpgX<2^`8m9LT&66-r z>H1Y~mE<$TRR|lq-MOpKZwf4F{Y=Rp*Bbeq#g`|kk3RXtnmX#wdX~)`*!r#I@%Qf? zzNS2K!1yP6_3&W-Cy$m*9i4JVH`QeEnKb^TSsOj&?DYI_5M3)N}82*~Pa}M>lcv{CR{cAVQi?yDArRAlK z8&Au5drJBr`R>krZ@kfa=AnW5)yc}5*Z=m`74zqPXGiz^&_6r~+HyW?wMR0ApxL!` zHu|VPcrNrG#a))l+GwuToP39J$afjo#?SDt@{pO54|KurIe|FBzo^86$Q#x5m;;|cJdwAg;|F~_#^jK$aGf9A;5uXYh^Nc+x*(N2 z<=T?o3H2VUk2K;*%Ca(~@52V1PE58sq~HU6k0~&YbApHb{J0q%pQzg3 z;(if7i(u$`L#rd1n?(Vk_6zjyz7&$Djogx+L?YA!9xG};)*9T;;B>5W8Hf?RE>*%) zNv$vH75oE4-HHocPv8$^mT5d&%9t-g|A+VvHL!3VXnTB#8wo~@qw#~hRiOBCbV1ma zJfV~&`t-V{YyS(!ac_!yUe@fl;a??8d~1l25+bl&%PYX=S^CH?Ac|H^%$$#s1q^zz z^<5{Fd)ElbkWh7aggsC{OIFH%D!w@tiea{f=({&gYJGdyi#M7;xg=az*_3(;A7rPEJq>n4}oPO0k- zMHzXOzayaXRBZ@Rb$#36-lQ zM>(J(5y$ZpX-KE_MAX;4u@!YDFV9PfyO2wsC7FPpDe4WF_ABbIq#rl;NhWtWZ3$(F)N*+~N^azzi{zw{C89}&zhjfPeW1ouw=520Dk21%L9-Ql}DFFP)!_2Y2lnye0E- zS>u2|J#ukMN3r^awh6`I+m~c?9I1nYe%oswmo55u^7IJRes(OneforJa&v2E#7!Kx zEL~cdQNQ<4|GEC@vx?|jESh|763Jg&e?ars#5Mhny@!tcxqbRJ66OsUT3Vo-b6h=DSnl`iQf^mz)n_j`I)qTgoV@pT9msim(zL9E$RRY(PM6@PIm4g&yh!C=NpQXd7i_;@B7FzE`bBoG5$_p-#_h4nvy}2 z*1sCKm)9RGNmF)6=su+!;->G9^V4p0mm$yQc)qka`Vs5a{vV^`{@D~?o^tH&5laas zuwL>XC3c#9{}9^YKp&KVB(CSO2KAQ&y`#wV^KEn@Ji+rU{KW#~jR$)L9m58LLpP@j zU5>CHIbIhB|9RD}^;gmg={0i;b@AFd+I+xI9?c!cQx769v*?4kcWHbYde`-mzt$2} zl@0qESW*zpE9Fn;mL&@YpIYz->%C8NldXRoJ~?`EVSJSuzGiVI^iT9F3*0MzaAw}7 zLwxd<$EV1x6IR7nP0meQJVRdt=*{AkZw=Nh?2~`|Puh9gRgoL$-S)jR%jkxd>r3~~ zDUrb?6Tp9%e|6$j(I@G-XZOyWJACsmwi)}r?_cqJ-;%oze|Tg^|JudX*X=mp^2$rG zSmuUO{f#j9nZ0Lw-0Zol4-LA%eDjgl=ltD@TW`Jp%@?-APdd(=s~}1v?NL9@w7p^8 z>G})l>!pfT(Ps2Om1Pq5|02$(0P!*O5sy5~nbS1Ln+$(~16YnA>LI^$_5J2u?JpdL zKZZPA*A}QrGdAG4a0{JB_eIDh`dtx8Zr)F5`iPDr_oRgUG-9vg6Bng*&`n$jj12n@ zX7qmKvtAGN!zff237JpPJMT~&a~*#lsWzq`MlZC*nBk96G_%pr42BicV0CLu>`3xFu~>AkIdtkRSC6 z`f@+t<+C(Q$9`HX@jT9b-iGgvd>Zoez(;=>qKvUyv@6|j4`2;9KCL&NH?@5nGavpS zv6&d=+D~jife8=xq5!RI>^VN-lbz@?^cq^zrEp|fj^~|HJd>3PYD1%IO}$*=7wm$U zd%2^|7j5#p7i&nwo5<_7;FGfkpa0$s(lM#h(YPP9+my4<9@{D9o5O~`qqyK+wfSOd zTI9pqZag`qrtIQRCarjO>hQ8>7aq{(f1citp0a+Gnl|yn;HyvmQ$^WNhBAfiUmLFZ zmj~2t&^wDgx2T`q_V~?Lyie1A@Qs#3bi<@M3x4#u{oHE_ou`s|qJ^J+{r59Lzwy&M zBa1GcysfZc>gV>!*Fyc}vYv0(EiSa~*i+xMn&n!D+wH!$DuY(1g)SKWbMCfN^@F{u zAAEjrb@lw-j^Dj|d|vkhoh@%6pESPUZOz1O`$Vh=Kii}P-d9jBd>Q&z$8l9o>UaDptI}xd3(qyu!>dU2XPwVLFgU=c0D5wa&{Nl6 zsV{4KsDFSsXgc~2YG1EkDDj7Mtq*X76yaPy?`ho9I(RQ%ztk7U@bx~^518S@$6w%* z(Dh>cfORp3Yy4^CXnYBe_?qA7XZEA$N;u6&`@w$?<9*oQXySqwCORI5|I&E5o?~)k zw4I9m#PXY~vA;jlo5B9AZN|R8)bootm*!uT^X2^V{P@4~)Af<7uNeQ2P`Un(5EA0c VpD#V|r3e0>df+Pazv6@I{u}jycTxZV diff --git a/cpld/output_files/GR8RAM.sta.rpt b/cpld/output_files/GR8RAM.sta.rpt index 97bbf14..348d4dd 100755 --- a/cpld/output_files/GR8RAM.sta.rpt +++ b/cpld/output_files/GR8RAM.sta.rpt @@ -1,5 +1,5 @@ TimeQuest Timing Analyzer report for GR8RAM -Fri Mar 19 07:33:22 2021 +Fri Mar 19 16:35:38 2021 Quartus II 32-bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition @@ -16,25 +16,31 @@ Quartus II 32-bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edit 8. Recovery Summary 9. Removal Summary 10. Minimum Pulse Width Summary - 11. Setup: 'C25M' - 12. Hold: 'C25M' - 13. Minimum Pulse Width: 'C25M' - 14. Setup Times - 15. Hold Times - 16. Clock to Output Times - 17. Minimum Clock to Output Times - 18. Propagation Delay - 19. Minimum Propagation Delay - 20. Output Enable Times - 21. Minimum Output Enable Times - 22. Output Disable Times - 23. Minimum Output Disable Times - 24. Setup Transfers - 25. Hold Transfers - 26. Report TCCS - 27. Report RSKM - 28. Unconstrained Paths - 29. TimeQuest Timing Analyzer Messages + 11. Setup: 'ARCLK' + 12. Setup: 'DRCLK' + 13. Setup: 'C25M' + 14. Hold: 'ARCLK' + 15. Hold: 'DRCLK' + 16. Hold: 'C25M' + 17. Minimum Pulse Width: 'ARCLK' + 18. Minimum Pulse Width: 'DRCLK' + 19. Minimum Pulse Width: 'C25M' + 20. Setup Times + 21. Hold Times + 22. Clock to Output Times + 23. Minimum Clock to Output Times + 24. Propagation Delay + 25. Minimum Propagation Delay + 26. Output Enable Times + 27. Minimum Output Enable Times + 28. Output Disable Times + 29. Minimum Output Disable Times + 30. Setup Transfers + 31. Hold Transfers + 32. Report TCCS + 33. Report RSKM + 34. Unconstrained Paths + 35. TimeQuest Timing Analyzer Messages @@ -87,13 +93,15 @@ applicable agreement for further details. +----------------------------+-------------+ -+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Clocks ; -+------------+------+--------+------------+-------+-------+------------+-----------+-------------+-------+--------+-----------+------------+----------+--------+--------+----------+ -; Clock Name ; Type ; Period ; Frequency ; Rise ; Fall ; Duty Cycle ; Divide by ; Multiply by ; Phase ; Offset ; Edge List ; Edge Shift ; Inverted ; Master ; Source ; Targets ; -+------------+------+--------+------------+-------+-------+------------+-----------+-------------+-------+--------+-----------+------------+----------+--------+--------+----------+ -; C25M ; Base ; 1.000 ; 1000.0 MHz ; 0.000 ; 0.500 ; ; ; ; ; ; ; ; ; ; ; { C25M } ; -+------------+------+--------+------------+-------+-------+------------+-----------+-------------+-------+--------+-----------+------------+----------+--------+--------+----------+ ++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Clocks ; ++------------+------+--------+------------+-------+-------+------------+-----------+-------------+-------+--------+-----------+------------+----------+--------+--------+-----------+ +; Clock Name ; Type ; Period ; Frequency ; Rise ; Fall ; Duty Cycle ; Divide by ; Multiply by ; Phase ; Offset ; Edge List ; Edge Shift ; Inverted ; Master ; Source ; Targets ; ++------------+------+--------+------------+-------+-------+------------+-----------+-------------+-------+--------+-----------+------------+----------+--------+--------+-----------+ +; ARCLK ; Base ; 1.000 ; 1000.0 MHz ; 0.000 ; 0.500 ; ; ; ; ; ; ; ; ; ; ; { ARCLK } ; +; C25M ; Base ; 1.000 ; 1000.0 MHz ; 0.000 ; 0.500 ; ; ; ; ; ; ; ; ; ; ; { C25M } ; +; DRCLK ; Base ; 1.000 ; 1000.0 MHz ; 0.000 ; 0.500 ; ; ; ; ; ; ; ; ; ; ; { DRCLK } ; ++------------+------+--------+------------+-------+-------+------------+-----------+-------------+-------+--------+-----------+------------+----------+--------+--------+-----------+ +-------------------------------------------------+ @@ -101,27 +109,33 @@ applicable agreement for further details. +-----------+-----------------+------------+------+ ; Fmax ; Restricted Fmax ; Clock Name ; Note ; +-----------+-----------------+------------+------+ -; 95.18 MHz ; 95.18 MHz ; C25M ; ; +; 10.0 MHz ; 10.0 MHz ; ARCLK ; ; +; 10.0 MHz ; 10.0 MHz ; DRCLK ; ; +; 94.74 MHz ; 94.74 MHz ; C25M ; ; +-----------+-----------------+------------+------+ This panel reports FMAX for every clock in the design, regardless of the user-specified clock periods. FMAX is only computed for paths where the source and destination registers or ports are driven by the same clock. Paths of different clocks, including generated clocks, are ignored. For paths between a clock and its inversion, FMAX is computed as if the rising and falling edges are scaled along with FMAX, such that the duty cycle (in terms of a percentage) is maintained. Altera recommends that you always use clock constraints and other slack reports for sign-off analysis. -+--------------------------------+ -; Setup Summary ; -+-------+--------+---------------+ -; Clock ; Slack ; End Point TNS ; -+-------+--------+---------------+ -; C25M ; -9.506 ; -540.769 ; -+-------+--------+---------------+ ++---------------------------------+ +; Setup Summary ; ++-------+---------+---------------+ +; Clock ; Slack ; End Point TNS ; ++-------+---------+---------------+ +; ARCLK ; -99.000 ; -99.000 ; +; DRCLK ; -99.000 ; -99.000 ; +; C25M ; -9.555 ; -547.115 ; ++-------+---------+---------------+ -+-------------------------------+ -; Hold Summary ; -+-------+-------+---------------+ -; Clock ; Slack ; End Point TNS ; -+-------+-------+---------------+ -; C25M ; 1.639 ; 0.000 ; -+-------+-------+---------------+ ++---------------------------------+ +; Hold Summary ; ++-------+---------+---------------+ +; Clock ; Slack ; End Point TNS ; ++-------+---------+---------------+ +; ARCLK ; -16.276 ; -16.276 ; +; DRCLK ; -16.256 ; -16.256 ; +; C25M ; 1.377 ; 0.000 ; ++-------+---------+---------------+ -------------------- @@ -136,13 +150,35 @@ No paths to report. No paths to report. -+--------------------------------+ -; Minimum Pulse Width Summary ; -+-------+--------+---------------+ -; Clock ; Slack ; End Point TNS ; -+-------+--------+---------------+ -; C25M ; -2.289 ; -2.289 ; -+-------+--------+---------------+ ++---------------------------------+ +; Minimum Pulse Width Summary ; ++-------+---------+---------------+ +; Clock ; Slack ; End Point TNS ; ++-------+---------+---------------+ +; ARCLK ; -29.500 ; -59.000 ; +; DRCLK ; -29.500 ; -59.000 ; +; C25M ; -2.289 ; -2.289 ; ++-------+---------+---------------+ + + ++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Setup: 'ARCLK' ; ++---------+---------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ +; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; ++---------+---------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ +; -99.000 ; UFM:UFM_inst|UFM_altufm_none_0ep:UFM_altufm_none_0ep_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; UFM:UFM_inst|UFM_altufm_none_0ep:UFM_altufm_none_0ep_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; ARCLK ; ARCLK ; 1.000 ; 0.000 ; 80.000 ; +; -22.724 ; ARShift ; UFM:UFM_inst|UFM_altufm_none_0ep:UFM_altufm_none_0ep_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; C25M ; ARCLK ; 1.000 ; -2.195 ; 1.529 ; ++---------+---------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ + + ++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Setup: 'DRCLK' ; ++---------+---------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ +; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; ++---------+---------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ +; -99.000 ; UFM:UFM_inst|UFM_altufm_none_0ep:UFM_altufm_none_0ep_component|wire_maxii_ufm_block1_drdout ; UFM:UFM_inst|UFM_altufm_none_0ep:UFM_altufm_none_0ep_component|wire_maxii_ufm_block1_drdout ; DRCLK ; DRCLK ; 1.000 ; 0.000 ; 80.000 ; +; -22.744 ; DRShift ; UFM:UFM_inst|UFM_altufm_none_0ep:UFM_altufm_none_0ep_component|wire_maxii_ufm_block1_drdout ; C25M ; DRCLK ; 1.000 ; -2.195 ; 1.549 ; ++---------+---------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ +------------------------------------------------------------------------------------------------------+ @@ -150,217 +186,265 @@ No paths to report. +--------+-----------+-----------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +--------+-----------+-----------+--------------+-------------+--------------+------------+------------+ -; -9.506 ; RAcur[2] ; Addr[11] ; C25M ; C25M ; 1.000 ; 0.000 ; 10.173 ; -; -9.506 ; RAcur[2] ; Addr[12] ; C25M ; C25M ; 1.000 ; 0.000 ; 10.173 ; -; -9.506 ; RAcur[2] ; Addr[14] ; C25M ; C25M ; 1.000 ; 0.000 ; 10.173 ; -; -9.475 ; RAcur[8] ; Addr[20] ; C25M ; C25M ; 1.000 ; 0.000 ; 10.142 ; -; -9.425 ; RAcur[2] ; Addr[20] ; C25M ; C25M ; 1.000 ; 0.000 ; 10.092 ; -; -9.310 ; RAcur[11] ; Addr[20] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.977 ; -; -9.290 ; RAcur[8] ; Addr[17] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.957 ; -; -9.281 ; RAcur[0] ; Addr[11] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.948 ; -; -9.281 ; RAcur[0] ; Addr[12] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.948 ; -; -9.281 ; RAcur[0] ; Addr[14] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.948 ; -; -9.247 ; RAcur[8] ; Addr[11] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.914 ; -; -9.247 ; RAcur[8] ; Addr[12] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.914 ; -; -9.247 ; RAcur[8] ; Addr[14] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.914 ; -; -9.241 ; RAcur[0] ; nRCS~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 9.908 ; -; -9.240 ; RAcur[2] ; Addr[17] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.907 ; -; -9.207 ; RAcur[2] ; Addr[8] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.874 ; -; -9.207 ; RAcur[2] ; Addr[9] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.874 ; -; -9.207 ; RAcur[8] ; nRCS~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 9.874 ; -; -9.139 ; RAcur[2] ; Addr[19] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.806 ; -; -9.125 ; RAcur[11] ; Addr[17] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.792 ; -; -9.082 ; RAcur[11] ; Addr[11] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.749 ; -; -9.082 ; RAcur[11] ; Addr[12] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.749 ; -; -9.082 ; RAcur[11] ; Addr[14] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.749 ; -; -9.069 ; RAcur[2] ; Addr[13] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.736 ; -; -9.042 ; RAcur[11] ; nRCS~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 9.709 ; -; -9.038 ; RAcur[8] ; Addr[19] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.705 ; -; -9.008 ; RAcur[0] ; Addr[16] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.675 ; -; -9.008 ; RAcur[0] ; Addr[18] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.675 ; -; -9.008 ; RAcur[0] ; Addr[21] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.675 ; -; -9.007 ; RAcur[0] ; Addr[20] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.674 ; -; -8.982 ; RAcur[0] ; Addr[8] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.649 ; -; -8.982 ; RAcur[0] ; Addr[9] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.649 ; -; -8.978 ; RAcur[8] ; Addr[2] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.645 ; -; -8.978 ; RAcur[8] ; Addr[0] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.645 ; -; -8.974 ; RAcur[8] ; Addr[16] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.641 ; -; -8.974 ; RAcur[8] ; Addr[18] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.641 ; -; -8.974 ; RAcur[8] ; Addr[21] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.641 ; -; -8.948 ; RAcur[8] ; Addr[8] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.615 ; -; -8.948 ; RAcur[8] ; Addr[9] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.615 ; -; -8.928 ; RAcur[2] ; Addr[2] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.595 ; -; -8.928 ; RAcur[2] ; Addr[0] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.595 ; -; -8.910 ; RAcur[0] ; Addr[19] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.577 ; -; -8.873 ; RAcur[11] ; Addr[19] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.540 ; -; -8.844 ; RAcur[0] ; Addr[13] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.511 ; -; -8.843 ; RAcur[0] ; Addr[0] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.510 ; -; -8.838 ; RAcur[0] ; Addr[2] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.505 ; -; -8.819 ; RAcur[2] ; Addr[18] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.486 ; -; -8.813 ; RAcur[11] ; Addr[2] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.480 ; -; -8.813 ; RAcur[11] ; Addr[0] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.480 ; -; -8.810 ; RAcur[8] ; Addr[13] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.477 ; -; -8.809 ; RAcur[11] ; Addr[16] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.476 ; -; -8.809 ; RAcur[11] ; Addr[18] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.476 ; -; -8.809 ; RAcur[11] ; Addr[21] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.476 ; -; -8.789 ; RAcur[0] ; Addr[17] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.456 ; -; -8.783 ; RAcur[11] ; Addr[8] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.450 ; -; -8.783 ; RAcur[11] ; Addr[9] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.450 ; -; -8.781 ; RAcur[9] ; Addr[20] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.448 ; -; -8.771 ; RAcur[2] ; Addr[16] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.438 ; -; -8.750 ; RAcur[0] ; Addr[22] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.417 ; -; -8.716 ; RAcur[8] ; Addr[22] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.383 ; -; -8.649 ; nWEcur ; Addr[11] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.316 ; -; -8.649 ; nWEcur ; Addr[12] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.316 ; -; -8.649 ; nWEcur ; Addr[14] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.316 ; -; -8.645 ; RAcur[11] ; Addr[13] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.312 ; -; -8.623 ; RAcur[2] ; Addr[15] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.290 ; -; -8.596 ; RAcur[9] ; Addr[17] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.263 ; -; -8.592 ; RAcur[2] ; Addr[21] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.259 ; -; -8.587 ; RAcur[8] ; Addr[3] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.254 ; -; -8.587 ; RAcur[8] ; Addr[5] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.254 ; -; -8.568 ; nWEcur ; Addr[20] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.235 ; -; -8.553 ; RAcur[9] ; Addr[11] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.220 ; -; -8.553 ; RAcur[9] ; Addr[12] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.220 ; -; -8.553 ; RAcur[9] ; Addr[14] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.220 ; -; -8.551 ; RAcur[11] ; Addr[22] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.218 ; -; -8.541 ; RAcur[2] ; Addr[22] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.208 ; -; -8.540 ; RAcur[0] ; Addr[5] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.207 ; -; -8.539 ; RAcur[0] ; Addr[3] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.206 ; -; -8.537 ; RAcur[2] ; Addr[3] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.204 ; -; -8.537 ; RAcur[2] ; Addr[5] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.204 ; -; -8.518 ; RAcur[3] ; Addr[11] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.185 ; -; -8.518 ; RAcur[3] ; Addr[12] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.185 ; -; -8.518 ; RAcur[3] ; Addr[14] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.185 ; -; -8.513 ; RAcur[9] ; nRCS~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 9.180 ; -; -8.498 ; REGEN ; Addr[11] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.165 ; -; -8.498 ; REGEN ; Addr[12] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.165 ; -; -8.498 ; REGEN ; Addr[14] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.165 ; -; -8.478 ; RAcur[3] ; nRCS~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 9.145 ; -; -8.422 ; RAcur[1] ; Addr[11] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.089 ; -; -8.422 ; RAcur[1] ; Addr[12] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.089 ; -; -8.422 ; RAcur[1] ; Addr[14] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.089 ; -; -8.422 ; RAcur[11] ; Addr[3] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.089 ; -; -8.422 ; RAcur[11] ; Addr[5] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.089 ; -; -8.383 ; nWEcur ; Addr[17] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.050 ; -; -8.366 ; RAcur[0] ; nRAS~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 9.033 ; -; -8.350 ; nWEcur ; Addr[8] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.017 ; -; -8.350 ; nWEcur ; Addr[9] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.017 ; -; -8.344 ; RAcur[9] ; Addr[19] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.011 ; -; -8.341 ; RAcur[1] ; Addr[20] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.008 ; -; -8.332 ; RAcur[8] ; nRAS~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.999 ; -; -8.296 ; Addr[11] ; Addr[14] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.963 ; +; -9.555 ; RAcur[9] ; Addr[20] ; C25M ; C25M ; 1.000 ; 0.000 ; 10.222 ; +; -9.517 ; RAcur[9] ; Addr[12] ; C25M ; C25M ; 1.000 ; 0.000 ; 10.184 ; +; -9.517 ; RAcur[9] ; Addr[11] ; C25M ; C25M ; 1.000 ; 0.000 ; 10.184 ; +; -9.517 ; RAcur[9] ; Addr[8] ; C25M ; C25M ; 1.000 ; 0.000 ; 10.184 ; +; -9.495 ; RAcur[9] ; Addr[22] ; C25M ; C25M ; 1.000 ; 0.000 ; 10.162 ; +; -9.495 ; RAcur[9] ; Addr[14] ; C25M ; C25M ; 1.000 ; 0.000 ; 10.162 ; +; -9.495 ; RAcur[9] ; Addr[13] ; C25M ; C25M ; 1.000 ; 0.000 ; 10.162 ; +; -9.495 ; RAcur[9] ; Addr[18] ; C25M ; C25M ; 1.000 ; 0.000 ; 10.162 ; +; -9.495 ; RAcur[9] ; Addr[10] ; C25M ; C25M ; 1.000 ; 0.000 ; 10.162 ; +; -9.352 ; RAcur[10] ; Addr[20] ; C25M ; C25M ; 1.000 ; 0.000 ; 10.019 ; +; -9.333 ; RAcur[9] ; Addr[16] ; C25M ; C25M ; 1.000 ; 0.000 ; 10.000 ; +; -9.314 ; RAcur[10] ; Addr[12] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.981 ; +; -9.314 ; RAcur[10] ; Addr[11] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.981 ; +; -9.314 ; RAcur[10] ; Addr[8] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.981 ; +; -9.292 ; RAcur[10] ; Addr[22] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.959 ; +; -9.292 ; RAcur[10] ; Addr[14] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.959 ; +; -9.292 ; RAcur[10] ; Addr[13] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.959 ; +; -9.292 ; RAcur[10] ; Addr[18] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.959 ; +; -9.292 ; RAcur[10] ; Addr[10] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.959 ; +; -9.246 ; RAcur[3] ; Addr[12] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.913 ; +; -9.246 ; RAcur[3] ; Addr[11] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.913 ; +; -9.246 ; RAcur[3] ; Addr[8] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.913 ; +; -9.224 ; RAcur[3] ; Addr[14] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.891 ; +; -9.224 ; RAcur[3] ; Addr[13] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.891 ; +; -9.224 ; RAcur[3] ; Addr[10] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.891 ; +; -9.185 ; RAcur[9] ; nRCS~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 9.852 ; +; -9.130 ; RAcur[10] ; Addr[16] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.797 ; +; -9.101 ; RAcur[9] ; Addr[5] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.768 ; +; -9.101 ; RAcur[9] ; Addr[4] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.768 ; +; -9.089 ; RAcur[9] ; Addr[7] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.756 ; +; -9.046 ; RAcur[9] ; Addr[19] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.713 ; +; -9.025 ; RAcur[9] ; Addr[17] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.692 ; +; -9.016 ; RAcur[3] ; Addr[16] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.683 ; +; -8.997 ; RAcur[9] ; Addr[21] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.664 ; +; -8.982 ; RAcur[10] ; nRCS~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 9.649 ; +; -8.898 ; RAcur[10] ; Addr[5] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.565 ; +; -8.898 ; RAcur[10] ; Addr[4] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.565 ; +; -8.886 ; RAcur[10] ; Addr[7] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.553 ; +; -8.869 ; RAcur[3] ; Addr[20] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.536 ; +; -8.847 ; RAcur[7] ; Addr[20] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.514 ; +; -8.843 ; RAcur[10] ; Addr[19] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.510 ; +; -8.822 ; RAcur[8] ; Addr[20] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.489 ; +; -8.822 ; RAcur[10] ; Addr[17] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.489 ; +; -8.809 ; RAcur[7] ; Addr[12] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.476 ; +; -8.809 ; RAcur[7] ; Addr[11] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.476 ; +; -8.809 ; RAcur[7] ; Addr[8] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.476 ; +; -8.794 ; RAcur[10] ; Addr[21] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.461 ; +; -8.787 ; RAcur[7] ; Addr[22] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.454 ; +; -8.787 ; RAcur[7] ; Addr[14] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.454 ; +; -8.787 ; RAcur[7] ; Addr[13] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.454 ; +; -8.787 ; RAcur[7] ; Addr[18] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.454 ; +; -8.787 ; RAcur[7] ; Addr[10] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.454 ; +; -8.784 ; RAcur[8] ; Addr[12] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.451 ; +; -8.784 ; RAcur[8] ; Addr[11] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.451 ; +; -8.784 ; RAcur[8] ; Addr[8] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.451 ; +; -8.776 ; RAcur[3] ; Addr[5] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.443 ; +; -8.776 ; RAcur[3] ; Addr[4] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.443 ; +; -8.764 ; RAcur[3] ; Addr[7] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.431 ; +; -8.762 ; RAcur[8] ; Addr[22] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.429 ; +; -8.762 ; RAcur[8] ; Addr[14] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.429 ; +; -8.762 ; RAcur[8] ; Addr[13] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.429 ; +; -8.762 ; RAcur[8] ; Addr[18] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.429 ; +; -8.762 ; RAcur[8] ; Addr[10] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.429 ; +; -8.727 ; RACr ; Addr[20] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.394 ; +; -8.709 ; LS[10] ; SS[1] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.376 ; +; -8.709 ; LS[10] ; SS[0] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.376 ; +; -8.708 ; RAcur[3] ; Addr[17] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.375 ; +; -8.702 ; RAcur[2] ; Addr[12] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.369 ; +; -8.702 ; RAcur[2] ; Addr[11] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.369 ; +; -8.702 ; RAcur[2] ; Addr[8] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.369 ; +; -8.696 ; RAcur[3] ; Addr[18] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.363 ; +; -8.689 ; RACr ; Addr[12] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.356 ; +; -8.689 ; RACr ; Addr[11] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.356 ; +; -8.689 ; RACr ; Addr[8] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.356 ; +; -8.686 ; RAcur[2] ; Addr[20] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.353 ; +; -8.680 ; RAcur[2] ; Addr[14] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.347 ; +; -8.680 ; RAcur[2] ; Addr[13] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.347 ; +; -8.680 ; RAcur[2] ; Addr[10] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.347 ; +; -8.667 ; RACr ; Addr[22] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.334 ; +; -8.667 ; RACr ; Addr[14] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.334 ; +; -8.667 ; RACr ; Addr[13] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.334 ; +; -8.667 ; RACr ; Addr[18] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.334 ; +; -8.667 ; RACr ; Addr[10] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.334 ; +; -8.657 ; LS[14] ; SS[1] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.324 ; +; -8.657 ; LS[14] ; SS[0] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.324 ; +; -8.626 ; RAcur[1] ; Addr[20] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.293 ; +; -8.626 ; RAcur[2] ; Addr[22] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.293 ; +; -8.626 ; RAcur[2] ; Addr[18] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.293 ; +; -8.625 ; RAcur[7] ; Addr[16] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.292 ; +; -8.600 ; RAcur[8] ; Addr[16] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.267 ; +; -8.566 ; RAcur[1] ; Addr[22] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.233 ; +; -8.566 ; RAcur[1] ; Addr[18] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.233 ; +; -8.550 ; Addr[15] ; Addr[20] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.217 ; +; -8.539 ; RAcur[3] ; Addr[21] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.206 ; +; -8.520 ; RAcur[3] ; Addr[19] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.187 ; +; -8.514 ; RAcur[9] ; nCAS~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 9.181 ; +; -8.505 ; RACr ; Addr[16] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.172 ; +; -8.490 ; Addr[15] ; Addr[22] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.157 ; +; -8.490 ; Addr[15] ; Addr[18] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.157 ; +; -8.477 ; RAcur[7] ; nRCS~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 9.144 ; +--------+-----------+-----------+--------------+-------------+--------------+------------+------------+ ++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Hold: 'ARCLK' ; ++---------+---------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ +; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; ++---------+---------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ +; -16.276 ; ARShift ; UFM:UFM_inst|UFM_altufm_none_0ep:UFM_altufm_none_0ep_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; C25M ; ARCLK ; 0.000 ; -2.195 ; 1.529 ; +; 60.000 ; UFM:UFM_inst|UFM_altufm_none_0ep:UFM_altufm_none_0ep_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; UFM:UFM_inst|UFM_altufm_none_0ep:UFM_altufm_none_0ep_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; ARCLK ; ARCLK ; 0.000 ; 0.000 ; 80.000 ; ++---------+---------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ + + ++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Hold: 'DRCLK' ; ++---------+---------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ +; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; ++---------+---------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ +; -16.256 ; DRShift ; UFM:UFM_inst|UFM_altufm_none_0ep:UFM_altufm_none_0ep_component|wire_maxii_ufm_block1_drdout ; C25M ; DRCLK ; 0.000 ; -2.195 ; 1.549 ; +; 60.000 ; UFM:UFM_inst|UFM_altufm_none_0ep:UFM_altufm_none_0ep_component|wire_maxii_ufm_block1_drdout ; UFM:UFM_inst|UFM_altufm_none_0ep:UFM_altufm_none_0ep_component|wire_maxii_ufm_block1_drdout ; DRCLK ; DRCLK ; 0.000 ; 0.000 ; 80.000 ; ++---------+---------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ + + +-----------------------------------------------------------------------------------------------------------+ ; Hold: 'C25M' ; +-------+--------------+--------------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +-------+--------------+--------------+--------------+-------------+--------------+------------+------------+ -; 1.639 ; RefReqd ; RefReqd ; C25M ; C25M ; 0.000 ; 0.000 ; 1.860 ; -; 1.649 ; LS[0] ; LS[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.870 ; -; 1.658 ; LS[17] ; LS[17] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.879 ; -; 1.667 ; nRESout~reg0 ; nRESout~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 1.888 ; -; 1.715 ; PS[0] ; PS[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.936 ; -; 1.947 ; IOSELr ; REGEN ; C25M ; C25M ; 0.000 ; 0.000 ; 2.168 ; -; 1.947 ; nRESr0 ; nRESr ; C25M ; C25M ; -0.500 ; 0.000 ; 1.668 ; -; 1.989 ; PS[1] ; PS[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.210 ; -; 2.015 ; PS[1] ; PS[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.236 ; +; 1.377 ; PHI0r1 ; PHI0r2 ; C25M ; C25M ; 0.000 ; 0.000 ; 1.598 ; +; 1.646 ; DEVSELr ; nSWE~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 1.867 ; +; 1.649 ; LS[17] ; LS[17] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.870 ; +; 1.668 ; RefReqd ; RefReqd ; C25M ; C25M ; 0.000 ; 0.000 ; 1.889 ; +; 1.674 ; LS[0] ; LS[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.895 ; +; 1.702 ; PS[2] ; PS[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.923 ; +; 1.705 ; PS[2] ; RCKE~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 1.926 ; +; 1.709 ; PS[0] ; PS[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.930 ; +; 1.711 ; PS[2] ; Amux[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.932 ; +; 1.889 ; nRESr0 ; nRESr ; C25M ; C25M ; -0.500 ; 0.000 ; 1.610 ; +; 1.893 ; PHI0r0 ; PHI0r1 ; C25M ; C25M ; -0.500 ; 0.000 ; 1.614 ; +; 1.922 ; IOSELr ; IOROMEN ; C25M ; C25M ; 0.000 ; 0.000 ; 2.143 ; +; 1.936 ; MOSIOE ; MOSIOE ; C25M ; C25M ; 0.000 ; 0.000 ; 2.157 ; +; 1.948 ; PS[1] ; PS[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.169 ; ; 2.108 ; LS[6] ; LS[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.329 ; -; 2.112 ; MOSIout ; WRD[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.333 ; ; 2.116 ; LS[9] ; LS[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.337 ; +; 2.117 ; LS[1] ; LS[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.338 ; ; 2.117 ; LS[16] ; LS[16] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.338 ; -; 2.117 ; LS[11] ; LS[11] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.338 ; ; 2.117 ; LS[10] ; LS[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.338 ; -; 2.117 ; MOSIOE ; MOSIOE ; C25M ; C25M ; 0.000 ; 0.000 ; 2.338 ; -; 2.126 ; LS[1] ; LS[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.347 ; -; 2.135 ; LS[8] ; LS[8] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.356 ; -; 2.149 ; IOSELr ; IOROMEN ; C25M ; C25M ; 0.000 ; 0.000 ; 2.370 ; -; 2.158 ; PS[2] ; PS[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.379 ; -; 2.164 ; IOSELr0 ; IOSELr ; C25M ; C25M ; -0.500 ; 0.000 ; 1.885 ; -; 2.172 ; PS[2] ; PS[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.393 ; -; 2.223 ; PHI0r1 ; PHI0r2 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.444 ; +; 2.117 ; LS[11] ; LS[11] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.338 ; +; 2.117 ; LS[8] ; LS[8] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.338 ; +; 2.135 ; REGEN ; REGEN ; C25M ; C25M ; 0.000 ; 0.000 ; 2.356 ; +; 2.152 ; nRESout~reg0 ; nRESout~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.373 ; +; 2.154 ; LS[0] ; FCK~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.375 ; +; 2.161 ; nRESout~reg0 ; MOSIOE ; C25M ; C25M ; 0.000 ; 0.000 ; 2.382 ; +; 2.162 ; IOSELr0 ; IOSELr ; C25M ; C25M ; -0.500 ; 0.000 ; 1.883 ; +; 2.177 ; PS[1] ; nCAS~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.398 ; +; 2.182 ; PS[0] ; nSWE~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.403 ; +; 2.221 ; LS[12] ; LS[12] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.442 ; ; 2.230 ; FCS ; FCS ; C25M ; C25M ; 0.000 ; 0.000 ; 2.451 ; -; 2.230 ; LS[4] ; LS[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.451 ; -; 2.231 ; LS[3] ; LS[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.452 ; -; 2.231 ; LS[2] ; LS[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.452 ; -; 2.231 ; LS[5] ; LS[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.452 ; ; 2.234 ; IOROMEN ; IOROMEN ; C25M ; C25M ; 0.000 ; 0.000 ; 2.455 ; -; 2.240 ; LS[7] ; LS[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.461 ; -; 2.241 ; LS[12] ; LS[12] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.462 ; -; 2.243 ; PS[0] ; Amux[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.464 ; -; 2.249 ; PS[0] ; RCKE~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.470 ; -; 2.249 ; LS[13] ; LS[13] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.470 ; -; 2.254 ; PS[0] ; nSWE~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.475 ; -; 2.260 ; LS[15] ; LS[15] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.481 ; +; 2.236 ; IOSELr ; REGEN ; C25M ; C25M ; 0.000 ; 0.000 ; 2.457 ; +; 2.239 ; LS[4] ; LS[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.460 ; +; 2.239 ; LS[5] ; LS[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.460 ; +; 2.248 ; LS[15] ; LS[15] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.469 ; +; 2.249 ; LS[7] ; LS[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.470 ; +; 2.250 ; LS[3] ; LS[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.471 ; +; 2.251 ; LS[2] ; LS[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.472 ; +; 2.261 ; LS[13] ; LS[13] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.482 ; ; 2.261 ; LS[14] ; LS[14] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.482 ; -; 2.295 ; PS[0] ; PS[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.516 ; -; 2.308 ; PS[0] ; PS[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.529 ; -; 2.321 ; MOSIOE ; WRD[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.542 ; -; 2.328 ; PS[1] ; PS[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.549 ; -; 2.381 ; nRESout~reg0 ; SDOE ; C25M ; C25M ; 0.000 ; 0.000 ; 2.602 ; -; 2.384 ; PHI0r1 ; PS[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.605 ; -; 2.386 ; nRESout~reg0 ; FCK~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.607 ; -; 2.555 ; LS[12] ; ARShift ; C25M ; C25M ; 0.000 ; 0.000 ; 2.776 ; -; 2.584 ; FCKEN ; FCK~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.805 ; -; 2.625 ; LS[0] ; FCK~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.846 ; -; 2.674 ; nRESout~reg0 ; MOSIOE ; C25M ; C25M ; 0.000 ; 0.000 ; 2.895 ; -; 2.713 ; SetLoaded ; DRShift ; C25M ; C25M ; 0.000 ; 0.000 ; 2.934 ; -; 2.715 ; PS[1] ; nCAS~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.936 ; -; 2.718 ; PS[1] ; Amux[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.939 ; -; 2.723 ; PS[1] ; RCKE~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.944 ; -; 2.726 ; PS[1] ; nSWE~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.947 ; -; 2.752 ; LS[1] ; DRCLK ; C25M ; C25M ; 0.000 ; 0.000 ; 2.973 ; -; 2.765 ; PS[2] ; nSWE~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.986 ; -; 2.770 ; PS[2] ; RCKE~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.991 ; -; 2.773 ; PS[2] ; Amux[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.994 ; -; 2.888 ; PS[0] ; Amux[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.109 ; +; 2.442 ; PS[2] ; nSWE~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.663 ; +; 2.512 ; MOSIout ; WRD[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.733 ; +; 2.547 ; PHI0r1 ; PS[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.768 ; +; 2.581 ; DEVSELr ; nCAS~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.802 ; +; 2.602 ; RACr ; Amux[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.823 ; +; 2.647 ; PS[2] ; PS[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.868 ; +; 2.647 ; PS[2] ; nRCS~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.868 ; +; 2.736 ; PS[1] ; PS[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.957 ; +; 2.738 ; PS[1] ; RCKE~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.959 ; +; 2.742 ; PS[1] ; Amux[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.963 ; +; 2.766 ; PS[0] ; nCAS~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.987 ; +; 2.811 ; SS[1] ; DRShift ; C25M ; C25M ; 0.000 ; 0.000 ; 3.032 ; +; 2.811 ; SS[1] ; ARCLK ; C25M ; C25M ; 0.000 ; 0.000 ; 3.032 ; +; 2.811 ; SS[1] ; ARShift ; C25M ; C25M ; 0.000 ; 0.000 ; 3.032 ; +; 2.816 ; SS[1] ; DRCLK ; C25M ; C25M ; 0.000 ; 0.000 ; 3.037 ; +; 2.860 ; DEVSELr0 ; DEVSELr ; C25M ; C25M ; -0.500 ; 0.000 ; 2.581 ; +; 2.917 ; SS[0] ; ARCLK ; C25M ; C25M ; 0.000 ; 0.000 ; 3.138 ; +; 2.920 ; IOSTRBr0 ; IOSTRBr ; C25M ; C25M ; -0.500 ; 0.000 ; 2.641 ; +; 2.922 ; SS[0] ; DRShift ; C25M ; C25M ; 0.000 ; 0.000 ; 3.143 ; +; 2.927 ; SS[0] ; DRCLK ; C25M ; C25M ; 0.000 ; 0.000 ; 3.148 ; ; 2.940 ; LS[6] ; LS[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.161 ; ; 2.948 ; LS[9] ; LS[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.169 ; -; 2.949 ; LS[11] ; LS[12] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.170 ; ; 2.949 ; LS[16] ; LS[17] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.170 ; +; 2.949 ; LS[11] ; LS[12] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.170 ; +; 2.949 ; LS[1] ; LS[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.170 ; ; 2.949 ; LS[10] ; LS[11] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.170 ; -; 2.958 ; LS[1] ; LS[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.179 ; -; 3.034 ; PS[2] ; Amux[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.255 ; ; 3.051 ; LS[6] ; LS[8] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.272 ; +; 3.051 ; PS[2] ; nCAS~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 3.272 ; ; 3.059 ; LS[9] ; LS[11] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.280 ; -; 3.060 ; REGEN ; REGEN ; C25M ; C25M ; 0.000 ; 0.000 ; 3.281 ; +; 3.060 ; LS[1] ; LS[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.281 ; ; 3.060 ; LS[11] ; LS[13] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.281 ; ; 3.060 ; LS[10] ; LS[12] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.281 ; -; 3.069 ; LS[1] ; LS[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.290 ; -; 3.112 ; DEVSELr0 ; DEVSELr ; C25M ; C25M ; -0.500 ; 0.000 ; 2.833 ; -; 3.128 ; Addr[5] ; Addr[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.349 ; -; 3.129 ; LS[12] ; ARCLK ; C25M ; C25M ; 0.000 ; 0.000 ; 3.350 ; -; 3.145 ; nRESout~reg0 ; FCKEN ; C25M ; C25M ; 0.000 ; 0.000 ; 3.366 ; -; 3.170 ; LS[4] ; LS[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.391 ; +; 3.075 ; LS[1] ; nRESout~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 3.296 ; +; 3.109 ; RACr ; nRAS~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 3.330 ; +; 3.127 ; Addr[4] ; Addr[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.348 ; +; 3.133 ; Addr[5] ; Addr[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.354 ; +; 3.161 ; LS[12] ; LS[13] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.382 ; ; 3.170 ; LS[9] ; LS[12] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.391 ; -; 3.171 ; LS[5] ; LS[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.392 ; ; 3.171 ; LS[10] ; LS[13] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.392 ; -; 3.171 ; LS[2] ; LS[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.392 ; -; 3.180 ; LS[7] ; LS[8] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.401 ; -; 3.181 ; LS[12] ; LS[13] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.402 ; -; 3.191 ; LS[15] ; ARShift ; C25M ; C25M ; 0.000 ; 0.000 ; 3.412 ; -; 3.192 ; PS[1] ; Amux[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.413 ; -; 3.195 ; PS[1] ; Amux[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.416 ; -; 3.200 ; LS[15] ; LS[16] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.421 ; -; 3.201 ; LS[0] ; LS[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.422 ; +; 3.175 ; PS[2] ; Amux[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.396 ; +; 3.179 ; LS[5] ; LS[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.400 ; +; 3.179 ; LS[4] ; LS[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.400 ; +; 3.188 ; LS[15] ; LS[16] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.409 ; +; 3.189 ; LS[7] ; LS[8] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.410 ; +; 3.191 ; LS[2] ; LS[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.412 ; +; 3.200 ; PS[1] ; PS[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.421 ; ; 3.201 ; LS[14] ; LS[15] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.422 ; -; 3.219 ; LS[1] ; ARCLK ; C25M ; C25M ; 0.000 ; 0.000 ; 3.440 ; -; 3.229 ; SetLoaded ; ARShift ; C25M ; C25M ; 0.000 ; 0.000 ; 3.450 ; -; 3.267 ; LS[1] ; nRESout~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 3.488 ; -; 3.281 ; LS[4] ; LS[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.502 ; +; 3.203 ; PS[1] ; nSWE~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 3.424 ; +; 3.204 ; MOSIOE ; WRD[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.425 ; +; 3.217 ; FCKEN ; FCK~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 3.438 ; +; 3.224 ; LS[0] ; LS[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.445 ; +; 3.241 ; IOSTRBr ; IOROMEN ; C25M ; C25M ; 0.000 ; 0.000 ; 3.462 ; +; 3.241 ; PS[1] ; nRCS~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 3.462 ; +; 3.243 ; IOSTRBr ; REGEN ; C25M ; C25M ; 0.000 ; 0.000 ; 3.464 ; +; 3.252 ; DEVSELr ; nRCS~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 3.473 ; +; 3.256 ; FCKEN ; FCKEN ; C25M ; C25M ; 0.000 ; 0.000 ; 3.477 ; +; 3.281 ; LS[1] ; MOSIout ; C25M ; C25M ; 0.000 ; 0.000 ; 3.502 ; +; 3.281 ; nRESr ; PS[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.502 ; ; 3.281 ; LS[9] ; LS[13] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.502 ; -; 3.282 ; LS[5] ; LS[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.503 ; -; 3.286 ; SetLoaded ; DRCLK ; C25M ; C25M ; 0.000 ; 0.000 ; 3.507 ; -; 3.290 ; LS[12] ; DRCLK ; C25M ; C25M ; 0.000 ; 0.000 ; 3.511 ; -; 3.306 ; nRESr ; PS[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.527 ; -; 3.311 ; LS[15] ; LS[17] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.532 ; -; 3.312 ; LS[14] ; LS[16] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.533 ; -; 3.324 ; LS[14] ; ARShift ; C25M ; C25M ; 0.000 ; 0.000 ; 3.545 ; +; 3.290 ; LS[5] ; LS[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.511 ; +-------+--------------+--------------+--------------+-------------+--------------+------------+------------+ ++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Minimum Pulse Width: 'ARCLK' ; ++---------+--------------+----------------+------------------+-------+------------+---------------------------------------------------------------------------------------------------------+ +; Slack ; Actual Width ; Required Width ; Type ; Clock ; Clock Edge ; Target ; ++---------+--------------+----------------+------------------+-------+------------+---------------------------------------------------------------------------------------------------------+ +; -29.500 ; 0.500 ; 30.000 ; High Pulse Width ; ARCLK ; Rise ; UFM:UFM_inst|UFM_altufm_none_0ep:UFM_altufm_none_0ep_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; +; -29.500 ; 0.500 ; 30.000 ; Low Pulse Width ; ARCLK ; Rise ; UFM:UFM_inst|UFM_altufm_none_0ep:UFM_altufm_none_0ep_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; ARCLK ; Rise ; ARCLK|regout ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; ARCLK ; Rise ; ARCLK|regout ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; ARCLK ; Rise ; UFM_inst|UFM_altufm_none_0ep_component|maxii_ufm_block1|arclk ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; ARCLK ; Rise ; UFM_inst|UFM_altufm_none_0ep_component|maxii_ufm_block1|arclk ; ++---------+--------------+----------------+------------------+-------+------------+---------------------------------------------------------------------------------------------------------+ + + ++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Minimum Pulse Width: 'DRCLK' ; ++---------+--------------+----------------+------------------+-------+------------+---------------------------------------------------------------------------------------------+ +; Slack ; Actual Width ; Required Width ; Type ; Clock ; Clock Edge ; Target ; ++---------+--------------+----------------+------------------+-------+------------+---------------------------------------------------------------------------------------------+ +; -29.500 ; 0.500 ; 30.000 ; High Pulse Width ; DRCLK ; Rise ; UFM:UFM_inst|UFM_altufm_none_0ep:UFM_altufm_none_0ep_component|wire_maxii_ufm_block1_drdout ; +; -29.500 ; 0.500 ; 30.000 ; Low Pulse Width ; DRCLK ; Rise ; UFM:UFM_inst|UFM_altufm_none_0ep:UFM_altufm_none_0ep_component|wire_maxii_ufm_block1_drdout ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; DRCLK ; Rise ; DRCLK|regout ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; DRCLK ; Rise ; DRCLK|regout ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; DRCLK ; Rise ; UFM_inst|UFM_altufm_none_0ep_component|maxii_ufm_block1|drclk ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; DRCLK ; Rise ; UFM_inst|UFM_altufm_none_0ep_component|maxii_ufm_block1|drclk ; ++---------+--------------+----------------+------------------+-------+------------+---------------------------------------------------------------------------------------------+ + + +-------------------------------------------------------------------------------------------+ ; Minimum Pulse Width: 'C25M' ; +--------+--------------+----------------+------------------+-------+------------+----------+ @@ -423,10 +507,6 @@ No paths to report. ; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; Amux[1] ; ; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; Amux[2] ; ; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; Amux[2] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; Bank[0] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; Bank[0] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; Bank[1] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; Bank[1] ; ; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; DEVSELr ; ; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; DEVSELr ; ; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Fall ; DEVSELr0 ; @@ -466,48 +546,52 @@ No paths to report. ; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; LS[15] ; ; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; LS[15] ; ; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; LS[16] ; +; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; LS[16] ; +; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; LS[17] ; +; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; LS[17] ; +; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; LS[1] ; +--------+--------------+----------------+------------------+-------+------------+----------+ -+-----------------------------------------------------------------------+ -; Setup Times ; -+-----------+------------+-------+-------+------------+-----------------+ -; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; -+-----------+------------+-------+-------+------------+-----------------+ -; MISO ; C25M ; 2.730 ; 2.730 ; Rise ; C25M ; -; RA[*] ; C25M ; 4.937 ; 4.937 ; Rise ; C25M ; -; RA[0] ; C25M ; 2.787 ; 2.787 ; Rise ; C25M ; -; RA[1] ; C25M ; 2.712 ; 2.712 ; Rise ; C25M ; -; RA[2] ; C25M ; 3.301 ; 3.301 ; Rise ; C25M ; -; RA[3] ; C25M ; 2.682 ; 2.682 ; Rise ; C25M ; -; RA[4] ; C25M ; 2.473 ; 2.473 ; Rise ; C25M ; -; RA[5] ; C25M ; 2.473 ; 2.473 ; Rise ; C25M ; -; RA[6] ; C25M ; 2.746 ; 2.746 ; Rise ; C25M ; -; RA[7] ; C25M ; 4.349 ; 4.349 ; Rise ; C25M ; -; RA[8] ; C25M ; 1.883 ; 1.883 ; Rise ; C25M ; -; RA[9] ; C25M ; 2.487 ; 2.487 ; Rise ; C25M ; -; RA[10] ; C25M ; 1.880 ; 1.880 ; Rise ; C25M ; -; RA[11] ; C25M ; 2.745 ; 2.745 ; Rise ; C25M ; -; RA[12] ; C25M ; 4.937 ; 4.937 ; Rise ; C25M ; -; RA[13] ; C25M ; 3.478 ; 3.478 ; Rise ; C25M ; -; RA[14] ; C25M ; 3.854 ; 3.854 ; Rise ; C25M ; -; RA[15] ; C25M ; 4.767 ; 4.767 ; Rise ; C25M ; -; RD[*] ; C25M ; 8.623 ; 8.623 ; Rise ; C25M ; -; RD[0] ; C25M ; 4.967 ; 4.967 ; Rise ; C25M ; -; RD[1] ; C25M ; 5.394 ; 5.394 ; Rise ; C25M ; -; RD[2] ; C25M ; 8.623 ; 8.623 ; Rise ; C25M ; -; RD[3] ; C25M ; 4.531 ; 4.531 ; Rise ; C25M ; -; RD[4] ; C25M ; 6.378 ; 6.378 ; Rise ; C25M ; -; RD[5] ; C25M ; 5.642 ; 5.642 ; Rise ; C25M ; -; RD[6] ; C25M ; 4.500 ; 4.500 ; Rise ; C25M ; -; RD[7] ; C25M ; 8.385 ; 8.385 ; Rise ; C25M ; -; nWE ; C25M ; 2.477 ; 2.477 ; Rise ; C25M ; -; PHI0 ; C25M ; 2.525 ; 2.525 ; Fall ; C25M ; -; nDEVSEL ; C25M ; 3.234 ; 3.234 ; Fall ; C25M ; -; nIOSEL ; C25M ; 2.372 ; 2.372 ; Fall ; C25M ; -; nIOSTRB ; C25M ; 3.449 ; 3.449 ; Fall ; C25M ; -; nRES ; C25M ; 2.075 ; 2.075 ; Fall ; C25M ; -+-----------+------------+-------+-------+------------+-----------------+ ++-------------------------------------------------------------------------+ +; Setup Times ; ++-----------+------------+--------+--------+------------+-----------------+ +; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; ++-----------+------------+--------+--------+------------+-----------------+ +; MISO ; C25M ; 2.718 ; 2.718 ; Rise ; C25M ; +; RA[*] ; C25M ; 4.985 ; 4.985 ; Rise ; C25M ; +; RA[0] ; C25M ; 3.094 ; 3.094 ; Rise ; C25M ; +; RA[1] ; C25M ; 2.761 ; 2.761 ; Rise ; C25M ; +; RA[2] ; C25M ; 1.816 ; 1.816 ; Rise ; C25M ; +; RA[3] ; C25M ; 3.690 ; 3.690 ; Rise ; C25M ; +; RA[4] ; C25M ; 3.968 ; 3.968 ; Rise ; C25M ; +; RA[5] ; C25M ; 1.839 ; 1.839 ; Rise ; C25M ; +; RA[6] ; C25M ; 4.985 ; 4.985 ; Rise ; C25M ; +; RA[7] ; C25M ; 2.923 ; 2.923 ; Rise ; C25M ; +; RA[8] ; C25M ; 2.713 ; 2.713 ; Rise ; C25M ; +; RA[9] ; C25M ; 2.954 ; 2.954 ; Rise ; C25M ; +; RA[10] ; C25M ; 3.104 ; 3.104 ; Rise ; C25M ; +; RA[11] ; C25M ; 3.143 ; 3.143 ; Rise ; C25M ; +; RA[12] ; C25M ; 3.138 ; 3.138 ; Rise ; C25M ; +; RA[13] ; C25M ; 2.941 ; 2.941 ; Rise ; C25M ; +; RA[14] ; C25M ; 2.693 ; 2.693 ; Rise ; C25M ; +; RA[15] ; C25M ; 2.767 ; 2.767 ; Rise ; C25M ; +; RD[*] ; C25M ; 10.732 ; 10.732 ; Rise ; C25M ; +; RD[0] ; C25M ; 5.791 ; 5.791 ; Rise ; C25M ; +; RD[1] ; C25M ; 4.956 ; 4.956 ; Rise ; C25M ; +; RD[2] ; C25M ; 5.617 ; 5.617 ; Rise ; C25M ; +; RD[3] ; C25M ; 4.619 ; 4.619 ; Rise ; C25M ; +; RD[4] ; C25M ; 5.356 ; 5.356 ; Rise ; C25M ; +; RD[5] ; C25M ; 4.875 ; 4.875 ; Rise ; C25M ; +; RD[6] ; C25M ; 5.869 ; 5.869 ; Rise ; C25M ; +; RD[7] ; C25M ; 10.732 ; 10.732 ; Rise ; C25M ; +; nWE ; C25M ; 3.867 ; 3.867 ; Rise ; C25M ; +; PHI0 ; C25M ; 1.878 ; 1.878 ; Fall ; C25M ; +; nDEVSEL ; C25M ; 2.414 ; 2.414 ; Fall ; C25M ; +; nIOSEL ; C25M ; 3.080 ; 3.080 ; Fall ; C25M ; +; nIOSTRB ; C25M ; 2.094 ; 2.094 ; Fall ; C25M ; +; nRES ; C25M ; 2.086 ; 2.086 ; Fall ; C25M ; ++-----------+------------+--------+--------+------------+-----------------+ +-------------------------------------------------------------------------+ @@ -515,39 +599,39 @@ No paths to report. +-----------+------------+--------+--------+------------+-----------------+ ; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; +-----------+------------+--------+--------+------------+-----------------+ -; MISO ; C25M ; -2.176 ; -2.176 ; Rise ; C25M ; -; RA[*] ; C25M ; -1.326 ; -1.326 ; Rise ; C25M ; -; RA[0] ; C25M ; -2.233 ; -2.233 ; Rise ; C25M ; -; RA[1] ; C25M ; -2.158 ; -2.158 ; Rise ; C25M ; -; RA[2] ; C25M ; -2.747 ; -2.747 ; Rise ; C25M ; -; RA[3] ; C25M ; -2.128 ; -2.128 ; Rise ; C25M ; -; RA[4] ; C25M ; -1.919 ; -1.919 ; Rise ; C25M ; -; RA[5] ; C25M ; -1.919 ; -1.919 ; Rise ; C25M ; -; RA[6] ; C25M ; -2.192 ; -2.192 ; Rise ; C25M ; -; RA[7] ; C25M ; -3.795 ; -3.795 ; Rise ; C25M ; -; RA[8] ; C25M ; -1.329 ; -1.329 ; Rise ; C25M ; -; RA[9] ; C25M ; -1.933 ; -1.933 ; Rise ; C25M ; -; RA[10] ; C25M ; -1.326 ; -1.326 ; Rise ; C25M ; -; RA[11] ; C25M ; -2.191 ; -2.191 ; Rise ; C25M ; -; RA[12] ; C25M ; -4.383 ; -4.383 ; Rise ; C25M ; -; RA[13] ; C25M ; -2.924 ; -2.924 ; Rise ; C25M ; -; RA[14] ; C25M ; -3.300 ; -3.300 ; Rise ; C25M ; -; RA[15] ; C25M ; -4.213 ; -4.213 ; Rise ; C25M ; -; RD[*] ; C25M ; -2.174 ; -2.174 ; Rise ; C25M ; -; RD[0] ; C25M ; -2.174 ; -2.174 ; Rise ; C25M ; -; RD[1] ; C25M ; -2.540 ; -2.540 ; Rise ; C25M ; -; RD[2] ; C25M ; -2.456 ; -2.456 ; Rise ; C25M ; -; RD[3] ; C25M ; -2.232 ; -2.232 ; Rise ; C25M ; -; RD[4] ; C25M ; -3.100 ; -3.100 ; Rise ; C25M ; -; RD[5] ; C25M ; -2.977 ; -2.977 ; Rise ; C25M ; -; RD[6] ; C25M ; -3.098 ; -3.098 ; Rise ; C25M ; -; RD[7] ; C25M ; -3.202 ; -3.202 ; Rise ; C25M ; -; nWE ; C25M ; -1.923 ; -1.923 ; Rise ; C25M ; -; PHI0 ; C25M ; -1.971 ; -1.971 ; Fall ; C25M ; -; nDEVSEL ; C25M ; -2.680 ; -2.680 ; Fall ; C25M ; -; nIOSEL ; C25M ; -1.818 ; -1.818 ; Fall ; C25M ; -; nIOSTRB ; C25M ; -2.895 ; -2.895 ; Fall ; C25M ; -; nRES ; C25M ; -1.521 ; -1.521 ; Fall ; C25M ; +; MISO ; C25M ; -2.164 ; -2.164 ; Rise ; C25M ; +; RA[*] ; C25M ; -1.262 ; -1.262 ; Rise ; C25M ; +; RA[0] ; C25M ; -2.540 ; -2.540 ; Rise ; C25M ; +; RA[1] ; C25M ; -2.207 ; -2.207 ; Rise ; C25M ; +; RA[2] ; C25M ; -1.262 ; -1.262 ; Rise ; C25M ; +; RA[3] ; C25M ; -3.136 ; -3.136 ; Rise ; C25M ; +; RA[4] ; C25M ; -3.414 ; -3.414 ; Rise ; C25M ; +; RA[5] ; C25M ; -1.285 ; -1.285 ; Rise ; C25M ; +; RA[6] ; C25M ; -4.431 ; -4.431 ; Rise ; C25M ; +; RA[7] ; C25M ; -2.369 ; -2.369 ; Rise ; C25M ; +; RA[8] ; C25M ; -2.159 ; -2.159 ; Rise ; C25M ; +; RA[9] ; C25M ; -2.400 ; -2.400 ; Rise ; C25M ; +; RA[10] ; C25M ; -2.550 ; -2.550 ; Rise ; C25M ; +; RA[11] ; C25M ; -2.589 ; -2.589 ; Rise ; C25M ; +; RA[12] ; C25M ; -2.584 ; -2.584 ; Rise ; C25M ; +; RA[13] ; C25M ; -2.387 ; -2.387 ; Rise ; C25M ; +; RA[14] ; C25M ; -2.139 ; -2.139 ; Rise ; C25M ; +; RA[15] ; C25M ; -2.213 ; -2.213 ; Rise ; C25M ; +; RD[*] ; C25M ; -2.496 ; -2.496 ; Rise ; C25M ; +; RD[0] ; C25M ; -2.763 ; -2.763 ; Rise ; C25M ; +; RD[1] ; C25M ; -2.496 ; -2.496 ; Rise ; C25M ; +; RD[2] ; C25M ; -3.534 ; -3.534 ; Rise ; C25M ; +; RD[3] ; C25M ; -3.040 ; -3.040 ; Rise ; C25M ; +; RD[4] ; C25M ; -2.714 ; -2.714 ; Rise ; C25M ; +; RD[5] ; C25M ; -3.205 ; -3.205 ; Rise ; C25M ; +; RD[6] ; C25M ; -3.000 ; -3.000 ; Rise ; C25M ; +; RD[7] ; C25M ; -3.257 ; -3.257 ; Rise ; C25M ; +; nWE ; C25M ; -3.313 ; -3.313 ; Rise ; C25M ; +; PHI0 ; C25M ; -1.324 ; -1.324 ; Fall ; C25M ; +; nDEVSEL ; C25M ; -1.860 ; -1.860 ; Fall ; C25M ; +; nIOSEL ; C25M ; -2.526 ; -2.526 ; Fall ; C25M ; +; nIOSTRB ; C25M ; -1.540 ; -1.540 ; Fall ; C25M ; +; nRES ; C25M ; -1.532 ; -1.532 ; Fall ; C25M ; +-----------+------------+--------+--------+------------+-----------------+ @@ -556,52 +640,40 @@ No paths to report. +-----------+------------+--------+--------+------------+-----------------+ ; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; +-----------+------------+--------+--------+------------+-----------------+ -; DQMH ; C25M ; 12.172 ; 12.172 ; Rise ; C25M ; -; DQML ; C25M ; 12.191 ; 12.191 ; Rise ; C25M ; -; FCK ; C25M ; 6.853 ; 6.853 ; Rise ; C25M ; -; MOSI ; C25M ; 7.987 ; 7.987 ; Rise ; C25M ; -; RCKE ; C25M ; 7.918 ; 7.918 ; Rise ; C25M ; -; RD[*] ; C25M ; 13.512 ; 13.512 ; Rise ; C25M ; -; RD[0] ; C25M ; 13.512 ; 13.512 ; Rise ; C25M ; -; RD[1] ; C25M ; 12.527 ; 12.527 ; Rise ; C25M ; -; RD[2] ; C25M ; 13.043 ; 13.043 ; Rise ; C25M ; -; RD[3] ; C25M ; 13.512 ; 13.512 ; Rise ; C25M ; -; RD[4] ; C25M ; 13.512 ; 13.512 ; Rise ; C25M ; -; RD[5] ; C25M ; 13.043 ; 13.043 ; Rise ; C25M ; -; RD[6] ; C25M ; 13.512 ; 13.512 ; Rise ; C25M ; -; RD[7] ; C25M ; 12.527 ; 12.527 ; Rise ; C25M ; -; RDdir ; C25M ; 13.043 ; 13.043 ; Rise ; C25M ; -; SA[*] ; C25M ; 16.756 ; 16.756 ; Rise ; C25M ; -; SA[0] ; C25M ; 16.756 ; 16.756 ; Rise ; C25M ; -; SA[1] ; C25M ; 13.973 ; 13.973 ; Rise ; C25M ; -; SA[2] ; C25M ; 16.541 ; 16.541 ; Rise ; C25M ; -; SA[3] ; C25M ; 15.322 ; 15.322 ; Rise ; C25M ; -; SA[4] ; C25M ; 15.597 ; 15.597 ; Rise ; C25M ; -; SA[5] ; C25M ; 13.493 ; 13.493 ; Rise ; C25M ; -; SA[6] ; C25M ; 12.412 ; 12.412 ; Rise ; C25M ; -; SA[7] ; C25M ; 13.879 ; 13.879 ; Rise ; C25M ; -; SA[8] ; C25M ; 14.066 ; 14.066 ; Rise ; C25M ; -; SA[9] ; C25M ; 12.811 ; 12.811 ; Rise ; C25M ; -; SA[10] ; C25M ; 13.571 ; 13.571 ; Rise ; C25M ; -; SA[11] ; C25M ; 13.522 ; 13.522 ; Rise ; C25M ; -; SA[12] ; C25M ; 11.810 ; 11.810 ; Rise ; C25M ; -; SBA[*] ; C25M ; 11.477 ; 11.477 ; Rise ; C25M ; -; SBA[1] ; C25M ; 11.477 ; 11.477 ; Rise ; C25M ; -; SD[*] ; C25M ; 9.110 ; 9.110 ; Rise ; C25M ; -; SD[0] ; C25M ; 8.588 ; 8.588 ; Rise ; C25M ; -; SD[1] ; C25M ; 8.588 ; 8.588 ; Rise ; C25M ; -; SD[2] ; C25M ; 8.688 ; 8.688 ; Rise ; C25M ; -; SD[3] ; C25M ; 9.110 ; 9.110 ; Rise ; C25M ; -; SD[4] ; C25M ; 8.588 ; 8.588 ; Rise ; C25M ; -; SD[5] ; C25M ; 8.688 ; 8.688 ; Rise ; C25M ; -; SD[6] ; C25M ; 6.847 ; 6.847 ; Rise ; C25M ; -; SD[7] ; C25M ; 6.848 ; 6.848 ; Rise ; C25M ; -; nCAS ; C25M ; 8.531 ; 8.531 ; Rise ; C25M ; -; nFCS ; C25M ; 6.820 ; 6.820 ; Rise ; C25M ; -; nRAS ; C25M ; 8.122 ; 8.122 ; Rise ; C25M ; -; nRCS ; C25M ; 6.813 ; 6.813 ; Rise ; C25M ; -; nRESout ; C25M ; 9.088 ; 9.088 ; Rise ; C25M ; -; nSWE ; C25M ; 7.886 ; 7.886 ; Rise ; C25M ; +; DQMH ; C25M ; 14.543 ; 14.543 ; Rise ; C25M ; +; DQML ; C25M ; 14.323 ; 14.323 ; Rise ; C25M ; +; FCK ; C25M ; 6.814 ; 6.814 ; Rise ; C25M ; +; MOSI ; C25M ; 7.979 ; 7.979 ; Rise ; C25M ; +; RCKE ; C25M ; 7.479 ; 7.479 ; Rise ; C25M ; +; RD[*] ; C25M ; 12.638 ; 12.638 ; Rise ; C25M ; +; RD[0] ; C25M ; 12.638 ; 12.638 ; Rise ; C25M ; +; RD[1] ; C25M ; 12.638 ; 12.638 ; Rise ; C25M ; +; RD[2] ; C25M ; 12.608 ; 12.608 ; Rise ; C25M ; +; RD[3] ; C25M ; 12.638 ; 12.638 ; Rise ; C25M ; +; RD[4] ; C25M ; 12.638 ; 12.638 ; Rise ; C25M ; +; RD[5] ; C25M ; 12.638 ; 12.638 ; Rise ; C25M ; +; RD[6] ; C25M ; 12.638 ; 12.638 ; Rise ; C25M ; +; RD[7] ; C25M ; 12.608 ; 12.608 ; Rise ; C25M ; +; RDdir ; C25M ; 12.022 ; 12.022 ; Rise ; C25M ; +; SA[*] ; C25M ; 11.933 ; 11.933 ; Rise ; C25M ; +; SA[12] ; C25M ; 11.933 ; 11.933 ; Rise ; C25M ; +; SBA[*] ; C25M ; 10.649 ; 10.649 ; Rise ; C25M ; +; SBA[1] ; C25M ; 10.649 ; 10.649 ; Rise ; C25M ; +; SD[*] ; C25M ; 8.298 ; 8.298 ; Rise ; C25M ; +; SD[0] ; C25M ; 8.056 ; 8.056 ; Rise ; C25M ; +; SD[1] ; C25M ; 8.298 ; 8.298 ; Rise ; C25M ; +; SD[2] ; C25M ; 8.056 ; 8.056 ; Rise ; C25M ; +; SD[3] ; C25M ; 6.880 ; 6.880 ; Rise ; C25M ; +; SD[4] ; C25M ; 8.298 ; 8.298 ; Rise ; C25M ; +; SD[5] ; C25M ; 8.298 ; 8.298 ; Rise ; C25M ; +; SD[6] ; C25M ; 6.858 ; 6.858 ; Rise ; C25M ; +; SD[7] ; C25M ; 6.854 ; 6.854 ; Rise ; C25M ; +; nCAS ; C25M ; 7.921 ; 7.921 ; Rise ; C25M ; +; nFCS ; C25M ; 8.004 ; 8.004 ; Rise ; C25M ; +; nRAS ; C25M ; 8.131 ; 8.131 ; Rise ; C25M ; +; nRCS ; C25M ; 7.921 ; 7.921 ; Rise ; C25M ; +; nRESout ; C25M ; 8.754 ; 8.754 ; Rise ; C25M ; +; nSWE ; C25M ; 7.935 ; 7.935 ; Rise ; C25M ; +-----------+------------+--------+--------+------------+-----------------+ @@ -610,52 +682,40 @@ No paths to report. +-----------+------------+--------+--------+------------+-----------------+ ; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; +-----------+------------+--------+--------+------------+-----------------+ -; DQMH ; C25M ; 9.531 ; 9.531 ; Rise ; C25M ; -; DQML ; C25M ; 9.550 ; 9.550 ; Rise ; C25M ; -; FCK ; C25M ; 6.853 ; 6.853 ; Rise ; C25M ; -; MOSI ; C25M ; 7.987 ; 7.987 ; Rise ; C25M ; -; RCKE ; C25M ; 7.918 ; 7.918 ; Rise ; C25M ; -; RD[*] ; C25M ; 9.051 ; 9.051 ; Rise ; C25M ; -; RD[0] ; C25M ; 10.036 ; 10.036 ; Rise ; C25M ; -; RD[1] ; C25M ; 9.051 ; 9.051 ; Rise ; C25M ; -; RD[2] ; C25M ; 9.567 ; 9.567 ; Rise ; C25M ; -; RD[3] ; C25M ; 10.036 ; 10.036 ; Rise ; C25M ; -; RD[4] ; C25M ; 10.036 ; 10.036 ; Rise ; C25M ; -; RD[5] ; C25M ; 9.567 ; 9.567 ; Rise ; C25M ; -; RD[6] ; C25M ; 10.036 ; 10.036 ; Rise ; C25M ; -; RD[7] ; C25M ; 9.051 ; 9.051 ; Rise ; C25M ; -; RDdir ; C25M ; 9.567 ; 9.567 ; Rise ; C25M ; -; SA[*] ; C25M ; 9.091 ; 9.091 ; Rise ; C25M ; -; SA[0] ; C25M ; 11.423 ; 11.423 ; Rise ; C25M ; -; SA[1] ; C25M ; 10.716 ; 10.716 ; Rise ; C25M ; -; SA[2] ; C25M ; 12.021 ; 12.021 ; Rise ; C25M ; -; SA[3] ; C25M ; 11.889 ; 11.889 ; Rise ; C25M ; -; SA[4] ; C25M ; 10.185 ; 10.185 ; Rise ; C25M ; -; SA[5] ; C25M ; 10.027 ; 10.027 ; Rise ; C25M ; -; SA[6] ; C25M ; 9.091 ; 9.091 ; Rise ; C25M ; -; SA[7] ; C25M ; 10.026 ; 10.026 ; Rise ; C25M ; -; SA[8] ; C25M ; 10.534 ; 10.534 ; Rise ; C25M ; -; SA[9] ; C25M ; 9.380 ; 9.380 ; Rise ; C25M ; -; SA[10] ; C25M ; 10.249 ; 10.249 ; Rise ; C25M ; -; SA[11] ; C25M ; 10.200 ; 10.200 ; Rise ; C25M ; -; SA[12] ; C25M ; 10.171 ; 10.171 ; Rise ; C25M ; -; SBA[*] ; C25M ; 10.707 ; 10.707 ; Rise ; C25M ; -; SBA[1] ; C25M ; 10.707 ; 10.707 ; Rise ; C25M ; -; SD[*] ; C25M ; 6.847 ; 6.847 ; Rise ; C25M ; -; SD[0] ; C25M ; 8.588 ; 8.588 ; Rise ; C25M ; -; SD[1] ; C25M ; 8.588 ; 8.588 ; Rise ; C25M ; -; SD[2] ; C25M ; 8.688 ; 8.688 ; Rise ; C25M ; -; SD[3] ; C25M ; 9.110 ; 9.110 ; Rise ; C25M ; -; SD[4] ; C25M ; 8.588 ; 8.588 ; Rise ; C25M ; -; SD[5] ; C25M ; 8.688 ; 8.688 ; Rise ; C25M ; -; SD[6] ; C25M ; 6.847 ; 6.847 ; Rise ; C25M ; -; SD[7] ; C25M ; 6.848 ; 6.848 ; Rise ; C25M ; -; nCAS ; C25M ; 8.531 ; 8.531 ; Rise ; C25M ; -; nFCS ; C25M ; 6.820 ; 6.820 ; Rise ; C25M ; -; nRAS ; C25M ; 8.122 ; 8.122 ; Rise ; C25M ; -; nRCS ; C25M ; 6.813 ; 6.813 ; Rise ; C25M ; -; nRESout ; C25M ; 9.088 ; 9.088 ; Rise ; C25M ; -; nSWE ; C25M ; 7.886 ; 7.886 ; Rise ; C25M ; +; DQMH ; C25M ; 10.913 ; 10.913 ; Rise ; C25M ; +; DQML ; C25M ; 10.693 ; 10.693 ; Rise ; C25M ; +; FCK ; C25M ; 6.814 ; 6.814 ; Rise ; C25M ; +; MOSI ; C25M ; 7.979 ; 7.979 ; Rise ; C25M ; +; RCKE ; C25M ; 7.479 ; 7.479 ; Rise ; C25M ; +; RD[*] ; C25M ; 8.939 ; 8.939 ; Rise ; C25M ; +; RD[0] ; C25M ; 8.969 ; 8.969 ; Rise ; C25M ; +; RD[1] ; C25M ; 8.969 ; 8.969 ; Rise ; C25M ; +; RD[2] ; C25M ; 8.939 ; 8.939 ; Rise ; C25M ; +; RD[3] ; C25M ; 8.969 ; 8.969 ; Rise ; C25M ; +; RD[4] ; C25M ; 8.969 ; 8.969 ; Rise ; C25M ; +; RD[5] ; C25M ; 8.969 ; 8.969 ; Rise ; C25M ; +; RD[6] ; C25M ; 8.969 ; 8.969 ; Rise ; C25M ; +; RD[7] ; C25M ; 8.939 ; 8.939 ; Rise ; C25M ; +; RDdir ; C25M ; 8.353 ; 8.353 ; Rise ; C25M ; +; SA[*] ; C25M ; 9.725 ; 9.725 ; Rise ; C25M ; +; SA[12] ; C25M ; 9.725 ; 9.725 ; Rise ; C25M ; +; SBA[*] ; C25M ; 10.149 ; 10.149 ; Rise ; C25M ; +; SBA[1] ; C25M ; 10.149 ; 10.149 ; Rise ; C25M ; +; SD[*] ; C25M ; 6.854 ; 6.854 ; Rise ; C25M ; +; SD[0] ; C25M ; 8.056 ; 8.056 ; Rise ; C25M ; +; SD[1] ; C25M ; 8.298 ; 8.298 ; Rise ; C25M ; +; SD[2] ; C25M ; 8.056 ; 8.056 ; Rise ; C25M ; +; SD[3] ; C25M ; 6.880 ; 6.880 ; Rise ; C25M ; +; SD[4] ; C25M ; 8.298 ; 8.298 ; Rise ; C25M ; +; SD[5] ; C25M ; 8.298 ; 8.298 ; Rise ; C25M ; +; SD[6] ; C25M ; 6.858 ; 6.858 ; Rise ; C25M ; +; SD[7] ; C25M ; 6.854 ; 6.854 ; Rise ; C25M ; +; nCAS ; C25M ; 7.921 ; 7.921 ; Rise ; C25M ; +; nFCS ; C25M ; 8.004 ; 8.004 ; Rise ; C25M ; +; nRAS ; C25M ; 8.131 ; 8.131 ; Rise ; C25M ; +; nRCS ; C25M ; 7.921 ; 7.921 ; Rise ; C25M ; +; nRESout ; C25M ; 8.754 ; 8.754 ; Rise ; C25M ; +; nSWE ; C25M ; 7.935 ; 7.935 ; Rise ; C25M ; +-----------+------------+--------+--------+------------+-----------------+ @@ -664,51 +724,51 @@ No paths to report. +------------+-------------+--------+----+----+--------+ ; Input Port ; Output Port ; RR ; RF ; FR ; FF ; +------------+-------------+--------+----+----+--------+ -; PHI0 ; RD[0] ; 14.757 ; ; ; 14.757 ; -; PHI0 ; RD[1] ; 13.772 ; ; ; 13.772 ; -; PHI0 ; RD[2] ; 14.288 ; ; ; 14.288 ; -; PHI0 ; RD[3] ; 14.757 ; ; ; 14.757 ; -; PHI0 ; RD[4] ; 14.757 ; ; ; 14.757 ; -; PHI0 ; RD[5] ; 14.288 ; ; ; 14.288 ; -; PHI0 ; RD[6] ; 14.757 ; ; ; 14.757 ; -; PHI0 ; RD[7] ; 13.772 ; ; ; 13.772 ; -; PHI0 ; RDdir ; 14.288 ; ; ; 14.288 ; -; nDEVSEL ; RD[0] ; 13.880 ; ; ; 13.880 ; -; nDEVSEL ; RD[1] ; 12.895 ; ; ; 12.895 ; -; nDEVSEL ; RD[2] ; 13.411 ; ; ; 13.411 ; -; nDEVSEL ; RD[3] ; 13.880 ; ; ; 13.880 ; -; nDEVSEL ; RD[4] ; 13.880 ; ; ; 13.880 ; -; nDEVSEL ; RD[5] ; 13.411 ; ; ; 13.411 ; -; nDEVSEL ; RD[6] ; 13.880 ; ; ; 13.880 ; -; nDEVSEL ; RD[7] ; 12.895 ; ; ; 12.895 ; -; nDEVSEL ; RDdir ; 13.411 ; ; ; 13.411 ; -; nIOSEL ; RD[0] ; 11.646 ; ; ; 11.646 ; -; nIOSEL ; RD[1] ; 10.661 ; ; ; 10.661 ; -; nIOSEL ; RD[2] ; 11.177 ; ; ; 11.177 ; -; nIOSEL ; RD[3] ; 11.646 ; ; ; 11.646 ; -; nIOSEL ; RD[4] ; 11.646 ; ; ; 11.646 ; -; nIOSEL ; RD[5] ; 11.177 ; ; ; 11.177 ; -; nIOSEL ; RD[6] ; 11.646 ; ; ; 11.646 ; -; nIOSEL ; RD[7] ; 10.661 ; ; ; 10.661 ; -; nIOSEL ; RDdir ; 11.177 ; ; ; 11.177 ; -; nIOSTRB ; RD[0] ; 12.844 ; ; ; 12.844 ; -; nIOSTRB ; RD[1] ; 11.859 ; ; ; 11.859 ; -; nIOSTRB ; RD[2] ; 12.375 ; ; ; 12.375 ; -; nIOSTRB ; RD[3] ; 12.844 ; ; ; 12.844 ; -; nIOSTRB ; RD[4] ; 12.844 ; ; ; 12.844 ; -; nIOSTRB ; RD[5] ; 12.375 ; ; ; 12.375 ; -; nIOSTRB ; RD[6] ; 12.844 ; ; ; 12.844 ; -; nIOSTRB ; RD[7] ; 11.859 ; ; ; 11.859 ; -; nIOSTRB ; RDdir ; 12.375 ; ; ; 12.375 ; -; nWE ; RD[0] ; 13.939 ; ; ; 13.939 ; -; nWE ; RD[1] ; 12.954 ; ; ; 12.954 ; -; nWE ; RD[2] ; 13.470 ; ; ; 13.470 ; -; nWE ; RD[3] ; 13.939 ; ; ; 13.939 ; -; nWE ; RD[4] ; 13.939 ; ; ; 13.939 ; -; nWE ; RD[5] ; 13.470 ; ; ; 13.470 ; -; nWE ; RD[6] ; 13.939 ; ; ; 13.939 ; -; nWE ; RD[7] ; 12.954 ; ; ; 12.954 ; -; nWE ; RDdir ; 13.470 ; ; ; 13.470 ; +; PHI0 ; RD[0] ; 10.726 ; ; ; 10.726 ; +; PHI0 ; RD[1] ; 10.726 ; ; ; 10.726 ; +; PHI0 ; RD[2] ; 10.696 ; ; ; 10.696 ; +; PHI0 ; RD[3] ; 10.726 ; ; ; 10.726 ; +; PHI0 ; RD[4] ; 10.726 ; ; ; 10.726 ; +; PHI0 ; RD[5] ; 10.726 ; ; ; 10.726 ; +; PHI0 ; RD[6] ; 10.726 ; ; ; 10.726 ; +; PHI0 ; RD[7] ; 10.696 ; ; ; 10.696 ; +; PHI0 ; RDdir ; 10.110 ; ; ; 10.110 ; +; nDEVSEL ; RD[0] ; 12.243 ; ; ; 12.243 ; +; nDEVSEL ; RD[1] ; 12.243 ; ; ; 12.243 ; +; nDEVSEL ; RD[2] ; 12.213 ; ; ; 12.213 ; +; nDEVSEL ; RD[3] ; 12.243 ; ; ; 12.243 ; +; nDEVSEL ; RD[4] ; 12.243 ; ; ; 12.243 ; +; nDEVSEL ; RD[5] ; 12.243 ; ; ; 12.243 ; +; nDEVSEL ; RD[6] ; 12.243 ; ; ; 12.243 ; +; nDEVSEL ; RD[7] ; 12.213 ; ; ; 12.213 ; +; nDEVSEL ; RDdir ; 11.627 ; ; ; 11.627 ; +; nIOSEL ; RD[0] ; 10.082 ; ; ; 10.082 ; +; nIOSEL ; RD[1] ; 10.082 ; ; ; 10.082 ; +; nIOSEL ; RD[2] ; 10.052 ; ; ; 10.052 ; +; nIOSEL ; RD[3] ; 10.082 ; ; ; 10.082 ; +; nIOSEL ; RD[4] ; 10.082 ; ; ; 10.082 ; +; nIOSEL ; RD[5] ; 10.082 ; ; ; 10.082 ; +; nIOSEL ; RD[6] ; 10.082 ; ; ; 10.082 ; +; nIOSEL ; RD[7] ; 10.052 ; ; ; 10.052 ; +; nIOSEL ; RDdir ; 9.466 ; ; ; 9.466 ; +; nIOSTRB ; RD[0] ; 11.819 ; ; ; 11.819 ; +; nIOSTRB ; RD[1] ; 11.819 ; ; ; 11.819 ; +; nIOSTRB ; RD[2] ; 11.789 ; ; ; 11.789 ; +; nIOSTRB ; RD[3] ; 11.819 ; ; ; 11.819 ; +; nIOSTRB ; RD[4] ; 11.819 ; ; ; 11.819 ; +; nIOSTRB ; RD[5] ; 11.819 ; ; ; 11.819 ; +; nIOSTRB ; RD[6] ; 11.819 ; ; ; 11.819 ; +; nIOSTRB ; RD[7] ; 11.789 ; ; ; 11.789 ; +; nIOSTRB ; RDdir ; 11.203 ; ; ; 11.203 ; +; nWE ; RD[0] ; 10.429 ; ; ; 10.429 ; +; nWE ; RD[1] ; 10.429 ; ; ; 10.429 ; +; nWE ; RD[2] ; 10.399 ; ; ; 10.399 ; +; nWE ; RD[3] ; 10.429 ; ; ; 10.429 ; +; nWE ; RD[4] ; 10.429 ; ; ; 10.429 ; +; nWE ; RD[5] ; 10.429 ; ; ; 10.429 ; +; nWE ; RD[6] ; 10.429 ; ; ; 10.429 ; +; nWE ; RD[7] ; 10.399 ; ; ; 10.399 ; +; nWE ; RDdir ; 9.813 ; ; ; 9.813 ; +------------+-------------+--------+----+----+--------+ @@ -717,51 +777,51 @@ No paths to report. +------------+-------------+--------+----+----+--------+ ; Input Port ; Output Port ; RR ; RF ; FR ; FF ; +------------+-------------+--------+----+----+--------+ -; PHI0 ; RD[0] ; 14.757 ; ; ; 14.757 ; -; PHI0 ; RD[1] ; 13.772 ; ; ; 13.772 ; -; PHI0 ; RD[2] ; 14.288 ; ; ; 14.288 ; -; PHI0 ; RD[3] ; 14.757 ; ; ; 14.757 ; -; PHI0 ; RD[4] ; 14.757 ; ; ; 14.757 ; -; PHI0 ; RD[5] ; 14.288 ; ; ; 14.288 ; -; PHI0 ; RD[6] ; 14.757 ; ; ; 14.757 ; -; PHI0 ; RD[7] ; 13.772 ; ; ; 13.772 ; -; PHI0 ; RDdir ; 14.288 ; ; ; 14.288 ; -; nDEVSEL ; RD[0] ; 13.880 ; ; ; 13.880 ; -; nDEVSEL ; RD[1] ; 12.895 ; ; ; 12.895 ; -; nDEVSEL ; RD[2] ; 13.411 ; ; ; 13.411 ; -; nDEVSEL ; RD[3] ; 13.880 ; ; ; 13.880 ; -; nDEVSEL ; RD[4] ; 13.880 ; ; ; 13.880 ; -; nDEVSEL ; RD[5] ; 13.411 ; ; ; 13.411 ; -; nDEVSEL ; RD[6] ; 13.880 ; ; ; 13.880 ; -; nDEVSEL ; RD[7] ; 12.895 ; ; ; 12.895 ; -; nDEVSEL ; RDdir ; 13.411 ; ; ; 13.411 ; -; nIOSEL ; RD[0] ; 11.646 ; ; ; 11.646 ; -; nIOSEL ; RD[1] ; 10.661 ; ; ; 10.661 ; -; nIOSEL ; RD[2] ; 11.177 ; ; ; 11.177 ; -; nIOSEL ; RD[3] ; 11.646 ; ; ; 11.646 ; -; nIOSEL ; RD[4] ; 11.646 ; ; ; 11.646 ; -; nIOSEL ; RD[5] ; 11.177 ; ; ; 11.177 ; -; nIOSEL ; RD[6] ; 11.646 ; ; ; 11.646 ; -; nIOSEL ; RD[7] ; 10.661 ; ; ; 10.661 ; -; nIOSEL ; RDdir ; 11.177 ; ; ; 11.177 ; -; nIOSTRB ; RD[0] ; 12.844 ; ; ; 12.844 ; -; nIOSTRB ; RD[1] ; 11.859 ; ; ; 11.859 ; -; nIOSTRB ; RD[2] ; 12.375 ; ; ; 12.375 ; -; nIOSTRB ; RD[3] ; 12.844 ; ; ; 12.844 ; -; nIOSTRB ; RD[4] ; 12.844 ; ; ; 12.844 ; -; nIOSTRB ; RD[5] ; 12.375 ; ; ; 12.375 ; -; nIOSTRB ; RD[6] ; 12.844 ; ; ; 12.844 ; -; nIOSTRB ; RD[7] ; 11.859 ; ; ; 11.859 ; -; nIOSTRB ; RDdir ; 12.375 ; ; ; 12.375 ; -; nWE ; RD[0] ; 13.939 ; ; ; 13.939 ; -; nWE ; RD[1] ; 12.954 ; ; ; 12.954 ; -; nWE ; RD[2] ; 13.470 ; ; ; 13.470 ; -; nWE ; RD[3] ; 13.939 ; ; ; 13.939 ; -; nWE ; RD[4] ; 13.939 ; ; ; 13.939 ; -; nWE ; RD[5] ; 13.470 ; ; ; 13.470 ; -; nWE ; RD[6] ; 13.939 ; ; ; 13.939 ; -; nWE ; RD[7] ; 12.954 ; ; ; 12.954 ; -; nWE ; RDdir ; 13.470 ; ; ; 13.470 ; +; PHI0 ; RD[0] ; 10.726 ; ; ; 10.726 ; +; PHI0 ; RD[1] ; 10.726 ; ; ; 10.726 ; +; PHI0 ; RD[2] ; 10.696 ; ; ; 10.696 ; +; PHI0 ; RD[3] ; 10.726 ; ; ; 10.726 ; +; PHI0 ; RD[4] ; 10.726 ; ; ; 10.726 ; +; PHI0 ; RD[5] ; 10.726 ; ; ; 10.726 ; +; PHI0 ; RD[6] ; 10.726 ; ; ; 10.726 ; +; PHI0 ; RD[7] ; 10.696 ; ; ; 10.696 ; +; PHI0 ; RDdir ; 10.110 ; ; ; 10.110 ; +; nDEVSEL ; RD[0] ; 12.243 ; ; ; 12.243 ; +; nDEVSEL ; RD[1] ; 12.243 ; ; ; 12.243 ; +; nDEVSEL ; RD[2] ; 12.213 ; ; ; 12.213 ; +; nDEVSEL ; RD[3] ; 12.243 ; ; ; 12.243 ; +; nDEVSEL ; RD[4] ; 12.243 ; ; ; 12.243 ; +; nDEVSEL ; RD[5] ; 12.243 ; ; ; 12.243 ; +; nDEVSEL ; RD[6] ; 12.243 ; ; ; 12.243 ; +; nDEVSEL ; RD[7] ; 12.213 ; ; ; 12.213 ; +; nDEVSEL ; RDdir ; 11.627 ; ; ; 11.627 ; +; nIOSEL ; RD[0] ; 10.082 ; ; ; 10.082 ; +; nIOSEL ; RD[1] ; 10.082 ; ; ; 10.082 ; +; nIOSEL ; RD[2] ; 10.052 ; ; ; 10.052 ; +; nIOSEL ; RD[3] ; 10.082 ; ; ; 10.082 ; +; nIOSEL ; RD[4] ; 10.082 ; ; ; 10.082 ; +; nIOSEL ; RD[5] ; 10.082 ; ; ; 10.082 ; +; nIOSEL ; RD[6] ; 10.082 ; ; ; 10.082 ; +; nIOSEL ; RD[7] ; 10.052 ; ; ; 10.052 ; +; nIOSEL ; RDdir ; 9.466 ; ; ; 9.466 ; +; nIOSTRB ; RD[0] ; 11.819 ; ; ; 11.819 ; +; nIOSTRB ; RD[1] ; 11.819 ; ; ; 11.819 ; +; nIOSTRB ; RD[2] ; 11.789 ; ; ; 11.789 ; +; nIOSTRB ; RD[3] ; 11.819 ; ; ; 11.819 ; +; nIOSTRB ; RD[4] ; 11.819 ; ; ; 11.819 ; +; nIOSTRB ; RD[5] ; 11.819 ; ; ; 11.819 ; +; nIOSTRB ; RD[6] ; 11.819 ; ; ; 11.819 ; +; nIOSTRB ; RD[7] ; 11.789 ; ; ; 11.789 ; +; nIOSTRB ; RDdir ; 11.203 ; ; ; 11.203 ; +; nWE ; RD[0] ; 10.429 ; ; ; 10.429 ; +; nWE ; RD[1] ; 10.429 ; ; ; 10.429 ; +; nWE ; RD[2] ; 10.399 ; ; ; 10.399 ; +; nWE ; RD[3] ; 10.429 ; ; ; 10.429 ; +; nWE ; RD[4] ; 10.429 ; ; ; 10.429 ; +; nWE ; RD[5] ; 10.429 ; ; ; 10.429 ; +; nWE ; RD[6] ; 10.429 ; ; ; 10.429 ; +; nWE ; RD[7] ; 10.399 ; ; ; 10.399 ; +; nWE ; RDdir ; 9.813 ; ; ; 9.813 ; +------------+-------------+--------+----+----+--------+ @@ -770,10 +830,10 @@ No paths to report. +-----------+------------+-------+------+------------+-----------------+ ; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; +-----------+------------+-------+------+------------+-----------------+ -; MOSI ; C25M ; 6.777 ; ; Rise ; C25M ; -; SD[*] ; C25M ; 6.957 ; ; Rise ; C25M ; -; SD[6] ; C25M ; 6.957 ; ; Rise ; C25M ; -; SD[7] ; C25M ; 6.957 ; ; Rise ; C25M ; +; MOSI ; C25M ; 7.526 ; ; Rise ; C25M ; +; SD[*] ; C25M ; 6.349 ; ; Rise ; C25M ; +; SD[6] ; C25M ; 6.349 ; ; Rise ; C25M ; +; SD[7] ; C25M ; 6.349 ; ; Rise ; C25M ; +-----------+------------+-------+------+------------+-----------------+ @@ -782,10 +842,10 @@ No paths to report. +-----------+------------+-------+------+------------+-----------------+ ; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; +-----------+------------+-------+------+------------+-----------------+ -; MOSI ; C25M ; 6.777 ; ; Rise ; C25M ; -; SD[*] ; C25M ; 6.957 ; ; Rise ; C25M ; -; SD[6] ; C25M ; 6.957 ; ; Rise ; C25M ; -; SD[7] ; C25M ; 6.957 ; ; Rise ; C25M ; +; MOSI ; C25M ; 7.526 ; ; Rise ; C25M ; +; SD[*] ; C25M ; 6.349 ; ; Rise ; C25M ; +; SD[6] ; C25M ; 6.349 ; ; Rise ; C25M ; +; SD[7] ; C25M ; 6.349 ; ; Rise ; C25M ; +-----------+------------+-------+------+------------+-----------------+ @@ -794,10 +854,10 @@ No paths to report. +-----------+------------+-----------+-----------+------------+-----------------+ ; Data Port ; Clock Port ; 0 to Hi-Z ; 1 to Hi-Z ; Clock Edge ; Clock Reference ; +-----------+------------+-----------+-----------+------------+-----------------+ -; MOSI ; C25M ; 6.777 ; ; Rise ; C25M ; -; SD[*] ; C25M ; 6.957 ; ; Rise ; C25M ; -; SD[6] ; C25M ; 6.957 ; ; Rise ; C25M ; -; SD[7] ; C25M ; 6.957 ; ; Rise ; C25M ; +; MOSI ; C25M ; 7.526 ; ; Rise ; C25M ; +; SD[*] ; C25M ; 6.349 ; ; Rise ; C25M ; +; SD[6] ; C25M ; 6.349 ; ; Rise ; C25M ; +; SD[7] ; C25M ; 6.349 ; ; Rise ; C25M ; +-----------+------------+-----------+-----------+------------+-----------------+ @@ -806,10 +866,10 @@ No paths to report. +-----------+------------+-----------+-----------+------------+-----------------+ ; Data Port ; Clock Port ; 0 to Hi-Z ; 1 to Hi-Z ; Clock Edge ; Clock Reference ; +-----------+------------+-----------+-----------+------------+-----------------+ -; MOSI ; C25M ; 6.777 ; ; Rise ; C25M ; -; SD[*] ; C25M ; 6.957 ; ; Rise ; C25M ; -; SD[6] ; C25M ; 6.957 ; ; Rise ; C25M ; -; SD[7] ; C25M ; 6.957 ; ; Rise ; C25M ; +; MOSI ; C25M ; 7.526 ; ; Rise ; C25M ; +; SD[*] ; C25M ; 6.349 ; ; Rise ; C25M ; +; SD[6] ; C25M ; 6.349 ; ; Rise ; C25M ; +; SD[7] ; C25M ; 6.349 ; ; Rise ; C25M ; +-----------+------------+-----------+-----------+------------+-----------------+ @@ -818,7 +878,12 @@ No paths to report. +------------+----------+----------+----------+----------+----------+ ; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ; +------------+----------+----------+----------+----------+----------+ -; C25M ; C25M ; 2664 ; 5 ; 0 ; 0 ; +; ARCLK ; ARCLK ; 1 ; 0 ; 0 ; 0 ; +; C25M ; ARCLK ; 1 ; 0 ; 0 ; 0 ; +; C25M ; C25M ; 2727 ; 5 ; 0 ; 0 ; +; DRCLK ; C25M ; 2 ; 0 ; 0 ; 0 ; +; C25M ; DRCLK ; 1 ; 0 ; 0 ; 0 ; +; DRCLK ; DRCLK ; 1 ; 0 ; 0 ; 0 ; +------------+----------+----------+----------+----------+----------+ Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported. @@ -828,7 +893,12 @@ Entries labeled "false path" only account for clock-to-clock false paths and not +------------+----------+----------+----------+----------+----------+ ; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ; +------------+----------+----------+----------+----------+----------+ -; C25M ; C25M ; 2664 ; 5 ; 0 ; 0 ; +; ARCLK ; ARCLK ; 1 ; 0 ; 0 ; 0 ; +; C25M ; ARCLK ; 1 ; 0 ; 0 ; 0 ; +; C25M ; C25M ; 2727 ; 5 ; 0 ; 0 ; +; DRCLK ; C25M ; 2 ; 0 ; 0 ; 0 ; +; C25M ; DRCLK ; 1 ; 0 ; 0 ; 0 ; +; DRCLK ; DRCLK ; 1 ; 0 ; 0 ; 0 ; +------------+----------+----------+----------+----------+----------+ Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported. @@ -853,9 +923,9 @@ No dedicated SERDES Receiver circuitry present in device or used in design ; Illegal Clocks ; 0 ; 0 ; ; Unconstrained Clocks ; 0 ; 0 ; ; Unconstrained Input Ports ; 31 ; 31 ; -; Unconstrained Input Port Paths ; 107 ; 107 ; -; Unconstrained Output Ports ; 42 ; 42 ; -; Unconstrained Output Port Paths ; 181 ; 181 ; +; Unconstrained Input Port Paths ; 105 ; 105 ; +; Unconstrained Output Ports ; 30 ; 30 ; +; Unconstrained Output Port Paths ; 110 ; 110 ; +---------------------------------+-------+------+ @@ -865,7 +935,7 @@ No dedicated SERDES Receiver circuitry present in device or used in design Info: ******************************************************************* Info: Running Quartus II 32-bit TimeQuest Timing Analyzer Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition - Info: Processing started: Fri Mar 19 07:33:17 2021 + Info: Processing started: Fri Mar 19 16:35:33 2021 Info: Command: quartus_sta GR8RAM -c GR8RAM Info: qsta_default_script.tcl version: #1 Info (20030): Parallel compilation is enabled and will use 2 of the 2 processors detected @@ -876,30 +946,38 @@ Info (306005): Delay annotation completed successfully Critical Warning (332012): Synopsys Design Constraints File file not found: 'GR8RAM.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design. Info (332142): No user constrained base clocks found in the design. Calling "derive_clocks -period 1.0" Info (332105): Deriving Clocks + Info (332105): create_clock -period 1.000 -name DRCLK DRCLK + Info (332105): create_clock -period 1.000 -name ARCLK ARCLK Info (332105): create_clock -period 1.000 -name C25M C25M Info: Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON Critical Warning (332148): Timing requirements not met -Info (332146): Worst-case setup slack is -9.506 +Info (332146): Worst-case setup slack is -99.000 Info (332119): Slack End Point TNS Clock Info (332119): ========= ============= ===================== - Info (332119): -9.506 -540.769 C25M -Info (332146): Worst-case hold slack is 1.639 + Info (332119): -99.000 -99.000 ARCLK + Info (332119): -99.000 -99.000 DRCLK + Info (332119): -9.555 -547.115 C25M +Info (332146): Worst-case hold slack is -16.276 Info (332119): Slack End Point TNS Clock Info (332119): ========= ============= ===================== - Info (332119): 1.639 0.000 C25M + Info (332119): -16.276 -16.276 ARCLK + Info (332119): -16.256 -16.256 DRCLK + Info (332119): 1.377 0.000 C25M Info (332140): No Recovery paths to report Info (332140): No Removal paths to report -Info (332146): Worst-case minimum pulse width slack is -2.289 +Info (332146): Worst-case minimum pulse width slack is -29.500 Info (332119): Slack End Point TNS Clock Info (332119): ========= ============= ===================== + Info (332119): -29.500 -59.000 ARCLK + Info (332119): -29.500 -59.000 DRCLK Info (332119): -2.289 -2.289 C25M Info (332001): The selected device family is not supported by the report_metastability command. Info (332102): Design is not fully constrained for setup requirements Info (332102): Design is not fully constrained for hold requirements Info: Quartus II 32-bit TimeQuest Timing Analyzer was successful. 0 errors, 2 warnings Info: Peak virtual memory: 275 megabytes - Info: Processing ended: Fri Mar 19 07:33:22 2021 + Info: Processing ended: Fri Mar 19 16:35:38 2021 Info: Elapsed time: 00:00:05 - Info: Total CPU time (on all processors): 00:00:05 + Info: Total CPU time (on all processors): 00:00:04 diff --git a/cpld/output_files/GR8RAM.sta.summary b/cpld/output_files/GR8RAM.sta.summary index 1567b0c..0e8de0b 100755 --- a/cpld/output_files/GR8RAM.sta.summary +++ b/cpld/output_files/GR8RAM.sta.summary @@ -2,14 +2,38 @@ TimeQuest Timing Analyzer Summary ------------------------------------------------------------ +Type : Setup 'ARCLK' +Slack : -99.000 +TNS : -99.000 + +Type : Setup 'DRCLK' +Slack : -99.000 +TNS : -99.000 + Type : Setup 'C25M' -Slack : -9.506 -TNS : -540.769 +Slack : -9.555 +TNS : -547.115 + +Type : Hold 'ARCLK' +Slack : -16.276 +TNS : -16.276 + +Type : Hold 'DRCLK' +Slack : -16.256 +TNS : -16.256 Type : Hold 'C25M' -Slack : 1.639 +Slack : 1.377 TNS : 0.000 +Type : Minimum Pulse Width 'ARCLK' +Slack : -29.500 +TNS : -59.000 + +Type : Minimum Pulse Width 'DRCLK' +Slack : -29.500 +TNS : -59.000 + Type : Minimum Pulse Width 'C25M' Slack : -2.289 TNS : -2.289

Y39idFb4NJtJ#a-8(^iG8Z-XBdlHWruWLeNwSzm*JJ%?m6@~0ukeQK19f*jc~?n< zTRonw`rwb&0n7@zPoQ5EhMB>ttl5^gTzaX{r^D?hJ?$sM?TZ1tMz551m4IX8Bc@SD zO8kw+lC``o8XeyIdSzTa+KNR=z&m`StrPw$)k1KU%apQ@E)yx-&jaVy&1&fuUhyR37;DmRPWOXPc6< zaJr@BZjCWEUZ0mf-}p)2Di2psZZ^)?e?o&zdaoqq_qA#Saf5|48z6jGmO^>03Rd=@ zmzacZ_??n-qXtIzpr%$20CEtceZ7r#0%cK8$;6WSOGigo#=~2T(8JXQg8LL!cZ1-q^ zB`YO#WZt9m)st#t2a`cp#-+YlBI0Q61uMKbB!e(1pWU-uvj%%Hgs7q%4J)?Dn5hDd zUt5<#!nu>!$|ABjjotICX@xaoZGDpoUEMn~js}Y-2ORV&9}%nKH!n zN#bPliZt$U2peJrk=%tJ_c%aPi|+o8%!3@K;Ne%fdbbu@O3t`9>~dt3xB}kH->@Pl zNn>&$GlOQhisugz&|w2+q(f8g$GaSu#jedYTShkO{OtONO^t;2nn9G|k85g_^PV3WsJtqYmA~eTp3!A*lc~!@puWir z8}-Z5W#`hW%b-67W#ekTBm!}}vH!ew^~)0`yK%f^BE0{3eVqO0CCv37FAo{y)PGFu z!aoL0P(6%b26DP-K1~EuQ}n`|x;w4FT+p6yt>xP9qeO_0p(c@#`4Q?N@* zQN`GsPpeQh>EF7Y_4UnvulerZCHEOcO8*ccHIaIV!Y3ABU8$%7;XjSYyIK7)u&^C4 zZs8J`nx5yH*;rVRRhXlcZY0Tr;k*^yK7T;;R$`o~xr? zG#M*DC0b~YVS1ksVcK?+pPl5JLgK6VU8!->*jqsRP80u78;?W-cOYOAWYK9jeT6S(C>n3kk{9Yx>e^3m7EYEdKSLB0Z!jVJa^%`2;C7GT89q?-XwINLwIY ze)GjxhC9Vc?LDQdYdX~)5fVB`nL&MSBKc)8mfHppZBHJrY~ff2_DJ6@Hsr-!iK_k# zoV}7{r;t}I;fckO7z&SNY#pKw>10Ic#b{V%Ak%MUM)-Dv`ZO%-yd=s=JHYz-YO-BY zii?agWnp3+{&Yp0+3+iGk?XWP*x5Gvl+$2Nfm0Q90Zo%)tM*9^ZqUQtv5|UG03;dz zLp1?e(OOa6oVQZ;XD7ljcja2 z>75JhoW-%UDkh!7(Zc<>`&TG%$&)-WuLtGXj6MkUIIYmS!oqNt6IM2JOIy0YK6hlA z4g6Vz4*%67{E9J?`X6t;D03{=3=+aolm>M3`e;`4bwQRmFvbUp#beSVsw;3Rpu*q2 z!eIHdLYR5_12?%5EhpN$Kw|HwO$@13IdNu6=|%Ho4Pp0OY74#%&%3k)S!Ei!1_kz+ zwF22!xmOrSAx~Hr_isuCN#j!fqP!E%j%n41LislpyIc6D;TAsVr$?=fULMKR2Y>}N zm-QuJf)s1fc|WOKQF&d;a%=N$!{Sg~o^Uz^PRUqG1B zApr%dx&drx(sSKC4sxo@R*LwGTZw>_zr^Y*qDVq9W+`$MQ|8(h*Aq$p7ZVGbFJT2q z@kOV|$^n*yq4pQ1vx2j`r#KyG#K3PMhK8d^XXH%J;*Xn$iTJ&=JEt zBGuv%hZm@0SJ%QbW_q0jx@Y1C~6ipw+L<>X>(kHWJ$)q8k3 z+fo!1vX<<@Cv8o{>kSp?D{CLG`%!eDO06xhsgy&bq^x^SCvGKD_znW zpL}N;?m>ilD1S7@=JMt@JirH|e)cZmA;9N^wePZ4RDyiptU_G%n_?m9sF}X8OY~>I z7)&caT~%^6%jg|$S0-yNV^`#L2RneYpFAw^q^Wm+w)@HsaO?-0yh)63o4oBNkR(0= zc`s$AZzOa;&DgubNSFSB5cts{)j*C7R5qPsCML+6PknAr?-1Q25>yHz1Z>7AQHoyR#3uZ?hPC>+P zAKCUNe^a=^>x&Emi7xLVlHmH7hRmqVe2Uk4-Uk?>o?W`9_V8<+qvF%*%n@~^Weeww zk-1|YmA5X8b*wnx0y<%^nNvv{MsF zO`iy9lyowYpwI|E))%{h?5UCdPkOByv13^W#;s3ca6|<_oe7i)$h7{SmsA2qka6c9 zT`B23woQuY2B?Kfo+1ks%7)4hZxXE@&nol<)>7H3PzvRVvxo&xotmBZejx^}A{lw`Qu<-=?MlGb=i28@UpXryH3hotcs`^GSAO} z8o_S%&VT@%Mx=a9G#6%RG@}M8?OJpfmx2%!wOYiyL!9u%L|cSWvRG?Q!Sxc?opoJd zXq4Lt=V9vB=CpCA0xW+HPl*H(_P%uYId;(G(@Wrl+aQg4Ji83L=FfWt+Ka|h<734= zEW&3!SfD>$Mn&6COrhC6a>FzsLD?Fxk@UFT$ork)NH&u1hFLH=y}aLcftIC>j%rk* zfn~AZ2L_caqGPF+Xn+dJSY0_wJ@KqzoI;a9R9IKE?R5G)35hcH$A6k+_D;{CqBKyB z?MH$Qv!6uU^Cs=!JKl@3TqV6lRDrJ+) zZLLlv-WART4?Y7@Oe&f5@ESvm z>p5Y^v`*=?4FH=O%3QA2wr=a7%R?1`sIFI*Y zfl>%R!M&Gg>m9V8Ok0LTcS9}$3D-rmaOMwfIA))Ip9|ROtbYWNlenCg(Pnx+eo1kqU!$WU0}hsXc@jEi>hUX%D&uS;8x#7OPUse*gK4w8}A@ zdOv@9_#5>bbG*-VXGPXc5WU(^WvSoE{PT6?cm1FpjPuUorK-=IkIHi__A~@-B7bO5l;Ge z*A8Vy*}096KZD~W_WZaayL2<<;5QVwcyC;;@K-n*hUWwF?vJM%$Q`#I0LP3_W&^?m*H@(H<+zymnaw>T%`o8)$IF-q2bS@_CL zQTU4n*lgFLtnSz_->QN6TB!!5u~mJQV_FzWfFe)*wlR+N+-)7hl&MGTzl%cpSXYeehv+ zm*~Xeu66t_HAie4zdxAV5@Y&9z~YNh0!J5$%t5g6=88$pU9h>H_SmetnN`(1pN`i} zNu}DWb^(yC(0YkfTYnO~E@xKPP@%AT;@X7b?X`p01`5ap?|lt<^p`*Kc|E^sTe&*-g9Iqj@3eNF;E?njGL~Pe zo94vd+H$6tV=KB;&67hel>hN#H*aM+E2Eo|{$}J^?)Ho%vRp!9e0zsHQYcwyn@xw# zVuI!rPu|uEcN|TqFW8SLUH0QZPUCmp_HDCK$(Tj4GKPuxIRiDulTA-PAnF4iDb!|ZMcqpTZ4p~M2*hoJH5 zx%W7RXoy!x$XPwM6BZ-uh=pwO|J3(D0nCu;m=z_kgYsm2`w>i|Q%Mt^IJO;Xsr8EY zG4%RIq!utK5*m5;cc371tdI~S{bF2t40^A=5MDaP*1iheEk^jCxnkj>W1`YwGm5Ii zRf2&-Uq*4hZ7Iqyq41(7{K^AleD^^dbebuqVQ#3T9;_Wac_Bmf-dXVUq73AVj0)^c zA#0%W4e0oIPG%4XiZm8ZG0#5I4^f=XL|it44L1#DTi4Fml#0p?dRy#QMvzJv+K#WZ z>H+>~3m%@`zF1glsXpB&@x+%fG~V=$MDe|hObR6+lk~?*>^_-qp9m1dCSpN=laWTH zbeR=~*78G#R`Gs_P5d+$Q<4k2S24%+*qr9)ua;A9)%TJ z3@E{;-|m<+bVF?8ScFWn#>6cTL*i3GT0I-tOgv0FF?LDfFHGS>Y)s5KGny@B(NAmq z{+Z1&Q{N->7cnW)goJ=|ef}N4=&I)sBFKc7rClurO6$>Pm$9Vx?Vy`4L$qe8^wT-k z`#r-FEp~_I>nl!AbW%U|EcC$#PaUI?AwiyeRc%3h$1u6(=g$dke5ow{5XXA_B43FK zxf`dMGoK@Zgq;gEnpdjBv$a|?N_2|Y05{Q4DX&UcuQ6$<22xtX@7_o^5D>3uc+ItGlrJibnWHIL?4l4D=ztB=ZVh|JrsKcdqOqLrsAvNxA}yX zj@N2&C=n>p=kS^8s~IpoUT>Q4h}=_l|(2OGWu-#9#WB|V#|$v z6t|J4C)4?XdKN&lnq#0w3Z6J$zF+2WiKQtzkb{d3Q2{&Upgs;%n_B6&+ zQ|;dCiX`?-{~@MdfYk~YP=!xJM8y*3*zWN%fL#Y`r+${6_vXjo zb+`FT+$YbpB#J9e87CCAr_Y<2Lyp;S%^-2*dpvU#<^h}uVy}8p?!VUlJ*s7q)_xL4 zhKD@Vusk--QJw4fb~1U1t{6XSzz~roM0biXWtR)x6MKy%OJzltVZ4oq=)Nemz< zc+lg~k9t=x4zK?B8Od$9jr?XC0dGXXB7hz4Kgj_(5q|7&2WH5{u&^&21RnkQ0!jaJ z1O8XeZySUvV$^MBt0p(N5J%kv5K;+wi+Y=K`%Oe*5b zyB;t-uD*wP6He;tPa07Xw`OD~-b}(Zf4t?}=!c2BDA7a%mhoM_N+IKha-qWj10_*W z$aJ-54j7XMHZZyGep*RQH(+@REj$N>Lo${fGPA1~4n$*(qtCyY{Twf-tBRdrO~Xh_ zv!YJjhdhaEQ-iI^LiBQN1md~tz?J){x|8fZe~Kg4v-Zd&m4X|rUIdm;>jb$d57;y1 zK)2DR`LD;7tsE^!=7-A}WK6Y>MYqwH7oW>0?#6g9B?2FOQ)t7C zWpDZ@;G}vf-6Kd{IfUd9LTm{;m2@aW7Dk|m9peITQ7dK;RF>lrdp|>fA`o1ioyj0( zi>vbXKCha{3JxC4%&hy+@qgwbb}%(gvhmQe_x>t|3Z}*j;+-`NkRkZ~7FsD+D;<}~ zZ}hJ3S}C53oIM^5`5<(Y4D%;4cR%u^`uAqCWxupLyU%U1zPj#RC4Fr6=ZGn!b#=K$6Ni(RNRVe)+Sv=3=`h%Z&)j zUo?{-oYPjECpG;er1q)Tz#rt_f072rR-Fdi#nwD+4Jp=Xga@j+#vF3>iQ)_V9u}2A z@ZW4u0_B-))7Z~pKF3e);<7)YCNOs?#W)^8~BI& zAnMD)xK~Cn>XQWaNaEi)nIjOC;6=WA0xHOvqy+`l-M4R!=pA>Dv}b4GE>#uFT| zBdqY*c3YHq`C)phajNw}s`WOR&a&%<6oRdib3xy&Izhu+N@1oo1+s5c=;`4{ZFl^^ zo-zakAuVhP4Gf^~B9I%c*Qljz`0tP9a?{*fY`en6D{#|I3=z!sV#eZi#9JJx@qVti z;`!wwN#^tz8qDR@$IWksPj>o!o3ahy%eLs?wMkLmKcd82WT@9Mo7XXth{n;gdIA{s zhKz2s!c&r~^eRhRwBTU0U#NM1sAyK17tv5s4$*(1JxKw?j+m&tX~7v%pa_9T?S`(6 z`UnA*J&(*jQTYS1qN|ussJw~683Us7|GOAGhQd9Dv;_97{ilFY>{IrvVMpTHI8rlZ zepuPYkM?;%iiaJra+hcQORH1wU;AA5~yY&CTgbGHW^;;a`BC#Pj{F66~m6`2UKyqz5s`)#i z!ytOp+ba3-qiS^Z7fJMuq+V9us9?fLQTZ)08gv-wScmNAAef(g2dVd%A{pW#z)P08 zOEo*+5@F@tLh`(oPvQ@s7SpZrtKKYnQ?~T`eu4Npk0FDrn6d)eb%{S6TI76@Ax+gG zryjz#+hhg@WKEEms;ihxfjw9MC9gpZ4{C#5GPkRks+$-)P#^O-w+HnmIRbP1PNd`r zPNCgR`&(-8OAM*|@%(`c#`k19Krhph4m{y2#u`z-tJ7pXv|XC2fYdF`**Q@tDowe|9~h>pV|oqd41bZkC;m1O}_XOewuPp#&FlV!x&X1 zH6fA~l&X_yAV>UglqB$<&A+`K16(`LTf zJgwaHHmp`GT@qZP;s|8muXq8S<+({(ajH9C;F%jwZ1L*AoSh^mO39fe9|P;(8JPNC zwc?*G@3UCH^#+daC-lb%wAk$aI%fKgVa_d$U)7+hJ*NwWQb>!cpIn8vTJ17AP~kpS z!fKPJ{A)2C!9QKCnXRiGFsBn#oGFitn0oE`K_*Mz?)UWyGGkc>{OI*gps%*rEJq2U zfq|2FwrIM@)4zFmWD~*R5wY6ZW!%AF+=*x0kq}|!S{)X>*di064AI*rfq;DnM13bj zFK7_NEV!8ofj{KLG2_lD+0?J`ftnYi0t2FNW1?AGWC|F4wmt%`RtPXIHj&v|xTLBW zRQO!st4d&O5EK|-jNWFZMELCWC`;YH`vJv1$NG2oZP=g_g1>EMn*m5U7Yt9ZWWKw& z4JTFXKDCPg({p=U+w)l^ekD*$96x^+F-XaqO3-gssNOpQis4mPODd5)Q&=-1ghAu> zF1mq5Yo>(0PwD%UBNR4$30k{9YlMb@bPQD0FGQSnikuI?zXOhbb4=O)+sXx(Q_)GH zHQ(4`kWV>dgK^-GX48HIx$OPGEwB#D0Xns{zFLIThaT8KTE}Q=Yk&=-BK0FQMn$GD zw3wCI(1+<}J!64c=>f@^gi2Uxh=T9M29l zkwY>X%HnZ7g_-(}qvSueo`&z^`m3CWJikW(^uZ^1sf)LQi{3%?aitKt}2l?zse z=i`Drs_x_%cg7ianEFFl(e~1e#h?&u4bgyp@{M8g4RHk9DWC~4zkk~+OlOAEmxL^Z z?(UT_9u+sm-1V6RE`<6_a@qCk07uL8hiTQfgpYaB&v?*c@%MFbAp`qP0(&>;#w}0} z_`<+h{B!0nSI*2Xo1f;NdUufYJj{6Xf#}E@tPeqX0U1u!T_W{`c z3V|XPjKJ`%RYFz+hwihz{+4yWaScS3E%@;vgYRf=)ge_F{)iKHS21?iG5j7wP42)@ zlgE%7f^pNTgQ|@r;Uu}dAzC_OkLJC0(y)#P^&%wZFC-@VDn5K=4PP?srwW{9?k2E}~@cXIn( z`lZv&+I;FI=Dm$d=w^HcwU+7ab2!i}O+ME2$uxuaW<`>wxTU?fKdu`Gpx;mk}CjbqKsxpPg3UrDmTf znH<8AMV1~P=fg;BBg@IMy`$~_G zE_BY<_Y>kai3j#i8&UU?bJa%SB-M>>S)22|z8X3O?20zGmBsaLUG+253$cY188Q#k zjj>%&(+&(i)slqkG9+!z=dHuHEOsKL3CD}heM5=*50*}Y9jb^8UJ(joTawxjcn%GK ziSu&z($-WuJ|m#tEv-l8TP_f`tb(Z37$AjwY_gaz4e&t|LNAIo;0( zBwxvB_XYw!ivFRv^Lw%iF)wDLZVVQNUp-)iN7sz-jazCqFLx7f_zI-dv+<+>-H0%t zvZj@@iu-w8={||OA@SEQ_QC7PYUwTfNHT9LhAtLDH5Xlm5gQ#!1ZP;D1bna|A=xUe z(beu#8(%(NhQZnz{AoLK+ENLP)V*T9pL-P8|CX~$v~{zgAdQnPjpBvKiOjrG@0y>~ zU-2TRGg#DQz25G69swv6bHhiUuQa@B@{ub9fZhF*B)c^BHEqiTsA2bxF^pe?vknT* zakW*6TgzTzwf`jp(GMblRNoXw3>302irj39YVEm%reu9E4P5k{RdKT^1|{d61Y9yk z%JM^#%DAUfM|u>hb|+lQWqk{;eKU6gi9d$>ls=5##ZuR-|I7c>J>465r9iMj;Z57>L!=vo zq1$XRUnXBi$PE{xP15jSoNw1R11| z#%!@*Q7QQ&eiAe89z^{t^pM-r)YnH+D0S;?b}-?pV=kMrx0c#+*mjupw? z`oVNG`4;_)SgHxR)Tw%o4ljq4>AZ9P4?e%cGT({a;S;%Yg2hS;ptZr+=DAKzb6|1} zN*c+cvWRkw@^1fE;o_pGvmJ14`DyRVntGPmp{^XNvP2_^$RQSj-b%9KI2Y0C3{#h# z%OQ?bWmkpC{7po?>AmcMC@i~`9i7U&vfoLeQw;6{Q`APf0?w@{sqx-%n%$E6rn&+~ zuymPA^196}`uEW47bS}HIaO}Fl+uDi7aRe$pXT*TRR|8dtB*o6vfy}Ib~a$M-A?Fj z0PSP=UQVBrGee0^TCSJjwaRGiWNNt61CcaL`?dw%x+)SSDmp``*VPEgAHM|cV*M5R zIVjhK&`K=r#0$tX&#!87Q#0txGbYzhU?VKZh)jE&<;Llz57jgZr4JYT zJf4-ZZadSCOTy-5`+KeqL*;#6|0BrL7+OZ^j~!yIM6cR=_0Wh2*$F&U97EtemRFsEKzE(hi`2Di?*5tj{p- zID?403%8SiV+JGY{OJKFQ7qEwyYfKkOqFZJc)VeQL&G^Y+O&1M9YDA+GLh|+d5(EP$Qy|!7 zhNAQjeejBVYq(P>i5ep=4m!z-25`iph;QpqE!89gz!JhhB_N=OhGnZL)KD!Q}p# z7K;->^iB#$USaI&su;ip(xKITtiuc!4+TjKLTdtt)Y)>k=Qq2M$h#25{&E|SeYZ(x zmxYQ9FjTKuhiZ;u!es-gZqNg_F6 z#gVH?FR2Qn8FNwi@~f?fVlyE1DCwO@cV5sVa@BR*Ff5^SkC;PXCJJ^Ju-$pOgfi1Q zW7+fK37Lt9ycmi)-x0o*NIKhv=JD;_mM55+I99@CAZvkj35I-5r9vyE_Dz!}EUURGpft z?w+3Op1!81Xa3yt843!j92NRQI(;;e53OPD=w$uV9>B)I%*xCL5OJ}#H3P77umf1R zS=iWF*jd>)0IKGWuGXgJ03~D79{@IhstiEW+yo$QX6^j(C4Rzx(?LPOG5t?LDZ~7q z{=xiz+8z4T`$r7bl8Z(oBP>x@Vjawq6nnA0qSatZMfrU%EzZgce>vgV?Wyg*G7T-p z^0edAj9U%O`eQbZ_T26*M2u7@Q$%QJ-T+A<-iJ{^A53EC&zR6~Vg#mS4Wht64^zy< zYNp}dZ}%Bah6#`aeU~3@1vCsM1vh5vFXQ|N8Nbe(c`kOQawVgEh~LV~%iEFO=jRs| zI*8v^!=iIF3#Z(%y3~QZDBOs~@3-Yvf!3=VPl%i2jZnL(mlwpB7maDYoyeSTz0X4t z?~r?6*z@<}TuDJV!UujRH-0{p=kCZYs&jE>!MugeyNvq!@~z<`T7ec0iMJN6-f3Vs66N-NRPy zoG8)av7abduCD4>;QkmTaYmzVxdTu8x2X7#(1Y8L95|DQ{#+UWp_m8b#FTTMX>zTA z=a~pQM`z>_p7EPKX0(p5VL-C*i2GnLSjz_NC}Yz>cY~w%`xClQFaBLY<6yh8S3oHE zZ>};9#m#8hy*{lDZ3^uo#lK^o$i5ia;)~1OJo5XVl~-E7&H&LOwuE42MEvvq`0rUd zQm!Pj+AQEtTU5MzJ<-BzP!Tp{7|@qyD0p8Yw*!iGw4GHBb~brQ2C5DX)B2#gkVn(xKHNF8lSIl2gKEixx1rJUhzRKLVV-_IDzD8D}k0qR^ifaOu~BDpl!A zI87Fm3kw=Fb?u$hh~OTeQDzZ{$R-+XRLD^V0=qmJh5YA0Pztq(+(2>3@bNvWv{gdH z`>f)Sp(#1Z&E_dxs77gEj6FVYfMtjT6!b+%L=KGrLBCrrv?t+K-lP}Y4`)&s0)gPd z4==Kfk;$sM>wbH*20r($^&|0W1OC*(Xzv4;n7pPj98rV$d|JucnfDmotBbliF3TN8fk>iq8oWy`UwToz^IqN_FFBo(&QO3spCyFJr=u(-eH4m6i_bk9=(p?aT-91 zQW*#69rq%fjOaf}Bw;R!F@GiWYh|OlhlvH(O~hGgD5r1G)i?p$P#v5^>>F-&B|6T` z1_H(slKw?Q)vmciO&vLaoR)w49sGNMS``xMsF;{oxEkhf&Em-NPWoPge}?y#6({(~ z*YLNLeNA3bh(*Ph9=2G&Gm`^cVd0wNNIZOB#3rjf>}f;B zwUgLh+5@bstNTl+IJ4Vk`XsX3Ef(W>niGLZdz%3(|_ZRa8+g{9jlxn90`O?~6)BPZqmPt@rHL%7T=GxmT%! z9*z;1?1P!`$$2DT%@6>$7HeydcN@2o{Fe|;(|WW`xp}U$bfF6Ki>`SPOz=?Sp!V!g*!w@7lCGR$_-UP~gec9RVJ# z>0|>sDJS&hZ4*0nt^9<=H(;Z;QxN`L%5Ac}2PDN)ePz|gvMn~Mrn)+m)M?A%L0~Hv zT%-BfYl~KeGy?Gp2F3;wHv;>1*`Lww;8R+Wto$cmEvl1F)HjZm%e)^6hbNoomm58y z0F`cgES~#wL-)Xn+1Z1;@7apORO*@AS3AWM^}r{!&lHOX8uisbAP0&8)BLH0o3SPG zUVMfS-nzn5=Hc~+44Jw*2Rx~06kHs7zbk%woG^Ool-B%|HW5e=Kdw4Tz(CFy9D3Ta ztRf_WCj5>prEY9n_(`6>Y!Uuz_Ai$)y!3x2&-N$qEJ<)`wDRs+$amPXYw4X_rG{GB zC+__wDPQCiEI2sGZY?(<9AtHA#(25H;%+Tg7vXnr$o3bgH%w!VtQ$ES8qWHAFB@O7 z=yU>e#A*K%U3e4=R+CSX6xuzfeSO{8g5}Sftj1RFa0k-o8`w8gH}W_mCUBx+cY1(i z8fOd|W&~bETI(;LcP-@QwtL>)*Tttd{*}Q!;JP%!b#h~LE5cy18!I?^KK_l8EH8SQ zEmB**#%2L}<0N9!abE|!*dL9{`J&B-?Us}1o`sT@(URbou>4Leb-wE zIyu|LGS^!(XWI0HCR5ftXB_?FkiW>MaK+|iWq^<2z*sP;lnwVNei&K&cvdZUKiGb)rGa_GL%2ki6PY-3w_#p6}H;;H;LQ>y$Uq}KcTY7 z%cj@AFrRNxVc%5f8h@>43B+@1T^%Gco`bAqjcxRuc(oe;&W;~Bde=U1MOm<}0aYI) zl@HexM2BC{rlQLHsf@MC$6YbnDhGEhCiv1|qR`@PlW<9)goNBl8lB0F&3f=xFi0mx6{ioO zhEv?%O?2P$nrCZ!1WEzMpXvH}>kR3B&==2j{I`6b!}Gl5o-mAYB~Mzp-AT1v)-`l})6d0+5E{s!8hlNiHT z*Z9xSTE~3e7TZsA20>>0e)OPz)5e$kHQKg*4-v>XgDX(>0EHx&O3~Gy()J-ORHZm@ zg-EgI*K^et@7dhT^SAo4p(TEt#_U(?|onBX4y^7s$ z6NNO&0DCoNA0jIcl+ZR+T(|QeH_A)GL4U7GA7uesJ+F`>jP2Gd;W`Y>dZFOeKI z0LvGS>$^845)Lj4X8931666||Xt>Wx^8U__i1*9*Jbc>-X>)AB=^NCI*Zqp7C$-e$ z+$ed^YuJ`vdhl$04%)m^;R^8tJMrGUR1yNnd2YA|w_~EnPT4VHO3q4&Gdr&Pn2~7M)e1-R;CzeK*_S24-TGO zi&0xhiMgBkTdxzsFm-jD1ihQH1A8`x4m20;jzjmdd*DAC$U|#L;~`m2QGm{-t4XQV zI1B&G3A$l2PA~q|U|&>So-#=b5pKM?XOH}?LOH}3@MB`EPJvMn2qs*=?pg_N1?m8Y z^_;>YA!6g26NR0rw*|GtMnV19uN+bO+rNq%-I$YAm!U}y zN7wp+>Z;=Pk}Uym3zS)!v{s3IXvu6ZawQ9WMfbs?2SF>#r$ue6mo6P-IfKJ3;=|Tk z8{^Rq>_uzks$xpzh#j=ctk7GULyg8wBszz$f7DT%Abn2PV)2265}~$sw^ibp6ino% zK@(AZOWGh;Sa(Gr1ZDhpKyLlz3yo>{c^_W}#Ukbb%ZMxXG9S>+zvMe7=$ekpo?TwP zp!$67Dr0LSlbW=P04f9Ssx3EMcH*nYW8N=AxV4ZPi6h%J5~+=jHXD=8SflxA)hf?F zK2pMQ2x#BvRrs)uw4|?@t&S+T%_qeWzvu9PgFgc&sCfx`kQtKp3lA|RtZqYLc+arV zExVn*W?NEXaEqp&4fS#vFCVaCJ|u0KW7-)y7v2TIo9lFuj}29Y91J8mQg!zxDV^3a zq7wJL+==pHV?SAMZ<5mLdi?BZbe(n-)Q#*`*uWeBJ|m%QM@Fkgxw3uA6J6ADr~!0c z?aJK3`rS?=osvWueE&=+A&sV2GrQ9J3?eyTMZ(xLYZ3~~jAN;lXRMSLl04~SpSe)# z?v^+DwjohH<;b327KQRT?{Cs^xIlmYwuB+Pq>&?CbZ3m=;nH+}K%oxbGiLakaSMxr zuZp{M6^J#iM;70p<#O7-RL9+VF5)Y1RWs_)oDdQQ=4k_Xis-_ro61UY_CY z)UAK7G)Jk%TiK7P%xDrUq@sp_+`;>t1Wtrl1p&JX50X$*>HoGA6xc|bPfNoqM+e|A z-6;ez$Pi6Vyl(FwkO?h(NyOtPUq{ip4Da&RXri z2)jwSLF2I*M+m0B$pce?)A^QJ-YnF(Zl~Ijj$anLFL?v4cbm8^@9fAXlZbkYXB^vv zrdjiq$L<{p1dS<#eFSU)e6^*_$_2M)5oztoQ5=H5x)H`_%C^UdVn^LEL(ZfCzC~h* z1iIC*s|9dwa=n2dN=%;F2tirh`aHLT0||4=w#>B1%Z_+7sbn7Fm-83f%~^LGN7*qr zFT2Tb3G6EWVLB^#9t5Luq}Y4oX@KB6RXeSVF;HrLntY@uCG&6Ik&}XBotz4{<1=##-&YICyuwr$!e15dCEBq&ynTlB6Kb%%p_KTImPFR^W*!kc7_uG|;dxn~1AhwxTJPc_*zVu9{(6D_s ziWYLv&(#QttC-ymsM~Scr)f^2+o7RLct)K^7!|F7I1z-Esv*urzB7lVFMSPKUr+-^ z&1lb=nPSv=re^K8Rn#B|PS;^tA@tUP%`l~w;FGE*wRI8u?Rhfhw1oS0MBfUutQRBP zyf&~Lyj3?Vr($em)DWl!oU1ijK#6PT=euSZD|n_(vog#~p7Sn*kf|6bvP9 z6suId4H}@)_^PcS61+(v1uLkW8P)q7*ORgqIZ#y@q&3%?OO`jcOWcb*M?S0BUo*P& zy-3)YIxdyFcPX#d?a$L%l5&Yc`)tVxeJm&(ZQ7gII4C*}BL?S(8Fi@oA&Rr*&+VGt z&H2c7m%h*HY^6HDt4BzA>|WQ4>}@MGHbg%e9IV z8}jPL6aFJsuV&|#_bDY2#&me|+-DM?v;+Q>eIm#o%K}(c=WP~IX|QU;QQt)o%@>i{&!!a{q!H;_#-$*PtI)oDbt0ba-K?u_0YcxohZW8 zx1$u$FYBo9^ycjH;SWf_>d#g@6iMHQ>6>O;V*Z_fdPZrdUgV%qif`eZEmHjj><4o-?L{Ub>oII zBY&zkH>oeHl`#@KJ(q0Xu~~c-VUA#kcV`(q6QsBAK5m+8?s)&+e+DLN<~nm!U42X1 zE+Ea>c1;#e&y*$GxVd88M?)MA^0(Mz^&xYmcztt=WqwaJQzDLX3G#BC zFf)?N6N$f)S=5mF?+vXb>>OvI-Vd)I!CToe$?XLp+WGRYBxl6F8BD&`8;kq zmcU-(Y&VuqOoQM*rDl=Kx3A5mUmM35wS!2mD(Q#40$*w}xK;`%?UVRy)0c@}gW&%b zEGffU*o6t#wLT@SmsM-?n8vskuVt5HG1Lpr{Nx-4h(QR)>W&O=zb<{MNM^wxvVKHuG<+(2Q=(8FAe(v*lc7;9Rzq!PivtU(1?GV={!9 zBl>*Ad>}jhJkx^{^G!g;ptB!#&=d0o_H9*tE{YhJ@PXv22n5Of5skRR8TWD{hiO9KqFP|Fgi9r#1_&Zar_C&iFm!hk!?rjl>M1T zCPR^d(9S(oCxXxg;$y&eqd8&2)H*s#uWG6E8~&}VdY~OIU&5rIe9Evab3_iq{^WMX5#Q8FkM_zBSa^QF3R3p17@2uD?Mrb;LY!9!aq^FZq?O|J3>4*jt{--5xG` zF8hoDd12#FW;t)_NTEdIm=y>+Iv|em(-#5SlWo3WZ#>_o<%a3 zWlFNYN|C+{cS7ly4GwfI5oAfRR=sRZpT9O!Y3#f_V=0=!B6p5s=KQ#Pj_pT`|4W*Q zus^kwO=_V09y{jSWG_O`*jud0U7Si0+D}zfw$ixPC;0wPg@?nLAAOHx_3u%wCKk*3 zTU4F69M3IrpAJGQ!U*Aomh~UQg=g{IxG^*0N;^HCZX(rP75mDjs9>kENfd-`^CU9t zw%L1Y;iyr4VW-uTw86_9X$%a$p4T~cr`!pnw;t<6vKo}XuehioomE*n1?(mkbC9a8 z%p*;AuUN>{WGi~-JJ*Sm^@djU{$-spH{V>XZ^y{^`N&Tp98=)uBk~tsH{V z{JL+e4^7ron#9)c+>gFAkj`CPea{jL^=&YUBqczif-Z;2ZK2IjIpbnZE;Fvp_N1K zJ*#j2Wq+1Q%2`=#%rljzX$wYgY?PgZ+T8h~?9YJL+ua1)b2$RL{pv&gGn3RTT&`}S z;kgy3ST%HB-WhhzC9{-92D51l2tRza^_LI16Qc3O#mB;JDb@J;5U(wBSJapdfTI!a z>W95JRXMzPNwtsL;>KaSa~7&oH)5dd6)%IFe)#XD^q3K z%9XoCes8-!cPE*kj}g1FQM0RbAk!uUU(tTXzf`c}}4Lzg*gEW=meGEV3(XrETex^j@f8 zu|;3Ej|Ld3BNL}|zOXn(3+9ZR*!enM(E#JZEpgk+-P;eN@h5Q24R)PfE|yJE=l8X% z`yyI9e1(zkG+&V|f;*Iq7!UAqDl`8Llo~WdAJow`(wbZOy^XhNO0-D^GMbb`x~k@(^FSZq*Rr$*OL}cpjV{4I zGM6(V>pG+^gaLfoHD54yy?x>cl{7eol4jno$NFC9L6_vB9(uzwo1p*8j$TpU{(2Re zPBT}8elB;KudZ>*jvk{D&$j_!@S^?}6}LZ8s$=(myI&yO=6>s4b?c&^WY>3(FWHT(iJ8y^qyBI%@2tcvsxdd8wybVn zr1Pym=)Uc4|Fl%8;KsmiFWG%!Q*1Nh7){wbz-8h8C+!w(TfQ{wMOtw4L_xg}U8cb7 zDD5kE^`so#R+e1;H+#I2&S0I7eoh74Ud|bX?koJ}6t?93GeEuR4vXc@=%rzMPr1rs zEzEwlDkEd#x+~LYzsjI~c5ArTR}c9vQPLo>@M4zku%p7gI|%HAEhe15J>z6IK4}XB&0v{Z zV?q|Aw;~EZn)dkVUxDDtX7N=`8-1Joj%pa}65V9O-jW*G_p&Px{?#`3UT}?Qzq5zI z>0&6p+gn}ZRye)FPSd>`C2l?+@mOh@>r40L|K+4kiC-1Qu9%a8hGpT?pJ?XBh2?T=pA(R8(Zx?p>p9&kNd zlj_y3e~g(Tbwt$wjZDGSy}f*Qy8ge)H+O&a?w6fN{Gey^*#Ic6FG={e{fK~}XfhBn z+}8v$uH}LBD4cAAWVEfCda_UtBKs&8<=2{`*^f?2iPQZ7Tde81NJtM`YHS{5 zzpFbNo2%Pqo3ZlSX(2PEABQfJHskkth6Q1i$!Eym2TZ}JVqxVps3v9DeWb}P@ z78MvkEDdS@)^7hp0ES#%9#$hh4Xa%xK~TCN$qKL5x2Oj*G$UTSWX|FW26E)~)gT_aExlcafL23sLQT)1fru~yb$BXUkQEnzyS%ppM;fFpnr}z0{o{2pr za5HUx&^JP{W@h{}sFU9!Zlh3K?K>M@h^>b5zD{U|9uWq9gfj$IQWq?wWz=HL5Zk1o zb~~>)+OJgmdUYHxsw$ZXdUeRi{r={Ow%!aP(_y8px*_zy2@n?2_R_25b~QJ$Q^fa{ zY-I|f!z*}5j)2?22R`=V*?mt7gdQhMXuOp{@}8*RmO5gUC|qEmiSgHypNd4!RpvYt z$09LYYj2PYAB~yjP_32^I`g8RH^2+)t83{_@vd!s47&IQJKgp3&X`mIo+lAZXokl) z-i8L%m==cmWB+kgV60tuzq>qdJAlX?jng`47}zwYrngv_);J)p2Ob0K%J!Ehq_n+B z9t+2MfKCXTNS#cJb)-LR;^HO%?}eC@rx81bJqPXrD`Owm5U{CSO>b3jVA)Ld1F}Nc zR2~*o`r%Fgv>Mf%M_w~whR0m7)b*q>Tmr&1_{4xFAKUCV^Mj-;jGO0_ZAh={NF6=q zRJ}563_i`^K&ZHF97o+a<^#e&YAZXKS}JQKz7L~N>2f$%W>8wV53k#i-Xx^Hs(aLoFy+G}oWtai&nL7;Njd!J{KGu;=Q*La5A9=4;ZLBB8BpYTZdr0xE?X?e$$5<$s^^^kO})3vb0Wz8sHk_1dQ0RrUyOSJHs}7 zoJExFE)1uF)+}1deDtTR&k{)kv({@+UIap5IPQ;5SpWd(h z@+ZrLEul@9PZ3}ip(R-c+|zNcQL0P{J-x1KcKm9TqU3;homnz?@3a~ zd>wl>zg7;`|9)CP10_qQxVM$5)funqP#@Znx;G6!aKQGo{SV}boy5Y_ncG;&VCseg zZIZBl#SiQ0BZ*eohjkc;4^{dlDTBNJ-7FRqi?S!uQmZxJ%GQD-FRcO881^+^5+{Dv zs5546nBo6!n)JGsDiz(I60HI28ej~c+j*>-9Y_T5M0|EqLXG#hjX7N=ScuZN_A(dG z0GI!ry|P479J5P6V`pfDaj|5;#ijRu+?od*B1(vdFB*C*I`ZHWlTZVX ziQu-w6D(-9>X?|2qK&@PHwBWg|96(|rUh?=-X7AlN0{Tai=y=vv-OTa7zu4{Ikmf8 zmJoh;E2al0?nW{>%Pl;9cqQ9or8LeB^k_0ZvqS^&8wek!q|mnW+$#Jjet1r6`ZP<-p4yMe zkl&&f5W=tWXSkca3dQPN1*}A7c&BLs-P>JSkq383^a{~JQ#ZB1O3AFOp+W{eR2|xH zrILQxOG}jWThn1={tv8S{JG9a8n;Nh0zMM>PR4wxznJu{V|i6ZMq3YgAM$N{fQ>X* ztE^n*4D};!`JmKY+)eN(15CVE;O_Bd?f*CwiJZ^Gm2+5@cYjRUSL&q1_UGYz^K%~n(~o;tYr=!b@OTziu2zhmGp zo@uHr?FRe2x#nrjFTN5fRKU^kQ4sgp%S$n*w0mMCahprUt$N0b_T3ruM2#JWd!qiY zu3B5q$7`iK#x9a!Q>fpWSO9g#xvdLn`QCPlua}S}y z7Dj#Ak`ATp4{XRw-Qc{Yh@iyplEX=&QjTF$t$71ytM0gI`QbyDY-{S<*z}=6N%AF! zBQj693f22q*}?K>KWCCw45`t@$mv;TQNvg#bz4=LRflu3Fv(^&!V?_QQUDq;Da__-NiuK-_sy@8~t<*XrBgI-T9yl#IGTFKWFC|=iJAY z1jN*M$G>e25I3*H+v5lCI^IKR7&Ri;|>!>FvCxx9O#e`t^MJG%qyP zuLMPPZ<#)Z5Q+rNR^n)Bh#vzdii63)4)W6qP8j`9kua0|kS0+#F(eT)ws8F1No4*{92i*exBmt(3W)!W%XI%6 zUBS=!H6zJKRQdI*cCMqC&D?LciBhX7>&g)#8|pUZ#FKR{;D&O-+qB$mg5cWJNpvyY>~)fNlEVo)nZzM~{%B z-So+pw>n=h%e&KI;r0HW@4nIXRsQwWN4w7lBGY@{k!0jY%akC*)W`j1hb;t*0PM6* z6bjGV@y$-bQk0iFT=vs-aYaQ1N{nrcJ8Ve8@y`9NQsG8bh1yt>mSe48m;}h&i;IUi znkQis2z;RLJE2aG-ZO8`zP>#hoYCdGBm(4(0GGe$g+BKM=yI-RBey<2aPh3+os0F2 z81RJ;_Pvc%PEto-qhSrLZ5k8mthYMT^IS$!LQ>!m{~^2lnhfoY}HAT_x5*qGnVg z14z_=%qwuOkYPReE0J~+=RM_aF?FC}U~_irc;^WAE=yb@gYqL_^SQ)#aWt-eDmEyx z+to{*HrVn6`N>pXP2SjbEnTc1523xPV)9@Y&rAm$pI2_~qpBbdM7wJ(B9e%hp>G_H zUir0xGncvXK?M%|s6n;CG}Bo)Et}){A|V0laqOA{$x7sm5j1HcS2%X(5rZgna(?w7 z^ZeL0p7+2x?c~ggbmuHe*fG}*&$D`KhJ=#15zv4;d8{DILz81}6(pj`Sc{+n>H28% zl&AORPmAk9x|Bw-t)>wZIf*x^T0CDNZri$G2JoND=h$^&5d6JdPJRJAz5(h>lRo@{ zLqGmNgS8k!x%oh^>zqaMym_yOh`T$k&v8^BxPIjJKiS*kTc^|Pzs>D9cL<&fusY*K zf#D8pTT`~_+#A)qujBQH*kvGyx~fB9v=Cd6Ry5CS}jl&bYl1fWx{~0U)4=0HdutI@9;dZ zdk%R4xP%?{wWsWlNVUR(5SKP6PaK4sp;S$VBnzY~%|pjX-{p*ei8zt5;+>ORrzZji z^8`jzzKjrO4QajaeIBOq>sLc@!IVNH+}ajd@y_ zTGd;K z{;@Ph2*aNp;G$*{GYuABcwUR$J*Js39$R^`tMm7M{>Eoj%&t&Vax-_hhE;u}eSE>} zr%70F0w`18sb`^jr?n(vwbj0dsJwckAQ|PeotQLEPj! zeGuQUu!Znq82q!W=a*4z0NJc_Y+w-SuP_asp|%HX@3<8&Fk%SVn|VyjM~y}cI($3> zmqQ<#ZZtY}P#CGQhfqM4RfqgKpM*@%+gWuy1iu6)X4ZNX)rH8@d76BjOe1#+}BIQaEWXnjkgr&dbs$3D7XX6GP8FR1;k9;6F1+AZ}2&I z^a^pxU$F!kj>}!N9iN9!R{h@#`|3O#e$gWc0RgM~kXkpIx?Orl)zML@KTRxCvp+36 zkqZN6{B~&FA_M5^YdyFHyd`pmLmt~~8)`N&`4X@R6`bW(*0eV!J^OpFa5eP z#*V#9uRRw_QF6N-tMDuWhahi)D%ggS(s#~bVU!@^<{8WjVcSsZJH=zaHK=#`^W2({ zUSd3~2_+^toK!9{p)yB)N z1fe1}K5b%77qYjmCPckeYqv+mwu|VgOmnXrxexJmd)Znq6;`xn2$jrlC}$$tI}JAq z-)D#Kk1+S}pkXpbLI2vZ_(1Au>`LR($^xdE-tNmEkO|1IGGO}$t-W!#s7>abVz(U% z8MX%LK^B&CiP400$ikN`dy{^XH}zO9!Dtq$?Xx2Bpz0$eMcHkL{qznd{+~c#gSESQ)+7zD(x$ zQMCf)rYDvk{Z)IveJ3S<6}NpAX7yu)IpJ1w1Gf~ityDUJw6H=t>fLw#lMi&T09zR+ zy#aPPkPTM!p$G{WN@9gSy%5iyr15TC0uffIn3rsEe%K0McBwiAv_zCv$fNP$%Fkz% zbCPV7NZX7HikuoyqYHfK6xsi|PkFDDefwo@MCpdd%%aizZ!2=b6J^cH2YtZ^{%62mZ$b%?;+~L=$a%o^$w&Sl0VHVX<(WfVEt&Ee&K^{uHkope~ zf@xY~%}00GxxlR!hhJ}DL~w=Q zdE7fZ4-K}oX?Rz=)HUH;2@~4~6_o1w^T+s$?yBRX*6tEUYa!30q%9y%Hu^cJ82?u0Nn*JEPBz@8+um*a#^4(hJ1IYG-8@DRtK}t5fx61)bo0w|p+@wT z^$-F(Fc~O!O67CI%jFdaMIat+4hp%N{)nT@HhvL}LCW@G#r!TKBeI8^IWlTFTjbtu zr2D6OaTJzD50KhqG;AnGg$CA@8f{uYY*E)OhooW*yY7zj15llRHR!yLZEPgemaR_k zG=Bodm1>Ju>V%*M_q1WMaGH2E3wET!R1}WGo?z?6gOxT|Q>g$@Eu}9d?q^+KYM2t5 z)ssC7p-DSRsklAsb^;REJ7$enEpVxEH2g$H1yx*5X8|Qh(_qQ1KBRru8?&zEjS1d) z$>$_C{}#LSDMM+*7T9 zOo8V&M@K2<7}3!fHxfW%Eb^?OgdCDzOCh;AlN|Rq>K-%q1_jr8z9~ZWI_w(Ds@RDZ z;CjhDHQ`5tN08y$H>lLk_+z6maa-Q7@|FHdp*$*Jr)q*3*ZC7{mu4Bv%O`WAsb)U@ z;jYi@{WI9XevUxIZ}RF;Tv<87w$QRMQQea}&B;asOwy;@6fzymp4iCa_~IabE;&l& zo#LV~V~n%2ulf>a{XBJ7iUU0&B-~r#wR3+`S=1zw;AVr9+&!{(m7Vgd{YA=}lZR{( zaoii7Yj;bNCf`F=v05y;@k5lFh0hr2M!B9ali6m~=yvFVv{K=17Jx8iYWZq?xMsulW^*gqCm`AmfX*w+4qxxxuLDdUv!zF0F=d#>lh(Ivy4BRY|-z5J@>Vd=`=d}vc%vXF9 zPY0AJe@l`{I;oxbrv!L%EaCp>#-TXQBsebCt(Ib|=c#V7mni2h1)9 ze3VvW<3|X!N(Nul#oFN5ZkQ~H&gf#_GqX@b5gP%po_jJ(mxxB<)DUyaC19LhijaJk zqRcD4I9rOop0FcU^2I$_K@suAb~4o4dENFD7T-1DV#+;+Xno-Wm4>T?jm$Jt(Mo4k zaP^*os)8apAN$=NjQcn+NH&ismXrim5knDcX7RwK^bf5K9pCoXNAZ?<>J+^|xp8JT zE4<>im*tST>s;hZQEFjFoUw|E5-op>DfYmJ-$+zTG47UF#3+1Af(0u>dwpR)(k{eH zXMg`O9B_@%2*5ALLUGDHSNQPF(b8NbIXyxLs8;P5>NvjLfLKE%ZlZr53u|PQ+e{y& z2P-Wuh<9jPRyACCVP=D3L&eFs#W9m+wJIxpM5oJV_zFsr%jolR3Y2S-!3%qB@wy{R zN2qmnq(e;MpE>~Fw()x}aMYjQ9>F+jXeNh)@+7{>IQ_Dtf{Ao>?Lxom8}>XxG}~-p z;R=R4t?nVlaz`Ujh~i81i1}s|pXs&0uk|FRSf%`{_X7wI#^2Td-Ghq%)XCmM5_FYc z;bJ!26zU_E1zzaBt_D`JJ(kzzO=WQxn#kZKNom-*8Za5k1E` z7D#808YzB=aW5u(4dp0g4AT>iPNl-YcJm=doi3}fdrTvrpc4&9Ly z(NlInA6+DqQnR%XrNhH`i6u=A5t<}5T?nmh=q#W^=SeblQZ8rrUiVAEsFl_sGh}C} z?c%T$m8fQ6u&db>?L)Ft`(Zf9{cLK z7inxoUV8uhbZ<6+9|h8gB20WuQrf$!#m0Gvn)t15#%`~tDnchQGKwi*YkdCgBmp@V zAw>E)o{}^d6;g9ga)#>RO8hsUA-8d%jx>cSqy%BgvCQb#+&L-n4lpk#6cr#{9%GoU zutC3e^%iZzN`Staquj2ltd)V4HU@D&si~Kdx6mn|mNKpLp5(|rah%;NHZtrjOevp8 za6~I!Q4zG@WvCKT&Gu(s(+pFt)HygFjrpfpaz&z;ut9twYTc+uTAWf|%5-Vy&G$V$ zSd4)PH+($%YL>C=xU^}$x26m_LTMeIAz#tpg~W5O1@S*; zAbh19oUc@lpfapDIa-?3M^|-_yQoktb~fdmdX?=`iu?CLLuvKQfgcy1pcsqa60N}! zEo2Q^;r%pCs9zKS;iQ%eHmqgj%`Dk*5Nt0QId8tU(q|os=#q7xY(^q`9wVFI*g%Cv zm5a(giB7h=xU`p9-%0Kc4~=%ah6h`@2y;t*xbTUmpZSBY!7cZu2+UR_YPrgG=mv4Hwgdw}7QLHa-@LoS{R0+CHt7gwpSAH=CyR7yv!PM#g%T&EtO zmeq-8RLr!NzY4dU;!7EZ#uYfPa&(b2tOCtkwF<=}RzXNGx>mAc_-%p0vR$h=If)cT zhCY_oOoG*dBGysj%u7*xSM0`xbm$226_gUpQG=1}hi1}>W`gT|&XzRkagNj1 zymC#$`D{(YC#S3h1U#spMxfA@mhxB1(K5a?i%=yZLtz4&Snjg8CEZlqYK>(Qb_qzd zZ`j*Hmit2IqMF{f&kMhggiON@XwoDikp>&y}vtCYi5 zI-s8#>NE}a2`bs&<)h=Jwlr*ikok03m_5kT$_oowY9~0EhIR}LC+MwfNRgTD4Sr!~ zk=7)4q#bb;UKLmGvM^3Oroa>(&xoy3r`fh3aMMjAsAWO18sWq@bdKf{oKv7MT}ai7 zO_v^b&Z_>|iT|-YztU2O#=6&V7Tk|Q@O$>19Mdq$gm^LDZ+?+_v8gS=yDv8BpUetM zqc?nvCht9}KMCUmKL`vJ@Z7vT-TFTdo($MuF6n?j!4I)_CMNG9{jq|0V99Q;?%eX> z5+z(HC28L2*X^$PGkU6w?@zm><>}s`d|rwoYHdUMM$pCiMW+JCgk_o|CmxG-nR;;?eSSpW6g7eUu7)igbjFPi%bMXe`9`%q8C0(p@(;%s+Bort1rV; z6X=Y5HbjwZ?5RtTsYTKqCDU5he6OEbzruqrT>QK_M4IZLc=sp&ECHZJ9B&>DoRhy> zMNtN1F_YUn-qB~hky3Eb6ujz^rGlsuEkEl9`WoBebbVPnkcGuxlasDihB{CUl<%FV zny)`pMT47%sIJi=blXH+Svs?WZh>}U!d2=X5b1Z|j%>cdES|-4x1R^|@Jpt5U25!8 z8WD$iQMBW5TVbaYUSlogMeI=C7aaupQjyKjd-wd7lr-K_c6jKMSbmbT*j?H- zk;EN#2-Z7h{9)B(kfO`jEAdf?&jkvvZK#RuQJ}Q!uNBMVk+a$Dz1m8-Es)TK)%f>` z;p!h{kmDmbdsmtIq^Um*jZDVHTv%2)rUAZSljZg^ne60S(*L>j`Nj5k<51o( zMG=>N=g6wPZ+6s)LZZbSv*4_}M`zqfJUx3VN-epYRIlG4^!?Ax5WH?wn_xcFrKFx{5X{xdjbD{%dr zX;)bxB_rWbFt#bzbc(goKaGp`{T7rnT+m~+`J5j^bML)mE$v$NmvcuT56u9Te-9;P z>JKPG)=>zw1e3ndza#rP4xhCya(FX+o(2|%9m2yIslAo`rLr;9BZKac7f|{7eBk`E zz8YJBV@>0(kb6WmU`1+1z0vLbIzpVGdBnsJ(|n{>B;)U2?_R~>gk&llzhwW8ge+m< z+c%iuf#Bkcnu(j&p=45Z1o}+7rKLanyYMsw7l%?~rHqm)LptM2H5%XCo}RQyM|%K^ zIMchOSXQ@-UklkKsrxy~_*Mq$P0yJmv|h0QGrKoSO?X7B1TG z+?_b55eL1-($897>B|_~G+89HGDF1Ars+1@V+OQp^T{+t&=E9j%9FJ&Pmvz!h<&~4 zN|{8QdbW$y%4%WBdt5W0c!L+G@C=nPKZ}KK;-TO72+kx_`psYW;3D5fW3aE1Nwv-+ zKHa>&Kd-sC?%Q`_WL<1nO#i>s$;NJIGI6A3mmlllA=es<8^g=YM-EH28FyPuDH!Nn z9!>gE>8{DukMOd4Fdc7STdKkNDAUqV&BLgdU{h1N%x-NJH#N@80O*o4VXi{qW zXnoqO1=n6jUiWvEP)7#dQZ`J@y7FQdNpeZnV&Bx0n&@>n8*idN$#LW(1KxcM{i|y9 z6rVp;+DI;jnATYqE4pLu-@a3_}2JqX%?M`P%dKJ_Ga0x)>|$k`!mM>tOWJ zIE(~qkI*8j|I0R=qE!yd*PSs&JgqL1%g;IpJyV9zVkx`IFma@z*Y20Jzsq2X7Z4I0 zS!<=5K2&S)%6O=*M|hm4J?X3_d+w<81@}Y6*4DlR-cUEhW;khsKuBKahjvrv@Kk56DoHw|RjBlf% zM`bxxd^Uve14;f0m7LpyXzP4(=~XX z)6IQCy#PX9ebn7M4)t#fPb$!&=e|Ora|Ak-^Sgwm__g2xsKrgK?xiDJx)!RqOo+_b z8=J$x%gG%bjYaUfY0IO|xSjRfGV(SUKu^Xm%P8duIl*0DQr9{93A9&CcS+8Ap3IRS z-~+vd)!G-%~b;4Z^z+4Q7Mf&ETLE9qtVC_NuWv zncz*S>Lt?tW44bX(BaU=jO1g~0A9>;))YRd^Y4Qq*NFwcFHqLP)9pTGFG$}ey`ydvZIZ*@vN zm9~(>NB#0Y?#`Hz5D_M-7q1}r@w8j=MMkGV9j{>m|xqCVc;sqWac1Y$|Dr0XgspmC{G3TLjC(VPI@b`ogg)h2=N?6wn zGP;12RY-(^Jo%)%%h}IvL92cNie~lwJcKa2SuzLRnk7ju3W#?tvs`_}C}yOCztI4s z@gYe9lwXj0GA&$@CY^**kqG^{`z}fP_v$d<>ik5Q%+hs|x=yIZVg9i=VNIO^{xKYr zv{1vg_y5Px>@yrPSp1!K9Ql(n_omJr0&BqWsdePEUM_gdc$lge7b5+8GWIJg@#NKh z-{U1spHC116{?Qy{-;Ja8+YJf%qzQ*jRKiLZj-3KslUQD36#5;GlTGbWC);8Baq~o z_xm(mvm*?;2QwNO0=R&rW*mtUo!__sIVcxixH82^kk_2u8C+_{eCsYRKx|!Wj*K(g zz>l5ybHk-Nm^oefT|C%v;qWdS{#plUJ4yqa?RjhAT9i^?YDb z4_$==?=k{;|4tB0p(gC4aHL5c@3I(ku}h7<36i|C$fEu>YStXD#&*j%tYIw2KVNhN z=%^5!5S{0x&V8~r#BKI4j5@f(dT6apBbiilN?ZDL(mVo_El74j^+D1>=9Tk~0j=SX zpYC@d>&_(JZB6x*CQW74(nk_zq}mL5X8XiNBz7}QvDP$olq<)7UvPDop0f45=iUD? z=FgkYX|aC#818T!TjQv%3DZ>LkHIJ4#1R8?1Fv8H#UUTM*AV?npg14F=lU~1q7d04 z95e!f^BF~fK5*Uqfu~u_Ddfx`%?aRl0$I&Y@*9F*a8Xq;pAbu{tRbbtS7iN%BfQ?S zZ`qfn1;A~(c@5{IT3HwM+A++)6}q3s28(4d^LWo(6qW~hbEc%%LmZiUQX*I=w;7A?6UK!b!+ln?E~G`qqAG2_mez=VWGcmNH&@m{TQMqe#fms8)9KT;&d z%K+08RVPr|++QrNI^P&5b%Q|25PkV8KAb(Sj$5b%`@h)S`fyz%pkl@rluyE?fS0Vm zKX5=g1r`qumBII9touC^K7WSnj^WNx&9B$SwqTsrHQqxXyG?`5NnU7==GL(k)-+cv zY9dX^7j8|*tc2^6dtXh0ePRCx&%Y{T-}WO_KO~B`3E7DM^Ylq`w`TSQO*>c{+)twE zSot*!^K9^E%2GA)^{QYy6mN6leTIAefsgFA8?}9eehPRV{TyfH|C!X|RNot|HvPpD z{~_2-KnPK9uYn$AyqAC_11uZoX0}VYgf{Zi_hUN{YYOa+l&=}D4%!AWh_ozopDGc;OnL#ho4%D zQxsMmd~O~5eX&yC}*r*|k77(X*;IK(w^_<`dUHl=l|{%^+Qt(<$b&A=~{9!tBy zm@+G0TP6H@X`{NiW!^5?ufh-UWlYuw`xD66Q60Bq|1YOJ&CzT-N`~|a@&~gO;=4Drr^DxPnUxc`!Kof6Gu(-~(U7vbR z1aCN6u!DJcac=WF^&HfDk|@j}1^izQQ|D^n((*u;$U;B1&PdG4vcH z{3%$72G9vXmhA?L{*UM)K|Pky@k5)(L|GBR!6p2s=mP_4VU4o{b;R%{nsk09CPPr| zQD0$=Q!xjXgU=GeAobLd^3k#Hg7y zm4A+>VmQ}vpix^QKF(kkN=ok{2)w)j=uvFFL6b0E$aar`ki}86Hy|@0EFVCyvw4fR zJ`8pk7?8oX64Y`;{{K5lAlp5K!$)`}0mh_v(*gGm?x&vTG}*;#U_chRTTs*yNp;N? z;Whl)q>!$`68ANgwfoI;(u1e4TXuxkmS(nUpIKZT>j{^m^h*h0UnDny-D(|TuO@Eo zT5ku7_JxMpuXVkEu%fh+chp4fTkae>TI4dZ(;L4su8J*tHazhZk8;}}kLGJ7F##U8 z=)=fF7_l{nBHQ2T4*F}~%1|rS8kHQE{sz4C2iI}q6N}MiaQ|uf?LXwG^W|Bgu_@mQ zG_s?CrDVckmSt@_nTV!PfK2WfYMNK|_kF3ugYlWK{jG!>3L-bg3-`lE!0z?qvnTfB z!xenjNZ+Wrue%#~e;p*3_Z>elKs$3+B-3kGgb?JZz4r!c*9M9B_5uKw-^T|O!N~ub zfyQCN;69rn?alOXVxa=qQCV4lU?bEbW5%&?oTvfwFfA~TutET12!vWDZLoM}X20~v z?^@_()LipROU%^h14xmC08Zao;b5!r@6uv9(mGxsZ5?fGog4;}eN$AhQETs-i$R?9WQM!oi`HsZP@2j*b0#eV_lx%4nuPuVf|A)?w(Ua7e3E{p%5T# z|NeF?iGrX@v$AeZE&#wzB{S-W`8Bnh6rmw2E@=m#$PhU(i?dH8L;?d#pGK6= ei6j+YAeiWTixg*!eilEzi#7?kN*d}VH-36 diff --git a/cpld/db/GR8RAM.sta_cmp.5_slow.tdb b/cpld/db/GR8RAM.sta_cmp.5_slow.tdb index 847bdbfbb9662bccf23e2aa9e78986c56c93846b..7161a87738b0038bb9c7ef28d57dec392444e35f 100755 GIT binary patch literal 50366 zcmd>liC0qV8*XJ~rA1|>I8mp;%F3Kg5wgj0JY{L+z%eT`2QpJp87fnA5@561`d(K$k!8#T+3$3{IU{?!J0Xxpc#X@K2jwk;f@;YhpO@Zxi&y@P@vXZDE}l%*~RR5p0QQ z{ZmBR-(2?68(uF)`Q~|G7y0w;&!gwImk9XFYouG? zv=N)}1K(&7ZB`3kO!6u`TgQKWh-|}=Y1I8jg!*6e(s&P1ogg|qp6>^riivVi4mx+X z%s=M-qDV-YbcJd@TAx--`7}y&&zH8q{HsT4A#L@rCd`=UM1Y5`7|;0}9nsdp_r$bA zZTZyZ&%fE<*n~MhE~h0mDn`J-p!buCm5iy0EMllZ(|JNxRGlH_A%2uC)#0$9sj#V# zmd5#F*~QU4{mmVVudop#nWN5_a9ID4s2J^M=5L5#&)R>jR7UM>L-d=_u{A}b^Fwy% zHdRcCv~v1OtU7q2yN`i*{m;ml5&0WG+9Bdc=uJ4ynns16aF<+*$ zs?QSysUp>m1B`yUv1jXV>BzUJA=P&?G4YexbW|;2)NTwJAu`4zeS8ls4$qs=CGim~ z-f#AkSOkJPkH*S}fGK4ZW8|AE9Ni%KwBK{|TwDx&cm&OkX{&b>7Y+T6s)>al=vAuf zc~^Lw0$>2sKTn7ADatR6{^8IjNGQki!_du)?OD#@tu0?^A+nhq4xU~eD)}jl8V#89 z@oljoJ*0bk#D&k><-_P^L}GYJqnGamXn%F_0x5*Sa#8$z*D*nl(m@mx)YI6_t}mg} z8$DRh-Nl{~(zI_89u-mi)29DVNV2oGP5duawVA5Xd3h6dHb&(g=b`Xc6lV#BKP4Ut zS0P0~9X2Rg5#pZ^X-nsLyPSIYTUKHY*;{XB%t0 z_-CZ&*u`_>u^z35zS3UcIm#x^$kZuG@duSvRxu(%<>F)D$xivOz)}CZ&`a^FQD(w# z_8bYQlY9OQZ|!Jeaq40F+af$d)pJ+p)6y7uAKK&}K|0Q8hLp(cMNzOO&MjH}FW6Mn z8~Eq>bEQSf>d~H02Ns2vrkndQ`=@$exmtT1Xv`mKgR`CGdOpB35--RXIxc?(ZouARG!O zNSixt7DQ1Ot4FJ+|4hQy>iL@Ik)JR26_1>1BIGC;HR70tZ}My+$%ifIWlzJIgFd4w z-)XB(l}}$M?z#b8tbEBhp6!SYok6aVN)naVghTGcm@$>11^i6Frun;d!I%|N zI5RDBvyzs3L3$yqP=Y?WWOLR}*ggN%#bF`t%c2i3o>k#=$roUipD$eAM7jhWr{+W& zoNP;ThG8(!Ub8NXo@Tsn$-1}y7Uw%Z>~rlV*(%)h`KY`^Pn%914JH~4*is%R`s_lQ7Tt2ZWyBc>&EGw`mz3x82GN zOn>BnNR?Mp8W_by4IH_7f_6u7ow)5G6;0MC@Qc|mYR9E?D zLS$vCez8`3gXqp|K3{QH`0o>FR>QY%zK&}%<0{rJU zC2NlnA5FA0j^CSJ!3w*W!M^%~V7b6DQ<1Op3Aa`EGgNs1G`#r07G+5<$X)JKd0^jB z3$#Hqw?&enc+31+#C!>euyo^@+YHq z%P+Fbh62Uhm85Z$tAj_dF1vFEX{lJ&g@Xh8DYzy(r%H|U5f%%PdDmSkk50ni2?@|* z+lLXC%Ui!_I_>lj<_tC~*UepxR1O`S6jd?u8#rbsSW>gZ0Csn+JLv)E8T`St3+s6C zONqI>Bvfzs3_@=N-f&W_6eBDlp3v zShU;94OzEpAAy2%;HG3+5}|;92r^+>L0W>negd~a{^KG2p^Hx!2IqKWLQGs}@i?eB z@Z7XmYQ8Vjfbm82T=qt2rMxr%x(x}1E->!!DlFwLKsFe=U;Z~MQ68_^mOOosr707w zi!tik_RMoFb^VykfNh!LA=x^lD*(@L%`<)m`gJu=VJY`UiM2_(oo)O(+ps+^@q89k zS$HO7#jX^pWSItAR>{b?8D}36Z$X)lf);DmGh(e3y~d!C z4f~1=*rb$wKj)ABh_!*0G*AV5`N+c>XMO7Xt*J3q9ghUm_ednPKPQ_PL(v6EP2M9z z+nW!l_YAkI1A#e0f*l?05e6q=*B)mKqr$`?Kpt4BjZW8Al`0Q}DE#x=#heR5BR6<* zJRA8qBnM1j4O8y|blLt84vy7PVe=G|3pGu&FGli%#R6=84V( zko~q|yNP4Ex$UHn1m(xxXg|nL_L_H+q@D{id~8modkq!0O-SmVJ@YJrhg;j2H!C7M zyq@uJ;l!KjO!f|Vz$EwO^AKrnqS7DGr=%+a$Q_5qWYI72CW=c#H?@X9YgiJk`2%6| zd$-_&mq~tB;pInBZ`|4tDKTQu{2VIml63S)8*QL&0Wti%{y82b@x0(|p=kP&040!p z+(wgT^*hkXp2=qUi|PqDr~T^1FY(HW11!Osu#h3owe!lft4<%jEAWE1;)nTG9eB@< zb(Nn%yp7(B11DZqjS`6qwNZ>q$Y3Jf8IO zz^4kyzf3`w>OGJ42$X3d2y_yXt_nrWp^P^nk?8$v2!(T@b`z`n*=&@RoE7;`OZ%P? zh&#!ibD{mLeo_D(8wcMY^dE3DSNwK@o6j(!97kggvtXPp(wi4vp@U&=v`eM?$c+p= zF~4{mdSQ!#UPZ0qg{)HT<)6+s=z?4JQ|qJp?pTnYi8GMf zCX=rDVPX_jmrH3p4_+XJb5C$X6L`~4R*o-Ix>15%fg$h&7-mIoN`YUM(_WHV-=^ph z;Pq{5(Kjf;4gd?>sUaVHNWbB^RxIJAH-!qAfkS2+N5)qu5}$!stp;+XHp2*u{1DRQ zd`+A(Q1|n*e-&bHeH%$1RQB;}(~6>?5cP#8VHDR_A7b1N2M(Nq9i zv>phZaD^zuM{l`OR7(JNN_yNma0fSgCEkrQ(9ho$RnhRJ$#r~;E^Olr_z=T(M={V z6w}Ht3tLW7^*+c!0G2t(%%<{K?8!#k_i7mDGbQO5b75a}BDrZeQeTv~TlgLr zTgEVBMH%=pGAk9W8l9fWj1>%1GWQP0p+&{?^4e=1_)^|%{EPK5@Ouwwo5;P?=Kc=E>)O8y%8epD#yeE(;4ZX%E|h6mQMcsj2dEEFND#&CuO zc?B_yL}G+IZxXjBa|8BDf`205(}JB}5*ip^5^Gvqv-)yjusol__BBWWpudj_Ke-Wu zBNyK6lM>yD?6`jTuAwhNzFe}pJ+f+?Hkp~BE3ULgd!)#k{nu06G5!=GhTM!v!9M$v zf~tO-e=y*eE`aCtWK!*Lcg^zVQk|())TlG}ouk4w41reh<#(}xtVoz@4dUR?0+%Nl zib)lC0_~J^{vFyqx^*45W_Q2K++|8I7T`9LehPr>Z%H&OEaE=S7(h_2%qj(^f$e17 z+p4@p{nD=YDdrDSF4Y0wCE=~J2J4A3y0hIUlws3xrdK9a(Xc`eX7N!7LuCaY)s9?^ zv#&rbgY`6D;-mDtNfw>QCQaXx4tj{wfImRy(Z~yNW9qOX;f?q3me^AR#5Jn7z-$-J z8jyiie^3c1*+^onbMt zo!7{tdpYuN-A;hgbBOh!g3C{4^Docy!|wmw%!(`xTaN2R6`RnzK<38m-d#N13~Jm> z4S87x))I)KY)+@X*(~3~vQM$&YD2F3vG=q6Cy!?2G$?O^j&xC(?&4RGdSUVY$njtY zA>IJbdZ{5l&5AUPxeG6Dbd|Neg;)jrLi~1(ZKhgUy-Tb|=g&sNr}@7Um04djRTyRy z*u*!3hTRllZp<2kV54eGO1CqOY3|#M=ZBoC=!)mmsP$5V3@ZQ7a;$&VIC+x(WPT%e z1Ftqim!$#MhYg_Q6LaT*+h0=o z<;bL18gG<2ZXx#oCcs!*H0=+YN=Rma82hKKi!CQ%{nha#z2H~=Zn$hwt%v6FZLdPE zjD6VtVLD~^udw(ZJ$BG#4D;~(dE-PRftko0)nng0`zwDN?*LfwH;R3acA!6S#-RX4 zIb=9t&Ohx#NaVMOxD@H>gt;o5F^Crii94}tHa1eOHf|G@ja&|6^|7^&q4rc;MSzI-7 z&RLZ*%L2@nsP^--kLmzBSXy4cCEgI&CsaGve^VWIu;E2ht=x%ckkLoRY)Mf)Vmfyb z-}81(dRd_N0I`&yw$X0FL0Cj7Y1DtEGL!H9%MKsBsy&_Wo`^jJTxO|-)gsRrUIWN>w zegpUlRziaso@KJ@mb3VVsY;h2_$C;<^FEgK5rAZ3%VwvUFtc*T?yq!S|BCn@xonj5 z!1Kp!DQra*yL67Vr+oZ0@H}5yHk<0HdNLkw1VpUCK8s|K^i?dTA_FlqKNaG}mw*$fM^0Za%30i13^J74sz;wGkdv9PgBhP#YMg3f=>GPY5HHOQ4xF<{h ziN_$h^VnXcKkN-o#u^$Ds)^Vd=}rsj(i!}x8O?6IjWLyUfDzRHL!(uiU|+g`+bP}d z&d84`My!QHSy9{Nhgm%egVO&1otqzV8}g73Ue3*C#=aGscV5yiigNro?F*pLbLkR?pduBH#XK%NH}riLLhAu0BtN25hVy5%~pgT%MFk$)o^X2co7 zb=g>M`lTd^UHdzV!A{uc_GOF#RB_XG=DdYG8a1j7S&OhGQ{U42c}%15Iw2FXma+;61gm2hoNTM@g;x38ws@@x)&&%cT=gGW;$vtT>Db zPd$>8q4WY=z{(Zqq<79T@!=^=6;@&f)d5aFsEbysM|PT@H2mH2J>Sv1iiwPw9J zD9_hMELTP)o2^jwqclc%F}jJW%TO3Me2Hos>&*_iOdFxE3{NJmQjIn1i(>MVaP#p$ zYXr$WVsVO{&uv^bGjoz~1^B?jXAt#9!nIZJfc(JXqHcIUV3mk_#QemR`n%5)?L05O zr3M3YJh4UlPPAWg(_Q>0p4tVnK!*crwx|oUCy#a`XuKF+Hn?+}JXf2sN_i&PtT&pS z&_)>S6e-$POLg5KlB+zn1+0kd{G>fO)U24?baTS@KDvj|uktK{b>=rO6amMXeKy|F5524ap;{qfC)tIRsZ?*!gI!()q@<_NOlOy%Atig18}L zY&<1qiTt}ZWEI?=OuPpvVA9`$ERFH$oXHeDhGhnAEiW;H;~3AS_x*bEyAcwE(=6u( zO5Y=sxk%{m&^4@ z7Q`v_r1O^2gaK~$AUp|cIz$yWCwDo3&H63h!3`${o3WZNH{#aP(SfVVC!gIww4ozGDZ;qEr@S6udG)sle)X9N~q-cUDDPn#I6~H6RCWb zg#k{Y99VqWvu(gFbBJTqZ##rD6&@J>RR-*H zHZd7Re`|Z)Z!*ak6UO6)6|J>xeXE&=7Hx5K@j976zLiUQn60 zKjYg)5Z)RX&}IE~D(#8g6{=;ON0MH%YU-ixdQ z5%TyKHo>gPx_?NE>)Yzfz!@Ib;*Vzy?leT-Og00lEXY3haHY&olMsExz6`Pp&oh%M zK2iAA9AJDi>Y0c#7;Vgbp8zzy#=#jUK zkG$wftRyNo!amtd-g-71{*@|&yv>h z!xFJKs>bIh@xj14p0iFswMJ&r4|e9_{hM*lLj0rB^=bSuu=4Mz?dju_VgnxtS{|Fi zC>rFhCOMGB$&3Q#ABJJf^=$1z+8Pt-vL^GvDtiC| z;^y-YZNQ#NCTNp(lWF$|q0q*Jz4B$OSK|RZfh8>Xt?V)i2^<0kXb^jOQIj2(?I3xE zHe7A@lFe=t3YofHp8Y)6Nt3H6IKT&EEzvj@UtE145fbc&i~YbRPxFE@sNC_!A5R4J z?~$ zRB4MwC!P-^fMdJF7X9;q<`E!rtVL(69wBAY!2t48s=px8^DH55met?Q?~@wbaVUgk zv4emxWP6c}6&1m2F$HFj`r$>X>{IB*egKh1TiuA`MnXp7)+oHI=!}Cx#RLT7Im9p! zZXZBKNK>8QODD#Fi%u#-v?Z%YoERovhSp*6p^}a0?W{-=;yBd#@=vzujI9;Mkft_- z!34EJu|!*;$F*@f6BJZ297(2IC|9oap}a_rvcY+EixVQzk%Tf5<8{@n$TkF1%;*VT z%Q9D<0<4&qvg*GaKzAlU6xXZh+~QVR^^A{22NwBNqB-MZ?RfP3jBOdOCNnq^;iNbw zti3FpA0!nI;?l4?hjLy3bk5t3gGrk+W!Pf#yX>dD6BJRCGqm4q(o_#;`cAdEJ#gx% zWhIf>cNJ*jRsLZRr&&@1?}-H~6ow_01@^1mRSRP{SG5xH*6wHkQ2ZY7djKZD2WY`+ zePiO)yt~5e)a7`a{c=usaNz_sXYMnUN3uY}00_8qiM&Z0u?pr${=*LB-`CU9c(hE0 z9!diMQFMRvpELFD$|6r2IveUD$|Ms)c(XC7au65lM-l`Kn*r^REHl`MK6_X%>SyQ> zRS@HE+)4Y9yecGwdXT6;rOKTZwf*j7aLNgR@rr;>!R7&6VEpSO<(4%vFrIpPI}i+3 zg!3?#QniDSNo5=S!3R8Ta}n7@503){AEE@mF45f7MrABhpoW|~?d6WF$bg1>_&%OD z?-h7LU+JCvr=Ep+#Yhcx1a`CdcKU0$VbCnLlt<6V*)J7YCGEGAW-`t6@zlX89y>Du z$BCXSF#_JKCYV3=o6uGkM=Cx+Ahb`+?HN?|M>QzuK)Uxw-v#yY)Vv~71(r7aF(=X` zk*CQW#$))3DcQcP?Vs+LxT{h*e1k-6I4cs`lm!3dh7g-}*Z0qWk`YL#(s-($my$u1 zwER&t{LT)Ob`swX)Yi@I^|)Tzgncami|IWxo)(V7 zm!Jk^s%;gJ+cW2fsC>g!@DY?D&6MeU75K_iqwD&1wN^h0rFVm@Ck|%NDsDgsmr6(D ze*UAD;U>0^;R7f`!qrW%k3#V-q2{B~Fmu?aLC^Oygk$v$vn&yR9RQ=SB|yQf9Z4Koeh4hAPd;wyVHVUWuiA1CRw)+Myj-J$9DHe@qNKYdEX>{YOCC ziP0mxoX#IxqNoKcEbliS?4UKp8#S-&cF+?m`_+XD{B2}J`#Oy$=4ay9br$d+&>(;o z&wV3g7I7E(kV$-N`|(fxCWA_ISIdYD6-K`3X0#x%>3}s_W7=UcSJBB=DZy4SPGPCq zsd+H~&mF`iJyjD6_S7q$H$@bij~SzM`Re28)C4|bTwWQiNSN`l#r%Mib$fYy-WD)S z4OPut#C$%8`N={agc{OTEuXL>!&8XYp#8y$7wyxTLp_fXQr|AyU`mvQblT0caX@^S zNJ2b+#FE6UdA!pNLd3(nb#42v_5hwqW`(KjzvaYFfag&JT!$7oL+qVV{mJv`X0R$~GCvrIv| z)k;Gf{$H|R$_;AA%y9pdDe!9r*H?|P&p`>K+f~F_7TV585%))JA1XfL%;KZ5yX1aq zlp@?gW)Bjy;6o@VfuIQ=SLZkkv-%2<#PhO{Uj)i2o~!r>ZFn!R9|rq^SOf1uK_PRh zjM`9WmM0FSwiUshxMa|6ND)&?I-6xG_B|B~$!xN{PC);1Bd@i{T zsok>D;|z7Q1Sp8{1yv0CQ;+54Gv_VQJK9V5iX&~1?jkUW#;R6EqkLI>dkg)}IzDPV zgmU?_O7^}g7ZyjNlp#iiuSm!)Ujw{CUkjPbRI_WYsDJlw94d`-vCAlY(JJoqs2*))5#eLpGc#xGJ=q6j}J$T18v5KgoH>|N36q zUZX)bOAkfEgmJ!Py|;>HQ_81>{7xGTwDae2RW;v^#!gX1K>GzNm?V8Od1_!FUxduS=$YN&-*&b4F>div6g6ezya)`oBo9F4VjCX^t+3FKI@bl`RLGHRn%%_ zV`PY*S*A(2N!=IyPrhJ+4bL{yHAgTQv+%~I&>T!q6Lr1(UF?eav0(4BIF(#wipYPZ zUjn9yCMz;rS*+naIfv3v()^SBCttg=q%l97_31HnYD!m&?X=#}< zL){W1TYlrut-jp^8}+RY*^sM#qb;3kqw>#VtR*Iy>c_<10hxE^&PH2>9mT$kgv0;v zGGqB_)?yA#`?oBHN6mEIen9d22gI^&-jDRB3h}ua>%55n?>VcLFaI=UDM|SgF?Shm zpxLU9kt^3w_m2~s$Uv$)5W5pc_!IFsQ>?F!I3b(Vr;b=r%4^Hy2F52pt+$mpWKtt1 zbg@N|k`_-}V4fmg4IA%e=%dg6{xSS|XWSmX{)y>Uc&$|}=!2lpt;=y2AJsu`j9NS$ zHR7>nf_&VwBXk}%brGr<^}{Yavw;#DsQL`WW_ri%Gw7eY>w@*B_`?M0W9;4@hU0*u zZf@^pIWOj`&)2w{b?0xg%ES}RNiq#z@EuN5ajx)EDcmK1%@(W*5Ud6#oX5r3iS52jqeu@gceNgi@FO%5x=39YGF#+-zX*j@*^Y~rk67sKrvaX+MfPvV+uw)Tof@P#Rm z?>7DP;}s;P$$Y#MG3Y(sD>H`^?KX?dd;W0|J1K4ZS|huk6gF7b=v87O9vm4xr#?g* zMkHA`mv8NDnIe3A9K0tRd8`XB&P)stxUrMG_nAG2g%~BKdC`tLtn9eNw@cAfyjEv( z4=2@T{jV>&E%wdiS3hq}-FG=1_}V!Kje}m~Ah%cg@n`i$#`gLVBmZWcc=_~0%dHkk z#i8edFEJZ){LCOF)yAG^t6kV%Cprif_F50doT=NkOqcI-&{P^<=^47WQ|TUH)Yoe4 zd1*MeU3QYgrfEKn@~}z3WKR&i{BXOGM&<;9 zto8VQ^Z2XVFyb=0pNO;g!if|zaLoYk9!je&{-g>D$h`fG=HTMC7;X*Oq}skOJCq<5 zT~U?!WV8nC9{*^wP$5WPv?uO9L>C>2uF%1Kt?kd@G<<70EMK*SUFcKm1uLH3yV!3{ zJR57>ciM><^?dHz)t0|zq67XO*W2r<06eN@ekkx=&wuB0+V%Imp_OWSm-P6~5Clqb{+vJtsu?dlV zQ|-bfb*r?Xhv#Bns^^(Oc7EkYm;oKG2qNcjAjhj?Je$_A7f-jbcfuS8T4?4!{8jq) zA|Ec--(w=q;lmt~@s1t%;d+y8`U6hKc+^IP)3rjMhw}c@1EjPF^AEvq{EkjwZW(>% zUMKY23>d&adCtLl<_-0>1u%5vCtKiJ!+(`(?zSyM*QuYLCeX~Y)akh7`}lFOh%CC8 z5MW(O*H3pT%3oe5NX-&!o%h(mmAdcdpy0Wchyl{E3+!62^I4#Hf8XfN)_p+>iEZB?Xz8}SpQ+f zOyfctSJ{jF^jLAzN^AJ>xYNT1MBSzxEhLJ&Z2J8%qM}+c)%&L#(f{j4lO}9Kl^ro? z_QZtf(;n0Qqvsd2rHDPI9+e%5*li!uyyOvE#Dk0_=Zfhse}RRqx;Z}&j#AVOl!j&N z9=*sXsgF-0LYgMcI;M1S|MoaSZtp2II3KfGI=(v;26?x5V<6Ol#Q45vrpKCa;oJim zr~T!UAK&hU!moS8Hii2USuRl{IZ*@^=zLOC$hoG_sVLBuuWMa|31_~+I9-dI;>0Z_ zuYV7J+UJ`c+i7+MlQl}Xq8G=$C}TH!IGHUFSji^Ra{ULdJ;>fg zmjTYiF?zo11sm=7@uH^-%eTB%ZO49%TZ|l#`VVz_sL#8&kJ$jV0pi^?`kyw6LRUZd z<}OsWhz`v?#y(3cjK%15WCfn<*euitv`>Zvh8PK{e}7{?GYU5l!;=0pm!ovYVk9X$8-lwZztP8q#}FoiCt zG>@ZmTFdN4-=Mb$*{8jWb>y@l+m;s$&u?gI}${=By>Udt|`!?E# zhv%BiwT9Kzf+c6gRqAb{M3=cg_9<>*YrMZcPiOz+yYliqw{NLTdM1tuW>>INKdUHH z@e-@R(-;3afPq;M;KRG5R<+GH3_n)VmJ15N=(@xgE|QaZtzGQDKh(5+Zsf;AlPX(1 zFFY(pcqlK_d82sy2)CY&zgKXKPS$IFfjs2?L z-#<3m*!N(F4~r=HPDF(oAjQ^;6(6ge?mM#^8JQgPWc&-;;M3Bb@AkmDg?yx8dhEkT z!nnuuXoTEk%k0DYhzq@Z+&??#vn(+>$LbhMzpcUO=AG(7h))%o91Sy|bD))Rp9gM7 zW#WJK`t$-B&ZC7rIUHH}IVG(226ow%nh*XLE-op-m;aJQqVIb}rCqvW=naYw_o1~j zw4`>PXXlmYH_3M2oIPjqZ7*MpqO8P8;-D{dI&gKVxT3?-G3XbGf7H{K^tVs;tbyCqD zQ>EF3Db?mDw0&u~xIgKx(MQg?kT_A7rE7$!cmUv>Yg-onAEXw!6!DRZX|lMV3j=16 zJ-s#cwkvXT>wm{^-Gr^3Cx`aWM6Vr;d~qvb!0hla(X1v)wR5mGB37f{dR<|Kco(aT z6S^R|-6#mJAnDoU+JT--7D@v3HH{#)$#$x;=aE46^kf-52HWlj5l*!T0x^wr32#a0 zfOn6CSM>y;we|eJ;oqM;@FO-XXgMCQlO5q#+|Ev5rtR=pdrwH+5l;$kfevy?k4ssP zjX_37)4N%!&E+Xb6(LKYqxb6QcB;2Gy*JXNTH<8iRj< zYYu8M40-Xj4h3J+-{5&`ZRe63XdeAlZL60K&cFI>>@#pPzRs(ac4#SvkNcN=Yy6Ak zC8#^-E~&1_9#Xor?XJCe@FM$YWyRe9KU%h$VBb*Sul5j+FkpS69y zSBL!A2zk?$z0X#ogp(l2-J^YZm|)K~Emz%N9NgEWUV8;jmTMd@v}$&gf}eV*@Joh| zsPx>TM+@%Ael77D#aEDYX8b}MUew3(bj1l1qlp1Q6|d}4D}4uStDe(V>%MuPerYGh z>4NxWqP87f`PDHDe~g%b8o*@;G+*X@8GiuOm*qx=y+lk2z|+=)uzzR1E;xLdFPzbJ zrl%cOLGC?xkrryUxX3!pz8E_D_O(%NfA(etMElmnm~iy*_^!dWm8Z(-9YqOiSHnKn zcsz6EZKysUl5p*@n1huUEq<0ot7eZ81&N>YQc3-wH`kR}Ub4TbZA#<(2QT)DSGTH$ z??~U9+Hx|u>(&wO`V_yl8+G#G%?sA zJ81CIks7^}PfziR#mK)2e~A-(doIc|k1V!U=bU9vMIV7;k+o5ZqE+qwJ$3EMb%8}? z&NkFY^`VrR(&H?-Mc9i;me_JW@T~59jr-TQGZ#*Uj?;Fl4y<6)-^%SfPw?P|B?u}* zjgr*~wv}J+28?mXVJC&H&fXWT2Sc%GBpqQZeIWQ`rFCL}`SpG8;4Z=mMy^hW*k^P% ztTK3TMj8HaDcj!c*}Uj!et(>4?=>Pp5%n?(;Yw`Jw`SZ@B9FAK zJu0_4M2%at-nC%4x}#mBy0FgxUD&-l&w-y9R*oxtJ)-=mJ*K9GEfc#3V~>{w9SPst zG9geFd}^VodUPPq+24uJ9;w%{!yG;K_Hq8rI?lSD#WV6pTZHPewq6}Xm_~&YJUoTi zfQ!2aWpTZ-rww%s`=8b4w;JOq?%`%mm@nMK!{N1_*|nZ7*ArGoFOYxc!mdL(NvL+u z>qnQ-O}9+z{%bQM%J7p1>$C<4&TL6P>AGIMnjb%+EVEi#92)W0{-!DCjxze2^F6}9 zy1`DQm2RwdbE!J{(uiN^$N?2teGc?&`2NJwa~nX5eR0-?EL=S~1g-h#s`h*K&Nu5K4L(?`z`qx@EJQY6 z${1_XiO`>Nx|lIa-DW)6zF6?$!a(TQ%9rS@-{dmLUHr(kYX$gr(mW zLROh9C$I)W5x=LM)63wSsv5aR;IJ8D;^}?dB&?{69(-Y5mh=yIMaM*{SJ+VC^ECc3 znA+Dr#}l)AMS($yB)Dg39>6)2K84H(=%rGLW`rH_ndwtLMJ{#X_Ma{6hHrmQM7k+@?7z^w|wy0mVAC$E4I2uSkN1JZPKmLQ6=o{jmb(z*i$%VVitn1Dx+(Ul5^ zgABaqa*U~Plj*B4!VD(E*j3mfyC=BjDZ^MoAEMFqL@Nr7xuK<$1YPd)Ul{O(EmNs7 zzH&WYd@B5xv2e=&g0WKqa$tKA%Z>|18>`{({e-GEmoar;O55{-uqsgmZ&D9iYsTkGKmv|yTVHw~?Q$x4J}MUl$zEcB(v;>gY& zgKSDmb*5m$nzSddy^_fI&Bl8j=u!2fsa}}0v9#PsnB%(&*fS3nI^e!ZmY~4NtP|dM z{2Fa-yyJEsZO7-^@Xmx6&-Q|jBzW@%gF{axEV6!0Rs_S-p22_pDx*hTZRpVb^<2FT z1JkD4fXIjePD?E%&ft526H#_FleTl;SzT80^9S_{2IzLk)CcNoo0BbB&Xwn8-l@;F zZQsMyg1a01JLW9o+vIg#+fLGT5~tn#2BL2-VWAgvrx@!y3djD1P*cIDs3{rh;Rr9U8Pl21>|)zLdcxfXJqQ@C z@p7vbu&T=Nbg{-=SO5dLI-Z21)YT&fIBi=U^L2d|?V^pobhMbz=Ioy)MDZQ&;*i4F z?eS?>2$Q%2t&W4po|`@cAZIS2_xBp<{y~mqft})g2#A(uZCec8Q@fD;#2>Q|aS!V}g0T>~zNTF{JYp}@3VFeL z1C4&u2so6MToap42Ayh~LmthW&ry0Dt4-i^rD846v4G7CVO8W@!L;a`B>ZS`d_Yaq z(%R2&oXlp|R&m!0r2(?7LaFgjNg4g^x=RKa&*qQ;pHAD#h?5)Hk&BdCt&#k@_{-5} zokw;9TVF&&6$vN8gNnR6qY6^Gc~AT^*@$=FH*lZQqXKxiU%KHiQqfA)aF4+B#GxR5V_5xcT0VyZ?au59nll?Y4d!QBbs#C`A}{;nlS*k9oABF}5&jGT-#H&o+Ttp$3}pT(_-@4+R{8Z7U45|411 zO)1m;EITvhx=Y$wrGgD|hVKYnH8GaolV(H*`kI`G+%wS&z(AvU-x-Y$_ObhJr#y(7 zH|x+58W?BOgGj$^2D{7sw~eRoR%{&EEav9>xCxb|=jEh3m16NbZx^Am^ILVQYBy(S z)ulHBduiXlRr3tP1P5_3T`Fr~QJ42RrTTD3%nahN>NJmgFCe;Thae^ade#R7+KKex z)@dJwYv9i%!W%35H}Ax8w*lK2mNpS?p%Akb37*E#bK-+;gn(R=>SW$UgyF39MpPUU9uURTb5T$I3@ppcWKTF z`T8O_yscBiEt<1qeh#zAh>ILpI8jcD{>Oeo6sD*gK$L_CNMze82zkSBoS&St2GRAymjd6GF%qMYd@nTe5G1 znId~kStI+Jb;_D`B1=+?J?q#FV;crDW0v3j{(OJ`%zZr`GY{_jT<4tYc|D)!IyZk> z8`SOt`D}YqPjv*cCelR42&~8qa$p{BtZ3w*Ebn<;`22g{2?I?+Puq?)S~wc!6{Mk% zz}$sL>)Yl=_Z@XY5BXsm-xd^-9!chk@U~-{AjvrC$3zV&7*Up5vx|oPf1ezMkMmoS^Pz?^A|l+U6Ac-`_*44s;Ya zv=7w1b@O7~-VFU>{TFS3@ql}|QnZ2P%A0KWXc%kSEx`~;_Q7c7P(eM*9~Mx-bBvY+ z1$sOgl#WxW)khf;6*B3u_t0@0s0*;fOnx(WGeeJ|(5cCP)|Ai(dl}36)Sn2#wCvh=10Q1Wv;Zx;S4OlR zZ3LV1c=#Wk0PZ2FY@}6_J&zf0MBC+UCrwjUI!wT3SNt*?UYL3)|1~$wo>M~$_wg}Y zLB}&!fVf1TpO)5Uh!Jq$3W2Szd}vg#KPUkFz;W7fBY+4qL!E(1uD3YiwAx*G+jEys z!mY<-`8{V83BwxxR%t*bw^Voevhj(<#(S=^B!%b#o@KH&mh~Ebkc#6YhClN!(7YI^ zjlqX|3fWKH8J|sH* zBWN>tgmEw!_f~Cw1~P9Q$qMuN>-V^1Akq@h#_BZ-9)aLLI*!sF?*{*0_vqT}Q* zKa8x}Bz#gaYCaD55ovwr%Q4OI77Mro+k;~F5shj(I*IzKtD9}zRgX1$>i{BB9+Yw^ zto3zjBkr7%T}w$;RZgE5s7Qdj-6*ek-)Yjxu=_Oh^GpPq-W$F2u}@AL(bh8>tAE5w z5x}^kRl2qs0WhPd#Tt5PyF(jt;oJQ9+~0_XN9A%ec!#-@Cp&E57Z`Met3Y~tByyfX ziUZ56PzF8lK=e z0Q{pdBK)w4Q&cw+4GuyZ&82)D>|}_1%GKK>(?$W6%I9o=!|>}%#QZHEnVQgxJ%z=! zBirxmlEy1jfJ1xcpJSHga`1=Yff7sHmcH~GU>Luj*;1VvrDu5e?NEXk{TU9SgAjPN z%!P0vCKO;;F%dnX$6Cv+$YEjum-Ynz|UuRruJ$3^p>QrDiMx!thq`eZh{5Y^Ar7$b`>5! zbs+fDGP`e{gT8N`Gh*8b%`TNa!RG**8khsf%ehE760ZLKd9%jJ`dq#j)99fG7ezUO zF7j!xSqqaQw-z}cgHbyU(M-JIP>+C28`PR+)P=x8;KHQ()Tp6475 zx~eV^Cb%>fYD|&eleDShS~tZVW_wHg>(fR+U?K*nA6e(xYcf99y+SxXVNfOSXYc1Gdp4qeY$q1tWk}k;bwshC59I898 zkRnWq!E^eEH3XY~wf`Hf>0vJ%d17Rb&=@Z^J9V5LFEXh}AVn45>3> z0OyJI&=)D=m?43su{xW-oyQFzAQyWOGsD=42WQ*ClepY92clvm{l@Q>vSuWuMSFL5 z)4vC~SqL?=(6?<-i$k+=o$S*|8e^%Ztgvs6hjgE9wU%ze9ag8t; zgx*JKL!f(kplcgyRFVVg=OFwSVB!45Hin}` zq7S<-@9TPo@!wy<{$66RGxQ?SJL^|-VnCLWXgE}#z=(nq^3hXEd7Lz)HsVbWgP9^3 z0au0fKm};2`Md9O5t3TFPLGJI!o;O@+3U&sMgz%W*}e+IfwPG4qcq}cco;ABa4Mr> zKNpw53JaeYBgvX@0M~oAan1k)cH!tj5uGuUM_Q$8pP%m}ccZZEJr$bo^dDcKFU!H_ zpkYE(>pD_Qp%)MFaL=5&Oui+JbLl$juGI5wv%E3?Q~TljvYJd-^z}xgy~$QXSak6D zf$jwqzH&aiImvlEAHj+cXqp*Lc|aMOTu9z z8(3iNE{E4C>0ecVG`xsA8TI)pST<;2mXC@A`?j|>$idnlv^(x$1!zx+Eyp5!R4)Ux zEG!X9oDw>^LTR@VpWLOoYwkXuxHaDi0-&;CDEu+r$W zh;H`Mgp*rvtY!8G8H%YkYA8$nG;e_i9hR;f|v}2_xDA5=Fz&|5Q!oJ85@<&ihoLz#PbERlaECPv8$9 z7g{y3Lqs_!WdI7#>ip^uK|k}Ux0-dUGvDh}k&S2pKBlC}JyGJ7^;DBe#QOtC%XwE3-+;R<9+0Jl_r8P4Rtc~Vd_7)ECd=_+; zX1;XEC>tf^QvtQ(&ff`0{a2r?1j^OfMa*0&1-_2#G1N_>`LgFay-z=!gceU;&JOD z_0~?)k4{7*r}x?>;tjX%O?%g@n`G4{l6+V^RY9zlb1rO+fEw7asi`;rn`*{RuK>9$ zu|}YSjYp4-h`X6|>OIs@VTHQd@Cm#b;Qjn^fyH}Ly~HoVak82JhLEu2ZbmM^dfPfi#VsNavH3LL%-cPnA`pnV+>@dZ$z@`MmIpR7_6HU z;Mxj^@D96kjO9p2IwkCwou0uI9=g^6#)e1Jzvm;OI<03ClgZ6Ou!e9=QKQYWuGD)CM#`vKLU@!RVYj)idJL_wB zUCo500<2u~#X#@ab(c@`Ak|ZWFE9gTVhJN}wH4@mFv4dZ+J%VXGd%6Nl?hlLBI{2X z?06@DK{jy2RzhFbYgS6bKLuC~n5)qXgLI|_p!}2`cmfnJ->}Nda7h5aO(S77aHm2B zd!Fawh@YBqIv)v$5 zA|R#aL^!^{Wb64o1_(Yh-sa3v@ZNBc2%E)fScAjfFxX;*?Cy;*)`)OsuAezev+;K? z@*3H(z1iR+G%eQYBJzn6s@i9fv7mUaw52j-`994&M`|}?!lOt4p-xIbOWvQpO-g9G zLsN{KM?viXsRyq085d6XKTm-Y(jCJe?W?9u{v)gPkaccG=hxp7t-8=&^UdqwPNh*Y zp6`Y5rs<0?N7E$&y^)j9P4CFUwWEcv!ghB(;^t3U;TXK54ej9#Bhj)bhfHg)vmg%a z?*Nni0KlF8rYT|P*vW|43i zjmOxitvGl|KJ@*S$$JPD$`Bw9Ujbtuv%$2mdt}2#+^#!r6>{eib57(c_5!lM6Z&GA zKEQ#n!FlK5`%80uWuV=U|O}0JR?^`IUO`aIJ=aA%aT>ZQD ztf#!tbod8ar6;5C=GkCrdIz`(x9_~q`oN1e{0sB4Bff@oyn@RYgi*i)Q zDLU$OP}7BDGB+Y;V5h+|+pJg%7?@H{wAANZb__q)`nJ!TjE9#>t$+RoP4&tqX>xX# zQdz*P(eRCv+uO&FaR}$y7G#IQC>)dkUj#^(_eu`#@$`)Ujmiocs&Rgn0G}{vx)gFM z@It@~i~>JZI$fdAbfatmP-!r*Qg`6~VAUS9`QQZzAw(b9+zhzRGWVt?E_2~w{D7DF#~ zH-&QfQ7!6)XIRU@l6c>%I8-d=E>?!SDOQXx6 z1NR{O?UYtUjb{(`(3dAtT4Fvy@Br#{$gpTqedUiqb7~~DfS!3q!d?FTx0$uffMFn~ zEno2_lgU>_xUi2>B31}^np@Rx&Z`s`jEClWnTg~izO9Tv&AB6iJp)QClbQrYKPDgY zS8p1hMPr zPekKgTm0qq{_vIRu)c1CpOr0(ame{h>p7V?$03C;GG_PC$^knFbkCINkrU3^nz)a+ z)c6SF@#ocKdhP{SaZj+gG_}Ow2((Cz!+j;=G6zFNI*c?%3pScQ@7&z_9o9~DLJ6{>;Zi=9d)Cx= z7byI)AaS~BVtgb~8--VRoMywpK{-W)9+=Dk!aNLR`;B}@biIt9@%)Zqj!Gj5@tn#D zY)(0K2`YCH0efD~1jZZsul!^D@(2#aM&TQ-toBNw;SrT(!lFi^NO}j`oE$c(?^|cy zb4gJ*=CcS9Ss^n*E>K5tQ|NQRQyL((JyW{l^~3Z$h3~0QR{OJzb2RIlJ%Lj1A91g* z-pOt0(1Ta?pgFa3c&K>|1p8!tc{Vz1*Mfi;B4zUvTTn-2j0!HG{V~=3fS8~6oRE+w zKs0{X5y#%07M6X|3Bw$f2j7FAe#I3au;BA}4NR`R;U!!T^Sj{g00_z-;BebAHkhx$=JI4ka)2HX@^EJi z)R=J?vclGT@+%+m{v0u+nDbqOubUs`m+}!Tx>fqbxrxhV%-n$#%WEW= z&aB&-#k!R>a$o(c@!qh#xkIZHdcYtc*Xr?z?ZG{=K_mnD-UXsP!qJI7C5-b+AuVew zfEcs&4wwWFs1ZbU81MIX(01-l41J3Ibur>GJ%oW_BhO_!h zjtC2f_hs-Q?UNub7_b@)DpLMTNep9Skhw3eYXL}0&2e(y>(V+$!5sMJxLnTrx@n6X zDKBfhA|?UNM?H?&pfb)^1?-`jCK6gi05#PS9R4KLmZ|-F?s_cJm^hD0kE2VCA`OY` zPCB+4!;GgC0VfARR#5$*+wdN#nJm*)Nxoq z{mVqU3>6ZIT&vvdUS|hS{QVu4%S{~FoYsU)!?YRv;Od3kwz)h1g$MFXMhdMQOc_0a`_DtBri@9)|b?V7fW+N+||-%oe&p<&wSUu+!!*pi`K(ng_sh}S5r zm?0p&wtsIJMw@CP&H;wjEU}1a7iGQ>Z<;ujrs(_y+zOEIhA8UVTpEdh`5o z!o;Dj<~K*O&BW1&LCrU-X4Y*}c7g%>cob6g*<(t5uxpTpU_pAX;wd;A*zAYZWCV-; z5rXt(FeNOleu7rLZ_I=sNHG~=flIXsfaDMrg=<+KZU0`OTGrG})ZQI>;bNbr<(Cd1Pdf7x&I2Tc4^pt~( zOV?voN(%1F;IPE4FbGaT?24A9Yai8K0(W>4-y*N@U#JQ$uM^rg;swy`^vx5U_MyiA z3y6B`w+CiK81nD&?(YJJZM*FOmAHc<%+Bb|?SVVp&4HLokvwZao}OJFZ;6|q5fLF~ zS*t^?^GhPJ>uJ3$4tsG2?oR*v0Q9nuMG-30;KT@nJ&Uh7Cl}JHbscMzv!@?71TPJj zCS9Q!97|Cg0(yvgzVoeVwA<%Ve1hvHK;gWA$;U-@r7sH^Ky`5XMs6n96EHgrL{RE zCMHkJFoBAD0?32~Uetib+4kr5qNAOzAa+;_R4wmST79L;$nt z(B~=me=I@F?dP=Dhjh;o_rQV4*MrvUy0=%Q+;1VU&PeF&CHiE$t-?%0h` zD_>C4f1Q%|eXeu#7)x`I5s09mK$qj3*7a*Ds8cNm{cBs7^Aug2R(EF3Om8hD#ga}^ z*84gcx4*-=(H+9IQq-bIVJ?Eg1;)2M#`&E$u?Qa0aRkEBJ4T!C4p#!YK~o`LGYn4RMdTJSYaWx{4BqS~)HMyN?WG32AUCmii<4 zyK-3qPB0391_vQYQc)H(RrmfK7u9??_0l}Agw~l+IF*xQTWI5n%r?+F;);|3^$ex} zZZ)O0gw1QASdc6w;Neo{G}0ML(0fu};i>q3L0razSmaYxg=Guuf|lY4 z=cyCwd%X9WpWrubgB_BY8uxP?Zd`_#Dk4@gTno-Zn0_9Pt$uXqxv~|i62J9(=<9C# z?IrBFQ`M3ukuz78AjosBa%ya|j{x&q7X@q&Elebk4%>yQJrK-6Cfy7XazQ{bZP z{oBU9Y6)7W_TY5o@qhJ6KnnvSSJc|2Y6<3aQfR)E^Y(Y8+LJSI_qg;okVh$>h^4ig_5|M?2M_;!l9B&)@-TP`+AZj(2>3E&z<+g?nzczeOMR8R55y1 zgy1nR$ZM|*c3zCp8a7+jwrPCw)tyXEOEYocgE(`dlFmyE6;*18uN9!h-+b(=_k)uljKaW#YTAU~p~aZt!&u zySJY;{@-XlGE`wHBj;w`17X04Y6QU736%~qmIhS!lr<2!kcY|{UWkuE=);!qQT-BH z@!#V2_eNu(YOQR2se7hE1UyXpj^p*I@xzE$f0%aHKjzw*R~_xJyl1bu%h71{?y8DP>n(_lJrwGO+iKs?4A+di$#cQxuadXkh~if4tm60aX7)f z?LG6Z4L8GKPfqG>{n=L8hiIuoM$G-8{)P0I#^z2}tesa6*2om3q?D#0uC@Mfm(_%1C{EX6>AJN5 z91(NjX80(*QXmECFr2s<4N6-!q@g#Alvsp=Q2r;P^kJ*F*yHS%>sG%=4 z7BdZ`Pnp!eduxuLHhS-W+3c7G#1;lUnnoHm4{Q@A#L$6TOtuD6MDPDngqI#{iJqgj zl#x5GIv;(U7@N1IJX9J&vh9##5nAdN2UOI; z6_@StpzHMq04u zxmG`n`(Erp9BXCgBh_?Rs6foW{eLk}Irq`^%&7iZEmlkoZ4sIrEPuJdL%{pYLrJ9m zw)!P|v=xJgn{kRbxrlKzU_^2=o(axz(syuBnI-gPy2JwFJe^q_9`0h+A;$r^lJMEP zb6pU*DHh~+BW6bs<=0>xWAtG>_(|?^&BJVEuXq=7vM3=TFenb%Ru}~kzwT_TXx}l% z#h-#5a_*=-f0IbWI1u;xn?`+d+-UE2rJZP4H-bZ78J@uuWZBKIK7BXfS37kQuuSOI z?`D-a20J%{WzPcv=GC8wCz<^;Ogs+hbPyomTV;fHhaw`gd&uS_<@xnUsARXnvhgx) zgNI>=W6_k=3p@nBA+{m&g6{p_=s{$jus#*GduuBO6-zpP1KEmEktf8^7M+2>_#DVd zSxqq7GwJx^3TX=|F1r=;w&;?GfF3MD;dgv@hPl3g4Wd+y1N zXL5VS^1tGNWNk6}bxLLbz^}JxE#=z}5fQQ)i%1c9MZ^2#|Ds^;7qF)5jA78wxI8YE z-;3PnwamAd|F_6oTDvo)dUHeqszblbv%%u`dA|+Ot>Cx~;f!oTbfb<-DcUD$0W$x_ zLoHh-HDzeQ_lpe>F^`V3%FYHoEjB>64!7qbe~9oC@WKPkKOkz~X%ciPw|@nD4BlDb z-yF5Is1(;iyAf=M3!hyV!>mXF^swo@Hw>n1S3ll=pP>H3fICMN{ZOEO`v~b$`S3$a z0`U$cy$S&XvGd{Z&npA(Rs-rB?k;U_*UDQ;pK6ORh(Zb4E{rFgKTlS(OGvxb>|I`?riJ!o~X06}GK3tSy{jF1b~b<;4Y!7f)TjKY<^xP#P}|P>U059Mfc$k-vj8)owupu> z(|J4RE)wwVL$CMp&b>a2g<_Lo!gP@z05)mmpemX}&cM?!j;joaDkYV~TgR`O(X2gA zJ*o$0zTpS^e@(&NDD-Y=W48INc~Bzp`Z<}1WL6*29WBPEL!81#`mH@Z&6M;Bg>VJi zdb7oKrBMk-z*i_t24*vdRx8Mfy*$e`vP7%x>-vsZ;)8C;hpwrS*{4pVpwq`;-KeniVY|-1A_QmxyxO1=fXod+mq?EQcqE9T zS}z^R5b!4&Sg9%zGhpU|JnI$0KSnDEPKmsG?NIioKkUT48xX_$%EYLW9CNFYxXwx9NAuP#xmG1x3J9r{SkhVfZ{;+ zo{TS)z9)G_GhUD;nV8iQhVToe#Xw&>nNG$NRmS=0YXHNzF*yr)6q)kvA~0ZxDSZSZ zNnVBRmXV5YQY;>ij{?Sa$K>Gd8`1jw#UzN<|1lRHb0 z&;Nb3V#{Pj^d^!7?y`(0c`Y!mEg>$%JQcb9TAHrdiE7@Mc^H}&6U%w2wVR!4{gVwsufQ$svKdG@8cQx9R z%k?UIPy8jYnnYPIx^K3pr*uhqR#29&a*cH4t_CKZov1S_=#awJAa14x$Kuv2Lk z#i%o&T8=u-S?6)j4sbL2>aA&q{Hk}?7{NGeyT@`42KX7*C3K0uh)73fh+a8*=K)!z zJI{u3R1ROX(wQZO`Zma>zQLBVpyBKM!B=?)87N=~;SYWe4@Zx8<&C^U+~uX8$=U-= zy+fd{QO#5qC;d(h40W22Lhme224oUl(V#xNw_}gujR5d?vvswI`*x| z0eY$%6b_aC)k|Frg&L>t%Z2TFTp>3;PaUGn$L@Q(k#^tjhXj2XK_H|d>pvg^HS>OT zdvzt$%IB7ndu{3a*oQ(2b;Z=m3=;M}*-M5nl)Zl}Pe7;bW6hT)vD_(~9%&@3DH$b8 z2r?#5N)ynZ_o0@+H;`odp%HcWt&{pCUp^{cmlqjNl%>lcBKh~U5jy?k!=T`vI&DKn zOgUY4_?u5LqVzuSUK&)n=~aqCc4`01a`jph^kuK&r)Gln{t4}DmV9!j`sq!`g#*m= z;ff{&Gc7Di{Ts&PsCVZy+tE_X;G@%Wd(8H4ZnfteELNjLpm0>JF7MBprAJ%Q`p1Y! z^b>@8?q|!vQsb~<=sNHV#&z=Q^W3PvIwB# zr6*C#kG)&u7~V#sk`?j>P0YC*PVt_pX=LaO)N}VR)=E$5@p`Xw?iMcn!4JPIa**(S z2N`^0{Of|V*zVC7-KJ}fXAtKMT8z2NEp9N*aBd|YodreyY0S<(Be$?#1Xk#)lSX{t zMc7RH%iC$MZKPV0-r#%4+uY#N4b6#?763lV+04E6ydpv6&xW3dODwEPhZ_TJ-86r_ zHr2X_VQ-tz2F{#nHwE|)NL>Vu#x1+k{@Ccj#>_aqt9~}W_K8!$VI%y=T+0(hzK`O0 z9VPb$@)Gjfg@#?2nl8uehd#;w-JXs5kbTF|*&eKg7H$iEw6kd66l$d^QfQ~sQfq$F zv4$ymzwa5AFCVmI9Bl*oSth0c8b==cyy^^bwQlxGk0>=Zyjz6x-B#Q@b>Y(V%+s5j z{K%M@3(*JP>LmA0FYy0Zc=1&r_=>Xp$FbMLjf<)o2k6s7=b|-1X)Q)AdhWBiPpz>Z zri9w$T^Obg%XdS<9c5-BTjYb3@8}e$JKc=wHT%j-PN?x2FRIpXy!jOYCZA!IMe)xYnyuB_CI?hEvv$^c#GlCw&VS`+2ZRV?B^gQHs5 zOUwkns7uE%^E4pz<*cy@;XjCTpB#&)Ovs~?=`GNG6(Cz9nnTz3TcUw|y zYj-y7LnASC691!+*?5(tm1{c7B8)aF9JkiA_fww&Vo?YY;i~ut@Rj0j6 zBDY7ieqB|$nzgBjUgXNbq35UfFN3N5?KMGfZRl4?K-yXxZ8rK~>>lDk7~$@#yM%HI zNT6GUy$@OPBXl474t6W$m~|HPsow$*cG^G{!~PT15{x;Z1NtO{D^5V@Zq5Gjmn*LF_G^!c~|e%iwpGL&Gy*0?#MWJKuPuJ z3dox!=(VxGDiGWt&92lgRLL`)KDN(@ggo1dhAn&;d@~x5L8L}h z-{mwvam!)Wipb(U?QoMMuYFGO?j>iVlL*XXbBwrBZ@ndg<+e6-oD``FSuX)p67OkgbU zSe^S{o^F`2gaTC_$Y8VYoIWuJU*$06*m;GPE1l0pE<-LC48!Ncpz?{(BzKa1Pz6@ih<$gqZid6`W$l zSdn(vH}+{W9yvOhP5yOW|2sAmXW24wX}Dxvhl55HKe9abd^edV z$Jb#eW1W;j@1CpKJJdy}ESQy}VSkM&WlLOEt)?rgTAsUMvHteJTJYt4w=JG7Lmm3@CceE#kCy#*QJXY!C^vx6#E)zU)1`?N@qgDO;(Zj$&`#~ zUg}&-u(8>mEROw1C;MFS_ONWp5=i-wIY{UbBids}{GkH-9b~>~7VCilEeT9dK@y>{ z)))aQ`+h-t=Pp;qEz1+;GC0!-y7_@}iU* z8TnAF0K&oUW4z6b=(~d8Lq$HM+%Ja~m65K1sGRV3nrw7N)->xOy}aW;-4mVGD=P7H z&64LDQU)Nkyy~lzNcIpDNXCH2%pLbw_kYEC9x42Ma~4Bt4Q4|whfc~;t3oIH?<{St z{DbabQBn<${oRi+GE zV5*fpCysxdSS2&5Q}XTe{jjmR%2|P79*AUFa=lpt`10SaoVV$1+xs|LQ2HUG{HWDI`H_IeQPJyT)m3%f)cEWW$W7A7_gG7V znkb_&PP3m+#-97|&y-~uS=0#D$;j#1ib|rw^_ttT2S-dp@f{awf3^+fQLA#b4Ob`5 zRJ&^Jrv0_BB#!P&y7pH?t#C1Nx8>fBj)*fJ`QmpAN^mYCNBNRXK?>P%gLh?No(&4# z{Nd$GH}pHMPqwt*OXw}#;deJkT_INeupjV^%Bm?(o`}Acx%WWgOFA z9X-^+d6@~rnQ>0_0kx^EREk(Z)Omibf$f5;Irs>LW+sPfW&GdJuNkf^{QG1}bzZr` zjbAUW+3qyBhotUX@a;cP^a10&pkE~UR{~s`3!^k6L|vAQzkMvUHLtE#4IL7XJbCll~GIuId+N{9%{`GP_J=@o2p4VaNb_%_E z>bzN$yWgaH)#Dz=WI|sJ2&8Gc)Y6mt6b+`tQVo$L$>ymq~v|QO} zG}YF`uT9zGtlZC~GTW42lG_*fog8*`S0{qbTE2fc&)M<co3}TzwhS%jkDipXd!SZ4 zB#a8BZdI&SkGI=YvhVA9R5&{*r9JlmCh%{|#8NlqYwrW!o=^RCZF-}YvapG)_8`KI z-57h9w7ixkS!jHS1F=L<5i5|$M1DB-gjn@yHN;UR!T6D|4hKhN`?ECJMlq02&W!oW zjmE~`Fno48Q%{v2wsD?QZ>Zu1Tcs&fzFrJ@HZlICN(1V??BdMi?5l9DGNX}bb6I`xkbsZv zZ76StbPQ}rvo!3j;v;&??PpZ9zLlv|d< z{z~}2&FaHhJ*!dIZlxT3Q0#wFck)z>HM#_QpaIo3R)i#UEZ9#6lFOSw2lHX<4{o&> zu8dpeym&dq>Nymuw`;a$`z6&EynfNsM!cYD!fvRfuzg~+!q?liJ^j(4(US3!Zrife z)hgV%=EC;p30a4EPQ1+%+W|W!3MmO4q#_Fo!Sn~|E|&bh#}YU7@PEa{rk-D|vI%+} zn$v%_=3`StPrF@Ce~zMBUXbu5>t7RIu}|?+S>Dm_{}`Z;`W-Zy+?#U#2)HL2-F~cN z5_FJ~{mV|+wK@By!z~2AfzN9*@RJv|mvd;ZszFyj`?kEbJ zSF&$53OcmMWt>PiFJ_AEKbTJVCg0d7C;*ulDKENi{VH@=M^urV>>d3?BIAJiKsCjt zLC8T%z~rh9r~z!YlDk-+DJIsUE6mam?tSO6RSL5Im-NI%1M){qx8!Gu#!{O8Qq0qx z0h_4ly@R?;ttVeyn_}-~rsyL6C>{qK=zCt&q293Bz7*1$BXE9F(l|NGmHE!z+fr=P zO8vlHV=wV0i?@Ml|C(=4M_)40#}2yJ?gd90Gx{m7F&8yn3cJRf_G6vlTN#WB50l(yXWQSI(ihdZaW=hp92rwch>g0X?Wt~paCqakD(27zs>YEj8zZ5zsl-2 zG*(D@cMW=H&|PA=Ox16?~91v4HBK@u%zqE_XxW;)Bq0$!RX`C;vW~OiJPq0 zn75k;)70L+wB`S^;$(*QHBxncwp>+u-}P@l?V_AlYwdXbf1AtGGojm5-|+r}!|*cVZiZ-Zna4lY(09U$*L4v3>nEeeCAszmS#f zl)-Mr)wLg0*G#pHzwYbFwWt@BZ`U$Mh|8(_;*TwiHB$!57atT}ZJxT2jk;^RqR+&5 zsn5G!ami#N?@fAebt4{bE!yc?^&@13ZtI1IO58+J-9r-c9a!X=zMiUoXX$(F zR3AOrd^tExlPAc0U}lmPFydkLQJ5inTH@}bQbKW-OYLK<^KHC4RH>^#E_37Jwzg8{ ztw=u>RZCXy@?yAeX~%sn-2|9AL7k|pW9gwK=k^C^?cccO=s{hzc<7Np%b&P5k?_$R z;@Wu2juo62dbNzr(C%G!jd^lbt-bYix0%k$724jFdMEm;dJ)_Crb0>Edv-jwHO_w` z(uKd2_`I!C@oi3zxvh5iHt<{XU|MZfp}$M1-qAhdEoq*R1pR7vZXv5G_~f9Cq*v&? zY-g@yS(PQ^YKM8;nz}R6NL)%Ti#7SkO~2o+82sBH##ZTkGq4jNj`!84`(A4pUThP| zYTz}`t&V&pBsuta>8t9hF~~K}l z@Am2%JU;#SBehMbhy}HKOH%!e%fnIn>aX{f-{w_3BB`3ycV})D8I~ozRENZ*U);zp zj?1p%d?%MfUKq2@ZqjPHVw(8(Dr>Q&Y+MfjJ1VZ_Oy(335zEK`mn&U3y;JSHh z!0}dY{8Ru_j)#lyNQh^trP?>sw~a1u(~;SQQ4=1uWgk=OwU12yZ1oRW7f9+6va9b} z3MV^$%&yVc|89RyZi87*1*%c3r!lLPi`l2k!sPIf)$CtxgM)JSg3jl&cx{YP3$yPV z-I8)zJbm8nWr=3#aeJ+le)-`~EEf%oII$f#t@yHSTXO1asq@Mv;Xrm5TS)r*O3 z=?3O?R)f-^BcE|&qP~k&*W4UD*6X4Nd2+J&_C+%H@_w@9-_NUBneYoOU}veWxVByA zVq3hM_(!0jI#l0M&Z}A#D(gL%?vpe$6@2;eN{JX6RGzi6-)NRQesAEozoPsqt!7%v z#w(q7S7%`PHtVdRi7(1UX>mVHOiOsTVKsZn)IEM7=+~+1U3kY_=l0)qyTe!H+|vRh zu->km1mzf_tsa(pE8em2WQ;_%xe$-ern!bL`6u_7 zs))Pm^(cMOo>Zx&2I+>oW7yvpEzC>qhP|hAS$yRsB&A;e7Z>bdbaCrm*fq%uf#OHb zeOEq5du$Q{4#Pq?u&TW_r$vEVQ;y5?MrPRwp8+89{(+|_Fn8p{*Wskf5*$!*2Fu3VBSq~4=ziIcgkeY^ht2R`u0W!PH6 z1DV;Zh=?bhIs)g#{=(c0vYzYbu%eRP_)<;_3bJ-yw0Iebd~1kdi+hmq_d;26=0%-w zncg3l^Y>(!UP|UtAF`b(vcEr382bdgVVQO-WmASJF+FeOU;m`)@x{3Rue~q-hw6R* zuk1;-EG3O4DOo~gH%StTNkssWG`8=jPj!FgzP5SBSW%e8zDptX2$Gu zKF9md_&&amhab)y=bX8h>v~?#>v_+)?ra^Gjl8c45~03b?`}IP_#3@-Gr#^r{P6FC z$GT;brYK#;06u;tWLw=M-e~S_+4U~v*M9SQ>K{GkdZO$8-j7|*IBLZE z;T4xtaf^SP%=h0nQOoHqU&>?Bv)zrTmlo?hjg}RTFjOqw-cjT%b-VkY%Hzi;|D!)U zE~}KgJowJIOA;n>d&YRh_btDf^*?i=f9cpCmGbAJSFeRp{a$?js+0}7&>fBee1TJ^ z`j`)o8WjUDJG=O^W^dc_|#ZYvt@P8vnqk}dP(-Hz+F-7a`V zQzB|eg&(@vm~*?r+qd!+U%X_f(iK;fuL@1t!E@@*)3dvJ;?1W5EKr2R@l1I3ZE5lWSM{tDqHJwnX|_P(_{L$@R4 zKBg%NSU+{@y?o|KRHc~B!S+E;xsQU&gBed9PV(QF;rsOdm6r{%{s(78qzLzs?0m}$ z=22PcRYzaft!kfm={y{mVR_pA?AYS~$JNDx8<%zQNx^x7cg|2hezz>A&2U@9o4s{c zKc{p>DLX_s^6wXD@6*DeZlSC@YK+tOjB3yS9p+ZPh=Hilg-Wu`}<>kAHthr1J8O3bvYyi}6LH-q)F*t}_lu6wHQwyuEtF z;HX&CVZK(Om1rT2CIQ6+QdiIaGV_-_)A3@T!s)l>#r7k-i)x-1d-1IE8t3{b zzN#C?9;Waa^`B3u7BO{B_f`_%79KloBpqS4_4d;#^`75+gF*If;tOYr3s|?AE!c7! z6*cd%3iII*`TuStcDOxXUJ$N(BSrH1RVNAieat4&Vhb@`O0SO>RF{jT%P2cr3hKxXBuad;Fkmr?YEQ< zNFS`@b;-Eey)7+!`e@WKq0ReTD*or~i~-Pg0I?84q`Sn7(UCY`oWYVklmRxBUK-dfsN}r911+I~uQl zym$EHk{+TS_^2kUGE$_D*RuQFE3a2Ejfxk=B#OQW9T(dOyc(il{Gsi?Mum`j_5m`{ zIrQxBm+TxA(=DTUzRjwsmZ)7HD{13?H)=2|^G;Dnm*7@t{W0>2R^Clrv(`Iziy93& z0ogC>8G82~E&XxQefRA4uQQt63VgGQKSLDbi#h#Y1@9*;xnBzmp-YhXDJNsiA71wQ z=-71kS9MtW7t(Q&#sp&pQK3&#vB$+-g_<)UhJWjA=RH+fX_1>xu!FK(kZDRrK9?l_ z)SB7%`@Bc`!y98igkT97i;7pJT@I>p2A|b_GuSG5q0Ti)7sxX%`XX7^GZ?Ds=$u}X zWUv* zYrKBsu5-lYh^2ySU7cBrdC$*J7u7RRuYYA+aJv1(M{_jqe5ve;oQnT%UaPd)m`mP5 z1Ra?HL5v${AlxZZp5_KhR zHSFgqvMId366^QzNZpCYo-D=2v!ZiyM0J@9DXY9wD>rcDZ`tO>j)#H@%~bzp#TqNP z-ZbI#vhL@7wBZx1D)}Fmpple}$DNnBqZecz9$tAdrmJ1?y`EVo)!y`QS@>U0s?0Wm~n2pB%qzBSQ1&7qcv=l^do@eLNRhH*pEx!%x zHtWwS(oxoSQTDdYxudb)3zKI&xV@&->brdGNXKlR@Mwf)sEPk8{isEW2BF;i_gWpKz%E>R5g=Uqj8^r}W=eV^UjFD!< zPcl)Ld`)un^3;%geUEj-(ocxk{SdjS)a4};)T3%@BHVPgjVTmW_m{Vw|Iz0IC)0#) z$vQ}zJKsgE)wLg3QOf&jXUXOBrO4UO&-&njyGo}AMTWi2Aal$-xO}tRoJ*4}5?A=1 zXu8nH`@1MI)KP)xDD74hdAYPF@To~(>Oq&%>-!b%=x~t?rB`RTE<6TOf0P%_TpPnC zy*MyhBee8&?f6%f&Ud#xb0sfNSiD|)x2`Af$cs-iOVT)#vUFcz^f+%u)mMRlOtqiG zFniC7^zW*$+!)+bk6)i2UbwAdyYewjH(JwCQsIa4Dd`{$C!W3|3JKRb5vgB$9Swc5 zZ_T1!jn2NXwRO9_JQdyaw zp4SbD@bwktl_1Xgqz~FQZONO41R4nBMxScny!^HY9n?H!&G{tpeq45E6D|4mlV_YU z8s`DhbF^))VAeiy!dCsLHx>`b)PA=&WvTA}HpeAgj5 zBQ(5E%r*STCreIRS+?T2H>?bAC9dypg`zG!zy04U^-?*P-zA;jWw?D;rw^^o8ECs{ z9B(#E!#_1^h_u=t$g{cc@!h$I>I3@USHEI#ezN*JzKK14hkE-;o(C#CC21$me(`eH zJr(QQtVY!KkC^Y7kHkclStIzF9m9hAcdGo#1)PwaeT1uu`RQl(3Lx+ZmV1)sXEgGdu~4;5;Zm^nEAA9!MjHleQ7#n7=_q{bT?JQd=cT|2bf zt$4K0`249Nu>rR{(F-P+QVBjaY zafWIJt1{`+b?!N(Wme>gXM)es3J0|=Bj#IlD=>mHs$XFyDEvqC<2(2iqP*Na*qVn4 zS-OyZH5VZ~5_$`(Sz2{$OyW0lB(50CTX{c3uDgV7egz{}w65lCH9%ts`?#zjZcZSi z2rikqw2)@E0-oyR#38|r4?ZSg9+62Hxm`B3&zRA`+*aDSuTI0z-Rt1h zgWgzeQv2&l;(5=aYN^;&-A_AQhhYA#-`_cqe+Qy^wsN%p;>k|oaLw(?)2{7oZ`Xiw z=aQ4;34O;~S3}n(WO>xJPF$zhRbQ?%dUQ%+E1&5#IlG_ny+9H6?|f8#t0^Mt!Cf26 zhn3m+23>mPCgQpF#9))ZF-(K!*Si%kuAxK?I%J{z9R{FTkDDaeH5gz%Hfq3hectV)6 z6ptLhyCeSZc$(a@PX%7gU0@YA0ykKN@!yTRcx_{u5<*IN(;$mcbBEddiJAaMe}Er; zfO;;yk&2=drJwk=v*Wy@)EcWB3O)dx0OWhdYCo#(wBuZ^WF{=GR?FvQoOU-Fgjt(n;0N4795@ zzgu^vG?Q?++rwT6vO{V5 zeLz6?vonr=Izti%6#6hce?9m^q1u_N1SIFdhfr&*;=BaAvdxpHrK8X$Bu zPsg%wNB;rJtD9JR481y5KMEDeWdH_UM(fbQ6LfrJKG-l1KBj^P*$%@F2$Ivx2o)~@ zs;ttmifo7VP&07?v{I*|na%0UPtIdgC*Nve z$?j-}befk?$YY}_knk0I^e7yuj#geJ<8!FNl0+hVDUHkY8ThwhELI4^5ud`zOcYu?-A3{HS8L45N zUY#b6rVLt%la@1Q^H>R)n6?@{SvC+4ivL?({;7z88lWwf zRmRoEG|Xw$ji&v+w9LaFN^3i|xG28kZ$q*h9v~RjAz+tsGxe(rGJ&XmtY17{s|y$D z#JmDiW9Ta#mOE+1(T=_)w9%)4aztiiQb+H;`5tLWDH37=lK{_ zq3FLyb_WT^dc{dh;xdL34+ah5T-D(Y!#P0y*Q!xFSm31;E?}LAYe_Wlo_Vpo+D*9M zgMUTBU0QJNz=tu~Xr>cZ{MGQ#S0TetBS^)aa$N-B1rH-Nu<|Q=V-V3M=^@Un=mqaH z^V4a+t@rw~4SP+am{eLVFVVXq=U6QRc`L6UpVc+&!JgTIdIGMF6&jHU@Arn9*2KfM z$GLwc9zy~Rhlo?Et*{^w!a@7t1f28`>$_-ErGpr&0rHA`wq8G2suS+Bt7ZBgfRP-A zN8yM!Pd%+Pk(h5xti0c+f*_!&p%CEjS&`{kdB4;I&0{xX738N8C26jgd)v|5TYQYd z{;keP@2D!J0Xc-t6sq0tWV_8@xD+GS7a(8iiQsD6?yTOJ%%J8OLrW#@4(F?Yp_tz0 z%|jD~`Di3a+{daFWW%88C6U5N6L~a(_ISPKFAN*Tu;vfNNd$U0Z4QJLm=bj{(3A9H zHQ{wRz#NeawOytZ0~>~qreX-9ru?C-Fin>3J{r0Ys>gt7k%a5{=ElIpN%^?s!PfKA zM10F202vS~DhPPuwD3urxMEE8GD3#uTeQ%De$CtHc2^?;-TlH2TYM8y`AyfOqjbje z#qtJ>-A!*fy9i#{>54SfSqwrxE&C?;z0AhM|A|-|RBzbE160qNyhH0PvR81#!OOlO z;&72mc+n-OwalfEoX>46hP^?NI^e_-k314O8|4L-)`8+vd&fb;Y^ORf z@PEfs4d3HHErnAtVGSz1pWkpAgDVkWj;TCpt5;OM&tHZl)G;)r)t7LehpG-9D z`W5MDfUOGyKhLiC(>uB0A^1+;MhSL zSK_Li3z5*!1=HI2=#1BRRzHM?>rNJv;7p2AwG!}V(cNo+8V{7`5sow@Pu16e?6A4blGCzhIj*hX`+Ys#s*m*t7W)9Zlj>_Gt+3mj-R8g`6Z6fM4NwrLqlVlE)ui@@eE^fa6?U5m*70?8!H^`VT3D z+ADakFlZ2m<DUmxo~>j*LJBV~y}vM+qNu$?@07Bk3kEE8;<-JG7gG!kTN&#d zdCcXSaQ6r6w|~J4bxDD}rY-BEadWWhfbv9qxFs08&3Lt4tEZdnkzecFvdt(=!3ejt z4=$BM{lp@_u@Qs^_lCpR+sZp-vVi7}IH?j*`2hH&`ufY*{XLsR+w-*`Wa0aF#LIKT zWkPA77!4~$0}lArIp=|6_$tW}8a$;IpuJ_!zF-@~v+JD=;5Joi8=F8I&1||hZxv$= zk)KfF>p7fWJk3jDnGgCfI-q@yXBY9c9db7_c10BPLLUU<;hJ}PGvr}jXGNQZQYu{u9biz znRQawPm5d};{Id`aul97JCps4&?=w31W^B(UV4TsKYr{vE7peS232wER6h&0<|?!8J6~ z>B}jG*pyX<+8p~n9*NK1en&X&Ff4_)kOjGSG$HsvhNt|uUF3bF2SW1g3Ve_QK_G_0 z*G7q5c4w+2vtm7=pbCv2^OG7yD|wy`!PYL~R~M{+NEBMT?-!yQGkoy5@Ct(q^d6ntvoq4;Sr`y zQ&$TEOVuZ%s5Wm_j)$nh=X{siXn*(Tj%w|ybwdv)zD5UOWp`|4kVb0osXUhSH*_j#r7< zVU?-Mp=IBYDAe{QQq36rZU(kp1MP>`u^-|9B;5-C4yqUogvkQRtOiBD&jl;ke7p?` zF--*2H_KYToA$M+r`#KMz)Z2&|3Ob}K<$GH*d@9`OtOL?E^Q2Mnt=C)Un6*1Yp~OCDl^@*&O;w{W}L z^GAgQ)Doh?)`_!;gbn*8E06q|0xd%_ic|%5W8#+$KS9=^3ERayK>sz-rKdXDJ2xDlNV3rugj!U1B2F>~?Q0enW?p zr_axxBiiEepLPWvz(>YtL6Wo}ep-VP4a$+g_gG8-H{*lhD%5N?I@X{1`crQolok+xQr-2fX?a@6B_IPNGV;gcVA;Skvom9-3Ji<_d zKr3RXR=tMJ_CwaVfsfzzQ`!ycg5u0B+@z%}UoDKb=m?fy2AOZzIyvkb$Z<6dH$va8 zfJ8r74}{;Vw-;)K7Abx`kddq?!WM$yl_}iARuGZdUfjUJogW##q;5i+vXgd@P@*U{ z{^3^~G~8dg3p}GVX~9A;o^(< zNd8MzN;yA38&Y;E;stfiJX~e9J4oo;gkn1&ZwXC_-Wf(L&J%yK4$3vcJvs?|TVUC# z;aaRJ8et4p8bhv83utV&9H%8g=Fos9M7IXuHD++61+3JL?I=6IYj4`Bte91D?Df6j z+&~6}Q@TMy4gAzDG>hP^r3wN%h7b|DfsNjE0D?4`29{N*e7Az|B!=J@%F+_sM#QqccUcdsSQ|qaevK#jZb0+Cy@X=}$k?THfSP@9=opON zh4oNpVeq{#_5bI9bMGYHs{&hGDFRb)&5eeF33g@;R$&ACeAfXQ45gihA8Z>a8OmoJ ztf-^+FZRNEDaaG)}W1&d^P7zlY6EQC&;d^8p&tUY-ky-WSfI%O) zQiszjkN{o(VlSk6?}qm$fbU3nhb8Rte*VA!W*fs5dk$-r0ubgg5H<1(*x7lw+&h*9 zQ(669u^S| zBOf7t<>|6Q-}Ak~pqyYEL;(idxX3vMpCgMQPhkIwNfcZs{D{HYI=s<)U(Y}fI1Yx{ zm;iWUSS7O8Ew9@7O}S^GEW!r;G+&K*U*XVqM!UD*2NX^{$ToXxT#&%}yCThSWT95u z>=32j?1cmsxOwJ3aJ7Vjg@mt_L+n4N_OePc#dqgN^OHjpujlA39NV-Evisw43I0%m z+T$cv(N_ZK5Zysn#G=*-#kuLv6nH-}9TvV5fzA-R4#QF=8$&1!>Z0R#Hdb~oY2*Ew#o3~V)N!rc}z#^Y%Fa$5;qFkxIgh=rmV8E z_XrJQ6Dr<77+RwAlcKn$ldu$uPR1#CIo3j)?PPC*PuL9u8OP_?d8EqY@TlW-5fVY4 zL~y20Kw*;z3xS7Tol8L{PzqI6XEC%_EaH_(@BoAoo4D&y_w}&IkO-EUT0S^4M1=8w zhQi+}ty>BqszZql)#bQeb@gsdBHSXM5VzbQINs%l> zHN+giVtK*`IS~H%K--F~p6~31gGS636g=cb*jb8&+JCHZ{|{UG-@LID=4^|hZdL%w zwNg-Zk^Uvp5rvwc1==&1vo9D{io%Kz@RGS1otu5wWN136PQ6s^W3+ZChaRX>$-=X{ zn7bEo`i^#)Up9!Ki46Rh`0c75qq5y@veLR52}r@;qqV*6rpH<0?l7;rvdUgXx#0Lely*u!9qJ;rwIxk^sNlXud{t}uY z@{7N-Ovmfgw!{g5qZ;5Q+QRmHb=T?&v)v8#HkI5D^<41_`|&?3gx7(#T}|d7VK;_A z3uR-IK+Sm&zO^TjdcSIbrNOOV@jz3Ek00XywC@@&My2Ns8}cs9pht}-Yb-v z#Rq`JRMkrA-lZxV*t}iJd)D6Z;Shh79dCI^etiNC)wM*?syHB{nX;k>UhihF7+XT$ zj=(7b#h_^sB;3{mkN#OEpin$BP{ZfQ^$mbw^e*KK+aD5ig22^NQwBW5UW+AY5LnP4 zIS#VDG=ctb0|zDJGYZ3F=17?A+5X!A>!=v8`q=_(=9W@P$1HmnbuV~4l(K-CvC zv|o>yvDdDVCbmG+=??VZ#Sbm;Z+Aw{(S46BF24bYjHh!PP>?q)Pnq?wgN1%Fv>SGS zkysH}Q7l}Pho3z@!9!r>h-L#71j}f`tvi2;Jt3GvUL_ITk*xJKN&>VG;^tyYu+~=i zXDyru1V#8q6vmr5b_ik@nR%{V%RSs-cp3y_kDth75w-qdR8}`Yth1*q zHpgHN2=g6JQELtc7k|MscgY^2Q<#vR$c;_td#L1Hyd#U9y@{|9LrM5Q8m|)UgFJnm zVtyL_hCQ7IIdu?DEJ@yogk;335ej587pJLYiqRrX@v4O=6Trq%4j*n zw@Elv0=L2rGoi?-QrD@`cYYT)dLbMWkmDyyp`I?DkM ztkVbSjv>^xrUooiaeXdaAA^3oGP);Swlsij#YKppg1w0Vzu{d2R6t@vE;)$nD_&}A zVKjlZ$d!-%-mUL!)EnL#H-r~%14(E^oj7qvbOyYiivA2~2k=PdhdwO^I#&zZz(5^t z(`r_sg&Yof2(5nvTda%h@IZ+l5=CtTS>^3RWG@!u{Tc&1M-7c<-iz4wlUN*xB$ETk zhc+ECArlk5@6Zo7VQn3{E=EXnXsU!=DH@#ruBPWo!IQvX5=3uke{OBU9`_LO3BO_; zhFjWCK(+qc*ez-7Ul9z64>c*DoC5;u02Bk;4&SN-Kg)n%7wb<|6LwDwxu}RMYF}Uv zasKm%3;-T`4t;f*zHPgF3sUNEojtz^8osOsr3pY>KfAR|NkW~S{}9xHK`H*-{+HvM ztW4oNj69t_;DFF7MsPm`oXs{NteVE|en=@NIO$u=@ewKnMPFy8Xkyn1ZuY}1pf1-_ z!EHukcmJNPM71Nsco-9JCb3Xb2)nC*MVv(Yi@^B<$*hS$eAUsBMb|3`dWeW{x!ZuuVk#M6Y4RWO4CwJHfdvGy(LgP9I@D|;d_7Ac9 zK)R-2{CTOydm^R55R0@x&sc)H?*WLG5qLzIAz8gVnivRu@hR@;I(DTyH7s+Ei54JK z*%MGP6r;BFShK#eF;DP&9VCy^hcg2aDa^Nf0yB(lwa&jjYF@sVVUXXo!*5*(JGYWL zZ39sQucJGQ7j_$J&!ya}hW;Vu2xrf+;`e{VyfzF^gVYo)c-tV|@Yp)Ts+_R52;*4I zxIpa0WWk{Dpazf_qKlfUJ@SU&DMRt{p=3Nr#v@U{bmlsp&=0EjL)@N$Bii8w7ua7r z%KgPjyBOjp)x*o|9{oh)z5ltUVlx<`-lK>2KICm9XwX||K~_7tOmfB(Sb|A5_hfHGu=g6{z>6SfEx+P|w& zG~kw|V54^c^v?W&=5wkqR3*gf9S!FcYa20IKjdwc*%Qj z);nc~2=db?xW|nji<06cZCM`5t4UUEK~22tqw>(Sk$P4LEY?#3!J27)KggYJmhu*r<;v=F8N?^RECe}^zbzNAN2Nv^hA)i`eCSvz_Kgehc)|Vi(=QxN@*7j zJ@7h?om>RobFAA^c#h%Z1u zz@{Mpu%(PrB~Pb-8<194)l8))3}BK|e&H=U^dYxruXaPr6=ZjXy(mxXY(ekzfUhR; z(u`h++Rl+X>{-nE4?cH)7}6zNN7m^pke(|6CJYBK<`Fj4@PvJ#8RV^;tO+dOcNMGFMmhepaR6#Y?6n#8?C zab0maY2+vju3-rIKB6ta5yuWWxQm12TrfC~Z|%r3B55zM;mapkf<%J$-Xu@Kh5Z;i zqU~x1B?4``VG~-S!kE&hyz98+F2bFu2S!%x@a1A?DQA;=7ZQz^QZAz%mT*RMk@-w$ zEkf%R+&dKxozA?juABH%WBET6ourUc=HLQnju&%)h@2d5-Lx*afVLa-q&VYBvpEwH}dy9z* z68IMiQU(mx79hxkABM6g|2Vu!_&6GGthV{;wxDps|-e8ggdnGV!(iC zb$=KOP;AmX$Oa=ph@@g(?qcJZ&@3j{io0@_%gV%DC&(p6R(Dm;~O%u(KlPrq3UVq4}F8bcr zqmNAPy}MiI^z+q^0~+=DaXfDP_3zcX@2y$bepEeHT3UZRwGK8|oe_EAr(aF(Y3`7Z zDp?0A))y-LKRbWq$}kU8p_uc>+|CfWrd%iE^w(pmq|?U! zeeKedmyc&}4hTOkLdBEiJX#JHzdQT+GkI66D1&cbILf*l*;Z@O}D@X5UzTAI?e!Kja zcuY!^nAd1!6u2Qg?_s50-udBS(9pT$n(;SKDX@~-({l$bZaH_2H~*L|-9G0cQZBjv z4jDF}qIB$0+eMP9*a3U@k78qvbL~nz33c;NURXpF{Si8%a%Ws=@a{Jos>aVv?udhk z0~RlSJqTzpf5Mqv@wB8kAPct^gS`Lh&T-3G`29Bu^3Id`QfK&PQqU5neL+Elg0Nk*AZcnw;F0}BOX&G`q0jy=H?i|EHp>H)w2qk=(_hA zE*0ia>@=UAR=xvmhhBTI={?!S$1dQr!o*kRE6WpuQepySUNmUQ zJguIrcBwe+(Q@bJ-6N;RUzR$26zg`VvMG7uXtADavwQoVt(*5qhms=H;9LCPkE{0I z{4;-3sx&dYV^&zI!=*5`Iot7fxb3R)#acW5q!T@6l@>EB7255-ahKJX^+J8`#Lmf2 z7O%YzHaHebd({6g_ub~-+d+5SuH9rg9NUjoKqy_dJ@k~<&8T29M}_x@y^{Bf(>6y- zf8O>DveWtg+4juYW{2{6RoiZz^19*l%g-Ea?>;uK8E?)2FM4=BlRf%!$abuB=7Nna z-!m>1?OP)y-Ma#1q9!UGk7dg{OPkG~d=$t=AFj_(%8U`LaLha??ev(mp`E;W)X&+aH;oJf3ELvRnpW0GoN3wNmK9nviyGbR9Q9L;G`?$ znq3ZJrVl5BO|sQim!}nEEnml_sD~Pdrx2u+847g*B11VMVcv@MT%r*zq7nVTW8Orq zd&c3*(SddgUB&%YZq16yxC`34p{JDNO{FsvN4{9*$S7K9uUI_lRj?%8NYBgGt}$M? z^1f+tw(H-a_!$~khNkGYg@_yF%F2)U;8ORIt^`-nAwLn7k~I&}rZkbJpGAVNu3C+* z<|a$L65q&LozWI<)!^I7jQ8+LxQ!dX{?8Fp{VzSsQM+dA+53Nq(Sf%v>zyi5sC-tQ z8i&c{TpGDD-xKZbyztB0Osd_&(_hiDHCu*qZE5@l^S-{i9`K%+`JajZ$pfQ`2RKAk z2nzGNT?yVIVXq^P5Mw{4)z>`7AL!*~?>o*xHP|{aUwT}Av;g5(AkjEo!lAotv`C%= zC?8~vGfu`4i^)&25UIp6lg0a$$?;4|U&=w|(bF_Fom0_)^1cG|QC}2p&lYx2tiNgP z3x{vT?x*ma;MAAY=GND8Zje}Mh;-oM^-RanfQ66w@AWEI+`bZgUA zLBFgcd<-0XF()CHQx@666;`$n$yLc6t-o~fu%+J6!fI0cv-W>kyfiiEEFr3buljsv zNxSKme_9_dAdPj*AgH+6FxF6=w10Iy)+*)J3ZnON+MuY6c;Z^BUOs2TB|a_b#vqHv zj;*1n3bC!fs^Rxe1Z(-~%o~)XfDsKCM^*V^Ed>t#FE%>`d*fH~~=IO6I>=f%zWbs1(h{RUpz|$G2{%`>TW?qLo zC(Ptx)S$$$qS9Zx{R@t1$yM_@9KfcD6EP&!hgr(?t8lnYs}AVYaw_!}&N>kvV*Rct zf?`S~r0R&yYRQ3@q6IIaul=1cf_V-DF@}%&fqqq-Uqc66Bt+vsvwY5+GXJNdpFZrL zDDwF$ccM=qSRX_l^tsJE7#*P9bgDk&)XMrL%*i5*5z1gq)Rg&3FVB@@OEiM;ohDGi zJd=w&fWEv{xJXP%!+Bq7QU9ZN;ZM%K_Ie%c#coU=Eu$|5Gv$y#4>;pNN#c2>ymDTWv?Q&a7ab8cJ=wIT9P(JNq;9L%YD%{rSRG2krW^&ENQr zPd|rMMZ@`+4dt$>7oI@wRQ%`n-q2YrU znM75`JO0IHxcZo4sNz>~g$f21ky2R;84Jt^5tNrNCDV7{c}rEagZNCFLhj?w_qb*7 zYOla!9q^H2`7Z>1_UZXKVV2bD;^m18o%zD;Hen0vW{EHtwU7MC6~q39p%;08TgL`e zbg<8f6Ym0IcZHf7wOc)I;gjhyYZ9*)J&JabJop5nbi{{Z?O!$DC|ilyJ2U;Xm$`7x z`>iK~R2u6$+64Y_hl}OZE_Bj7*VUR-zEsorm((uHA#}W&u5;beHSeu92-*rL>e9CX8L~yC9f^$aLrgMZ&b&BdhW0|!tVtC_=?Zx1e+60YG_ zh82_7YB1B*ItF8-3CzYkX5%rgbrGyYT8?OwR7sT)3Kh%{#y43InW!xl(ZAqx`p=() zD^E-cVQ!#$@X|{7mJg5qS@Hv(A*;pk8{s3Y=e-Z^@k%2);QL!~w_#k`T0G^_KqSGN z`s~RYP)6%;>x6v6!?gkKbDI`=p;tD7#oFzEPamA3O@i6~?>}yi7c)DoJkf<}>J^sDbP*iV&0>x6*N@r$^=ZEDCcm0#OHEZjrpU7Q zST+v4Fx4!izJTa;?fM&DFx0>aJP54}E$h|oyCDeu#{cbps^I+fFIo|^5mg)?_O}b> zicyt(@6CsOc@dFv0P}T@xk{7p4VNic+?Q;ugNFr6R>OSv`NHRae?diw-cR5Qx`utt7ac*k=VL#AtTW+6;fc|vi z*3y`!qVv(;d=G|*bsJ`Sg^qybrck}LVw6P#kaYR{@t3NN=J5sXF0lQmolW+I(=nG# zWS-qyJ`baU^}C}24=eG?hTM4Aiudn_b87`==!|@=@~wpAohyg#8ud^0tzZ4&ET{A1 zbB40Qx0R(gMeal7dNt_>krCFq6PJJ5y~sQ!Kbh1K?__x_Z}M04Q9HRUpWh!6~>B7JG4umO+n z{5c@%6#hqZPf_6rdR=fOSNb}ksm9gOPwerYJG5)?EU%d&pxXcEm~Bg zzZm!(3;gT@o~OcMVk6+un|3?xa@e(d(>`RxwM&~Eog6ng?6KeNXz%E-+i8=3SWH~R z#js7s&tHt(w0o2P;Z1>I7dHKUDdGn3<}dj&pqoXD4)y-`SoCfA|DFZ||9heq|0>jZ zySDSoJDn4sRWN6$vTWzsC7K4ahXY_~BCd461OQZ_4ofczNyqiaKktGf2|%gQlz zS#@PdrnNK+jH^)M>I&%uqN#HzJU5%0EOZOio+Qw6ACEFwE`!a#DRsw`zTJaTF}uGE ztd01_t?8m8$;3k>1xl6Be4}*}c(A8Jd$fo)H7tTA9G&S`Oso7uY507+8Q?~d zRFU^30!JieC$*BQ2g+K?Un|S_Zwpx&+J}fr23?IVoW43;(GCSWzbOx~BAVZhbyw~RWOPo= zR0mani9yFh3yJQ8Y002y8eO1lYMPs9L{uJw6~d}WmA}AK?osu{(yKB^?Zyag=$sp7 z#xtZs8ZnPMOPCAv|HeIpWK;)f{V_K5$)t$`(N_91{H5O+7g2Ep_4w0J_(>HdI zhy}CLibDx!iCuK5mbfb_(7&-s(;ufj$y-PTLTJLV(MUacLiljRbt#j%B~z`(fQku26*;vvEp)=6OB zq!F#Tk^Od8TKVW1jY~}kff#v}Cyns1Pr@Mi%LmJ57XF-amsS#g%~Vxup^d6o7QRxD zJgtdnzM<@onGOs|@a9$@3Jg)>G6GF;9|vJ*Leb+8K}%B|Uo%jrY=$Dkc;q-Wu8G*i z1m<~E-J~H*S4HwhST6JB(y@j8LWZ#__|p=GwsLGZpk7mftsLtfocD+?Bo%6#BV{7w zQ7};~O`g^UH7%D`?gH}wgK8?lgRGNrl;wksW58bJuujg+RqpyRI9TCsA6Op;f(2Go zXD2n^2q_$ER@|(-gLkIKtc@p551IKAN0rRmn*uRzEUso?io)!Gan+^#a7{c@;hZy|A ziZGr_O=}3yZ15yuI&vrLJ5O2(MS2bf1kTM(HMzHnaaFQ7^0DEhZ+uMQ?Au>WxF!vJ zUNwN#1}U^N@@hWGy(pTeeHZPm^yR9c-7eCgkcADL$GQL3ex{Vq4UH{tg!(^ZYHwhg zU@eqs6jJIkb+LJ*0hLq}VBZQMO(#+)uQz!{!FFi*C`~aMeB)Qqle{?(f^RF)}Ed?Y4>SDtA8}A0CuPo;k;zs*=FN;cU#Ig?Xjd$OqE`$ zSEQ-l+Kdc8NWWB~bp9E8l-(|=8``YbrL@c5xqcl5eNtVZ{1=w?Lx;;tqBv+=&T*`{ z&h}9(_Pl+T#%BPuPL-RAgR2zF@5Jtvyg8~}WZ{4-&`%v4H-|>~>#P`|!-gd_Q@NLC zxGj5{Drt3D4E}xXP{TW>I;dk57r=62IQ62#6wDB)`mim`T!vV&?n`(ATkikMLr}jt zc&2RXAD=P7mz-91K_B5LH})I!q-oq{f#zPq>nVazy)u&KxI633 zy^G6#bH&jc2)y2GSM|a3=xhUpYl>#wTftLuSTDg+0@qRh^H%T#Yr-lWee%PO3ohyo zSf>~6#Pd1^s{f|G*J=Ir4EKn@GGm|3V^)^a(U07LJXQ5w$cx^zup=FVg1;r*(L0*b z6~`&~K7nsJUj42N`xXITy7R`Rgbq~5?A6k@8#8EU6E@~D>MK+)5uo(5GtU(^ds*`p zOXX$*f>jJ-j}C&P#$b2ug(z8WdQ4xq^+#wPxCj!T28mX=h))cwPygOM29Y$|s7`Rz z$=G-~mDb9p3=_S2A(s@=6m6(bClep9t=d=OG{Wnuv-B?$efKQA0nr~!4gJ+S-*Q~O zK8p{3&XD?)G_oW+19?zxSxK#hM|!PBEps0dD`|`ljweGmT42ktkA) zx`hmH97U0?w@7XpGvt;_C|jw2T!Xk<%H+GHc zb*AQ|yweN=lHbhK7|55KY5qtdyC_qpJNA$%9|)Z>MN_a@bwH}l2#{l{-}rX@RE^j3G`x0x}Ipl&y|a_4p~MfjTr(^ZBz*+>Y)pGw>?xg*2Fqyf$Q|*+c3??R?@dT?kqimD+A%Ln4Z0@ZSPJs|JGj{ z;7S}!ycCXCX1yNi zO+B^QBHZ<{RQ4~MDbKrQyqVo2uXyRJ2`CV6ut_VEH2GU+TfC~C(yrw0F(B-sU8GPs zh5p&v*z0V2buuM1Wo|b{JI>Cm&3j_TTP?rg*m{BG*BnwJ+{E{Kh~;JNTo1Yl%xK51 zkG{kDNq54Gxe%>_C5J3w_n=0I^zr6PsHGDH5r}9@1_4?uxT1*fm=_x!apg8VYwG=D zlJKmhbc(K{ezr_yqYP5Sy_L!dB_r(;``g?bf3{$@F>*OU=CFG$N>3=c5V3I!19lVs zP;pW;wB~150GO~B=5m1-9j4%RpsiA%`JZ*>%)5Wmat(O50y#BV+I@dnG5Nw%mC$vp zj_ZU#)3gNX#-YdM5T{Sou6^(ainh3mK~`O#>gy|qKS=I%a=+=Tmzt@Yuk86S$xbH` zrs6lE!48C5;G!$mubf@gU7wRE56AhJ(O-&Z=uc7LzbMDH6;%rBoWP(C)<+?T<7)vw zG{&8OqB5tkFU+X?8`ytu3TNiP%}WX1O|E_IOH4plrfL` z^lijB&p8kMPzW`=KX9pganswQR@(ZUz<+?icSsr-qn&thLF6HvEe{W{N*8dt&Eqj8 z_iCSSA=IZU=U#`vN<#W2^=on`Do3+rcV3!8(LaH$;kD&nw_?C!IT>d5Y2snH(4A;4 z7*5(G<}p60=9l(#l;?ht;vT@ZbN$y`lJ9d?4KObLK>X{f!W)p5YVD||Da;<>(Yv^U zPHpgp^sT+j-RimXmXDLQIigA(?U3B@eA0h$HvAp_!VWv=&*n^Mh}_jI6a==R1}5Vo zRE@%>-bLU6`5Xs%K1^;3Yy{nXgg4lJK6DB-|49=6wWWVWK}SQ#gKvUrj<|BF>#-~e zCQ&;nTvlNU`dpKtQ0{xuZuHqS*IUp-3F~;*8sLFm_;haS<{ifzTN(2o4p;7ds(TkY z6IYPJ5m=+gUR*$U$x+-2G>Z3TNYe0LNd#%8ZRQnF`+fQizO^w;WLp!`Udu`E2 z`HkCrO^)Io_noO~F;|3QuhYB`p;`n+I5%nKgxC54&dyeB>wAm9du7Tllk>)TSc?@c z?<=PdTs|P7i8LI~SH>zZSKX0N?1V;5`*$YkHwf5X9h$*}b5Eu~y1ZM%X1w1| z5x?&-k1vcH>#DcEA6rS$A*da}Iwh1X+*k+IyG$rlUb7>-c~>el%;Lt&Ym=huR!^2R zcS!^feNG+r`L}ViwB+Y@InoSkpvqe~vq!(~0x`F!q^a2W6`^5@e3f*$TK|Y6i?CLb z7a5qRiD8*AbtOp&L-wjaP+Z^{OWLqY6 z6FM16(ypxoxQ&G#|=i)$)(p({eqSg zofC$_EtwE}#nATA13w+O!~J-1&G4dV2S?|!yj6(FqRZaw=Y(YO zgMDgq((X?^5SbYX3OKTMS(i{}Jjo1ZUqJ9_^DrZ9U@(n4WJeZg4UaT9tv&M9Mcmu&|j_WI|aRgr+kMau**xsTm<06c1`PsJa ziu@InkKKWT9UA1Xyn#FF$R<)ev@N%=P6HRu6v4UU`P;y=XjAenkU;@?RvOHJ#$S<@r z>2L!+yvCu(okYB%IJ@%SNBu`=CEk}U$p?=!rQ1Wac2Czmz(&?{o!sOxx&+sIN?qZP zEQ&=oeIWv}9W3#Xj@)DDwUbQYRwtFIe8P;p{h=l>Tc<9I&V^6%pJ3fD0w+te>CJT- z4=UfcgVeDU2eBeRv+2oG_4;(~zAKuys1J{6VnH^2=+4ilMGoDFT}!lHq8+QCUJU}- zC>6^>NHV5C#`HeYuZ+K)l$b+k?4DK{jb5=n_OuN4m-u2}+FNG@$Vm~#VOJoY!K^eP zX{=P-Lr!%Y#7T42E&J4MTo}6hx@FBWts{3}l_nvLq(`_vyK@Xi#dx|JaVxo#CIb`` zT5QAxT`BNX@k~)5Z#h0>uzvKgF0!jll8jj!xJejy=%dH zsrl{Jm*t5G#?zY-ja} zm!lP1^J*)N)o@+hH@Vk&@LM4Ep>YIb$?%3O0Ud?uUnH-Z;z|!$plO#Bqk2T9hi-`~ z@oXDq%ZNH?UUQ-O#>kY|V2YlmM(z{^7d*9|5hjdD%$I`FXU3UjYCpCG!P|^5(+9~H zeOs)#K?}E*KQtR#4*n$nxVb1~9B;<*3I#%xe2W>uYuvc3<(}-zb%L?-q5Zr=w0XDA z5u9!fM0;0a zeLj90^QC%@*nN7aYtRu@j{C&ZRa_K_5(b?nT}aU`>L{YM)u_$bE+>#tC-3q=q%Fpi zUJQTO2g=saGBy9mrL?ZcnC$ZFQE9SdSRp68o#|x78$<|gvgirOC92s><{@FXAETjA zSC&v`H}6K;wOf7V3)rZlq;b1wzByq~N4;nOX!>8cJHJzsE^`SbvzI_DutZT%M@v<5 zU>KT_N+^tZ7k~9hU`2X@V}Z9@x5P9xAx0Nmu|aSw2$Zfr9=?I%^xkRFnDtDq+&+zv z$I0!09@~rf!t*L;@|yP-1iUY2Ewa@&Hp!$rtpa(~Z^lCJ@bu)ON=Tl!aBK%@LfqRc z7%pLFONP58`L{)lx&&&bU?YupR^CSgtrP_tLmX#vQPHN9N#ZaU=VlaAy#6&~ys>qAOTlYLaC$5=O?Fsk1~AHo)?CqiIX5wEfT^oy9AIyfp7*p~1&z%J?{r|i z>1Da9S?3EVw^%)LvEDxNr1SZwz3Dnus*On5$^N$#_FKotB@DwP>#hN1IbC z!cZpioE#_Uo|`GY?4TX&+&A+M-y{~P*!&X06NQ7$mlQlxxLzppJ4Ok*jioE*hNRTt za<-?WdGW)k5D#oF!b=^U%*-#>?wAZJVaam-&H0(leE4{ho)`Kl!9sG;QB%`PDH6dA zaf7IY&;ZexzR|8MUWQdg7O%S;&O_4z`Kb~#|ai3(S6CzZKV$dSN#e!?!v={U$%t03NGU3CldL!^sjoHul#De7AyzTxZ*##oHyT&dF8*emzK>;Ag}JcWKKib7D(Q6`F2pz9Vb{+V z9dJq%<(GwG<6Uy=lt5pxzn6U>5kq;8IatE}B2gTBC|;6SxJe%5wqw$#6rSH_e_I0; z;}xcT@F>Lw?FN*^!to;?E~yi_X-L$dF!^azw*k(Cd?<}#$lgw6r^5f`2&gQk@UHMO zt$w8ln&x^`8W7B-wwH-#9%KeY6wD~z+?`@yQlV89CGcyWV94H$V;@kS+8Z6Qx3h4b z1av0WaKPSbVnj!cF;iDe20CFzU;yDW$20XYy09)(0I+6*W~H z`JkQENa7_KPr%vQ#z`h)l2<~CQn+a{o@oaqDU(!QSI2b>>4>^$O}0NqXU3KLL!Eqi zcf&h>g(GRAC>?zby#gQ{!^%5*%LP3{$`HLCC@tdD&+azdKkBK-z4B{Zk+gcVDweDB zAYQxTd$>6(T#pf$hCAP43DE%L&Uf!{-TIOG$W00CU4@3=mlBBZ!7cZimS45spQstK>^q?|v`f?lEMO9D- zY>yR}y^xY_zRhRyct@+6kY8g`C8( zzcoMP)eWbTl?_v8cW3ASte5DdVVjFVmp!wC0_DenXx`MG{#-qguqv1xcpqn3Upme* zgFR@P7YQw0Mz}3b?<=`Kt`5+7|0b1!azqd-Y^rG1t(SRMcndJhy4~Ja8c?u>V}&OU zavMS}D4aSP3e!Rf9{mBEv-82FEe->Mr$X@nHe%S6C>Z!?ojv@wa3GV;^JAp&J^%26 zKl~`>0V$xFcCip_hTX0DY(QCyjY;Dd!~sIuVhEV3(~h(XEI?3#4Os%)=fRY&>o?M1 z0KwtZ4OL2EUFwWdl*UZGx;z{OIJt@H)q5YKM8oOx1=r@?S_}8d4rt@mW4AQL|9SNq zkhGe4N9M}7VIxa2MpH61p>nbr7J?Ss)`k>p>5X^9SQ2zNN!!5p#H;1ev~I*H#IaVZ5Jp^#!xpW6!$ON=U|?_DvrO?1K&-sbAWh-!>cEo5j43SV|q zl7C5ou^^wAD8&Ml#o@P-FHo_4C|DY9NlU_nHXdx!=h`hllIE(`0fUNR)?BA`_j%j=(zWJKZ4oqCKeVC_J zJcVkyn<#Kj@QfYz-N2G9DqsQ}MKo_1YE9*}M_S;yu-mMUlaaL{O@hjqdx&YPsTKp+ zGEK7xRrqRqFai3(r#49iq_CO%W($VYjBZbh*Bw@x6I|eozw6N}>phKppRt^_PyF){ z3_t$$lf)g?q)+Apo%8%hj+Rh}1mk;^R&$8Iyj3o`PlQqSA8 z%~qyLs$dV1O+*l+H^`CLX(9g2;ztT!ANnByt+uU4yOGO;G|e6z7N;62p(RJQjR2km|F{s1Tl_Sw6t`c*l?) z88;Lj3 zF3QS~@&%Ro##D8cyPGj4^fptAgf^K_BX!YA(33ub0mYdLO@$S3Fyl)z=}L?6HhTxF zdYP>}fySLHGK9EtVarL5z<+|}-^|nr!Z(@p`PjuO1Mco3z}0CNJx!_59yv@8@(q<4 zzDe~yQ)AvxL^*%>5mx6juWOx<3#4R@E60X`!pc>YJzzp4$HxLM{+=kmPjl53&mWX? z%+x)?{aJW@@%K|GW~OG3yxk0|qbfE4EfHMyWIQYp5&=_;QsQ@8)yD$>PWvBn&t>0? z|2PS{Er>v0_&S!(X|}+NJoVUvs7xM0&M?zjb3DvQCNyn?+{jG*MmUodnJxEBFd~Pf zL5$(K9Q(HVE+ImAG>fILA$0^<;AOF)>GdDwnW^=kgszoH@bN&odj2X z6Dr&mkBy)TmMDIjLaS>$9N?12)gaT@3Ia|pD*1|z2jnjCwIeY_69E+l6 zYJBB~XGqK=m`RzA z+>yq`&g+tML?<|Ic}rn`loIq|wp3gSt4jzO*SEk6{57}jFL_$om$|MpBd^lo5aPVn zOOfLgGl;_{X6JZp7C-(tdTiHXmiV>7(aqTv*k*w>7oo9Ts%-~6;>Y-#EYKR-8RiOH zejlKNTvQPq7}9Z=1)eo;(?M}0GI?HDuceS7lx6y(aoA;4lKg`Ke5KZt%1WoegDD~7 zYN8(Dd@v<;9F3G))3|cUZT3~w?D(5)sx4gW<%GI%5Fo&(L0zzk8+hA46Xrx0jxYFWB~$R=YrTP zi5kC{$=>)b-llPlrT$X7AT&AcsH0;?Ii`UN2Qm zWm3o<;yWVFW=-KZ)Lgp_fKz-pI`04a<8@5>B$oSw8Gk1pDo>$tks;RdJDE(dQ0b^z zBoCo+`GMi`>s%4$xT*YACNxmqYXDhA6r@BHaMi0Y@xSIW$vWukX`m%6*~oVjURQ}Q zKZ~x$ZcuIGT9SW=+fE4inUMq~7P10+l%_o`4=}@8sy-S(78BV{egz0mXfo_FF!hQy z``uRD1wodky&+Gf#T$tTx)4YyWev7KWKDIGq{>{$7lczf5ISnU(SMqGOV%xiEMj+~ zESUiFHp99Gvq`kq&U0W5kIMWc)aO)K;FTflS}!}Q{Vl=+&I0Aei-myv0Bn?TvWy`Q zUZ`&o9HLnrc*Z0=8FX1S<)C3v@y?7YPYC=rDB3dkrz_Ri#fr{3g@SU2Z=<49Km~p5 z#gGs3$#KZCF}qTbo*<9&e~9%Pk(*YKBDm zI|Y8#Q#ij!YYlc_KY~=T7 zT#ed1l!>w=z&SP>;Oi#}0J>YMT};jt>7LhWmce$G5&(~+@;Xerh3s)85ENB9=<{4e zl6Ju*qv77Enc96q$nIXxOj*GCH0^oMZ0LSDkH$6}4${%&i`I2C*sUN$0*htHQG~=u zEnt+#HrNoERZR!aW%S0B;R}XqWo)7r7CFI_T!%0buAmKwShFS5vJ^YG4)}H3Z-wo-Q~g%ym?Za*Qnq zR*KOTnq(15%{J1sAk>8I5CX`E(gy^^1sW?%8IQjt2l%TKT1P$r#O&Y8aE?@ z?f~@&0Rhnr&x%Ou06ANcV&{?}|BAlpU)vM%oK%9gaVExlTiz%C__*<}x zs_|E?yf$f4b8$znLo~eu05E~fN{7;qLW2-XRVx7)G8xYemjfzcCLKXuj{WB#?*A4< zXgt9G%&^;3Due&@KsQMBcmTi$nZ`UnHxF*CmCBZzucKZrbaGU5k6W7&*3fW^TJRzi zX#9e_l6K}1Z+;=meP~DD`)|ixzKA0g(duZR;C+3Pl?MZj{Qi>J! zQW|?D#FGk716?h+wpSChL*i_OXSWNjXs&vS;c=aC6)0VB4IOhwYb5ukHKKRmd8<(M znaqV85m98E8sGCjxN<<{8i0%h*F1ld0JZLtd?l*F0Jn-9p7vjXw}6LlkMEi!+>HMu z@PshR3GLhh)GJo9-KnrN_)`w44N%-z&GpeNcodn{CjP|QCB!qin!ObgG@m!JTo3$!yuFt?2 zW__yms!DOaDA$N|)S$#YC;O!d?_83JGr@SQE-$-|!qt zm_0NQZ=ghkOYjxox6S(4o1zUoqR_`lwO+p2jO?M}NbkGys^QRUVkzdo53tU_!o&h#2A7&01Il zdLTNrd(d0B{SBIpvlixo9xRGJyRE^Qh{L1xxmS4#8HaBHbja-@g$K_BLjWcVd`b9+ z{1j0atNv5oL@Pt6FU=m!sxIV1Zjvqro3o&s+3y>M8nFu`x4!{vK2M_!GNi!u3CCSo z{ECP0OF%!`)##%OV_uw%+yIP;TLK#ey4B{Wt}e7)V8Otcg!`~gpd-x!$O3KkXKes` zSvWvqS}@@d`PPC7fx>lJzFftb@BIJ1Gz82vXW{mfi3?xW3)f`=UkX0h!xxOVAQvDa zg0)`rVGHI08q|Wh+JCSS3lk-b`!1{;&WCl=Z+ z05{NY!uQC9FSUzdU*&>wR>BN)R^Lp^lJCl)!=epnL{3c~dg?+l<0?LVP7dtEID zb^3X*?3ZZ=_9h^vA|DbK)|BF)GG17y)w7g^-dMM^3q#o-)&wpLwZO)Kp*p*?7Z%zs zLuUh1pg7Ni7N(G!ti6OjG!S{@@n&s`$c@oWr8w<^$N=mgmcMQfUp~uT0ea+BS>xB| zXPXo%Eef=J;2uk?o6b22YAm{I!a{m~N6);;nee(X$j|Gj`k0u&H-G<7`NCchh-4sp zO12L2V|!lBhqZdP)C{4>?zpLS^>0OQ{19v+3SR9_aN9OJi>;eOfBdm^y#V2BV_znC zA$xZ=sQ}sK!9tqj^K<>sk568F=^pSo9MSp(tO07r2+X^#s#O%KEn})UXCgdiqEY>f z`ABM3T7Uo8-GPHsGVj)`Sao(jZS-Mmc|ydn)HCftY(zi>_K!de!zWk?9dbD{;=?aH zlLLND&M{>rh5cZ?emy&p2(OWxe(8hCw+{$3{NojKhZRxKtrhbyZ&RdFSPlz$%d$q!xlnTk#vxC|0@S=0*yf)$krBOGOez-xZ=s=lFN8^?0*=BMCc15vj-2 zi7~D3d=dtMyLYmOl{?9d*HPoZeI>KM3;A7_naqAg0vR*G<(1vnpDa}-oL(EZ5-gzJ zu$)TZRscnl#WT>VkHhO^5#NWf&$rI(@&?t*YRBzs@cSiqhns_heX@E?5=a*;Qm*Us z;Z9%7kCEDyf6p^Lml$#-=ot>tqtm);vT@`EyC|8EJNKsmeD4G=yi6y8JEDcgWy}YJ zsq=CIWT6wJ*z)PQ@DnkFgWm%x1d%rkgQZpe_hgRmD@xZ1XwP0h0Q0o=~LY%bK`gIt= zdWX#%X89o^OXCOJ{9Th^t%t=RulGi3_-4<3+t%NY2hCUyjxu6S_I3v$(`$oGC5d*u z;Fi0o*Y;ZVBy9BcS@~cDhL}bt?tjLblOy}Qz3t&y>dm(C|Hgw7B7eaTp>JefX-<5z zy)jgMwRy!>g}QfA8c>Ob-fJlu=;wd#v!#A$W^T)ox})s6cYH6T96dw-u4|jStQAb} zkMG+RzSnMYkO6bG*Goi%5NdaBm4Oxy@cRzwvf`$sla7(x#8JTj|GqU3YsJ5~j-mG4 zvxxAfGM31G^`)`Ww7c1MYX&d0pPJ7L;HhuH29bZuLQjLAQ zsSEQq#9d3sokaeU&pS-R{;qh(111)(KYMbWvNm{wygR2ZM1A_0wIH!|*VSgm`Nr*& zYR_+=xU;?WWiMx@yZ5~tAu;TRh~njkehY??m!Gi^4~B_^zJ%e)Ql9aPozjHAh1!+n zGk%I(=7k-~giYAEYX0RJ`?9g`t@ef8UL<#BF*-oF21B4Nq=K`@53qkwOWTVMdJp6htA9%_5p@CMgF>=i>~!7kiT;T{rsB zUyW>(!2Q0s!8%{#dihN!FdOwR28@O7#&7=dkMB@Y%E8!PF56Y^%NIK-?o=K-(xo&% zlZ8!k#%_!O+N<+_QjgjuJ&XqxdIZF8(Es+DG9AF{lAh(a?9^=c{VrX8sCfl$FcN$R z@@b9K{nVp4s08v$mdq2#JN$j5YIR zYbEYKx}+knhUo&*0jrD$^cQUb0wp47I)qe52tTL;TrhNoq}RSROl;NmtA2INUg%{$ z`vu%!N(mJ_ZLxp-qa|Bv2{SMZD3il<6|8gjw1*$t?F(uR{lIgJha3N0B$9%tspEy;RowSujfQGULtlyx`8m61yY_B;`$cO()k}XT3QZ68{%Mw~C zO$7HIf8M=OJUi{y!Ee^iW#7R{>J0-x+nu2|+D4wSU>Wb{v2>k2c(5WHex~$S zy+sh+7LM|k%00&h4^@9xWE|1`_fQDolWYc{C$Qyx3>EaZ<5TuYWO}7WEd$ss-vqmb#WUDTZrqvTt2as2l5}u znN?o+UPwB02FYjU=1QAZ93N%a9wt)oDxc3@3_pv>V3tGHi^z|T_@(su;~x`^ot~DR z>a8ZP%kbMh*@?uiBG4zmA?M4u8;=KtuKD-(7qR-7i~v-KYoL|RR&M_=C! zC53h8=7_ZbS-Vjbn8kDb0~%1t47>OmluEomjlgO-!+9yl51ZCr5pJWe|_1b)^l7t@M)f3jVX1it;TC)T< zPtDWr;zKEwJC9K-b|8r?ut@E=_x+BVSm|nMm5F~0Q5M|KwCkfZ5GgK28MV&(>Z(GT zf5e5!z+mo~s&TwB672#$YTzalYjc46X(c^b%mn$1nF`y(zXx(X13Cv!?^*7y&3lcf zHms^3s&_n|7_ps1_DqO{S;Z`u3?{WFMe~ou{r7Z7sD2_2t~AV?j`6(Lc`?H>Kc?Wm zFUfuPR(LaIDrm!XBI{`Du~r{S(2_zvHY+>VWs!Zl8`9oaDZ6;Oxj4{+O=0S^HVD`= z$yf)gAqwubr>VqiN2JF7{cB7w{J}uLWpE zgf$1WhIu2(_!$`70`nGExl`Jxzl3#VM$D^y6*95bS23EbS@z`Te&4TKym8BqD8p>8 z;fwJCyp^6}nlbFdDIr@-8h4#4OR_(?E_|XkUTB}CMn`Zo2yFQ?0*Cl)LR!y)%42i@XB&n^emdAW(^Ee{5W_MnZ*80zYC zd4XF_M;jrE_CLK)Ytqf}hCF>uOW6N4%bT+62vX1n1cO2Rv}fhZ=h8nVA3;Yq&;Cq) z9+^U!wthkm?B#n_%kCaMO*B%NbCH^tvx1ym{x@!S-x=_}@o0qt<> zA9B~*mA}t#_!gs@IbY@u01m`Q6FYtC^4`7j$X|i?|Gg0*^f@(?AorjCSugL_8V?bT zeno6dq`0SJI$|Q%R1kH8@|brwTh--<{am`=b3%F)cd8erGqCsA#T%i$prdDydH74+ z+*ez}1`Ge#|DZ7?lljpk|F(9>Aao)bq`~CwcZNZX{fL_R*n`htX19Lg ztyz&X34;ltk=G8_!Vb1K{=5T&yl2Y?EJgfudH&5N?K3f_XhXrOclW33 zW6UZ`hWv}y+;(H^x1QThiP8<&>ylUbDP-#-yeRc9eu+0^LpiG@{9OGCH9iM9Mqc^F zX%gvqbyv}f(k4qhG5v8dT334b-A)+1;K5}67I{3$_4l(HB|o$dFJ8Qvk@)_v+O^zK zZ>{+!8B62MHg9FtCnbmSmiN?Gp9gN1&1GDeTz)Yi+edkLUeZZ1 zJ|`F_DKY&cx$=yJAOJC+C?N;hU4jWCxsM?n`%> zsej><2V(bfO4rTU(ds^xr-6WelYu1sBaU_0q=D;V%8Tc}EmaSAYgdQ$Fo(~3+;&5b zmd=0Mco6wbd-P)-A>NO4sd`rB>Cgwy+T;q!eIFDh@J0V@d$IF(3iHbJ%ckB9<-5(7 zUGXgx8=qgB(K;`_(95@~VKM<|mz`^|`xZ0C-AmsY!WBuV+(+Z2(`ZQaYSq-cAvK@% zw3eNFrCL1w;yEl!EwCN-14(Ck8=2jktu~qt*&hx{L#f7w^zTyk1lLBV%*~9K^4CSN zd|zcHGmkv6eRp_b^}s}z_-r>!h0$XmLC2LpPP8f7VS;9)<&0kbD#=wI{e-yKREBs0 zT-~;AiiWIw7u_3KG+7X%^DCtoSNNJ1{pYp9VpdYrM%c{xlet{ngV)(4#AhHXJ8O|> zfKy0|w#ScxO={}1z&0m}t3LDSD}zhte~F{AR#p7=_OqRW7%EVZ^L52|nF&}wu`t}3 zVcc;_H*u8bXOPr!`Vsek7G4bKXFMNA|NUf6dEl=U092qevdgc|c$1=}>d=LV!@c?Z zmwh=9S_OeT*Fmqawnhegh~nX=HB8|3oExGr7fq*Ot=r$?Jle1;6V(*U8Fs|0u;fs| zQlx=v+KG@k(7`kGsTgK+%~)vqaZan;VHGSt~>lgR4C&I!?HJM1Yt=Cq5?y$)1`{+~qNcHd}qmt8233b*`=cdSTfw^BOfWe3yww zOi%1o?n=e8POVGFUT?~(utF{W1m$FJwSOVI{J7S?m-XzWILJiOy{z>&^2#u_T$2uv zF5*w^gU>eG?v>pz4C~SUEj%7W6n$1{H{w4)|Nhs5(&NpKDh-W&TJ^w3y{ZE2@0aUz z#o6Y4B{OGbWy4OK`7(8&1Bmz3o1_d}>#MQRQCGZW&42e*QC2Ekw%afsy$D}m&2~ke zB$v6ZGgsSTwMm|Y6}Sk14P)Mq_yCE%u|JY+aeb^Pb58L3GwD{_e0)wFE;kKhsTd4* z9-=@xz8EC}d;o`gj=kE;PxMp9N2tE&D)`$YwY!X!nAHP zRmIyp6ZW5ptx!fGJG9Lw3g@&`7U8=h|H8)UpxbT%@R0Rm`iOg5>^d9H_wt?GahL?_ zNhD^Hm!6e^{bQRuQ+1aUv6XhcH{{C%Y~9@9-ugc_bviGlRaw4yvL0H*$|L#?)ud=os@CC5zGk#j zbPcz!uMk#5^>)*_ppB9VEA2aP$Z}kv>~U(Ao&tHLm^+blT3HM+ZOzWO{OZ0p+Zgz8 z*P*H#s3#vj#&^UrPyC=FTsrE1o|m(BaLdYSEpjlkUE7K~#`=FU0cNidpjqhO2Lc0}G6jGE{c!PREAoigG1nX{}zLy zxNM_1-`@JtTQqIL*Yn;aaZNU9=Dh3jRz|%$xhQqxYDrUIIp)pMUune@tJZ8%1rR*y zBOwxZvU_Qu-^gJs+7K#9#69sKtBSN%cbT=5Q}5)fz^(fWAk-sgH>{lqzDL%rT+0=C z3+SxqS2oFGc#_WpH?N}BmnQ68c-~@(wGOW9$k_&GxlJ6;+*Gz&%>5%~)W09i56cP$ z-Wfbp0Nr$*U=qPqpwNZ}qRFPSdBnRE093z}Tv4td=-X+cUuoKT2Ap1brl_k8*n ztM=}Y+gNq7b>b3wZLC0AljP8X3lS=kK_Vu)WIk^3uLdBCT3WYv;V^2;COdVW1^R^^ zA}l=*^IWZVY1isyX!XACZr3gYY(RZQdfP+r+84#96{qk0NGpbXo1mD-9q2WFerrgQ zT)UH=@T?Q(r1&5;yEg$@tF~*`UdYfUe%;)zbp~Gl=j%8id0FA`|9gE72rij4?b*|^ zV$!o)LzadB(!!2pSTiGQ#sNOLZS`cc_Xxrb_NC^$T>GQoQ_fb-7?_Ow>6nCsNw6>ZUJ5lNu;9C4# zQw{5^oSCN1UjMpllrYHu*=gIHK9gwG8tMuSOK=9R={p;_+y6F~*NcqdU6fBiZM|B)Q|FYeJU#rF|ct z%$;l3?w8ntEq*Ph`?N z*-(ilL8iDHD)QA{eirC?IRDSY&Mn{_lSt4R0)?#;O}6U1kntQgD(IC`-ySJ& z$GaSpWE6|Vv6((Hopn5qyUdft6C;z^VMgpR{uh{SEu}c;pS*1 zQ?S3_{~_tRev+?h(>* zr{KhmIdLM2qA2h_-{1QW+@Fu|@I3b!*E!cY_fb2}>c~^jYvRJnlPeG8e0mWg(R3Su z*yRyLA+qCxvD;<^^pyhQ^93w#qce8B<>ZIo&f|&zq)lFlEqF;49)#x`*)Pa8$`(8aJ49YVk;x^F|&`sk**%pl4 zvoYA=Z;h8*y8%zvioulf1_~-~!PO-%^AfKut#FTUUK=QFL`O(9gAuP^ zM7-d_`2R$+L&G@#VK(N9G3_ckGg#yWGWGaTA^t6WssOjrwYEOz9Iletp&bT(UK;wx zj*VU|m*4so8O0D#w${S`ussJwxys}l9qhUQY+CArc=Ycp%qVa`1Op~ahW3-jyEAsP zG4t#rtUW69mQFJ*TTZ%w@e{7#V&Xb^)5>sX@_n zw_e`!_y@Xx77CYEKFZYn2APSqIlf=X)w3{2(%+rOm>kQKdQdeLc|hR!Y&?xRedCX` z4*>D3FXLq`!n|?`D0~w)YPp`A15gMR^j0MWLXd?`9uOz&<^)!@Uc8tV@F7|!Gfa^` zwwgb7`bel@w{Z}{mh%wpt)HuiMYjH_xgq&yVr)NipJc12D547n1N>_|10Y@Nn1!aQ zm8ME?6?UncLo{O%we0jJqnDMOVbapb&$n%)6w~vP-d6Y=eZ~jh7}dUy7Wx(JAyQc* zzmfITX$=%qoO*i{u@-E4%-6P-Z$@6T3YwCH!f8V31WoR~zWPEF>BC@c&fyioHrEKU zdRK8AX24y=E^TtSGqQgFrLaj(cO+~poeRb|NpIMlpx_qtL=Z77-C>;PBJPz)Hb)fRI8& zC+h-MTGJ*;hdt&rKi-3lCLw)#4h|08?%mRX(bdHXD$JAUyeCaesLne!)OIq^*QE42d ztzxOSRW4bFL>A%3t7;%c6q-K=wemvJGYah?-#izErr-Ge18%B$&*V6AnpvDgsN>YN zz;MuBAPCv3?&B?mkj;Qmr@AC8npm@{lH4DKbHP=I0~KUF}kfE-c9BNRAk@kd(f*T}LpG}$CsZWJD)t}}Q<%hijUC9aSy$gQgB z=#;K9jHU9xiqupIO(lBCOsj})y`P!r*%4|_xb)c6VZBa^lJxj*OY89CjW_54_&@_V z+GsUR92Uvxbi9m3l0Pt+#7a_EOYQQGIG=F{iJ0@+WquUewH36Mc;h?rZ4ABxrzk}hfORq3q(r(xHQp_V9 zewEAYcexq93*a^jC{p`k^{$TJEGRk!CiTmj@?ah>SlS9qY7jgQlB?V@9pCzi$DHnx z0CJ!t{>_#><`s>eUq0w-^!4t2NqF|vNXl1P38>u zBD|sGXD1$7PAObr&=Y^%JCmSdyn;IhZcVP1Z(BzzjkhUfxo2JOXs)m}AhNS5@xubh+JGi(nJ~6JTwlnEJKJ#dng4tYiDtm)D7xOm+Lps zsW*|*;uu{PpRfvx#EUWbQDP{$3<$O&{$QY8t;cg;c*y!tx3tS z$|M_oEqcDe%}6dX6e&i_cDLhzIxpcKRQ8d&Ugq;h+%2}7QGOIXH_TD`>B?`{GpPB3 zN2YtxVA8>Dcq$=MU`-MlUve`w3uxkq29Rq+<7LfDTYS~v^)(TRgXtrk&nk((LDwwu zSd=-EerB9|+4#moF!*&pz@WHXyO?nn3Jm{fiJM)SdKRanhTs2sxE~$F-KDqd&96nM z)bhE)f&zQyh~iYGJw)8QT9O(~g0g)Z^tIZNyjJq(YA!)?N;n)g&#p`=_A+wGRc;?D zWEA4sgSQ96ZTF7&s9`^ik*x6^M&tx0RLx6g?Kiq;7TSv&ds9r>RsOl%ecy~~bO1CC z1GsQ-%Mr>fqg~tp z)FustU1?JPnjQsH{Paa&ccrfYezp`=Cb979dnc{=#Wt>vHGW0<*o}#C^d#P`>knLD zKVjaUgHu8TvUzEN?n+*1*x%i)|HHC=rK!qfTp`leda8lh%XGXZ z_UU9z(o^&3{AV6*yuTL^<15R~Lrke-4IyNmlXST3J~QHKaK* zA=?|wlRb0NV$|l7j@)z7aG~u&^MmaVPw}Y%vJ$0*%FMDsomCP8`sV7ypdIxJUS8W_ zS4uxg{&YB_-LZ2UElhry>nH^%1NlV}=0jcn1h&!p$%lxDh+KS!f$MyN6rxuI9+D=)dN|dl9}xZO#)|h!_(EC z#a3NH@@VMe4FTW;edp7Fan#QhzEAr&&#yp+_mV2uDn(H7(LmP9Y#<2I8ACI1Iw6mh zYZsn*dM+-kc6B6#cK>b3H|EzvPnLE!n4A7$+3nh!lpI|>4sd+e)x>JO!A~}jP7wOx z?}=?gkHs>hC}K4casZN~cT;%8y)jRwFCXKa1vy@cd}hDelGOQ6Awv^;w+0i}mi}K2 zM%d%S?!QkL7!m}&BSp4um23G{Pp`Cf#93)Kqw|jj$A!}4takskn&qZcf+Q%U@B0aW zmf$8{$8nCS_~3e;wgF_x<{EpOvKP+&hBxl&c!eJ5n-)fqgBnUFrvCRtuM816D|=q~ zBEBDh2D*I6>)5}!>sjS??@Xq6TN+JFnnmD`MOF^gujSvy0O>BN+Fs$#lp+CH3<}eE z%09zzziiWy==GQ}r*yvblg4iu=pcLQg3#fe za{&{xmmWd*=G8xNP=RQAY5W2v@t|sJ@(%R8Mke7qIjGIxfzKn9ZJ`kEBfkGpxSj$J={zsjEzmvVC=WNMg^LRvlO0KCluj-||ivJsJ)8R@r8EGB%X_p7N4F=~y_N<5Zw^ zCe?37p*tT2T$EJU&upbSzzk#jB;bE9CgTap<~+u;g- zT5bpd^SUD4p0`~nn*~+)1kM+)d}kEY$WP*;ljd=Clcv>CoKCiFs7a|^$74QpzSv_n z#7z_QBv|`5j@h??v^h#kZkiGIdsF0=rX99VoF5GNR03C