1
0
mirror of https://github.com/sehugg/8bitworkshop.git synced 2024-08-16 12:28:59 +00:00
8bitworkshop/presets/verilog/ram.v

50 lines
1.1 KiB
Coq
Raw Normal View History

`ifndef RAM_H
`define RAM_H
`include "hvsync_generator.v"
2018-02-28 03:35:42 +00:00
module RAM_sync(clk, addr, din, dout, we);
parameter A = 10; // # of address bits
parameter D = 8; // # of data bits
input clk; // clock
2018-06-01 17:33:37 +00:00
input [A-1:0] addr; // address
input [D-1:0] din; // data input
output [D-1:0] dout; // data output
input we; // write enable
2018-06-01 17:33:37 +00:00
reg [D-1:0] mem [0:(1<<A)-1]; // (1<<A)xD bit memory
always @(posedge clk) begin
if (we) // if write enabled
mem[addr] <= din; // write memory from din
2018-02-28 03:35:42 +00:00
dout <= mem[addr]; // read memory to dout (sync)
end
endmodule
2018-02-28 03:35:42 +00:00
module RAM_async(clk, addr, din, dout, we);
parameter A = 10; // # of address bits
parameter D = 8; // # of data bits
input clk; // clock
2018-06-01 17:33:37 +00:00
input [A-1:0] addr; // address
input [D-1:0] din; // data input
output [D-1:0] dout; // data output
2018-02-28 03:35:42 +00:00
input we; // write enable
2018-06-01 17:33:37 +00:00
reg [D-1:0] mem [0:(1<<A)-1]; // (1<<A)xD bit memory
2018-02-28 03:35:42 +00:00
always @(posedge clk) begin
if (we) // if write enabled
mem[addr] <= din; // write memory from din
end
assign dout = mem[addr]; // read memory to dout (async)
endmodule
`endif