1
0
mirror of https://github.com/TomHarte/CLK.git synced 2024-07-20 17:29:15 +00:00
CLK/Components
2017-07-25 19:50:40 -04:00
..
1770 Converted the TimedEventLoop and the things that sit atop it into ClockReceivers. 2017-07-24 21:19:05 -04:00
6522 The 6522 is now a ClockReceiver. 2017-07-24 22:29:09 -04:00
6532 The 6532 is now a ClockReceiver. 2017-07-24 21:57:24 -04:00
6560 Formalised the use of a cycles count with a divider, bringing a few additional plain-int users into the fold. 2017-07-25 07:15:31 -04:00
AY38910 Fixed signedness of index. 2017-07-21 21:21:01 -04:00
ClockReceiver.hpp Added some documentation, got explicit again about cycle/half-cycle intermingling, and added flush as what amounts to divide(1), for cleaner usage without a clock divider. 2017-07-25 19:50:40 -04:00