llvm-6502/projects/Stacker/test/star_slash.st

7 lines
110 B
Smalltalk
Raw Normal View History

#
# */ value test
#
FORWARD success;
FORWARD failure;
: MAIN 17 17 17 */ 17 = IF success ELSE failure ENDIF ;