ossc/rtl/timescale.v

3 lines
23 B
Coq
Raw Normal View History

2016-02-22 23:03:50 +00:00
`timescale 1ns / 10ps