ossc/rtl/timescale.v

3 lines
23 B
Verilog