1
0
mirror of https://github.com/marqs85/ossc.git synced 2024-07-09 09:29:06 +00:00
Commit Graph

3 Commits

Author SHA1 Message Date
marqs
1cc42b808d pcm1862: fix ADC clkdiv value and use low-latency IIR filter 2018-02-22 22:48:00 +02:00
marqs
2cd36cdd37 Fix PCM1862 input selection. 2017-06-12 01:00:34 +03:00
marqs
cabc04b3b0 Add support for v1.6 pcb with PCM1862. 2017-06-05 00:02:01 +03:00