1
0
mirror of https://github.com/marqs85/ossc.git synced 2024-05-28 05:41:32 +00:00
Commit Graph

1 Commits

Author SHA1 Message Date
Russell Harmon
c2b0687e7b Set fast output on HDMI_TX pins.
Also adjust timing constraits to reflect working state with line3x at
162 MHz.
2020-06-14 09:54:06 +00:00