1
0
mirror of https://github.com/marqs85/ossc.git synced 2024-06-26 02:29:35 +00:00
ossc/software/sys_controller
2017-06-04 23:24:23 +02:00
..
it6613 bugfix: screwed up register definitions 2017-06-04 23:24:23 +02:00
mem_init Fix HDTV mode parameters 2017-05-30 21:16:03 +03:00
memory Profile support added. 2016-12-30 00:14:12 +02:00
ossc Fix HDTV mode parameters 2017-05-30 21:16:03 +03:00
spi_charlcd SD SPI implementation finished 2016-10-21 01:19:53 +03:00
ths7353 SD SPI implementation finished 2016-10-21 01:19:53 +03:00
tvp7002 Fix HDTV mode parameters 2017-05-30 21:16:03 +03:00
ulibSD Remove old #includes. 2016-11-08 20:54:28 +02:00
Makefile Update to Quartus 16.1. 2016-12-13 20:55:10 +02:00