1
0
mirror of https://github.com/marqs85/ossc.git synced 2024-09-27 04:55:03 +00:00
ossc/software/sys_controller_bsp
2017-02-12 02:37:46 +02:00
..
drivers
HAL Update to Quartus 16.1. 2016-12-13 20:55:10 +02:00
alt_sys_init.c
create-this-bsp
libhal_bsp.a Improve lo-res mode processing features 2017-01-23 00:56:17 +02:00
linker.h
linker.x
Makefile Update to Quartus 16.1. 2016-12-13 20:55:10 +02:00
mem_init.mk Update to Quartus 16.1. 2016-12-13 20:55:10 +02:00
memory.gdb
public.mk * Clean up some FPGA code 2016-12-31 14:18:21 +02:00
settings.bsp Add 288p line5x modes and hotkey for quick mode select 2017-02-12 02:37:46 +02:00
system.h Improve lo-res mode processing features 2017-01-23 00:56:17 +02:00