verilog-apple-one/rtl/rom_wozmon.v

24 lines
415 B
Coq
Raw Normal View History

2018-01-26 13:21:05 +00:00
module rom_wozmon(
input clk,
input [7:0] address,
output reg [7:0] dout
);
`ifdef YOSYS
parameter ROM_FILENAME = "../../roms/wozmon.hex";
`else
parameter ROM_FILENAME = "../roms/wozmon.hex";
`endif
reg [7:0] rom_data[0:255];
2018-01-26 13:21:05 +00:00
initial
$readmemh(ROM_FILENAME, rom_data, 0, 255);
2018-01-26 13:21:05 +00:00
always @(posedge clk)
dout <= rom_data[address];
2018-01-26 13:21:05 +00:00
endmodule