verilog-apple-one/rtl/ram.v

24 lines
391 B
Coq
Raw Normal View History

2018-01-26 13:21:05 +00:00
module ram(
input clk,
input [12:0] address,
input w_en,
input [7:0] din,
output reg [7:0] dout
);
parameter RAM_FILENAME = "../roms/ram.hex";
2018-01-26 13:21:05 +00:00
reg [7:0] ram[0:8191];
initial
$readmemh(RAM_FILENAME, ram, 0, 8191);
2018-01-26 13:21:05 +00:00
always @(posedge clk)
begin
dout <= ram[address];
if (w_en) ram[address] <= din;
2018-01-26 13:21:05 +00:00
end
endmodule