verilog-apple-one/rtl/rom_wozmon.v

23 lines
358 B
Coq
Raw Normal View History

2018-01-26 13:21:05 +00:00
module rom_wozmon(
input clk,
2018-01-27 11:13:52 +00:00
input reset,
2018-01-26 13:21:05 +00:00
input [7:0] address,
output reg [7:0] dout
);
parameter ROM_FILENAME = "../roms/wozmon.hex";
2018-01-26 13:21:05 +00:00
reg [7:0] rom[0:255];
initial
$readmemh(ROM_FILENAME, rom, 0, 255);
2018-01-26 13:21:05 +00:00
always @(posedge clk)
begin
2018-01-27 11:13:52 +00:00
dout <= reset ? 8'h0 : rom[address];
2018-01-26 13:21:05 +00:00
end
endmodule