verilog-apple-one/boards/olimex_ice40hx8k_evb_ice40-io
Alan Garfield ddbbae966f Fixed olimex makefile path error 2018-04-12 22:47:20 +10:00
..
yosys Fixed olimex makefile path error 2018-04-12 22:47:20 +10:00
README.md Updated the omilex board support 2018-02-14 11:38:26 +11:00
ice40hx8k.pcf Updated the omilex board support 2018-02-14 11:38:26 +11:00

README.md

Olimex iCE40HX8K-EVB + ICE40_IO support

This adds support for building the apple one design for Olimex iCE40hx8k-evb board with attached Olimex iCE40-IO extension for vga and ps2

Peripheral support

VGA port is working throught the iCE40-IO expansion.

A usb-serial converter can be attached on pins 5(RX), 7(TX), 9(CTS) on either the iCE40-IO extension or witout it directly to the header of the FPGA board (pin out is the same). Consult the schematics for iCE40-IO and iCE40HX8K-EVB for extension header pinmap.

This port is using 1 PLL for generating the target 25Mhz clock.

Building

Install a recent IceStorm toolchain, and:

$ cd yosys
$ make

Use

There are 2 possible ways for flashing the board:

  1. Olimex OLIMEXINO-32U4 as programmer

  2. Iceprog with Raspberry PI

To load BASIC type "E000R" with CAPS LOCK on.