verilog-apple-one/iverilog/apple1_files.txt
2018-01-26 23:32:31 +01:00

9 lines
148 B
Plaintext

../rtl/cpu/ALU.v
../rtl/cpu/cpu.v
../rtl/uart/async_tx_rx.v
../rtl/uart/uart.v
../rtl/ram.v
../rtl/rom_wozmon.v
../rtl/apple1_top.v
apple1_top_tb.v