Add files via upload

This commit is contained in:
fred6502 2018-06-07 15:07:39 -07:00 committed by GitHub
parent cb63b04a53
commit 6fb807667e
No known key found for this signature in database
GPG Key ID: 4AEE18F83AFDEB23
48 changed files with 6381 additions and 0 deletions

View File

@ -0,0 +1,31 @@
<?xml version="1.0" encoding="UTF-8" standalone="no" ?>
<generated_project xmlns="http://www.xilinx.com/XMLSchema" xmlns:xil_pn="http://www.xilinx.com/XMLSchema">
<!-- -->
<!-- For tool use only. Do not edit. -->
<!-- -->
<!-- ProjectNavigator created generated project file. -->
<!-- For use in tracking generated file and other information -->
<!-- allowing preservation of process status. -->
<!-- -->
<!-- Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. -->
<version xmlns="http://www.xilinx.com/XMLSchema">11.1</version>
<sourceproject xmlns="http://www.xilinx.com/XMLSchema" xil_pn:fileType="FILE_XISE" xil_pn:name="AppleIIGateSch.xise"/>
<files xmlns="http://www.xilinx.com/XMLSchema">
<file xil_pn:fileType="FILE_NCD" xil_pn:name="ClockVideoGenerator_guide.ncd" xil_pn:origination="imported"/>
<file xil_pn:fileType="FILE_NCD" xil_pn:name="chip74LS161_guide.ncd" xil_pn:origination="imported"/>
</files>
<transforms xmlns="http://www.xilinx.com/XMLSchema"/>
</generated_project>

View File

@ -0,0 +1,408 @@
<?xml version="1.0" encoding="UTF-8" standalone="no" ?>
<project xmlns="http://www.xilinx.com/XMLSchema" xmlns:xil_pn="http://www.xilinx.com/XMLSchema">
<header>
<!-- ISE source project file created by Project Navigator. -->
<!-- -->
<!-- This file contains project source information including a list of -->
<!-- project source files, project and process properties. This file, -->
<!-- along with the project source files, is sufficient to open and -->
<!-- implement in ISE Project Navigator. -->
<!-- -->
<!-- Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. -->
</header>
<version xil_pn:ise_version="14.7" xil_pn:schema_version="2"/>
<files>
<file xil_pn:name="chip74LS161.sch" xil_pn:type="FILE_SCHEMATIC">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="0"/>
<association xil_pn:name="Implementation" xil_pn:seqID="4"/>
</file>
<file xil_pn:name="JK_FlipFlop.sch" xil_pn:type="FILE_SCHEMATIC">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="0"/>
<association xil_pn:name="Implementation" xil_pn:seqID="0"/>
</file>
<file xil_pn:name="JK_FlipFlop_tb.v" xil_pn:type="FILE_VERILOG">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="0"/>
<association xil_pn:name="PostMapSimulation" xil_pn:seqID="3"/>
<association xil_pn:name="PostRouteSimulation" xil_pn:seqID="3"/>
<association xil_pn:name="PostTranslateSimulation" xil_pn:seqID="3"/>
</file>
<file xil_pn:name="chip74LS161_tb.v" xil_pn:type="FILE_VERILOG">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="0"/>
<association xil_pn:name="PostMapSimulation" xil_pn:seqID="56"/>
<association xil_pn:name="PostRouteSimulation" xil_pn:seqID="56"/>
<association xil_pn:name="PostTranslateSimulation" xil_pn:seqID="56"/>
</file>
<file xil_pn:name="chip74LS175.sch" xil_pn:type="FILE_SCHEMATIC">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="0"/>
<association xil_pn:name="Implementation" xil_pn:seqID="3"/>
</file>
<file xil_pn:name="chip74LS175_tb.v" xil_pn:type="FILE_VERILOG">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="0"/>
<association xil_pn:name="PostMapSimulation" xil_pn:seqID="70"/>
<association xil_pn:name="PostRouteSimulation" xil_pn:seqID="70"/>
<association xil_pn:name="PostTranslateSimulation" xil_pn:seqID="70"/>
</file>
<file xil_pn:name="chipi74LS153.sch" xil_pn:type="FILE_SCHEMATIC">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="0"/>
<association xil_pn:name="Implementation" xil_pn:seqID="1"/>
</file>
<file xil_pn:name="chip74LS153_tb.v" xil_pn:type="FILE_VERILOG">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="0"/>
<association xil_pn:name="PostMapSimulation" xil_pn:seqID="75"/>
<association xil_pn:name="PostRouteSimulation" xil_pn:seqID="75"/>
<association xil_pn:name="PostTranslateSimulation" xil_pn:seqID="75"/>
</file>
<file xil_pn:name="chip74S195.sch" xil_pn:type="FILE_SCHEMATIC">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="0"/>
<association xil_pn:name="Implementation" xil_pn:seqID="2"/>
</file>
<file xil_pn:name="chip74S195_tb.v" xil_pn:type="FILE_VERILOG">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="0"/>
<association xil_pn:name="PostMapSimulation" xil_pn:seqID="94"/>
<association xil_pn:name="PostRouteSimulation" xil_pn:seqID="94"/>
<association xil_pn:name="PostTranslateSimulation" xil_pn:seqID="94"/>
</file>
<file xil_pn:name="ClockVideoGenerator.sch" xil_pn:type="FILE_SCHEMATIC">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="0"/>
<association xil_pn:name="Implementation" xil_pn:seqID="5"/>
</file>
<file xil_pn:name="ClockVideoGenerator_tb.v" xil_pn:type="FILE_VERILOG">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="0"/>
<association xil_pn:name="PostMapSimulation" xil_pn:seqID="90"/>
<association xil_pn:name="PostRouteSimulation" xil_pn:seqID="90"/>
<association xil_pn:name="PostTranslateSimulation" xil_pn:seqID="90"/>
</file>
<file xil_pn:name="chip74LS257.sch" xil_pn:type="FILE_SCHEMATIC">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="1"/>
<association xil_pn:name="Implementation" xil_pn:seqID="0"/>
</file>
<file xil_pn:name="chip74LS257_tb.v" xil_pn:type="FILE_VERILOG">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="2"/>
<association xil_pn:name="PostMapSimulation" xil_pn:seqID="72"/>
<association xil_pn:name="PostRouteSimulation" xil_pn:seqID="72"/>
<association xil_pn:name="PostTranslateSimulation" xil_pn:seqID="72"/>
</file>
</files>
<properties>
<property xil_pn:name="Add I/O Buffers" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Allow Logic Optimization Across Hierarchy" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Allow SelectMAP Pins to Persist" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Allow Unexpanded Blocks" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Allow Unmatched LOC Constraints" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Allow Unmatched Timing Group Constraints" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Analysis Effort Level" xil_pn:value="Standard" xil_pn:valueState="default"/>
<property xil_pn:name="Asynchronous To Synchronous" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Auto Implementation Compile Order" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Auto Implementation Top" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Automatic BRAM Packing" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Automatically Insert glbl Module in the Netlist" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Automatically Run Generate Target PROM/ACE File" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="BRAM Utilization Ratio" xil_pn:value="100" xil_pn:valueState="default"/>
<property xil_pn:name="Bring Out Global Set/Reset Net as a Port" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Bring Out Global Tristate Net as a Port" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Bus Delimiter" xil_pn:value="&lt;>" xil_pn:valueState="default"/>
<property xil_pn:name="CLB Pack Factor Percentage" xil_pn:value="100" xil_pn:valueState="default"/>
<property xil_pn:name="Case" xil_pn:value="Maintain" xil_pn:valueState="default"/>
<property xil_pn:name="Case Implementation Style" xil_pn:value="None" xil_pn:valueState="default"/>
<property xil_pn:name="Change Device Speed To" xil_pn:value="-4" xil_pn:valueState="default"/>
<property xil_pn:name="Change Device Speed To Post Trace" xil_pn:value="-4" xil_pn:valueState="default"/>
<property xil_pn:name="Combinatorial Logic Optimization" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Compile EDK Simulation Library" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Compile SIMPRIM (Timing) Simulation Library" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Compile UNISIM (Functional) Simulation Library" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Compile XilinxCoreLib (CORE Generator) Simulation Library" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Compile for HDL Debugging" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Configuration Clk (Configuration Pins)" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
<property xil_pn:name="Configuration Pin Done" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
<property xil_pn:name="Configuration Pin M0" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
<property xil_pn:name="Configuration Pin M1" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
<property xil_pn:name="Configuration Pin M2" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
<property xil_pn:name="Configuration Pin Program" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
<property xil_pn:name="Configuration Rate" xil_pn:value="Default (1)" xil_pn:valueState="default"/>
<property xil_pn:name="Correlate Output to Input Design" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Create ASCII Configuration File" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Create Binary Configuration File" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Create Bit File" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Create I/O Pads from Ports" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Create IEEE 1532 Configuration File" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Create Logic Allocation File" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Create Mask File" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Create ReadBack Data Files" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Cross Clock Analysis" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="DCI Update Mode" xil_pn:value="As Required" xil_pn:valueState="default"/>
<property xil_pn:name="DSP Utilization Ratio" xil_pn:value="100" xil_pn:valueState="default"/>
<property xil_pn:name="Decoder Extraction" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Delay Values To Be Read from SDF" xil_pn:value="Setup Time" xil_pn:valueState="default"/>
<property xil_pn:name="Device" xil_pn:value="xc3s500e" xil_pn:valueState="non-default"/>
<property xil_pn:name="Device Family" xil_pn:value="Spartan3E" xil_pn:valueState="non-default"/>
<property xil_pn:name="Device Speed Grade/Select ABS Minimum" xil_pn:value="-4" xil_pn:valueState="default"/>
<property xil_pn:name="Disable Detailed Package Model Insertion" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Do Not Escape Signal and Instance Names in Netlist" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Done (Output Events)" xil_pn:value="Default (4)" xil_pn:valueState="default"/>
<property xil_pn:name="Drive Done Pin High" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Enable BitStream Compression" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Enable Cyclic Redundancy Checking (CRC)" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Enable Debugging of Serial Mode BitStream" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Enable Hardware Co-Simulation" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Enable Internal Done Pipe" xil_pn:value="true" xil_pn:valueState="non-default"/>
<property xil_pn:name="Enable Message Filtering" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Enable Multi-Threading" xil_pn:value="Off" xil_pn:valueState="default"/>
<property xil_pn:name="Enable Outputs (Output Events)" xil_pn:value="Default (5)" xil_pn:valueState="default"/>
<property xil_pn:name="Equivalent Register Removal XST" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Evaluation Development Board" xil_pn:value="None Specified" xil_pn:valueState="default"/>
<property xil_pn:name="Exclude Compilation of Deprecated EDK Cores" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Exclude Compilation of EDK Sub-Libraries" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Extra Effort" xil_pn:value="None" xil_pn:valueState="default"/>
<property xil_pn:name="Extra Effort (Highest PAR level only)" xil_pn:value="None" xil_pn:valueState="default"/>
<property xil_pn:name="FPGA Start-Up Clock" xil_pn:value="CCLK" xil_pn:valueState="default"/>
<property xil_pn:name="FSM Encoding Algorithm" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="FSM Style" xil_pn:value="LUT" xil_pn:valueState="default"/>
<property xil_pn:name="Filter Files From Compile Order" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Flatten Output Netlist" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Functional Model Target Language ArchWiz" xil_pn:value="Verilog" xil_pn:valueState="default"/>
<property xil_pn:name="Functional Model Target Language Coregen" xil_pn:value="Verilog" xil_pn:valueState="default"/>
<property xil_pn:name="Functional Model Target Language Schematic" xil_pn:value="Verilog" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Architecture Only (No Entity Declaration)" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Asynchronous Delay Report" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Clock Region Report" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Constraints Interaction Report" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Constraints Interaction Report Post Trace" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Datasheet Section" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Datasheet Section Post Trace" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Detailed MAP Report" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Multiple Hierarchical Netlist Files" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Post-Place &amp; Route Power Report" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Post-Place &amp; Route Simulation Model" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate RTL Schematic" xil_pn:value="Yes" xil_pn:valueState="default"/>
<property xil_pn:name="Generate SAIF File for Power Optimization/Estimation Par" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Testbench File" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Timegroups Section" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Timegroups Section Post Trace" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generics, Parameters" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Global Optimization Goal" xil_pn:value="AllClockNets" xil_pn:valueState="default"/>
<property xil_pn:name="Global Set/Reset Port Name" xil_pn:value="GSR_PORT" xil_pn:valueState="default"/>
<property xil_pn:name="Global Tristate Port Name" xil_pn:value="GTS_PORT" xil_pn:valueState="default"/>
<property xil_pn:name="Hierarchy Separator" xil_pn:value="/" xil_pn:valueState="default"/>
<property xil_pn:name="ISim UUT Instance Name" xil_pn:value="UUT" xil_pn:valueState="default"/>
<property xil_pn:name="Ignore User Timing Constraints Map" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Ignore User Timing Constraints Par" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Implementation Top" xil_pn:value="Module|ClockVideoGenerator" xil_pn:valueState="non-default"/>
<property xil_pn:name="Implementation Top File" xil_pn:value="ClockVideoGenerator.sch" xil_pn:valueState="non-default"/>
<property xil_pn:name="Implementation Top Instance Path" xil_pn:value="/ClockVideoGenerator" xil_pn:valueState="non-default"/>
<property xil_pn:name="Include 'uselib Directive in Verilog File" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Include SIMPRIM Models in Verilog File" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Include UNISIM Models in Verilog File" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Include sdf_annotate task in Verilog File" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Incremental Compilation" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Insert Buffers to Prevent Pulse Swallowing" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Instantiation Template Target Language Schematic" xil_pn:value="Verilog" xil_pn:valueState="default"/>
<property xil_pn:name="Instantiation Template Target Language Xps" xil_pn:value="Verilog" xil_pn:valueState="default"/>
<property xil_pn:name="JTAG Pin TCK" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
<property xil_pn:name="JTAG Pin TDI" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
<property xil_pn:name="JTAG Pin TDO" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
<property xil_pn:name="JTAG Pin TMS" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
<property xil_pn:name="Keep Hierarchy" xil_pn:value="No" xil_pn:valueState="default"/>
<property xil_pn:name="Language" xil_pn:value="VHDL" xil_pn:valueState="default"/>
<property xil_pn:name="Last Applied Goal" xil_pn:value="Balanced" xil_pn:valueState="default"/>
<property xil_pn:name="Last Applied Strategy" xil_pn:value="Xilinx Default (unlocked)" xil_pn:valueState="default"/>
<property xil_pn:name="Last Unlock Status" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Launch SDK after Export" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Library for Verilog Sources" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Load glbl" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Logical Shifter Extraction" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Manual Implementation Compile Order" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Map Effort Level" xil_pn:value="High" xil_pn:valueState="default"/>
<property xil_pn:name="Map Slice Logic into Unused Block RAMs" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Max Fanout" xil_pn:value="100000" xil_pn:valueState="non-default"/>
<property xil_pn:name="Maximum Number of Lines in Report" xil_pn:value="1000" xil_pn:valueState="default"/>
<property xil_pn:name="Maximum Signal Name Length" xil_pn:value="20" xil_pn:valueState="default"/>
<property xil_pn:name="Move First Flip-Flop Stage" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Move Last Flip-Flop Stage" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Multiplier Style" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="Mux Extraction" xil_pn:value="Yes" xil_pn:valueState="default"/>
<property xil_pn:name="Mux Style" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="Netlist Hierarchy" xil_pn:value="As Optimized" xil_pn:valueState="default"/>
<property xil_pn:name="Netlist Translation Type" xil_pn:value="Timestamp" xil_pn:valueState="default"/>
<property xil_pn:name="Number of Clock Buffers" xil_pn:value="24" xil_pn:valueState="default"/>
<property xil_pn:name="Number of Paths in Error/Verbose Report" xil_pn:value="3" xil_pn:valueState="default"/>
<property xil_pn:name="Number of Paths in Error/Verbose Report Post Trace" xil_pn:value="3" xil_pn:valueState="default"/>
<property xil_pn:name="Optimization Effort" xil_pn:value="Normal" xil_pn:valueState="default"/>
<property xil_pn:name="Optimization Goal" xil_pn:value="Speed" xil_pn:valueState="default"/>
<property xil_pn:name="Optimization Strategy (Cover Mode)" xil_pn:value="Area" xil_pn:valueState="default"/>
<property xil_pn:name="Optimize Instantiated Primitives" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Other Bitgen Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Compiler Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Compiler Options Map" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Compiler Options Par" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Compiler Options Translate" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Compxlib Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Map Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other NETGEN Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Ngdbuild Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Place &amp; Route Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Simulator Commands Behavioral" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Simulator Commands Post-Map" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Simulator Commands Post-Route" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Simulator Commands Post-Translate" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other XPWR Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other XST Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Output Extended Identifiers" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Output File Name" xil_pn:value="ClockVideoGenerator" xil_pn:valueState="default"/>
<property xil_pn:name="Overwrite Compiled Libraries" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Overwrite Existing Symbol" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Pack I/O Registers into IOBs" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="Pack I/O Registers/Latches into IOBs" xil_pn:value="Off" xil_pn:valueState="default"/>
<property xil_pn:name="Package" xil_pn:value="fg320" xil_pn:valueState="non-default"/>
<property xil_pn:name="Perform Advanced Analysis" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Perform Advanced Analysis Post Trace" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Perform Timing-Driven Packing and Placement" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Place &amp; Route Effort Level (Overall)" xil_pn:value="High" xil_pn:valueState="default"/>
<property xil_pn:name="Place And Route Mode" xil_pn:value="Normal Place and Route" xil_pn:valueState="default"/>
<property xil_pn:name="Placer Effort Level (Overrides Overall Level)" xil_pn:value="None" xil_pn:valueState="default"/>
<property xil_pn:name="Port to be used" xil_pn:value="Auto - default" xil_pn:valueState="default"/>
<property xil_pn:name="Post Map Simulation Model Name" xil_pn:value="ClockVideoGenerator_map.v" xil_pn:valueState="default"/>
<property xil_pn:name="Post Place &amp; Route Simulation Model Name" xil_pn:value="ClockVideoGenerator_timesim.v" xil_pn:valueState="default"/>
<property xil_pn:name="Post Synthesis Simulation Model Name" xil_pn:value="ClockVideoGenerator_synthesis.v" xil_pn:valueState="default"/>
<property xil_pn:name="Post Translate Simulation Model Name" xil_pn:value="ClockVideoGenerator_translate.v" xil_pn:valueState="default"/>
<property xil_pn:name="Power Reduction Map" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Power Reduction Par" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Preferred Language" xil_pn:value="Verilog" xil_pn:valueState="default"/>
<property xil_pn:name="Priority Encoder Extraction" xil_pn:value="Yes" xil_pn:valueState="default"/>
<property xil_pn:name="Produce Verbose Report" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Project Description" xil_pn:value="Schematic entry of apple II from Apple II schematic. Using 74 series chips. Can't find a library of 74 series chips so will have to make my own verilog representations of them. &#xA;&#xA;Plan on using gate diagrams of the chips.. Not verilog descriptions. TTL diagrams always seem to have internal gate level representation of 74 sereis logic chips.&#xA;&#xA;Goal will be that if an Apple expansion connector is connected to the design that real Apple cards will be usable.. Of course voltage level shifters and what not will be needed.&#xA;&#xA;Hmm composite video signal is generated.. To use VGA port will need to translate composite signal to colors. I think there was a VHDL Apple II on an Altera board that might maybe have a module that does that.. Although looking at it I think it was a functional not gate level model so might not generate composite signal.&#xA;&#xA;May the force be with you." xil_pn:valueState="non-default"/>
<property xil_pn:name="Property Specification in Project File" xil_pn:value="Store all values" xil_pn:valueState="default"/>
<property xil_pn:name="RAM Extraction" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="RAM Style" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="ROM Extraction" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="ROM Style" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="Read Cores" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Regenerate Core" xil_pn:value="Under Current Project Setting" xil_pn:valueState="default"/>
<property xil_pn:name="Register Balancing" xil_pn:value="No" xil_pn:valueState="default"/>
<property xil_pn:name="Register Duplication" xil_pn:value="Off" xil_pn:valueState="default"/>
<property xil_pn:name="Register Duplication Xst" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Release Write Enable (Output Events)" xil_pn:value="Default (6)" xil_pn:valueState="default"/>
<property xil_pn:name="Rename Design Instance in Testbench File to" xil_pn:value="UUT" xil_pn:valueState="default"/>
<property xil_pn:name="Rename Top Level Architecture To" xil_pn:value="Structure" xil_pn:valueState="default"/>
<property xil_pn:name="Rename Top Level Entity to" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Rename Top Level Module To" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Report Fastest Path(s) in Each Constraint" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Report Fastest Path(s) in Each Constraint Post Trace" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Report Paths by Endpoint" xil_pn:value="3" xil_pn:valueState="default"/>
<property xil_pn:name="Report Paths by Endpoint Post Trace" xil_pn:value="3" xil_pn:valueState="default"/>
<property xil_pn:name="Report Type" xil_pn:value="Verbose Report" xil_pn:valueState="default"/>
<property xil_pn:name="Report Type Post Trace" xil_pn:value="Verbose Report" xil_pn:valueState="default"/>
<property xil_pn:name="Report Unconstrained Paths" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Report Unconstrained Paths Post Trace" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Reset DCM if SHUTDOWN &amp; AGHIGH performed" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Reset On Configuration Pulse Width" xil_pn:value="100" xil_pn:valueState="default"/>
<property xil_pn:name="Resource Sharing" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Retain Hierarchy" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Revision Select" xil_pn:value="00" xil_pn:valueState="default"/>
<property xil_pn:name="Revision Select Tristate" xil_pn:value="Disable" xil_pn:valueState="default"/>
<property xil_pn:name="Router Effort Level (Overrides Overall Level)" xil_pn:value="None" xil_pn:valueState="default"/>
<property xil_pn:name="Run Design Rules Checker (DRC)" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Run for Specified Time" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Run for Specified Time Map" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Run for Specified Time Par" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Run for Specified Time Translate" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Safe Implementation" xil_pn:value="No" xil_pn:valueState="default"/>
<property xil_pn:name="Security" xil_pn:value="Enable Readback and Reconfiguration" xil_pn:valueState="default"/>
<property xil_pn:name="Selected Module Instance Name" xil_pn:value="/chip74LS257_chip74LS257_sch_tb" xil_pn:valueState="non-default"/>
<property xil_pn:name="Selected Simulation Root Source Node Behavioral" xil_pn:value="work.chip74LS257_chip74LS257_sch_tb" xil_pn:valueState="non-default"/>
<property xil_pn:name="Selected Simulation Root Source Node Post-Map" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Selected Simulation Root Source Node Post-Route" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Selected Simulation Root Source Node Post-Translate" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Selected Simulation Source Node" xil_pn:value="UUT" xil_pn:valueState="default"/>
<property xil_pn:name="Shift Register Extraction" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Show All Models" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Simulation Model Target" xil_pn:value="Verilog" xil_pn:valueState="default"/>
<property xil_pn:name="Simulation Run Time ISim" xil_pn:value="30000 ns" xil_pn:valueState="non-default"/>
<property xil_pn:name="Simulation Run Time Map" xil_pn:value="1000 ns" xil_pn:valueState="default"/>
<property xil_pn:name="Simulation Run Time Par" xil_pn:value="1000 ns" xil_pn:valueState="default"/>
<property xil_pn:name="Simulation Run Time Translate" xil_pn:value="1000 ns" xil_pn:valueState="default"/>
<property xil_pn:name="Simulator" xil_pn:value="ISim (VHDL/Verilog)" xil_pn:valueState="default"/>
<property xil_pn:name="Slice Packing" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Slice Utilization Ratio" xil_pn:value="100" xil_pn:valueState="default"/>
<property xil_pn:name="Specify 'define Macro Name and Value" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Specify Top Level Instance Names Behavioral" xil_pn:value="work.chip74LS257_chip74LS257_sch_tb" xil_pn:valueState="default"/>
<property xil_pn:name="Specify Top Level Instance Names Post-Map" xil_pn:value="Default" xil_pn:valueState="default"/>
<property xil_pn:name="Specify Top Level Instance Names Post-Route" xil_pn:value="Default" xil_pn:valueState="default"/>
<property xil_pn:name="Specify Top Level Instance Names Post-Translate" xil_pn:value="Default" xil_pn:valueState="default"/>
<property xil_pn:name="Speed Grade" xil_pn:value="-4" xil_pn:valueState="non-default"/>
<property xil_pn:name="Starting Placer Cost Table (1-100) Map" xil_pn:value="1" xil_pn:valueState="default"/>
<property xil_pn:name="Starting Placer Cost Table (1-100) Par" xil_pn:value="1" xil_pn:valueState="default"/>
<property xil_pn:name="Synthesis Tool" xil_pn:value="XST (VHDL/Verilog)" xil_pn:valueState="default"/>
<property xil_pn:name="Target Simulator" xil_pn:value="Please Specify" xil_pn:valueState="default"/>
<property xil_pn:name="Timing Mode Map" xil_pn:value="Non Timing Driven" xil_pn:valueState="default"/>
<property xil_pn:name="Timing Mode Par" xil_pn:value="Performance Evaluation" xil_pn:valueState="default"/>
<property xil_pn:name="Top-Level Module Name in Output Netlist" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Top-Level Source Type" xil_pn:value="Schematic" xil_pn:valueState="non-default"/>
<property xil_pn:name="Trim Unconnected Signals" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Tristate On Configuration Pulse Width" xil_pn:value="0" xil_pn:valueState="default"/>
<property xil_pn:name="Unused IOB Pins" xil_pn:value="Pull Down" xil_pn:valueState="default"/>
<property xil_pn:name="Use 64-bit PlanAhead on 64-bit Systems" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Use Clock Enable" xil_pn:value="Yes" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Project File Behavioral" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Project File Post-Map" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Project File Post-Route" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Project File Post-Translate" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Simulation Command File Behavioral" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Simulation Command File Map" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Simulation Command File Par" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Simulation Command File Translate" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Waveform Configuration File Behav" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Waveform Configuration File Map" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Waveform Configuration File Par" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Waveform Configuration File Translate" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use LOC Constraints" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Use RLOC Constraints" xil_pn:value="Yes" xil_pn:valueState="default"/>
<property xil_pn:name="Use Smart Guide" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Synchronous Reset" xil_pn:value="Yes" xil_pn:valueState="default"/>
<property xil_pn:name="Use Synchronous Set" xil_pn:value="Yes" xil_pn:valueState="default"/>
<property xil_pn:name="Use Synthesis Constraints File" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="User Browsed Strategy Files" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="UserID Code (8 Digit Hexadecimal)" xil_pn:value="0xFFFFFFFF" xil_pn:valueState="default"/>
<property xil_pn:name="VHDL Source Analysis Standard" xil_pn:value="VHDL-93" xil_pn:valueState="default"/>
<property xil_pn:name="Value Range Check" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Verilog 2001 Xst" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Verilog Macros" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Wait for DLL Lock (Output Events)" xil_pn:value="Default (NoWait)" xil_pn:valueState="default"/>
<property xil_pn:name="Working Directory" xil_pn:value="." xil_pn:valueState="non-default"/>
<property xil_pn:name="Write Timing Constraints" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="XOR Collapsing" xil_pn:value="true" xil_pn:valueState="default"/>
<!-- -->
<!-- The following properties are for internal use only. These should not be modified.-->
<!-- -->
<property xil_pn:name="PROP_BehavioralSimTop" xil_pn:value="Module|chip74LS257_chip74LS257_sch_tb" xil_pn:valueState="non-default"/>
<property xil_pn:name="PROP_DesignName" xil_pn:value="AppleIIGateSch" xil_pn:valueState="non-default"/>
<property xil_pn:name="PROP_DevFamilyPMName" xil_pn:value="spartan3e" xil_pn:valueState="default"/>
<property xil_pn:name="PROP_FPGAConfiguration" xil_pn:value="FPGAConfiguration" xil_pn:valueState="default"/>
<property xil_pn:name="PROP_PostMapSimTop" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="PROP_PostParSimTop" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="PROP_PostSynthSimTop" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="PROP_PostXlateSimTop" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="PROP_PreSynthesis" xil_pn:value="PreSynthesis" xil_pn:valueState="default"/>
<property xil_pn:name="PROP_intProjectCreationTimestamp" xil_pn:value="2018-05-21T16:09:30" xil_pn:valueState="non-default"/>
<property xil_pn:name="PROP_intWbtProjectID" xil_pn:value="5D23A760673F46A08FD1BCCFE9330768" xil_pn:valueState="non-default"/>
<property xil_pn:name="PROP_intWorkingDirLocWRTProjDir" xil_pn:value="Same" xil_pn:valueState="non-default"/>
<property xil_pn:name="PROP_intWorkingDirUsed" xil_pn:value="No" xil_pn:valueState="non-default"/>
</properties>
<bindings/>
<libraries/>
<autoManagedFiles>
<!-- The following files are identified by `include statements in verilog -->
<!-- source files and are automatically managed by Project Navigator. -->
<!-- -->
<!-- Do not hand-edit this section, as it will be overwritten when the -->
<!-- project is analyzed based on files automatically identified as -->
<!-- include files. -->
</autoManagedFiles>
</project>

View File

@ -0,0 +1,940 @@
<?xml version="1.0" encoding="UTF-8"?>
<drawing version="7">
<attr value="spartan3e" name="DeviceFamilyName">
<trait delete="all:0" />
<trait editname="all:0" />
<trait edittrait="all:0" />
</attr>
<netlist>
<signal name="XLXN_1" />
<signal name="XLXN_3" />
<signal name="XLXN_4" />
<signal name="XLXN_5" />
<signal name="XLXN_6" />
<signal name="SOFT5_A2_11" />
<signal name="XLXN_10" />
<signal name="XLXN_11" />
<signal name="XLXN_12" />
<signal name="XLXN_13" />
<signal name="XLXN_14" />
<signal name="VA" />
<signal name="XLXN_17" />
<signal name="V5" />
<signal name="V4" />
<signal name="V3" />
<signal name="V2" />
<signal name="V1" />
<signal name="V0" />
<signal name="VC" />
<signal name="VB" />
<signal name="H5" />
<signal name="H4" />
<signal name="H3" />
<signal name="H2" />
<signal name="H1" />
<signal name="H0" />
<signal name="SOFT5_A2_8" />
<signal name="XLXN_35" />
<signal name="XLXN_36" />
<signal name="RASn" />
<signal name="CASn" />
<signal name="AX" />
<signal name="XLXN_44" />
<signal name="Q3" />
<signal name="XLXN_46" />
<signal name="LDPSn" />
<signal name="LD194" />
<signal name="XLXN_52" />
<signal name="XLXN_54" />
<signal name="PHI0" />
<signal name="PHI1" />
<signal name="XLXN_62" />
<signal name="COLOR_REF" />
<signal name="XLXN_64" />
<signal name="c7M" />
<signal name="c7Mn" />
<signal name="XLXN_70" />
<signal name="XLXN_72" />
<signal name="XLXN_76" />
<signal name="CLK_14o3M" />
<signal name="XLXN_78" />
<signal name="XLXN_79" />
<signal name="XLXN_81" />
<signal name="XLXN_82" />
<signal name="XLXN_84" />
<signal name="XLXN_86" />
<signal name="XLXN_87" />
<port polarity="Input" name="SOFT5_A2_11" />
<port polarity="Output" name="VA" />
<port polarity="Output" name="V5" />
<port polarity="Output" name="V4" />
<port polarity="Output" name="V3" />
<port polarity="Output" name="V2" />
<port polarity="Output" name="V1" />
<port polarity="Output" name="V0" />
<port polarity="Output" name="VC" />
<port polarity="Output" name="VB" />
<port polarity="Output" name="H5" />
<port polarity="Output" name="H4" />
<port polarity="Output" name="H3" />
<port polarity="Output" name="H2" />
<port polarity="Output" name="H1" />
<port polarity="Output" name="H0" />
<port polarity="Input" name="SOFT5_A2_8" />
<port polarity="Output" name="RASn" />
<port polarity="Output" name="CASn" />
<port polarity="Output" name="AX" />
<port polarity="Output" name="Q3" />
<port polarity="Output" name="LDPSn" />
<port polarity="Output" name="LD194" />
<port polarity="Output" name="PHI0" />
<port polarity="Output" name="PHI1" />
<port polarity="Output" name="COLOR_REF" />
<port polarity="Output" name="c7M" />
<port polarity="Output" name="c7Mn" />
<port polarity="Input" name="CLK_14o3M" />
<blockdef name="chip74LS161">
<timestamp>2018-5-24T4:42:36</timestamp>
<rect width="256" x="64" y="-512" height="512" />
<line x2="384" y1="-32" y2="-32" x1="320" />
<line x2="0" y1="-32" y2="-32" x1="64" />
<line x2="384" y1="-96" y2="-96" x1="320" />
<line x2="0" y1="-96" y2="-96" x1="64" />
<line x2="384" y1="-224" y2="-224" x1="320" />
<line x2="384" y1="-288" y2="-288" x1="320" />
<line x2="384" y1="-352" y2="-352" x1="320" />
<line x2="384" y1="-416" y2="-416" x1="320" />
<line x2="384" y1="-160" y2="-160" x1="320" />
<line x2="0" y1="-224" y2="-224" x1="64" />
<line x2="0" y1="-288" y2="-288" x1="64" />
<line x2="0" y1="-352" y2="-352" x1="64" />
<line x2="0" y1="-416" y2="-416" x1="64" />
<line x2="0" y1="-480" y2="-480" x1="64" />
</blockdef>
<blockdef name="inv">
<timestamp>2000-1-1T10:10:10</timestamp>
<line x2="64" y1="-32" y2="-32" x1="0" />
<line x2="160" y1="-32" y2="-32" x1="224" />
<line x2="128" y1="-64" y2="-32" x1="64" />
<line x2="64" y1="-32" y2="0" x1="128" />
<line x2="64" y1="0" y2="-64" x1="64" />
<circle r="16" cx="144" cy="-32" />
</blockdef>
<blockdef name="gnd">
<timestamp>2000-1-1T10:10:10</timestamp>
<line x2="64" y1="-64" y2="-96" x1="64" />
<line x2="52" y1="-48" y2="-48" x1="76" />
<line x2="60" y1="-32" y2="-32" x1="68" />
<line x2="40" y1="-64" y2="-64" x1="88" />
<line x2="64" y1="-64" y2="-80" x1="64" />
<line x2="64" y1="-128" y2="-96" x1="64" />
</blockdef>
<blockdef name="vcc">
<timestamp>2000-1-1T10:10:10</timestamp>
<line x2="64" y1="-32" y2="-64" x1="64" />
<line x2="64" y1="0" y2="-32" x1="64" />
<line x2="32" y1="-64" y2="-64" x1="96" />
</blockdef>
<blockdef name="xor2">
<timestamp>2000-1-1T10:10:10</timestamp>
<line x2="64" y1="-64" y2="-64" x1="0" />
<line x2="60" y1="-128" y2="-128" x1="0" />
<line x2="208" y1="-96" y2="-96" x1="256" />
<arc ex="44" ey="-144" sx="48" sy="-48" r="56" cx="16" cy="-96" />
<arc ex="64" ey="-144" sx="64" sy="-48" r="56" cx="32" cy="-96" />
<line x2="64" y1="-144" y2="-144" x1="128" />
<line x2="64" y1="-48" y2="-48" x1="128" />
<arc ex="128" ey="-144" sx="208" sy="-96" r="88" cx="132" cy="-56" />
<arc ex="208" ey="-96" sx="128" sy="-48" r="88" cx="132" cy="-136" />
</blockdef>
<blockdef name="nand4">
<timestamp>2000-1-1T10:10:10</timestamp>
<line x2="64" y1="-64" y2="-64" x1="0" />
<line x2="64" y1="-128" y2="-128" x1="0" />
<line x2="64" y1="-192" y2="-192" x1="0" />
<line x2="64" y1="-256" y2="-256" x1="0" />
<line x2="216" y1="-160" y2="-160" x1="256" />
<circle r="12" cx="204" cy="-160" />
<line x2="64" y1="-64" y2="-256" x1="64" />
<line x2="144" y1="-208" y2="-208" x1="64" />
<arc ex="144" ey="-208" sx="144" sy="-112" r="48" cx="144" cy="-160" />
<line x2="64" y1="-112" y2="-112" x1="144" />
</blockdef>
<blockdef name="chip74S195">
<timestamp>2018-6-3T18:32:16</timestamp>
<rect width="256" x="64" y="-512" height="512" />
<line x2="384" y1="-96" y2="-96" x1="320" />
<line x2="0" y1="-96" y2="-96" x1="64" />
<line x2="0" y1="-32" y2="-32" x1="64" />
<line x2="384" y1="-32" y2="-32" x1="320" />
<line x2="0" y1="-288" y2="-288" x1="64" />
<line x2="0" y1="-352" y2="-352" x1="64" />
<line x2="0" y1="-416" y2="-416" x1="64" />
<line x2="0" y1="-480" y2="-480" x1="64" />
<line x2="384" y1="-224" y2="-224" x1="320" />
<line x2="384" y1="-288" y2="-288" x1="320" />
<line x2="384" y1="-352" y2="-352" x1="320" />
<line x2="384" y1="-480" y2="-480" x1="320" />
<line x2="384" y1="-416" y2="-416" x1="320" />
<line x2="0" y1="-224" y2="-224" x1="64" />
<circle r="12" cx="56" cy="-224" />
<circle r="12" cx="332" cy="-98" />
<circle r="12" cx="332" cy="-34" />
<circle r="12" cx="332" cy="-482" />
</blockdef>
<blockdef name="nand2">
<timestamp>2000-1-1T10:10:10</timestamp>
<line x2="64" y1="-64" y2="-64" x1="0" />
<line x2="64" y1="-128" y2="-128" x1="0" />
<line x2="216" y1="-96" y2="-96" x1="256" />
<circle r="12" cx="204" cy="-96" />
<line x2="64" y1="-48" y2="-144" x1="64" />
<line x2="144" y1="-144" y2="-144" x1="64" />
<line x2="64" y1="-48" y2="-48" x1="144" />
<arc ex="144" ey="-144" sx="144" sy="-48" r="48" cx="144" cy="-96" />
</blockdef>
<blockdef name="and3">
<timestamp>2000-1-1T10:10:10</timestamp>
<line x2="64" y1="-64" y2="-64" x1="0" />
<line x2="64" y1="-128" y2="-128" x1="0" />
<line x2="64" y1="-192" y2="-192" x1="0" />
<line x2="192" y1="-128" y2="-128" x1="256" />
<line x2="144" y1="-176" y2="-176" x1="64" />
<line x2="64" y1="-80" y2="-80" x1="144" />
<arc ex="144" ey="-176" sx="144" sy="-80" r="48" cx="144" cy="-128" />
<line x2="64" y1="-64" y2="-192" x1="64" />
</blockdef>
<blockdef name="nor2">
<timestamp>2000-1-1T10:10:10</timestamp>
<line x2="64" y1="-64" y2="-64" x1="0" />
<line x2="64" y1="-128" y2="-128" x1="0" />
<line x2="216" y1="-96" y2="-96" x1="256" />
<circle r="12" cx="204" cy="-96" />
<arc ex="192" ey="-96" sx="112" sy="-48" r="88" cx="116" cy="-136" />
<arc ex="112" ey="-144" sx="192" sy="-96" r="88" cx="116" cy="-56" />
<arc ex="48" ey="-144" sx="48" sy="-48" r="56" cx="16" cy="-96" />
<line x2="48" y1="-48" y2="-48" x1="112" />
<line x2="48" y1="-144" y2="-144" x1="112" />
</blockdef>
<blockdef name="chipi74LS153">
<timestamp>2018-6-4T6:43:36</timestamp>
<rect width="256" x="64" y="-576" height="576" />
<line x2="384" y1="-224" y2="-224" x1="320" />
<line x2="384" y1="-160" y2="-160" x1="320" />
<line x2="384" y1="-96" y2="-96" x1="320" />
<line x2="384" y1="-32" y2="-32" x1="320" />
<line x2="384" y1="-544" y2="-544" x1="320" />
<line x2="384" y1="-480" y2="-480" x1="320" />
<line x2="384" y1="-416" y2="-416" x1="320" />
<line x2="384" y1="-352" y2="-352" x1="320" />
<line x2="0" y1="-288" y2="-288" x1="64" />
<line x2="0" y1="-352" y2="-352" x1="64" />
<line x2="0" y1="-544" y2="-544" x1="64" />
<line x2="0" y1="-32" y2="-32" x1="64" />
<line x2="0" y1="-224" y2="-224" x1="64" />
<line x2="0" y1="-480" y2="-480" x1="64" />
<circle r="12" cx="56" cy="-352" />
<circle r="12" cx="56" cy="-288" />
</blockdef>
<blockdef name="chip74LS175">
<timestamp>2018-5-27T1:40:50</timestamp>
<rect width="256" x="64" y="-512" height="512" />
<line x2="0" y1="-416" y2="-416" x1="64" />
<line x2="0" y1="-480" y2="-480" x1="64" />
<line x2="0" y1="-160" y2="-160" x1="64" />
<line x2="0" y1="-224" y2="-224" x1="64" />
<line x2="0" y1="-288" y2="-288" x1="64" />
<line x2="0" y1="-352" y2="-352" x1="64" />
<line x2="384" y1="-32" y2="-32" x1="320" />
<line x2="384" y1="-96" y2="-96" x1="320" />
<line x2="384" y1="-160" y2="-160" x1="320" />
<line x2="384" y1="-224" y2="-224" x1="320" />
<line x2="384" y1="-288" y2="-288" x1="320" />
<line x2="384" y1="-352" y2="-352" x1="320" />
<line x2="384" y1="-416" y2="-416" x1="320" />
<line x2="384" y1="-480" y2="-480" x1="320" />
<circle r="10" cx="54" cy="-418" />
<circle r="8" cx="332" cy="-480" />
<circle r="10" cx="330" cy="-352" />
<circle r="8" cx="332" cy="-224" />
<circle r="8" cx="332" cy="-100" />
</blockdef>
<block symbolname="chip74LS161" name="D14_74LS161">
<blockpin signalname="SOFT5_A2_11" name="CEP" />
<blockpin signalname="SOFT5_A2_11" name="CET" />
<blockpin signalname="LDPSn" name="CLK" />
<blockpin signalname="SOFT5_A2_11" name="CLRn" />
<blockpin signalname="XLXN_11" name="P0" />
<blockpin signalname="XLXN_11" name="P1" />
<blockpin signalname="XLXN_11" name="P2" />
<blockpin signalname="XLXN_11" name="P3" />
<blockpin signalname="XLXN_10" name="PEn" />
<blockpin signalname="H0" name="Q0" />
<blockpin signalname="H1" name="Q1" />
<blockpin signalname="H2" name="Q2" />
<blockpin signalname="H3" name="Q3" />
<blockpin signalname="XLXN_1" name="TC" />
</block>
<block symbolname="chip74LS161" name="D13_74LS161">
<blockpin signalname="XLXN_1" name="CEP" />
<blockpin signalname="XLXN_1" name="CET" />
<blockpin signalname="LDPSn" name="CLK" />
<blockpin signalname="SOFT5_A2_11" name="CLRn" />
<blockpin signalname="XLXN_12" name="P0" />
<blockpin signalname="XLXN_12" name="P1" />
<blockpin signalname="SOFT5_A2_11" name="P2" />
<blockpin signalname="VA" name="P3" />
<blockpin signalname="XLXN_10" name="PEn" />
<blockpin signalname="H4" name="Q0" />
<blockpin signalname="H5" name="Q1" />
<blockpin signalname="XLXN_10" name="Q2" />
<blockpin signalname="VA" name="Q3" />
<blockpin signalname="XLXN_3" name="TC" />
</block>
<block symbolname="chip74LS161" name="D12_74LS161">
<blockpin signalname="XLXN_3" name="CEP" />
<blockpin signalname="XLXN_3" name="CET" />
<blockpin signalname="LDPSn" name="CLK" />
<blockpin signalname="SOFT5_A2_11" name="CLRn" />
<blockpin signalname="XLXN_17" name="P0" />
<blockpin signalname="XLXN_13" name="P1" />
<blockpin signalname="XLXN_3" name="P2" />
<blockpin signalname="XLXN_17" name="P3" />
<blockpin signalname="XLXN_6" name="PEn" />
<blockpin signalname="VB" name="Q0" />
<blockpin signalname="VC" name="Q1" />
<blockpin signalname="V0" name="Q2" />
<blockpin signalname="V1" name="Q3" />
<blockpin signalname="XLXN_4" name="TC" />
</block>
<block symbolname="chip74LS161" name="D11_74LS161">
<blockpin signalname="XLXN_4" name="CEP" />
<blockpin signalname="XLXN_4" name="CET" />
<blockpin signalname="LDPSn" name="CLK" />
<blockpin signalname="SOFT5_A2_11" name="CLRn" />
<blockpin signalname="XLXN_17" name="P0" />
<blockpin signalname="XLXN_4" name="P1" />
<blockpin signalname="XLXN_4" name="P2" />
<blockpin signalname="XLXN_14" name="P3" />
<blockpin signalname="XLXN_6" name="PEn" />
<blockpin signalname="V2" name="Q0" />
<blockpin signalname="V3" name="Q1" />
<blockpin signalname="V4" name="Q2" />
<blockpin signalname="V5" name="Q3" />
<blockpin signalname="XLXN_5" name="TC" />
</block>
<block symbolname="inv" name="C11_4of6_74LS04">
<blockpin signalname="XLXN_5" name="I" />
<blockpin signalname="XLXN_6" name="O" />
</block>
<block symbolname="gnd" name="XLXI_6">
<blockpin signalname="XLXN_11" name="G" />
</block>
<block symbolname="gnd" name="XLXI_7">
<blockpin signalname="XLXN_12" name="G" />
</block>
<block symbolname="gnd" name="XLXI_8">
<blockpin signalname="XLXN_13" name="G" />
</block>
<block symbolname="gnd" name="XLXI_10">
<blockpin signalname="XLXN_14" name="G" />
</block>
<block symbolname="vcc" name="XLXI_12">
<blockpin signalname="XLXN_17" name="P" />
</block>
<block symbolname="xor2" name="B2_2of4_74S86">
<blockpin signalname="SOFT5_A2_8" name="I0" />
<blockpin signalname="XLXN_10" name="I1" />
<blockpin signalname="XLXN_35" name="O" />
</block>
<block symbolname="nand4" name="D2_1of4_74LS20">
<blockpin signalname="XLXN_87" name="I0" />
<blockpin signalname="PHI0" name="I1" />
<blockpin signalname="XLXN_35" name="I2" />
<blockpin signalname="XLXN_46" name="I3" />
<blockpin signalname="XLXN_36" name="O" />
</block>
<block symbolname="chip74S195" name="XLXI_15">
<blockpin signalname="SOFT5_A2_8" name="CLRn_1" />
<blockpin signalname="XLXN_76" name="CP_10" />
<blockpin signalname="XLXN_44" name="J_2" />
<blockpin signalname="XLXN_44" name="K_3" />
<blockpin signalname="AX" name="P0_4" />
<blockpin signalname="XLXN_36" name="P1_5" />
<blockpin signalname="AX" name="P2_6" />
<blockpin signalname="RASn" name="P3_7" />
<blockpin signalname="RASn" name="Q0_15" />
<blockpin signalname="AX" name="Q1_14" />
<blockpin signalname="CASn" name="Q2_13" />
<blockpin signalname="XLXN_54" name="Q3n_11" />
<blockpin signalname="Q3" name="Q3_12" />
<blockpin signalname="Q3" name="SH_LDn" />
</block>
<block symbolname="nand2" name="A2_1of4_74LS08">
<blockpin signalname="XLXN_46" name="I0" />
<blockpin signalname="PHI0" name="I1" />
<blockpin signalname="LDPSn" name="O" />
</block>
<block symbolname="and3" name="B12_2of4_74LS11">
<blockpin signalname="c7Mn" name="I0" />
<blockpin signalname="XLXN_46" name="I1" />
<blockpin signalname="PHI0" name="I2" />
<blockpin signalname="LD194" name="O" />
</block>
<block symbolname="nor2" name="B13_3of4_74LS02">
<blockpin signalname="CASn" name="I0" />
<blockpin signalname="AX" name="I1" />
<blockpin signalname="XLXN_46" name="O" />
</block>
<block symbolname="gnd" name="XLXI_19">
<blockpin signalname="XLXN_44" name="G" />
</block>
<block symbolname="chipi74LS153" name="C1_74LS153">
<blockpin signalname="XLXN_82" name="a0_6" />
<blockpin signalname="XLXN_82" name="a1_5" />
<blockpin signalname="XLXN_82" name="a2_4" />
<blockpin signalname="XLXN_82" name="a3_3" />
<blockpin signalname="XLXN_62" name="b0_10" />
<blockpin signalname="XLXN_54" name="b1_11" />
<blockpin signalname="XLXN_62" name="b2_12" />
<blockpin signalname="Q3" name="b3_13" />
<blockpin signalname="XLXN_79" name="Ean_11" />
<blockpin signalname="XLXN_52" name="Ebn_15" />
<blockpin signalname="AX" name="S0_14" />
<blockpin signalname="PHI0" name="S1_2" />
<blockpin name="Za_7" />
<blockpin signalname="XLXN_72" name="Zb_9" />
</block>
<block symbolname="gnd" name="XLXI_21">
<blockpin signalname="XLXN_52" name="G" />
</block>
<block symbolname="chip74LS175" name="XLXI_22">
<blockpin signalname="SOFT5_A2_8" name="CLRn" />
<blockpin signalname="XLXN_76" name="CP" />
<blockpin signalname="c7Mn" name="D0" />
<blockpin signalname="XLXN_64" name="D1" />
<blockpin signalname="XLXN_72" name="D2" />
<blockpin signalname="XLXN_62" name="D3" />
<blockpin signalname="c7M" name="Q0" />
<blockpin signalname="c7Mn" name="Q0n" />
<blockpin signalname="XLXN_87" name="Q1" />
<blockpin signalname="COLOR_REF" name="Q1n" />
<blockpin signalname="XLXN_62" name="Q2" />
<blockpin name="Q2n" />
<blockpin signalname="PHI0" name="Q3" />
<blockpin signalname="PHI1" name="Q3n" />
</block>
<block symbolname="xor2" name="B2_1of4_74S86">
<blockpin signalname="c7M" name="I0" />
<blockpin signalname="XLXN_87" name="I1" />
<blockpin signalname="XLXN_64" name="O" />
</block>
<block symbolname="xor2" name="B2_3of4_74S86">
<blockpin signalname="XLXN_78" name="I0" />
<blockpin signalname="CLK_14o3M" name="I1" />
<blockpin signalname="XLXN_76" name="O" />
</block>
<block symbolname="gnd" name="XLXI_25">
<blockpin signalname="XLXN_78" name="G" />
</block>
<block symbolname="vcc" name="XLXI_26">
<blockpin signalname="XLXN_79" name="P" />
</block>
<block symbolname="vcc" name="XLXI_27">
<blockpin signalname="XLXN_82" name="P" />
</block>
</netlist>
<sheet sheetnum="1" width="3520" height="2720">
<instance x="656" y="656" name="D11_74LS161" orien="R0">
<attrtext style="fontsize:28;fontname:Arial" attrname="InstName" x="176" y="-528" type="instance" />
</instance>
<instance x="656" y="1280" name="D12_74LS161" orien="R0">
<attrtext style="fontsize:28;fontname:Arial" attrname="InstName" x="144" y="-528" type="instance" />
</instance>
<instance x="656" y="1920" name="D13_74LS161" orien="R0">
<attrtext style="fontsize:28;fontname:Arial" attrname="InstName" x="144" y="-528" type="instance" />
</instance>
<instance x="656" y="2560" name="D14_74LS161" orien="R0">
<attrtext style="fontsize:28;fontname:Arial" attrname="InstName" x="128" y="-528" type="instance" />
</instance>
<branch name="XLXN_1">
<wire x2="656" y1="1888" y2="1888" x1="640" />
<wire x2="640" y1="1888" y2="1984" x1="640" />
<wire x2="640" y1="1984" y2="2080" x1="640" />
<wire x2="656" y1="2080" y2="2080" x1="640" />
<wire x2="1072" y1="1984" y2="1984" x1="640" />
<wire x2="1072" y1="1888" y2="1888" x1="1040" />
<wire x2="1072" y1="1888" y2="1984" x1="1072" />
</branch>
<branch name="XLXN_3">
<wire x2="656" y1="1248" y2="1248" x1="640" />
<wire x2="640" y1="1248" y2="1312" x1="640" />
<wire x2="640" y1="1312" y2="1440" x1="640" />
<wire x2="656" y1="1440" y2="1440" x1="640" />
<wire x2="1216" y1="1312" y2="1312" x1="640" />
<wire x2="1216" y1="928" y2="928" x1="1040" />
<wire x2="1216" y1="928" y2="1248" x1="1216" />
<wire x2="1216" y1="1248" y2="1312" x1="1216" />
<wire x2="1216" y1="1248" y2="1248" x1="1040" />
</branch>
<branch name="XLXN_4">
<wire x2="656" y1="624" y2="624" x1="640" />
<wire x2="640" y1="624" y2="688" x1="640" />
<wire x2="640" y1="688" y2="800" x1="640" />
<wire x2="656" y1="800" y2="800" x1="640" />
<wire x2="1120" y1="688" y2="688" x1="640" />
<wire x2="1120" y1="304" y2="304" x1="1040" />
<wire x2="1120" y1="304" y2="368" x1="1120" />
<wire x2="1120" y1="368" y2="624" x1="1120" />
<wire x2="1120" y1="624" y2="688" x1="1120" />
<wire x2="1120" y1="368" y2="368" x1="1040" />
<wire x2="1120" y1="624" y2="624" x1="1040" />
</branch>
<instance x="656" y="128" name="C11_4of6_74LS04" orien="R0">
<attrtext style="fontsize:28;fontname:Arial" attrname="InstName" x="-192" y="-48" type="instance" />
</instance>
<branch name="XLXN_5">
<wire x2="656" y1="96" y2="96" x1="640" />
<wire x2="640" y1="96" y2="176" x1="640" />
<wire x2="656" y1="176" y2="176" x1="640" />
</branch>
<branch name="XLXN_6">
<wire x2="1248" y1="96" y2="96" x1="880" />
<wire x2="1248" y1="96" y2="496" x1="1248" />
<wire x2="1248" y1="496" y2="1120" x1="1248" />
<wire x2="1248" y1="496" y2="496" x1="1040" />
<wire x2="1248" y1="1120" y2="1120" x1="1040" />
</branch>
<iomarker fontsize="28" x="384" y="2464" name="SOFT5_A2_11" orien="R180" />
<branch name="XLXN_10">
<wire x2="1216" y1="1344" y2="1344" x1="560" />
<wire x2="1216" y1="1344" y2="1760" x1="1216" />
<wire x2="1216" y1="1760" y2="2400" x1="1216" />
<wire x2="560" y1="1344" y2="1360" x1="560" />
<wire x2="560" y1="1360" y2="1568" x1="560" />
<wire x2="656" y1="1568" y2="1568" x1="560" />
<wire x2="1072" y1="1360" y2="1360" x1="560" />
<wire x2="1216" y1="1760" y2="1760" x1="1040" />
<wire x2="1216" y1="2400" y2="2400" x1="1040" />
<wire x2="1376" y1="640" y2="640" x1="1072" />
<wire x2="1072" y1="640" y2="992" x1="1072" />
<wire x2="1072" y1="992" y2="1360" x1="1072" />
</branch>
<branch name="XLXN_11">
<wire x2="1056" y1="2144" y2="2144" x1="1040" />
<wire x2="1056" y1="2144" y2="2208" x1="1056" />
<wire x2="1056" y1="2208" y2="2272" x1="1056" />
<wire x2="1056" y1="2272" y2="2336" x1="1056" />
<wire x2="1056" y1="2208" y2="2208" x1="1040" />
<wire x2="1056" y1="2272" y2="2272" x1="1040" />
<wire x2="1056" y1="2336" y2="2336" x1="1040" />
</branch>
<instance x="1184" y="2208" name="XLXI_6" orien="R270" />
<branch name="XLXN_12">
<wire x2="1056" y1="1632" y2="1632" x1="1040" />
<wire x2="1056" y1="1632" y2="1696" x1="1056" />
<wire x2="1056" y1="1696" y2="1696" x1="1040" />
</branch>
<instance x="1184" y="1696" name="XLXI_7" orien="R270" />
<branch name="XLXN_13">
<wire x2="1072" y1="992" y2="992" x1="1040" />
</branch>
<instance x="1200" y="1056" name="XLXI_8" orien="R270" />
<branch name="XLXN_14">
<wire x2="1072" y1="240" y2="240" x1="1040" />
</branch>
<instance x="1200" y="304" name="XLXI_10" orien="R270" />
<branch name="VA">
<attrtext style="alignment:SOFT-BCENTER;fontsize:28;fontname:Arial" attrname="Name" x="448" y="1504" type="branch" />
<wire x2="448" y1="1504" y2="1504" x1="400" />
<wire x2="480" y1="1504" y2="1504" x1="448" />
<wire x2="656" y1="1504" y2="1504" x1="480" />
<wire x2="1168" y1="1376" y2="1376" x1="480" />
<wire x2="1168" y1="1376" y2="1504" x1="1168" />
<wire x2="480" y1="1376" y2="1504" x1="480" />
<wire x2="1168" y1="1504" y2="1504" x1="1040" />
</branch>
<branch name="XLXN_17">
<wire x2="1312" y1="432" y2="432" x1="1040" />
<wire x2="1312" y1="432" y2="864" x1="1312" />
<wire x2="1312" y1="864" y2="1056" x1="1312" />
<wire x2="1312" y1="864" y2="864" x1="1040" />
<wire x2="1312" y1="1056" y2="1056" x1="1040" />
</branch>
<branch name="V5">
<attrtext style="alignment:SOFT-BCENTER;fontsize:28;fontname:Arial" attrname="Name" x="448" y="240" type="branch" />
<wire x2="448" y1="240" y2="240" x1="368" />
<wire x2="656" y1="240" y2="240" x1="448" />
</branch>
<branch name="V4">
<attrtext style="alignment:SOFT-BCENTER;fontsize:28;fontname:Arial" attrname="Name" x="448" y="304" type="branch" />
<wire x2="448" y1="304" y2="304" x1="368" />
<wire x2="656" y1="304" y2="304" x1="448" />
</branch>
<branch name="V3">
<attrtext style="alignment:SOFT-BCENTER;fontsize:28;fontname:Arial" attrname="Name" x="448" y="368" type="branch" />
<wire x2="448" y1="368" y2="368" x1="368" />
<wire x2="656" y1="368" y2="368" x1="448" />
</branch>
<branch name="V2">
<attrtext style="alignment:SOFT-BCENTER;fontsize:28;fontname:Arial" attrname="Name" x="448" y="432" type="branch" />
<wire x2="448" y1="432" y2="432" x1="368" />
<wire x2="656" y1="432" y2="432" x1="448" />
</branch>
<branch name="V1">
<attrtext style="alignment:SOFT-BCENTER;fontsize:28;fontname:Arial" attrname="Name" x="448" y="864" type="branch" />
<wire x2="448" y1="864" y2="864" x1="400" />
<wire x2="656" y1="864" y2="864" x1="448" />
</branch>
<branch name="V0">
<attrtext style="alignment:SOFT-BCENTER;fontsize:28;fontname:Arial" attrname="Name" x="448" y="928" type="branch" />
<wire x2="448" y1="928" y2="928" x1="400" />
<wire x2="656" y1="928" y2="928" x1="448" />
</branch>
<branch name="VC">
<attrtext style="alignment:SOFT-BCENTER;fontsize:28;fontname:Arial" attrname="Name" x="448" y="992" type="branch" />
<wire x2="448" y1="992" y2="992" x1="400" />
<wire x2="656" y1="992" y2="992" x1="448" />
</branch>
<branch name="VB">
<attrtext style="alignment:SOFT-BCENTER;fontsize:28;fontname:Arial" attrname="Name" x="448" y="1056" type="branch" />
<wire x2="448" y1="1056" y2="1056" x1="400" />
<wire x2="656" y1="1056" y2="1056" x1="448" />
</branch>
<branch name="H5">
<attrtext style="alignment:SOFT-BCENTER;fontsize:28;fontname:Arial" attrname="Name" x="448" y="1632" type="branch" />
<wire x2="448" y1="1632" y2="1632" x1="400" />
<wire x2="656" y1="1632" y2="1632" x1="448" />
</branch>
<branch name="H4">
<attrtext style="alignment:SOFT-BCENTER;fontsize:28;fontname:Arial" attrname="Name" x="448" y="1696" type="branch" />
<wire x2="448" y1="1696" y2="1696" x1="400" />
<wire x2="656" y1="1696" y2="1696" x1="448" />
</branch>
<branch name="H3">
<attrtext style="alignment:SOFT-BCENTER;fontsize:28;fontname:Arial" attrname="Name" x="448" y="2144" type="branch" />
<wire x2="448" y1="2144" y2="2144" x1="400" />
<wire x2="656" y1="2144" y2="2144" x1="448" />
</branch>
<branch name="H2">
<attrtext style="alignment:SOFT-BCENTER;fontsize:28;fontname:Arial" attrname="Name" x="448" y="2208" type="branch" />
<wire x2="448" y1="2208" y2="2208" x1="400" />
<wire x2="656" y1="2208" y2="2208" x1="448" />
</branch>
<branch name="H1">
<attrtext style="alignment:SOFT-BCENTER;fontsize:28;fontname:Arial" attrname="Name" x="448" y="2272" type="branch" />
<wire x2="448" y1="2272" y2="2272" x1="400" />
<wire x2="656" y1="2272" y2="2272" x1="448" />
</branch>
<branch name="H0">
<attrtext style="alignment:SOFT-BCENTER;fontsize:28;fontname:Arial" attrname="Name" x="448" y="2336" type="branch" />
<wire x2="448" y1="2336" y2="2336" x1="400" />
<wire x2="656" y1="2336" y2="2336" x1="448" />
</branch>
<iomarker fontsize="28" x="368" y="240" name="V5" orien="R180" />
<iomarker fontsize="28" x="368" y="304" name="V4" orien="R180" />
<iomarker fontsize="28" x="368" y="368" name="V3" orien="R180" />
<iomarker fontsize="28" x="368" y="432" name="V2" orien="R180" />
<iomarker fontsize="28" x="400" y="864" name="V1" orien="R180" />
<iomarker fontsize="28" x="400" y="928" name="V0" orien="R180" />
<iomarker fontsize="28" x="400" y="992" name="VC" orien="R180" />
<iomarker fontsize="28" x="400" y="1056" name="VB" orien="R180" />
<iomarker fontsize="28" x="400" y="1504" name="VA" orien="R180" />
<iomarker fontsize="28" x="400" y="1632" name="H5" orien="R180" />
<iomarker fontsize="28" x="400" y="1696" name="H4" orien="R180" />
<iomarker fontsize="28" x="400" y="2144" name="H3" orien="R180" />
<iomarker fontsize="28" x="400" y="2208" name="H2" orien="R180" />
<iomarker fontsize="28" x="400" y="2272" name="H1" orien="R180" />
<iomarker fontsize="28" x="400" y="2336" name="H0" orien="R180" />
<branch name="SOFT5_A2_11">
<attrtext style="alignment:SOFT-BCENTER;fontsize:28;fontname:Arial" attrname="Name" x="448" y="2464" type="branch" />
<wire x2="448" y1="2464" y2="2464" x1="384" />
<wire x2="512" y1="2464" y2="2464" x1="448" />
<wire x2="656" y1="2464" y2="2464" x1="512" />
<wire x2="512" y1="2464" y2="2592" x1="512" />
<wire x2="624" y1="2592" y2="2592" x1="512" />
<wire x2="1072" y1="2592" y2="2592" x1="624" />
<wire x2="1072" y1="2592" y2="2608" x1="1072" />
<wire x2="1312" y1="2608" y2="2608" x1="1072" />
<wire x2="656" y1="560" y2="560" x1="512" />
<wire x2="512" y1="560" y2="1184" x1="512" />
<wire x2="512" y1="1184" y2="1824" x1="512" />
<wire x2="512" y1="1824" y2="2464" x1="512" />
<wire x2="656" y1="1824" y2="1824" x1="512" />
<wire x2="656" y1="1184" y2="1184" x1="512" />
<wire x2="656" y1="2528" y2="2528" x1="624" />
<wire x2="624" y1="2528" y2="2592" x1="624" />
<wire x2="1312" y1="1568" y2="1568" x1="1040" />
<wire x2="1312" y1="1568" y2="2608" x1="1312" />
<wire x2="1072" y1="2528" y2="2528" x1="1040" />
<wire x2="1072" y1="2528" y2="2592" x1="1072" />
</branch>
<instance x="1248" y="432" name="XLXI_12" orien="R0" />
<text style="fontsize:28;fontname:Arial" x="1196" y="396">NTSC=1 PAL=0</text>
<branch name="SOFT5_A2_8">
<attrtext style="alignment:SOFT-TVCENTER;fontsize:28;fontname:Arial" attrname="Name" x="1376" y="2192" type="branch" />
<wire x2="1376" y1="704" y2="1248" x1="1376" />
<wire x2="1376" y1="1248" y2="2080" x1="1376" />
<wire x2="1376" y1="2080" y2="2192" x1="1376" />
<wire x2="1376" y1="2192" y2="2288" x1="1376" />
<wire x2="2304" y1="2080" y2="2080" x1="1376" />
<wire x2="2736" y1="1248" y2="1248" x1="1376" />
<wire x2="2736" y1="960" y2="960" x1="2688" />
<wire x2="2736" y1="960" y2="1248" x1="2736" />
</branch>
<instance x="1856" y="864" name="D2_1of4_74LS20" orien="R0">
<attrtext style="fontsize:28;fontname:Arial" attrname="InstName" x="16" y="-80" type="instance" />
</instance>
<branch name="XLXN_35">
<wire x2="1856" y1="672" y2="672" x1="1632" />
</branch>
<instance x="2304" y="1056" name="XLXI_15" orien="R0">
</instance>
<branch name="XLXN_36">
<wire x2="2304" y1="704" y2="704" x1="2112" />
</branch>
<instance x="1792" y="544" name="B12_2of4_74LS11" orien="R270">
<attrtext style="alignment:VRIGHT;fontsize:28;fontname:Arial" attrname="InstName" x="224" y="-368" type="instance" />
</instance>
<instance x="1904" y="400" name="A2_1of4_74LS08" orien="R270">
<attrtext style="alignment:VRIGHT;fontsize:28;fontname:Arial" attrname="InstName" x="144" y="-32" type="instance" />
</instance>
<branch name="RASn">
<attrtext style="alignment:SOFT-BCENTER;fontsize:28;fontname:Arial" attrname="Name" x="3056" y="832" type="branch" />
<wire x2="2240" y1="496" y2="576" x1="2240" />
<wire x2="2304" y1="576" y2="576" x1="2240" />
<wire x2="2736" y1="496" y2="496" x1="2240" />
<wire x2="2736" y1="496" y2="832" x1="2736" />
<wire x2="3056" y1="832" y2="832" x1="2736" />
<wire x2="3120" y1="832" y2="832" x1="3056" />
<wire x2="2736" y1="832" y2="832" x1="2688" />
</branch>
<branch name="CASn">
<attrtext style="alignment:SOFT-BCENTER;fontsize:28;fontname:Arial" attrname="Name" x="3056" y="704" type="branch" />
<wire x2="2832" y1="704" y2="704" x1="2688" />
<wire x2="3056" y1="704" y2="704" x1="2832" />
<wire x2="3120" y1="704" y2="704" x1="3056" />
<wire x2="2832" y1="448" y2="704" x1="2832" />
</branch>
<branch name="AX">
<attrtext style="alignment:SOFT-BCENTER;fontsize:28;fontname:Arial" attrname="Name" x="3056" y="768" type="branch" />
<wire x2="2768" y1="464" y2="464" x1="2192" />
<wire x2="2768" y1="464" y2="768" x1="2768" />
<wire x2="3056" y1="768" y2="768" x1="2768" />
<wire x2="3120" y1="768" y2="768" x1="3056" />
<wire x2="2192" y1="464" y2="640" x1="2192" />
<wire x2="2304" y1="640" y2="640" x1="2192" />
<wire x2="2192" y1="640" y2="768" x1="2192" />
<wire x2="2304" y1="768" y2="768" x1="2192" />
<wire x2="2192" y1="768" y2="1296" x1="2192" />
<wire x2="2304" y1="1296" y2="1296" x1="2192" />
<wire x2="2768" y1="768" y2="768" x1="2688" />
<wire x2="2768" y1="448" y2="464" x1="2768" />
</branch>
<instance x="2896" y="448" name="B13_3of4_74LS02" orien="R270">
<attrtext style="alignment:VRIGHT;fontsize:28;fontname:Arial" attrname="InstName" x="192" y="-368" type="instance" />
</instance>
<branch name="XLXN_44">
<wire x2="2304" y1="1024" y2="1072" x1="2304" />
<wire x2="2688" y1="1072" y2="1072" x1="2304" />
<wire x2="2688" y1="1072" y2="1104" x1="2688" />
<wire x2="2688" y1="1024" y2="1072" x1="2688" />
</branch>
<branch name="Q3">
<attrtext style="alignment:SOFT-BCENTER;fontsize:28;fontname:Arial" attrname="Name" x="3056" y="640" type="branch" />
<wire x2="2304" y1="832" y2="832" x1="2256" />
<wire x2="2256" y1="832" y2="1104" x1="2256" />
<wire x2="2864" y1="1104" y2="1104" x1="2256" />
<wire x2="2864" y1="1104" y2="1488" x1="2864" />
<wire x2="2864" y1="640" y2="640" x1="2688" />
<wire x2="2864" y1="640" y2="1104" x1="2864" />
<wire x2="3056" y1="640" y2="640" x1="2864" />
<wire x2="3120" y1="640" y2="640" x1="3056" />
<wire x2="2864" y1="1488" y2="1488" x1="2688" />
</branch>
<instance x="2624" y="1232" name="XLXI_19" orien="R0" />
<branch name="XLXN_46">
<wire x2="1664" y1="544" y2="576" x1="1664" />
<wire x2="1840" y1="576" y2="576" x1="1664" />
<wire x2="1840" y1="576" y2="608" x1="1840" />
<wire x2="1856" y1="608" y2="608" x1="1840" />
<wire x2="1840" y1="400" y2="416" x1="1840" />
<wire x2="2368" y1="416" y2="416" x1="1840" />
<wire x2="1840" y1="416" y2="576" x1="1840" />
<wire x2="2368" y1="176" y2="416" x1="2368" />
<wire x2="2800" y1="176" y2="176" x1="2368" />
<wire x2="2800" y1="176" y2="192" x1="2800" />
</branch>
<iomarker fontsize="28" x="3120" y="640" name="Q3" orien="R0" />
<iomarker fontsize="28" x="3120" y="704" name="CASn" orien="R0" />
<iomarker fontsize="28" x="3120" y="768" name="AX" orien="R0" />
<iomarker fontsize="28" x="3120" y="832" name="RASn" orien="R0" />
<branch name="LDPSn">
<attrtext style="alignment:SOFT-BCENTER;fontsize:28;fontname:Arial" attrname="Name" x="448" y="48" type="branch" />
<wire x2="448" y1="48" y2="48" x1="368" />
<wire x2="1424" y1="48" y2="48" x1="448" />
<wire x2="1424" y1="48" y2="480" x1="1424" />
<wire x2="1808" y1="48" y2="48" x1="1424" />
<wire x2="1808" y1="48" y2="144" x1="1808" />
<wire x2="1344" y1="560" y2="560" x1="1040" />
<wire x2="1344" y1="560" y2="1184" x1="1344" />
<wire x2="1344" y1="1184" y2="1824" x1="1344" />
<wire x2="1344" y1="1824" y2="2464" x1="1344" />
<wire x2="1344" y1="1184" y2="1184" x1="1040" />
<wire x2="1344" y1="1824" y2="1824" x1="1040" />
<wire x2="1344" y1="2464" y2="2464" x1="1040" />
<wire x2="1344" y1="480" y2="560" x1="1344" />
<wire x2="1424" y1="480" y2="480" x1="1344" />
</branch>
<iomarker fontsize="28" x="368" y="48" name="LDPSn" orien="R180" />
<instance x="1376" y="768" name="B2_2of4_74S86" orien="R0">
<attrtext style="fontsize:28;fontname:Arial" attrname="InstName" x="32" y="0" type="instance" />
</instance>
<branch name="LD194">
<attrtext style="alignment:SOFT-BCENTER;fontsize:28;fontname:Arial" attrname="Name" x="1632" y="128" type="branch" />
<wire x2="1632" y1="128" y2="128" x1="1600" />
<wire x2="1664" y1="128" y2="128" x1="1632" />
<wire x2="1664" y1="128" y2="288" x1="1664" />
</branch>
<iomarker fontsize="28" x="1600" y="128" name="LD194" orien="R180" />
<branch name="XLXN_54">
<wire x2="2720" y1="576" y2="576" x1="2688" />
<wire x2="2720" y1="576" y2="1360" x1="2720" />
<wire x2="2720" y1="1360" y2="1360" x1="2688" />
</branch>
<branch name="XLXN_52">
<wire x2="2304" y1="1488" y2="1488" x1="2272" />
</branch>
<iomarker fontsize="28" x="3136" y="2080" name="PHI0" orien="R0" />
<branch name="COLOR_REF">
<attrtext style="alignment:SOFT-BCENTER;fontsize:28;fontname:Arial" attrname="Name" x="3040" y="2272" type="branch" />
<wire x2="3040" y1="2272" y2="2272" x1="2688" />
<wire x2="3136" y1="2272" y2="2272" x1="3040" />
</branch>
<iomarker fontsize="28" x="3136" y="2272" name="COLOR_REF" orien="R0" />
<instance x="2000" y="2368" name="B2_1of4_74S86" orien="R0">
<attrtext style="fontsize:28;fontname:Arial" attrname="InstName" x="-112" y="-160" type="instance" />
</instance>
<branch name="XLXN_64">
<wire x2="2304" y1="2272" y2="2272" x1="2256" />
</branch>
<branch name="c7M">
<attrtext style="alignment:SOFT-BCENTER;fontsize:28;fontname:Arial" attrname="Name" x="3072" y="2464" type="branch" />
<wire x2="2000" y1="2304" y2="2304" x1="1968" />
<wire x2="1968" y1="2304" y2="2560" x1="1968" />
<wire x2="2736" y1="2560" y2="2560" x1="1968" />
<wire x2="2736" y1="2464" y2="2464" x1="2688" />
<wire x2="2736" y1="2464" y2="2560" x1="2736" />
<wire x2="3072" y1="2464" y2="2464" x1="2736" />
<wire x2="3136" y1="2464" y2="2464" x1="3072" />
</branch>
<branch name="c7Mn">
<attrtext style="alignment:SOFT-BCENTER;fontsize:28;fontname:Arial" attrname="Name" x="3072" y="2400" type="branch" />
<wire x2="1728" y1="544" y2="2528" x1="1728" />
<wire x2="2288" y1="2528" y2="2528" x1="1728" />
<wire x2="2704" y1="2528" y2="2528" x1="2288" />
<wire x2="2304" y1="2336" y2="2336" x1="2288" />
<wire x2="2288" y1="2336" y2="2528" x1="2288" />
<wire x2="2704" y1="2400" y2="2400" x1="2688" />
<wire x2="2704" y1="2400" y2="2528" x1="2704" />
<wire x2="3072" y1="2400" y2="2400" x1="2704" />
<wire x2="3136" y1="2400" y2="2400" x1="3072" />
</branch>
<instance x="2144" y="1424" name="XLXI_21" orien="R90" />
<branch name="XLXN_72">
<wire x2="2144" y1="1360" y2="2208" x1="2144" />
<wire x2="2304" y1="2208" y2="2208" x1="2144" />
<wire x2="2304" y1="1360" y2="1360" x1="2144" />
</branch>
<iomarker fontsize="28" x="3136" y="2400" name="c7Mn" orien="R0" />
<iomarker fontsize="28" x="3136" y="2464" name="c7M" orien="R0" />
<iomarker fontsize="28" x="1376" y="2288" name="SOFT5_A2_8" orien="R90" />
<instance x="272" y="2736" name="B2_3of4_74S86" orien="R0">
<attrtext style="fontsize:28;fontname:Arial" attrname="InstName" x="208" y="-64" type="instance" />
</instance>
<branch name="XLXN_76">
<wire x2="1936" y1="2640" y2="2640" x1="528" />
<wire x2="2304" y1="960" y2="960" x1="1936" />
<wire x2="1936" y1="960" y2="2016" x1="1936" />
<wire x2="1936" y1="2016" y2="2640" x1="1936" />
<wire x2="2304" y1="2016" y2="2016" x1="1936" />
</branch>
<branch name="CLK_14o3M">
<attrtext style="alignment:SOFT-BCENTER;fontsize:28;fontname:Arial" attrname="Name" x="256" y="2608" type="branch" />
<wire x2="256" y1="2608" y2="2608" x1="192" />
<wire x2="272" y1="2608" y2="2608" x1="256" />
</branch>
<iomarker fontsize="28" x="192" y="2608" name="CLK_14o3M" orien="R180" />
<text style="fontsize:48;fontname:Arial" x="20" y="2524">14.31818 Mhz Clock</text>
<branch name="XLXN_78">
<wire x2="272" y1="2672" y2="2672" x1="240" />
</branch>
<instance x="112" y="2608" name="XLXI_25" orien="R90" />
<instance x="2304" y="1840" name="C1_74LS153" orien="R0">
<attrtext style="fontsize:28;fontname:Arial" attrname="InstName" x="-112" y="-512" type="instance" />
</instance>
<branch name="XLXN_79">
<wire x2="2304" y1="1552" y2="1552" x1="2272" />
</branch>
<instance x="2272" y="1616" name="XLXI_26" orien="R270" />
<branch name="XLXN_82">
<wire x2="2704" y1="1616" y2="1616" x1="2688" />
<wire x2="2704" y1="1616" y2="1680" x1="2704" />
<wire x2="2704" y1="1680" y2="1744" x1="2704" />
<wire x2="2704" y1="1744" y2="1808" x1="2704" />
<wire x2="2816" y1="1616" y2="1616" x1="2704" />
<wire x2="2704" y1="1680" y2="1680" x1="2688" />
<wire x2="2704" y1="1744" y2="1744" x1="2688" />
<wire x2="2704" y1="1808" y2="1808" x1="2688" />
</branch>
<instance x="2816" y="1552" name="XLXI_27" orien="R90" />
<text x="2776" y="1584">Temp.. NOT USED HERE. Don't want floating</text>
<branch name="PHI1">
<attrtext style="alignment:SOFT-BCENTER;fontsize:28;fontname:Arial" attrname="Name" x="3024" y="2016" type="branch" />
<wire x2="3024" y1="2016" y2="2016" x1="2688" />
<wire x2="3136" y1="2016" y2="2016" x1="3024" />
</branch>
<instance x="2304" y="2496" name="XLXI_22" orien="R0">
</instance>
<branch name="XLXN_62">
<wire x2="2224" y1="1920" y2="2144" x1="2224" />
<wire x2="2304" y1="2144" y2="2144" x1="2224" />
<wire x2="2784" y1="1920" y2="1920" x1="2224" />
<wire x2="2784" y1="1920" y2="2208" x1="2784" />
<wire x2="2784" y1="1296" y2="1296" x1="2688" />
<wire x2="2784" y1="1296" y2="1424" x1="2784" />
<wire x2="2784" y1="1424" y2="1920" x1="2784" />
<wire x2="2784" y1="1424" y2="1424" x1="2688" />
<wire x2="2784" y1="2208" y2="2208" x1="2688" />
</branch>
<iomarker fontsize="28" x="3136" y="2016" name="PHI1" orien="R0" />
<branch name="PHI0">
<attrtext style="alignment:SOFT-TVCENTER;fontsize:28;fontname:Arial" attrname="Name" x="1600" y="576" type="branch" />
<attrtext style="alignment:SOFT-BCENTER;fontsize:28;fontname:Arial" attrname="Name" x="3056" y="2080" type="branch" />
<wire x2="1600" y1="544" y2="576" x1="1600" />
<wire x2="1600" y1="576" y2="608" x1="1600" />
<wire x2="1776" y1="608" y2="608" x1="1600" />
<wire x2="1776" y1="608" y2="736" x1="1776" />
<wire x2="1856" y1="736" y2="736" x1="1776" />
<wire x2="1776" y1="736" y2="1808" x1="1776" />
<wire x2="2288" y1="1808" y2="1808" x1="1776" />
<wire x2="2304" y1="1808" y2="1808" x1="2288" />
<wire x2="2288" y1="1808" y2="1872" x1="2288" />
<wire x2="2752" y1="1872" y2="1872" x1="2288" />
<wire x2="2752" y1="1872" y2="2080" x1="2752" />
<wire x2="3056" y1="2080" y2="2080" x1="2752" />
<wire x2="3136" y1="2080" y2="2080" x1="3056" />
<wire x2="1776" y1="400" y2="608" x1="1776" />
<wire x2="2752" y1="2080" y2="2080" x1="2688" />
</branch>
<branch name="XLXN_87">
<wire x2="1856" y1="800" y2="800" x1="1824" />
<wire x2="1824" y1="800" y2="2240" x1="1824" />
<wire x2="1824" y1="2240" y2="2544" x1="1824" />
<wire x2="2768" y1="2544" y2="2544" x1="1824" />
<wire x2="2000" y1="2240" y2="2240" x1="1824" />
<wire x2="2768" y1="2336" y2="2336" x1="2688" />
<wire x2="2768" y1="2336" y2="2544" x1="2768" />
</branch>
</sheet>
</drawing>

View File

@ -0,0 +1,107 @@
<?xml version="1.0" encoding="UTF-8"?>
<symbol version="7" name="ClockVideoGenerator">
<symboltype>BLOCK</symboltype>
<timestamp>2018-6-5T4:4:38</timestamp>
<pin polarity="Output" x="1120" y="-1472" name="AX" />
<pin polarity="Output" x="1184" y="-1472" name="CASn" />
<pin polarity="Output" x="1312" y="-1472" name="COLOR_REF" />
<pin polarity="Output" x="992" y="-1472" name="H0" />
<pin polarity="Output" x="928" y="-1472" name="H1" />
<pin polarity="Output" x="864" y="-1472" name="H2" />
<pin polarity="Output" x="800" y="-1472" name="H3" />
<pin polarity="Output" x="736" y="-1472" name="H4" />
<pin polarity="Output" x="672" y="-1472" name="H5" />
<pin polarity="Output" x="1376" y="-1472" name="LD194" />
<pin polarity="Output" x="1056" y="-1472" name="LDPSn" />
<pin polarity="Output" x="1248" y="-1472" name="RASn" />
<pin polarity="Input" x="96" y="0" name="SOFT5_A2_11" />
<pin polarity="Input" x="160" y="0" name="SOFT5_A2_8" />
<pin polarity="Output" x="416" y="-1472" name="V0" />
<pin polarity="Output" x="352" y="-1472" name="V1" />
<pin polarity="Output" x="288" y="-1472" name="V2" />
<pin polarity="Output" x="224" y="-1472" name="V3" />
<pin polarity="Output" x="160" y="-1472" name="V4" />
<pin polarity="Output" x="96" y="-1472" name="V5" />
<pin polarity="Output" x="608" y="-1472" name="VA" />
<pin polarity="Output" x="544" y="-1472" name="VB" />
<pin polarity="Output" x="480" y="-1472" name="VC" />
<pin polarity="Input" x="0" y="-592" name="CLK_14o3M" />
<pin polarity="Output" x="1472" y="-912" name="c7M" />
<pin polarity="Output" x="1472" y="-848" name="c7Mn" />
<pin polarity="Output" x="1472" y="-784" name="PHI0" />
<pin polarity="Output" x="1472" y="-720" name="PHI1" />
<pin polarity="Output" x="1472" y="-656" name="Q3" />
<graph>
<rect width="1344" x="64" y="-1408" height="1344" />
<attrtext style="alignment:CENTER;fontsize:56;fontname:Arial" attrname="SymbolName" x="736" y="-736" type="symbol" />
<line x2="1120" y1="-1408" y2="-1472" x1="1120" />
<attrtext style="alignment:VRIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="1120" y="-1400" type="pin AX" />
<line x2="1184" y1="-1408" y2="-1472" x1="1184" />
<attrtext style="alignment:VRIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="1184" y="-1400" type="pin CASn" />
<line x2="1312" y1="-1408" y2="-1472" x1="1312" />
<attrtext style="alignment:VRIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="1312" y="-1400" type="pin COLOR_REF" />
<line x2="992" y1="-1408" y2="-1472" x1="992" />
<attrtext style="alignment:VRIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="992" y="-1400" type="pin H0" />
<line x2="928" y1="-1408" y2="-1472" x1="928" />
<attrtext style="alignment:VRIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="928" y="-1400" type="pin H1" />
<line x2="864" y1="-1408" y2="-1472" x1="864" />
<attrtext style="alignment:VRIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="864" y="-1400" type="pin H2" />
<line x2="800" y1="-1408" y2="-1472" x1="800" />
<attrtext style="alignment:VRIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="800" y="-1400" type="pin H3" />
<line x2="736" y1="-1408" y2="-1472" x1="736" />
<attrtext style="alignment:VRIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="736" y="-1400" type="pin H4" />
<line x2="672" y1="-1408" y2="-1472" x1="672" />
<attrtext style="alignment:VRIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="672" y="-1400" type="pin H5" />
<line x2="1376" y1="-1408" y2="-1472" x1="1376" />
<attrtext style="alignment:VRIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="1376" y="-1400" type="pin LD194" />
<line x2="1056" y1="-1408" y2="-1472" x1="1056" />
<attrtext style="alignment:VRIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="1056" y="-1400" type="pin LDPSn" />
<line x2="1248" y1="-1408" y2="-1472" x1="1248" />
<attrtext style="alignment:VRIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="1248" y="-1400" type="pin RASn" />
<line x2="96" y1="-64" y2="0" x1="96" />
<attrtext style="alignment:VLEFT;fontsize:24;fontname:Arial" attrname="PinName" x="96" y="-72" type="pin SOFT5_A2_11" />
<line x2="160" y1="-64" y2="0" x1="160" />
<attrtext style="alignment:VLEFT;fontsize:24;fontname:Arial" attrname="PinName" x="160" y="-72" type="pin SOFT5_A2_8" />
<line x2="416" y1="-1408" y2="-1472" x1="416" />
<attrtext style="alignment:VRIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="416" y="-1400" type="pin V0" />
<line x2="352" y1="-1408" y2="-1472" x1="352" />
<attrtext style="alignment:VRIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="352" y="-1400" type="pin V1" />
<line x2="288" y1="-1408" y2="-1472" x1="288" />
<attrtext style="alignment:VRIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="288" y="-1400" type="pin V2" />
<line x2="224" y1="-1408" y2="-1472" x1="224" />
<attrtext style="alignment:VRIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="224" y="-1400" type="pin V3" />
<line x2="160" y1="-1408" y2="-1472" x1="160" />
<attrtext style="alignment:VRIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="160" y="-1400" type="pin V4" />
<line x2="96" y1="-1408" y2="-1472" x1="96" />
<attrtext style="alignment:VRIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="96" y="-1400" type="pin V5" />
<line x2="608" y1="-1408" y2="-1472" x1="608" />
<attrtext style="alignment:VRIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="608" y="-1400" type="pin VA" />
<line x2="544" y1="-1408" y2="-1472" x1="544" />
<attrtext style="alignment:VRIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="544" y="-1400" type="pin VB" />
<line x2="480" y1="-1408" y2="-1472" x1="480" />
<attrtext style="alignment:VRIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="480" y="-1400" type="pin VC" />
<attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="84" y="-592" type="pin CLK_14o3M" />
<line x2="0" y1="-592" y2="-592" x1="60" />
<line x2="1472" y1="-912" y2="-912" x1="1408" />
<line x2="1472" y1="-848" y2="-848" x1="1408" />
<line x2="1472" y1="-784" y2="-784" x1="1408" />
<line x2="1472" y1="-720" y2="-720" x1="1408" />
<line x2="1472" y1="-656" y2="-656" x1="1408" />
<attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="1400" y="-656" type="pin Q3" />
<attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="1400" y="-720" type="pin PHI1" />
<attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="1400" y="-784" type="pin PHI0" />
<attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="1400" y="-848" type="pin c7Mn" />
<attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="1400" y="-912" type="pin c7M" />
<text style="fontsize:56;fontname:Arial" x="284" y="-1100">APPLE II Clock and Video Generator</text>
<text style="fontsize:44;fontname:Arial" x="492" y="-1028">Entered into Xilinx ISE 14.7</text>
<text style="fontsize:44;fontname:Arial" x="740" y="-976">by</text>
<text style="fontsize:40;fontname:Arial" x="636" y="-924">Frederick Kilner </text>
<text style="fontsize:32;fontname:Arial" x="504" y="-876">June 4th Year or our Lord 2018 A.D.</text>
<text style="fontsize:32;fontname:Arial" x="548" y="-112">Apple ][ Will Live Forever</text>
<circle r="12" cx="1056" cy="-1416" />
<circle r="12" cx="1186" cy="-1416" />
<circle r="12" cx="1246" cy="-1416" />
<circle r="10" cx="94" cy="-54" />
<circle r="11" cx="160" cy="-54" />
</graph>
</symbol>

File diff suppressed because one or more lines are too long

Binary file not shown.

View File

@ -0,0 +1,79 @@
<HTML><HEAD><TITLE>Xilinx Design Summary</TITLE></HEAD>
<BODY TEXT='#000000' BGCOLOR='#FFFFFF' LINK='#0000EE' VLINK='#551A8B' ALINK='#FF0000'>
<TABLE BORDER CELLSPACING=0 CELLPADDING=3 WIDTH='100%'>
<TR ALIGN=CENTER BGCOLOR='#99CCFF'>
<TD ALIGN=CENTER COLSPAN='4'><B>ClockVideoGenerator Project Status</B></TD></TR>
<TR ALIGN=LEFT>
<TD BGCOLOR='#FFFF99'><B>Project File:</B></TD>
<TD>AppleIIGateSch.xise</TD>
<TD BGCOLOR='#FFFF99'><b>Parser Errors:</b></TD>
</TR>
<TR ALIGN=LEFT>
<TD BGCOLOR='#FFFF99'><B>Module Name:</B></TD>
<TD>ClockVideoGenerator</TD>
<TD BGCOLOR='#FFFF99'><B>Implementation State:</B></TD>
<TD>New</TD>
</TR>
<TR ALIGN=LEFT>
<TD BGCOLOR='#FFFF99'><B>Target Device:</B></TD>
<TD>xc3s500e-4fg320</TD>
<TD BGCOLOR='#FFFF99'><UL><LI><B>Errors:</B></LI></UL></TD>
<TD>&nbsp;</TD>
</TR>
<TR ALIGN=LEFT>
<TD BGCOLOR='#FFFF99'><B>Product Version:</B></TD><TD>ISE 14.7</TD>
<TD BGCOLOR='#FFFF99'><UL><LI><B>Warnings:</B></LI></UL></TD>
<TD>&nbsp;</TD>
</TR>
<TR ALIGN=LEFT>
<TD BGCOLOR='#FFFF99'><B>Design Goal:</B></dif></TD>
<TD>Balanced</TD>
<TD BGCOLOR='#FFFF99'><UL><LI><B>Routing Results:</B></LI></UL></TD>
<TD>
&nbsp;</TD>
</TR>
<TR ALIGN=LEFT>
<TD BGCOLOR='#FFFF99'><B>Design Strategy:</B></dif></TD>
<TD><A HREF_DISABLED='Xilinx Default (unlocked)?&DataKey=Strategy'>Xilinx Default (unlocked)</A></TD>
<TD BGCOLOR='#FFFF99'><UL><LI><B>Timing Constraints:</B></LI></UL></TD>
<TD>&nbsp;</TD>
</TR>
<TR ALIGN=LEFT>
<TD BGCOLOR='#FFFF99'><B>Environment:</B></dif></TD>
<TD>&nbsp;</TD>
<TD BGCOLOR='#FFFF99'><UL><LI><B>Final Timing Score:</B></LI></UL></TD>
<TD>&nbsp;&nbsp;</TD>
</TR>
</TABLE>
&nbsp;<BR><TABLE BORDER CELLSPACING=0 CELLPADDING=3 WIDTH='100%'>
<TR ALIGN=CENTER BGCOLOR='#99CCFF'><TD ALIGN=CENTER COLSPAN='6'><B>Detailed Reports</B></TD><TD ALIGN=RIGHT WIDTH='10%'COLSPAN=1> <A HREF_DISABLED="?&ExpandedTable=DetailedReports"><B>[-]</B></a></TD></TR>
<TR BGCOLOR='#FFFF99'><TD><B>Report Name</B></TD><TD><B>Status</B></TD><TD><B>Generated</B></TD>
<TD ALIGN=LEFT><B>Errors</B></TD><TD ALIGN=LEFT><B>Warnings</B></TD><TD ALIGN=LEFT COLSPAN='2'><B>Infos</B></TD></TR>
<TR ALIGN=LEFT><TD>Synthesis Report</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD COLSPAN='2'>&nbsp;</TD></TR>
<TR ALIGN=LEFT><TD>Translation Report</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD COLSPAN='2'>&nbsp;</TD></TR>
<TR ALIGN=LEFT><TD>Map Report</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD COLSPAN='2'>&nbsp;</TD></TR>
<TR ALIGN=LEFT><TD>Place and Route Report</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD COLSPAN='2'>&nbsp;</TD></TR>
<TR ALIGN=LEFT><TD>Power Report</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD COLSPAN='2'>&nbsp;</TD></TR>
<TR ALIGN=LEFT><TD>Post-PAR Static Timing Report</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD COLSPAN='2'>&nbsp;</TD></TR>
<TR ALIGN=LEFT><TD>Bitgen Report</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD COLSPAN='2'>&nbsp;</TD></TR>
</TABLE>
&nbsp;<BR><TABLE BORDER CELLSPACING=0 CELLPADDING=3 WIDTH='100%'>
<TR ALIGN=CENTER BGCOLOR='#99CCFF'><TD ALIGN=CENTER COLSPAN='3'><B>Secondary Reports</B></TD><TD ALIGN=RIGHT WIDTH='10%'COLSPAN=1> <A HREF_DISABLED="?&ExpandedTable=SecondaryReports"><B>[-]</B></a></TD></TR>
<TR BGCOLOR='#FFFF99'><TD><B>Report Name</B></TD><TD><B>Status</B></TD><TD COLSPAN='2'><B>Generated</B></TD></TR>
<TR ALIGN=LEFT><TD><A HREF_DISABLED='C:/Users/Fred6502/Xilinx/tutorial/AppleIIGateSch\isim.log'>ISIM Simulator Log</A></TD><TD>Out of Date</TD><TD COLSPAN='2'>Thu Jun 7 00:01:13 2018</TD></TR>
</TABLE>
<br><center><b>Date Generated:</b> 06/07/2018 - 15:05:13</center>
</BODY></HTML>

View File

@ -0,0 +1,104 @@
// Verilog test fixture created from schematic C:\Users\Fred6502\Xilinx\tutorial\AppleIIGateSch\ClockVideoGenerator.sch - Sun Jun 03 21:54:27 2018
`timescale 1ns / 1ps
module ClockVideoGenerator_ClockVideoGenerator_sch_tb();
// Inputs
reg SOFT5_A2_11;
reg SOFT5_A2_8;
reg CLK_14o3M;
// Output
wire VA;
wire V5;
wire V4;
wire V3;
wire V2;
wire V1;
wire V0;
wire VC;
wire VB;
wire H5;
wire H4;
wire H3;
wire H2;
wire H1;
wire H0;
wire RASn;
wire CASn;
wire AX;
wire Q3;
wire LDPSn;
wire LD194;
wire PHI0;
wire PHI1;
wire COLOR_REF;
wire c7M;
wire c7Mn;
// Bidirs
// TB vars and stuff
integer i;
// Instantiate the UUT
ClockVideoGenerator UUT (
.SOFT5_A2_11(SOFT5_A2_11),
.V5(V5),
.V4(V4),
.V3(V3),
.V2(V2),
.V1(V1),
.V0(V0),
.VC(VC),
.VB(VB),
.VA(VA),
.H5(H5),
.H4(H4),
.H3(H3),
.H2(H2),
.H1(H1),
.H0(H0),
.SOFT5_A2_8(SOFT5_A2_8),
.RASn(RASn),
.CASn(CASn),
.AX(AX),
.Q3(Q3),
.LDPSn(LDPSn),
.LD194(LD194),
.PHI0(PHI0),
.PHI1(PHI1),
.COLOR_REF(COLOR_REF),
.c7M(c7M),
.c7Mn(c7Mn),
.CLK_14o3M(CLK_14o3M)
);
// Initialize Inputs
`ifdef auto_init
initial begin
$display("auto_init set");
end
`else
initial begin
$display("NOT SET auto_init");
end
`endif
initial begin
SOFT5_A2_11 = 0;
SOFT5_A2_8 = 0;
#5
SOFT5_A2_11 = 1;
SOFT5_A2_8 = 1;
#5
CLK_14o3M = 0;
#100
for (i=0; i<3000; i = i + 1) begin
CLK_14o3M = 0;
#5;
CLK_14o3M = 1;
#5;
end
$finish;
end
endmodule

View File

@ -0,0 +1 @@
MODULE JK_FlipFlop

View File

@ -0,0 +1,134 @@
<?xml version="1.0" encoding="UTF-8"?>
<drawing version="7">
<attr value="spartan3e" name="DeviceFamilyName">
<trait delete="all:0" />
<trait editname="all:0" />
<trait edittrait="all:0" />
</attr>
<netlist>
<signal name="Q" />
<signal name="Qnot" />
<signal name="XLXN_6" />
<signal name="XLXN_7" />
<signal name="CLK" />
<signal name="J" />
<signal name="K" />
<port polarity="Output" name="Q" />
<port polarity="Output" name="Qnot" />
<port polarity="Input" name="CLK" />
<port polarity="Input" name="J" />
<port polarity="Input" name="K" />
<blockdef name="nand2">
<timestamp>2000-1-1T10:10:10</timestamp>
<line x2="64" y1="-64" y2="-64" x1="0" />
<line x2="64" y1="-128" y2="-128" x1="0" />
<line x2="216" y1="-96" y2="-96" x1="256" />
<circle r="12" cx="204" cy="-96" />
<line x2="64" y1="-48" y2="-144" x1="64" />
<line x2="144" y1="-144" y2="-144" x1="64" />
<line x2="64" y1="-48" y2="-48" x1="144" />
<arc ex="144" ey="-144" sx="144" sy="-48" r="48" cx="144" cy="-96" />
</blockdef>
<blockdef name="nand3">
<timestamp>2000-1-1T10:10:10</timestamp>
<line x2="64" y1="-64" y2="-64" x1="0" />
<line x2="64" y1="-128" y2="-128" x1="0" />
<line x2="64" y1="-192" y2="-192" x1="0" />
<line x2="216" y1="-128" y2="-128" x1="256" />
<circle r="12" cx="204" cy="-128" />
<line x2="144" y1="-176" y2="-176" x1="64" />
<line x2="64" y1="-80" y2="-80" x1="144" />
<arc ex="144" ey="-176" sx="144" sy="-80" r="48" cx="144" cy="-128" />
<line x2="64" y1="-64" y2="-192" x1="64" />
</blockdef>
<block symbolname="nand2" name="XLXI_1">
<blockpin signalname="Qnot" name="I0" />
<blockpin signalname="XLXN_6" name="I1" />
<blockpin signalname="Q" name="O" />
</block>
<block symbolname="nand2" name="XLXI_2">
<blockpin signalname="XLXN_7" name="I0" />
<blockpin signalname="Q" name="I1" />
<blockpin signalname="Qnot" name="O" />
</block>
<block symbolname="nand3" name="XLXI_3">
<blockpin signalname="CLK" name="I0" />
<blockpin signalname="J" name="I1" />
<blockpin signalname="Qnot" name="I2" />
<blockpin signalname="XLXN_6" name="O" />
</block>
<block symbolname="nand3" name="XLXI_4">
<blockpin signalname="Q" name="I0" />
<blockpin signalname="K" name="I1" />
<blockpin signalname="CLK" name="I2" />
<blockpin signalname="XLXN_7" name="O" />
</block>
</netlist>
<sheet sheetnum="1" width="3520" height="2720">
<instance x="1312" y="640" name="XLXI_1" orien="R0" />
<instance x="1312" y="992" name="XLXI_2" orien="R0" />
<branch name="Q">
<attrtext style="alignment:SOFT-BCENTER;fontsize:28;fontname:Arial" attrname="Name" x="1744" y="544" type="branch" />
<wire x2="976" y1="992" y2="1040" x1="976" />
<wire x2="1680" y1="1040" y2="1040" x1="976" />
<wire x2="1312" y1="816" y2="816" x1="1296" />
<wire x2="1584" y1="816" y2="816" x1="1312" />
<wire x2="1296" y1="816" y2="864" x1="1296" />
<wire x2="1312" y1="864" y2="864" x1="1296" />
<wire x2="1584" y1="544" y2="544" x1="1568" />
<wire x2="1584" y1="544" y2="608" x1="1584" />
<wire x2="1584" y1="608" y2="816" x1="1584" />
<wire x2="1680" y1="544" y2="544" x1="1584" />
<wire x2="1680" y1="544" y2="1040" x1="1680" />
<wire x2="1744" y1="544" y2="544" x1="1680" />
<wire x2="1840" y1="544" y2="544" x1="1744" />
</branch>
<branch name="Qnot">
<attrtext style="alignment:SOFT-BCENTER;fontsize:28;fontname:Arial" attrname="Name" x="1744" y="896" type="branch" />
<wire x2="1664" y1="416" y2="416" x1="976" />
<wire x2="1664" y1="416" y2="896" x1="1664" />
<wire x2="1744" y1="896" y2="896" x1="1664" />
<wire x2="1824" y1="896" y2="896" x1="1744" />
<wire x2="976" y1="416" y2="448" x1="976" />
<wire x2="1312" y1="576" y2="576" x1="1296" />
<wire x2="1296" y1="576" y2="688" x1="1296" />
<wire x2="1312" y1="688" y2="688" x1="1296" />
<wire x2="1616" y1="688" y2="688" x1="1312" />
<wire x2="1616" y1="688" y2="896" x1="1616" />
<wire x2="1664" y1="896" y2="896" x1="1616" />
<wire x2="1616" y1="896" y2="896" x1="1568" />
</branch>
<instance x="976" y="640" name="XLXI_3" orien="R0" />
<instance x="976" y="1056" name="XLXI_4" orien="R0" />
<branch name="XLXN_6">
<wire x2="1312" y1="512" y2="512" x1="1232" />
</branch>
<branch name="XLXN_7">
<wire x2="1312" y1="928" y2="928" x1="1232" />
</branch>
<branch name="CLK">
<attrtext style="alignment:SOFT-BCENTER;fontsize:28;fontname:Arial" attrname="Name" x="832" y="720" type="branch" />
<wire x2="832" y1="720" y2="720" x1="784" />
<wire x2="896" y1="720" y2="720" x1="832" />
<wire x2="896" y1="720" y2="864" x1="896" />
<wire x2="976" y1="864" y2="864" x1="896" />
<wire x2="976" y1="576" y2="576" x1="896" />
<wire x2="896" y1="576" y2="720" x1="896" />
</branch>
<branch name="J">
<attrtext style="alignment:SOFT-BCENTER;fontsize:28;fontname:Arial" attrname="Name" x="848" y="512" type="branch" />
<wire x2="848" y1="512" y2="512" x1="784" />
<wire x2="976" y1="512" y2="512" x1="848" />
</branch>
<branch name="K">
<attrtext style="alignment:SOFT-BCENTER;fontsize:28;fontname:Arial" attrname="Name" x="848" y="928" type="branch" />
<wire x2="848" y1="928" y2="928" x1="784" />
<wire x2="976" y1="928" y2="928" x1="848" />
</branch>
<iomarker fontsize="28" x="1840" y="544" name="Q" orien="R0" />
<iomarker fontsize="28" x="1824" y="896" name="Qnot" orien="R0" />
<iomarker fontsize="28" x="784" y="512" name="J" orien="R180" />
<iomarker fontsize="28" x="784" y="720" name="CLK" orien="R180" />
<iomarker fontsize="28" x="784" y="928" name="K" orien="R180" />
</sheet>
</drawing>

View File

@ -0,0 +1,4 @@
select figure at 1586 606 8 -branches -sn=1
select figure at 1851 891 8 -branches -sn=1
select figure at 1838 986 8 -branches -sn=1
select figure at 2039 1088 8 -branches -sn=1

View File

@ -0,0 +1,24 @@
<?xml version="1.0" encoding="UTF-8"?>
<symbol version="7" name="JK_FlipFlop">
<symboltype>BLOCK</symboltype>
<timestamp>2018-5-22T0:7:17</timestamp>
<pin polarity="Input" x="0" y="-96" name="CLK" />
<pin polarity="Input" x="0" y="-160" name="J" />
<pin polarity="Input" x="0" y="-32" name="K" />
<pin polarity="Output" x="384" y="-160" name="Q" />
<pin polarity="Output" x="384" y="-32" name="Qnot" />
<graph>
<rect width="256" x="64" y="-192" height="192" />
<attrtext style="alignment:BCENTER;fontsize:44;fontname:Arial" attrname="SymbolName" x="192" y="-200" type="symbol" />
<line x2="0" y1="-96" y2="-96" x1="64" />
<attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-96" type="pin CLK" />
<line x2="0" y1="-160" y2="-160" x1="64" />
<attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-160" type="pin J" />
<line x2="0" y1="-32" y2="-32" x1="64" />
<attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-32" type="pin K" />
<line x2="384" y1="-160" y2="-160" x1="320" />
<attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="312" y="-160" type="pin Q" />
<attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="312" y="-32" type="pin Qnot" />
<line x2="384" y1="-32" y2="-32" x1="320" />
</graph>
</symbol>

View File

@ -0,0 +1,3 @@
verilog work "JK_FlipFlop.vf"
verilog work "JK_FlipFlop_tb.v"
verilog work "C:/Xilinx/14.7/ISE_DS/ISE//verilog/src/glbl.v"

View File

@ -0,0 +1,61 @@
// Verilog test fixture created from schematic C:\Users\Fred6502\Xilinx\tutorial\AppleIIGateSch\JK_FlipFlop.sch - Mon May 21 17:10:31 2018
// On positive clock EDGE
// J=0 K=0 outputs Q,Qnot unchanged
// J=1 K=1 outputs Q,Qnot toggled.
// J=1 K=0 outputs Q=1, Qnot=0
// J=0 K=1 outputs Q=0, Qnot=1
`timescale 1ns / 1ps
module JK_FlipFlop_JK_FlipFlop_sch_tb();
// Inputs
reg CLK;
reg J;
reg K;
// Output
wire Q;
wire Qnot;
integer i;
// Bidirs
// Instantiate the UUT
JK_FlipFlop UUT (
.Q(Q),
.Qnot(Qnot),
.CLK(CLK),
.J(J),
.K(K)
);
// Initialize Inputs
// `ifdef auto_init
// initial begin
// CLK = 0;
// J = 0;
// K = 0;
// `endif
initial begin
J=0; K=0; CLK=0;
#2 force UUT.Q_DUMMY = 0; force UUT.Qnot_DUMMY = 1;
#2 CLK=1;
#2 CLK=0;
#2 release UUT.Q_DUMMY;
#2 release UUT.Qnot_DUMMY;
#4;
J = 0;
K = 0;
CLK = 0; #4;
CLK = 1; #4;
for (i=0; i<320; i=i+1) begin
CLK = i & 1;
J = (i >> 1) & 1;
K = (i >> 2) & 1;
$display("CLK=%b J=%b K=%b Q=%b Qnot=%b clock=%d", CLK, J, K, Q, Qnot, $time);
#2;
end
// $finish;
end
endmodule

24
AppleIIGateSch/README.txt Normal file
View File

@ -0,0 +1,24 @@
I, Frederick Kilner, being of sound mind and body and making a gate level Apple ][ in a FPGA.
Creating a functional gate level Apple II from Apple II schematic.
Using Xilinx 14.7 Schematic Entry. Making small test benches of module.
Design will be loaded in to Nexsys-2 Spartan3E-500 board.
Also manually entering 74series chips(gate level schemtic).
I didn't find a 74series library so have to enter my own chips.
My goal is to have a functional gate level apple II in an FPGA.
I want to be able to connect it to the composite input of a monitor. I'll need a few analog components for that.
Also I will want to have a module which reads the generated composite signal and drives the analog VGA port.
That will probably require a frame buffer unless 59.94Hz refresh rate is okay for some VGA mode.
Voltage level shifters will be needed but I have expansion connections and want to be able to plug in
real Apple II cards including disk drive card and music card and 80 column card.
I want to be able to prototype Apple II hardware changes in FPGA board.
Verilog generated from Xilinx ISE can be used in Xilinx Vivado project to have apple II on Artix-7 chip.
Verilog can be used in other design tool for other chips/boards.. such as Quartus-II or whatever it is now.
FPGA is neat.

View File

@ -0,0 +1,108 @@
// Verilog test fixture created from schematic C:\Users\Fred6502\Xilinx\tutorial\AppleIIGateSch\chipi74LS153.sch - Sun May 27 17:02:08 2018
`timescale 1ns / 1ps
module chipi74LS153_chipi74LS153_sch_tb();
// Inputs
reg Ebn_15;
reg b0_10;
reg b1_11;
reg b2_12;
reg b3_13;
reg Ean_11;
reg a0_6;
reg a1_5;
reg a2_4;
reg a3_3;
reg S0_14;
reg S1_2;
// Output
wire Zb_9;
wire Za_7;
// Simulate test bench vars
integer i, j, errct;
wire [3:0] abus;
wire [3:0] bbus;
assign abus = { a3_3, a2_4, a1_5, a0_6 };
assign bbus = { b3_13, b2_12, b1_11, b0_10 };
reg expectedA, expectedB; // Just for simulation
// Bidirs
// Instantiate the UUT
chipi74LS153 UUT (
.Zb_9(Zb_9),
.Ebn_15(Ebn_15),
.b0_10(b0_10),
.b1_11(b1_11),
.b2_12(b2_12),
.b3_13(b3_13),
.Za_7(Za_7),
.Ean_11(Ean_11),
.a0_6(a0_6),
.a1_5(a1_5),
.a2_4(a2_4),
.a3_3(a3_3),
.S0_14(S0_14),
.S1_2(S1_2)
);
// Initialize Inputs
`ifdef auto_init
initial begin
$display("auto_init is defined");
end
`else
initial begin
$display("not defined auto_init");
end
`endif
initial begin
Ebn_15 = 0;
b0_10 = 0;
b1_11 = 0;
b2_12 = 0;
b3_13 = 0;
Ean_11 = 0;
a0_6 = 0;
a1_5 = 0;
a2_4 = 0;
a3_3 = 0;
S0_14 = 0;
S1_2 = 0;
errct = 0;
#5;
for (i=0; i<256; i=i+1) begin
a0_6 = i[0];
a1_5 = i[1];
a2_4 = i[2];
a3_3 = i[3];
b0_10 = i[4];
b1_11 = i[5];
b2_12 = i[6];
b3_13 = i[7];
#10;
for (j=0; j<16; j=j+1) begin
Ean_11 = j[0];
Ebn_15 = j[1];
S0_14 = j[2];
S1_2 = j[3];
#5;
expectedA = (~Ean_11) & abus[{S1_2,S0_14}];
expectedB = (~Ebn_15) & bbus[{S1_2,S0_14}];
if (expectedA != Za_7) begin
$display("ERR: expectedA=%b Za=%b a=%b S1=%b S0=%b Ean=%b",
expectedA, Za_7, abus, bbus, S1_2, S0_14, Ean_11);
errct = errct + 1;
end
end
end
if ( errct == 0 ) begin
$display("PASSED");
end else begin
$display("FAILED errct=%d", errct);
end
end
endmodule

View File

@ -0,0 +1 @@
MODULE chip74LS161

View File

@ -0,0 +1,741 @@
<?xml version="1.0" encoding="UTF-8"?>
<drawing version="7">
<attr value="spartan3e" name="DeviceFamilyName">
<trait delete="all:0" />
<trait editname="all:0" />
<trait edittrait="all:0" />
</attr>
<netlist>
<signal name="XLXN_11" />
<signal name="XLXN_12" />
<signal name="XLXN_13" />
<signal name="CLK" />
<signal name="XLXN_15" />
<signal name="XLXN_17" />
<signal name="XLXN_19" />
<signal name="XLXN_21" />
<signal name="XLXN_26" />
<signal name="XLXN_28" />
<signal name="XLXN_29" />
<signal name="XLXN_35" />
<signal name="XLXN_36" />
<signal name="CLRn" />
<signal name="Q1" />
<signal name="Q2" />
<signal name="Q3" />
<signal name="PEn" />
<signal name="XLXN_44" />
<signal name="XLXN_45" />
<signal name="XLXN_48" />
<signal name="XLXN_50" />
<signal name="XLXN_54" />
<signal name="CEP" />
<signal name="CET" />
<signal name="TC" />
<signal name="P0" />
<signal name="P1" />
<signal name="P2" />
<signal name="P3" />
<signal name="XLXN_64" />
<signal name="XLXN_65" />
<signal name="Q0" />
<signal name="XLXN_71" />
<signal name="XLXN_72" />
<signal name="XLXN_76" />
<signal name="XLXN_77" />
<signal name="XLXN_82" />
<signal name="XLXN_83" />
<signal name="XLXN_84" />
<signal name="XLXN_86" />
<signal name="XLXN_87" />
<port polarity="Input" name="CLK" />
<port polarity="Input" name="CLRn" />
<port polarity="Output" name="Q1" />
<port polarity="Output" name="Q2" />
<port polarity="Output" name="Q3" />
<port polarity="Input" name="PEn" />
<port polarity="Input" name="CEP" />
<port polarity="Input" name="CET" />
<port polarity="Output" name="TC" />
<port polarity="Input" name="P0" />
<port polarity="Input" name="P1" />
<port polarity="Input" name="P2" />
<port polarity="Input" name="P3" />
<port polarity="Output" name="Q0" />
<blockdef name="and2">
<timestamp>2000-1-1T10:10:10</timestamp>
<line x2="64" y1="-64" y2="-64" x1="0" />
<line x2="64" y1="-128" y2="-128" x1="0" />
<line x2="192" y1="-96" y2="-96" x1="256" />
<arc ex="144" ey="-144" sx="144" sy="-48" r="48" cx="144" cy="-96" />
<line x2="64" y1="-48" y2="-48" x1="144" />
<line x2="144" y1="-144" y2="-144" x1="64" />
<line x2="64" y1="-48" y2="-144" x1="64" />
</blockdef>
<blockdef name="or2">
<timestamp>2000-1-1T10:10:10</timestamp>
<line x2="64" y1="-64" y2="-64" x1="0" />
<line x2="64" y1="-128" y2="-128" x1="0" />
<line x2="192" y1="-96" y2="-96" x1="256" />
<arc ex="192" ey="-96" sx="112" sy="-48" r="88" cx="116" cy="-136" />
<arc ex="48" ey="-144" sx="48" sy="-48" r="56" cx="16" cy="-96" />
<line x2="48" y1="-144" y2="-144" x1="112" />
<arc ex="112" ey="-144" sx="192" sy="-96" r="88" cx="116" cy="-56" />
<line x2="48" y1="-48" y2="-48" x1="112" />
</blockdef>
<blockdef name="nand2">
<timestamp>2000-1-1T10:10:10</timestamp>
<line x2="64" y1="-64" y2="-64" x1="0" />
<line x2="64" y1="-128" y2="-128" x1="0" />
<line x2="216" y1="-96" y2="-96" x1="256" />
<circle r="12" cx="204" cy="-96" />
<line x2="64" y1="-48" y2="-144" x1="64" />
<line x2="144" y1="-144" y2="-144" x1="64" />
<line x2="64" y1="-48" y2="-48" x1="144" />
<arc ex="144" ey="-144" sx="144" sy="-48" r="48" cx="144" cy="-96" />
</blockdef>
<blockdef name="nand3">
<timestamp>2000-1-1T10:10:10</timestamp>
<line x2="64" y1="-64" y2="-64" x1="0" />
<line x2="64" y1="-128" y2="-128" x1="0" />
<line x2="64" y1="-192" y2="-192" x1="0" />
<line x2="216" y1="-128" y2="-128" x1="256" />
<circle r="12" cx="204" cy="-128" />
<line x2="144" y1="-176" y2="-176" x1="64" />
<line x2="64" y1="-80" y2="-80" x1="144" />
<arc ex="144" ey="-176" sx="144" sy="-80" r="48" cx="144" cy="-128" />
<line x2="64" y1="-64" y2="-192" x1="64" />
</blockdef>
<blockdef name="or2b2">
<timestamp>2000-1-1T10:10:10</timestamp>
<line x2="32" y1="-64" y2="-64" x1="0" />
<circle r="12" cx="44" cy="-64" />
<line x2="32" y1="-128" y2="-128" x1="0" />
<circle r="12" cx="44" cy="-128" />
<line x2="192" y1="-96" y2="-96" x1="256" />
<arc ex="48" ey="-144" sx="48" sy="-48" r="56" cx="16" cy="-96" />
<line x2="48" y1="-48" y2="-48" x1="112" />
<arc ex="112" ey="-144" sx="192" sy="-96" r="88" cx="116" cy="-56" />
<line x2="48" y1="-144" y2="-144" x1="112" />
<arc ex="192" ey="-96" sx="112" sy="-48" r="88" cx="116" cy="-136" />
</blockdef>
<blockdef name="and3">
<timestamp>2000-1-1T10:10:10</timestamp>
<line x2="64" y1="-64" y2="-64" x1="0" />
<line x2="64" y1="-128" y2="-128" x1="0" />
<line x2="64" y1="-192" y2="-192" x1="0" />
<line x2="192" y1="-128" y2="-128" x1="256" />
<line x2="144" y1="-176" y2="-176" x1="64" />
<line x2="64" y1="-80" y2="-80" x1="144" />
<arc ex="144" ey="-176" sx="144" sy="-80" r="48" cx="144" cy="-128" />
<line x2="64" y1="-64" y2="-192" x1="64" />
</blockdef>
<blockdef name="and4">
<timestamp>2000-1-1T10:10:10</timestamp>
<line x2="64" y1="-112" y2="-112" x1="144" />
<arc ex="144" ey="-208" sx="144" sy="-112" r="48" cx="144" cy="-160" />
<line x2="144" y1="-208" y2="-208" x1="64" />
<line x2="64" y1="-64" y2="-256" x1="64" />
<line x2="192" y1="-160" y2="-160" x1="256" />
<line x2="64" y1="-256" y2="-256" x1="0" />
<line x2="64" y1="-192" y2="-192" x1="0" />
<line x2="64" y1="-128" y2="-128" x1="0" />
<line x2="64" y1="-64" y2="-64" x1="0" />
</blockdef>
<blockdef name="and5">
<timestamp>2000-1-1T10:10:10</timestamp>
<arc ex="144" ey="-240" sx="144" sy="-144" r="48" cx="144" cy="-192" />
<line x2="64" y1="-144" y2="-144" x1="144" />
<line x2="144" y1="-240" y2="-240" x1="64" />
<line x2="64" y1="-64" y2="-320" x1="64" />
<line x2="192" y1="-192" y2="-192" x1="256" />
<line x2="64" y1="-320" y2="-320" x1="0" />
<line x2="64" y1="-256" y2="-256" x1="0" />
<line x2="64" y1="-192" y2="-192" x1="0" />
<line x2="64" y1="-128" y2="-128" x1="0" />
<line x2="64" y1="-64" y2="-64" x1="0" />
</blockdef>
<blockdef name="fjkc">
<timestamp>2000-1-1T10:10:10</timestamp>
<line x2="64" y1="-128" y2="-128" x1="0" />
<line x2="64" y1="-32" y2="-32" x1="0" />
<line x2="64" y1="-320" y2="-320" x1="0" />
<line x2="320" y1="-256" y2="-256" x1="384" />
<line x2="64" y1="-256" y2="-256" x1="0" />
<line x2="64" y1="-32" y2="-32" x1="192" />
<line x2="192" y1="-64" y2="-32" x1="192" />
<line x2="64" y1="-128" y2="-144" x1="80" />
<line x2="80" y1="-112" y2="-128" x1="64" />
<rect width="256" x="64" y="-384" height="320" />
</blockdef>
<blockdef name="buf">
<timestamp>2000-1-1T10:10:10</timestamp>
<line x2="64" y1="-32" y2="-32" x1="0" />
<line x2="128" y1="-32" y2="-32" x1="224" />
<line x2="128" y1="0" y2="-32" x1="64" />
<line x2="64" y1="-32" y2="-64" x1="128" />
<line x2="64" y1="-64" y2="0" x1="64" />
</blockdef>
<blockdef name="inv">
<timestamp>2000-1-1T10:10:10</timestamp>
<line x2="64" y1="-32" y2="-32" x1="0" />
<line x2="160" y1="-32" y2="-32" x1="224" />
<line x2="128" y1="-64" y2="-32" x1="64" />
<line x2="64" y1="-32" y2="0" x1="128" />
<line x2="64" y1="0" y2="-64" x1="64" />
<circle r="16" cx="144" cy="-32" />
</blockdef>
<block symbolname="and2" name="XLXI_5">
<blockpin signalname="XLXN_86" name="I0" />
<blockpin signalname="XLXN_15" name="I1" />
<blockpin signalname="XLXN_64" name="O" />
</block>
<block symbolname="and2" name="XLXI_6">
<blockpin signalname="XLXN_35" name="I0" />
<blockpin signalname="XLXN_86" name="I1" />
<blockpin signalname="XLXN_65" name="O" />
</block>
<block symbolname="and2" name="XLXI_7">
<blockpin signalname="XLXN_11" name="I0" />
<blockpin signalname="XLXN_17" name="I1" />
<blockpin signalname="XLXN_71" name="O" />
</block>
<block symbolname="and2" name="XLXI_8">
<blockpin signalname="XLXN_26" name="I0" />
<blockpin signalname="XLXN_11" name="I1" />
<blockpin signalname="XLXN_72" name="O" />
</block>
<block symbolname="and2" name="XLXI_9">
<blockpin signalname="XLXN_12" name="I0" />
<blockpin signalname="XLXN_19" name="I1" />
<blockpin signalname="XLXN_76" name="O" />
</block>
<block symbolname="and2" name="XLXI_10">
<blockpin signalname="XLXN_28" name="I0" />
<blockpin signalname="XLXN_12" name="I1" />
<blockpin signalname="XLXN_77" name="O" />
</block>
<block symbolname="and2" name="XLXI_11">
<blockpin signalname="XLXN_13" name="I0" />
<blockpin signalname="XLXN_21" name="I1" />
<blockpin signalname="XLXN_82" name="O" />
</block>
<block symbolname="and2" name="XLXI_12">
<blockpin signalname="XLXN_29" name="I0" />
<blockpin signalname="XLXN_13" name="I1" />
<blockpin signalname="XLXN_83" name="O" />
</block>
<block symbolname="or2" name="XLXI_14">
<blockpin signalname="XLXN_36" name="I0" />
<blockpin signalname="XLXN_45" name="I1" />
<blockpin signalname="XLXN_11" name="O" />
</block>
<block symbolname="or2" name="XLXI_15">
<blockpin signalname="XLXN_36" name="I0" />
<blockpin signalname="XLXN_48" name="I1" />
<blockpin signalname="XLXN_12" name="O" />
</block>
<block symbolname="or2" name="XLXI_16">
<blockpin signalname="XLXN_36" name="I0" />
<blockpin signalname="XLXN_50" name="I1" />
<blockpin signalname="XLXN_13" name="O" />
</block>
<block symbolname="or2" name="XLXI_17">
<blockpin signalname="XLXN_36" name="I0" />
<blockpin signalname="XLXN_54" name="I1" />
<blockpin signalname="XLXN_86" name="O" />
</block>
<block symbolname="nand2" name="XLXI_18">
<blockpin signalname="XLXN_35" name="I0" />
<blockpin signalname="XLXN_36" name="I1" />
<blockpin signalname="XLXN_15" name="O" />
</block>
<block symbolname="nand2" name="XLXI_20">
<blockpin signalname="XLXN_26" name="I0" />
<blockpin signalname="XLXN_36" name="I1" />
<blockpin signalname="XLXN_17" name="O" />
</block>
<block symbolname="nand2" name="XLXI_24">
<blockpin signalname="XLXN_28" name="I0" />
<blockpin signalname="XLXN_36" name="I1" />
<blockpin signalname="XLXN_19" name="O" />
</block>
<block symbolname="nand2" name="XLXI_26">
<blockpin signalname="XLXN_29" name="I0" />
<blockpin signalname="XLXN_36" name="I1" />
<blockpin signalname="XLXN_21" name="O" />
</block>
<block symbolname="nand3" name="XLXI_28">
<blockpin signalname="XLXN_36" name="I0" />
<blockpin signalname="XLXN_44" name="I1" />
<blockpin signalname="P0" name="I2" />
<blockpin signalname="XLXN_35" name="O" />
</block>
<block symbolname="nand3" name="XLXI_29">
<blockpin signalname="XLXN_36" name="I0" />
<blockpin signalname="XLXN_44" name="I1" />
<blockpin signalname="P1" name="I2" />
<blockpin signalname="XLXN_26" name="O" />
</block>
<block symbolname="nand3" name="XLXI_30">
<blockpin signalname="XLXN_36" name="I0" />
<blockpin signalname="XLXN_44" name="I1" />
<blockpin signalname="P2" name="I2" />
<blockpin signalname="XLXN_28" name="O" />
</block>
<block symbolname="nand3" name="XLXI_31">
<blockpin signalname="XLXN_44" name="I0" />
<blockpin signalname="XLXN_36" name="I1" />
<blockpin signalname="P3" name="I2" />
<blockpin signalname="XLXN_29" name="O" />
</block>
<block symbolname="or2b2" name="XLXI_33">
<blockpin signalname="XLXN_44" name="I0" />
<blockpin signalname="PEn" name="I1" />
<blockpin signalname="XLXN_36" name="O" />
</block>
<block symbolname="and2" name="XLXI_34">
<blockpin signalname="XLXN_54" name="I0" />
<blockpin signalname="Q0" name="I1" />
<blockpin signalname="XLXN_45" name="O" />
</block>
<block symbolname="and3" name="XLXI_35">
<blockpin signalname="XLXN_54" name="I0" />
<blockpin signalname="Q0" name="I1" />
<blockpin signalname="Q1" name="I2" />
<blockpin signalname="XLXN_48" name="O" />
</block>
<block symbolname="and4" name="XLXI_36">
<blockpin signalname="XLXN_54" name="I0" />
<blockpin signalname="Q0" name="I1" />
<blockpin signalname="Q1" name="I2" />
<blockpin signalname="Q2" name="I3" />
<blockpin signalname="XLXN_50" name="O" />
</block>
<block symbolname="and5" name="XLXI_37">
<blockpin signalname="CET" name="I0" />
<blockpin signalname="Q0" name="I1" />
<blockpin signalname="Q1" name="I2" />
<blockpin signalname="Q2" name="I3" />
<blockpin signalname="Q3" name="I4" />
<blockpin signalname="TC" name="O" />
</block>
<block symbolname="and2" name="XLXI_38">
<blockpin signalname="CET" name="I0" />
<blockpin signalname="CEP" name="I1" />
<blockpin signalname="XLXN_54" name="O" />
</block>
<block symbolname="fjkc" name="XLXI_39">
<blockpin signalname="XLXN_84" name="C" />
<blockpin signalname="XLXN_87" name="CLR" />
<blockpin signalname="XLXN_64" name="J" />
<blockpin signalname="XLXN_65" name="K" />
<blockpin signalname="Q0" name="Q" />
</block>
<block symbolname="fjkc" name="XLXI_40">
<blockpin signalname="XLXN_84" name="C" />
<blockpin signalname="XLXN_87" name="CLR" />
<blockpin signalname="XLXN_71" name="J" />
<blockpin signalname="XLXN_72" name="K" />
<blockpin signalname="Q1" name="Q" />
</block>
<block symbolname="fjkc" name="XLXI_41">
<blockpin signalname="XLXN_84" name="C" />
<blockpin signalname="XLXN_87" name="CLR" />
<blockpin signalname="XLXN_76" name="J" />
<blockpin signalname="XLXN_77" name="K" />
<blockpin signalname="Q2" name="Q" />
</block>
<block symbolname="fjkc" name="XLXI_42">
<blockpin signalname="XLXN_84" name="C" />
<blockpin signalname="XLXN_87" name="CLR" />
<blockpin signalname="XLXN_82" name="J" />
<blockpin signalname="XLXN_83" name="K" />
<blockpin signalname="Q3" name="Q" />
</block>
<block symbolname="buf" name="XLXI_43">
<blockpin signalname="CLK" name="I" />
<blockpin signalname="XLXN_84" name="O" />
</block>
<block symbolname="buf" name="XLXI_44">
<blockpin signalname="CLRn" name="I" />
<blockpin signalname="XLXN_44" name="O" />
</block>
<block symbolname="inv" name="XLXI_45">
<blockpin signalname="XLXN_44" name="I" />
<blockpin signalname="XLXN_87" name="O" />
</block>
</netlist>
<sheet sheetnum="1" width="3520" height="2720">
<instance x="2368" y="1824" name="XLXI_11" orien="R0" />
<branch name="CLK">
<attrtext style="alignment:SOFT-BCENTER;fontsize:28;fontname:Arial" attrname="Name" x="320" y="1232" type="branch" />
<wire x2="320" y1="1232" y2="1232" x1="224" />
<wire x2="400" y1="1232" y2="1232" x1="320" />
</branch>
<instance x="1984" y="1120" name="XLXI_14" orien="R0" />
<branch name="XLXN_21">
<wire x2="2368" y1="1696" y2="1696" x1="1920" />
</branch>
<instance x="1664" y="1264" name="XLXI_29" orien="R0" />
<instance x="1664" y="1392" name="XLXI_24" orien="R0" />
<instance x="1664" y="1648" name="XLXI_30" orien="R0" />
<instance x="1664" y="1792" name="XLXI_26" orien="R0" />
<instance x="1664" y="992" name="XLXI_20" orien="R0" />
<branch name="XLXN_26">
<wire x2="1664" y1="928" y2="928" x1="1648" />
<wire x2="1648" y1="928" y2="960" x1="1648" />
<wire x2="1936" y1="960" y2="960" x1="1648" />
<wire x2="1936" y1="960" y2="1136" x1="1936" />
<wire x2="2352" y1="1136" y2="1136" x1="1936" />
<wire x2="1936" y1="1136" y2="1136" x1="1920" />
<wire x2="2368" y1="1056" y2="1056" x1="2352" />
<wire x2="2352" y1="1056" y2="1136" x1="2352" />
</branch>
<branch name="XLXN_28">
<wire x2="1664" y1="1328" y2="1328" x1="1648" />
<wire x2="1648" y1="1328" y2="1360" x1="1648" />
<wire x2="1936" y1="1360" y2="1360" x1="1648" />
<wire x2="1936" y1="1360" y2="1520" x1="1936" />
<wire x2="2160" y1="1520" y2="1520" x1="1936" />
<wire x2="1936" y1="1520" y2="1520" x1="1920" />
<wire x2="2160" y1="1472" y2="1520" x1="2160" />
<wire x2="2384" y1="1472" y2="1472" x1="2160" />
</branch>
<branch name="XLXN_29">
<wire x2="1664" y1="1728" y2="1728" x1="1648" />
<wire x2="1648" y1="1728" y2="1760" x1="1648" />
<wire x2="1936" y1="1760" y2="1760" x1="1648" />
<wire x2="1936" y1="1760" y2="1936" x1="1936" />
<wire x2="1936" y1="1936" y2="1968" x1="1936" />
<wire x2="2144" y1="1936" y2="1936" x1="1936" />
<wire x2="1936" y1="1968" y2="1968" x1="1920" />
<wire x2="2144" y1="1888" y2="1936" x1="2144" />
<wire x2="2368" y1="1888" y2="1888" x1="2144" />
</branch>
<instance x="1664" y="2096" name="XLXI_31" orien="R0" />
<instance x="1984" y="1920" name="XLXI_16" orien="R0" />
<branch name="XLXN_13">
<wire x2="2352" y1="1824" y2="1824" x1="2240" />
<wire x2="2368" y1="1824" y2="1824" x1="2352" />
<wire x2="2368" y1="1760" y2="1760" x1="2352" />
<wire x2="2352" y1="1760" y2="1824" x1="2352" />
</branch>
<instance x="1664" y="544" name="XLXI_18" orien="R0" />
<instance x="1984" y="688" name="XLXI_17" orien="R0" />
<instance x="1664" y="848" name="XLXI_28" orien="R0" />
<branch name="XLXN_35">
<wire x2="1664" y1="480" y2="480" x1="1648" />
<wire x2="1648" y1="480" y2="512" x1="1648" />
<wire x2="1952" y1="512" y2="512" x1="1648" />
<wire x2="1952" y1="512" y2="720" x1="1952" />
<wire x2="2352" y1="720" y2="720" x1="1952" />
<wire x2="1952" y1="720" y2="720" x1="1920" />
<wire x2="2352" y1="576" y2="720" x1="2352" />
<wire x2="2368" y1="576" y2="576" x1="2352" />
</branch>
<branch name="XLXN_36">
<wire x2="1552" y1="416" y2="416" x1="1200" />
<wire x2="1664" y1="416" y2="416" x1="1552" />
<wire x2="1552" y1="416" y2="624" x1="1552" />
<wire x2="1984" y1="624" y2="624" x1="1552" />
<wire x2="1552" y1="624" y2="784" x1="1552" />
<wire x2="1664" y1="784" y2="784" x1="1552" />
<wire x2="1552" y1="784" y2="864" x1="1552" />
<wire x2="1664" y1="864" y2="864" x1="1552" />
<wire x2="1552" y1="864" y2="1056" x1="1552" />
<wire x2="1552" y1="1056" y2="1200" x1="1552" />
<wire x2="1664" y1="1200" y2="1200" x1="1552" />
<wire x2="1552" y1="1200" y2="1264" x1="1552" />
<wire x2="1664" y1="1264" y2="1264" x1="1552" />
<wire x2="1552" y1="1264" y2="1440" x1="1552" />
<wire x2="1552" y1="1440" y2="1584" x1="1552" />
<wire x2="1664" y1="1584" y2="1584" x1="1552" />
<wire x2="1552" y1="1584" y2="1664" x1="1552" />
<wire x2="1664" y1="1664" y2="1664" x1="1552" />
<wire x2="1552" y1="1664" y2="1856" x1="1552" />
<wire x2="1552" y1="1856" y2="1968" x1="1552" />
<wire x2="1664" y1="1968" y2="1968" x1="1552" />
<wire x2="1984" y1="1856" y2="1856" x1="1552" />
<wire x2="1984" y1="1440" y2="1440" x1="1552" />
<wire x2="1984" y1="1056" y2="1056" x1="1552" />
</branch>
<instance x="1984" y="1504" name="XLXI_15" orien="R0" />
<branch name="CLRn">
<attrtext style="alignment:SOFT-BCENTER;fontsize:28;fontname:Arial" attrname="Name" x="432" y="2032" type="branch" />
<wire x2="432" y1="2032" y2="2032" x1="368" />
<wire x2="496" y1="2032" y2="2032" x1="432" />
</branch>
<branch name="Q1">
<attrtext style="alignment:SOFT-BCENTER;fontsize:28;fontname:Arial" attrname="Name" x="3328" y="960" type="branch" />
<wire x2="3216" y1="1216" y2="1216" x1="928" />
<wire x2="928" y1="1216" y2="1280" x1="928" />
<wire x2="928" y1="1280" y2="1760" x1="928" />
<wire x2="928" y1="1760" y2="2288" x1="928" />
<wire x2="2768" y1="2288" y2="2288" x1="928" />
<wire x2="1088" y1="1760" y2="1760" x1="928" />
<wire x2="960" y1="1280" y2="1280" x1="928" />
<wire x2="3216" y1="960" y2="960" x1="3104" />
<wire x2="3216" y1="960" y2="1216" x1="3216" />
<wire x2="3328" y1="960" y2="960" x1="3216" />
<wire x2="3392" y1="960" y2="960" x1="3328" />
</branch>
<branch name="Q3">
<attrtext style="alignment:SOFT-BCENTER;fontsize:28;fontname:Arial" attrname="Name" x="3328" y="1792" type="branch" />
<wire x2="2768" y1="2080" y2="2160" x1="2768" />
<wire x2="3216" y1="2080" y2="2080" x1="2768" />
<wire x2="3216" y1="1792" y2="1792" x1="3104" />
<wire x2="3216" y1="1792" y2="2080" x1="3216" />
<wire x2="3328" y1="1792" y2="1792" x1="3216" />
<wire x2="3392" y1="1792" y2="1792" x1="3328" />
</branch>
<instance x="944" y="512" name="XLXI_33" orien="R0" />
<branch name="PEn">
<attrtext style="alignment:SOFT-BCENTER;fontsize:28;fontname:Arial" attrname="Name" x="496" y="384" type="branch" />
<wire x2="496" y1="384" y2="384" x1="416" />
<wire x2="944" y1="384" y2="384" x1="496" />
</branch>
<instance x="960" y="1088" name="XLXI_34" orien="R0" />
<branch name="XLXN_45">
<wire x2="1984" y1="992" y2="992" x1="1216" />
</branch>
<branch name="XLXN_50">
<wire x2="1984" y1="1792" y2="1792" x1="1344" />
</branch>
<instance x="1088" y="1952" name="XLXI_36" orien="R0" />
<instance x="2768" y="2480" name="XLXI_37" orien="R0" />
<instance x="480" y="2400" name="XLXI_38" orien="R0" />
<branch name="CEP">
<attrtext style="alignment:SOFT-BCENTER;fontsize:28;fontname:Arial" attrname="Name" x="304" y="2272" type="branch" />
<wire x2="304" y1="2272" y2="2272" x1="224" />
<wire x2="480" y1="2272" y2="2272" x1="304" />
</branch>
<branch name="CET">
<attrtext style="alignment:SOFT-BCENTER;fontsize:28;fontname:Arial" attrname="Name" x="304" y="2336" type="branch" />
<wire x2="304" y1="2336" y2="2336" x1="224" />
<wire x2="464" y1="2336" y2="2336" x1="304" />
<wire x2="480" y1="2336" y2="2336" x1="464" />
<wire x2="464" y1="2336" y2="2416" x1="464" />
<wire x2="2768" y1="2416" y2="2416" x1="464" />
</branch>
<branch name="TC">
<attrtext style="alignment:SOFT-BCENTER;fontsize:28;fontname:Arial" attrname="Name" x="3104" y="2288" type="branch" />
<wire x2="3104" y1="2288" y2="2288" x1="3024" />
<wire x2="3184" y1="2288" y2="2288" x1="3104" />
</branch>
<branch name="P0">
<attrtext style="alignment:SOFT-BCENTER;fontsize:28;fontname:Arial" attrname="Name" x="496" y="656" type="branch" />
<wire x2="496" y1="656" y2="656" x1="432" />
<wire x2="1664" y1="656" y2="656" x1="496" />
</branch>
<branch name="P1">
<attrtext style="alignment:SOFT-BCENTER;fontsize:28;fontname:Arial" attrname="Name" x="512" y="1072" type="branch" />
<wire x2="512" y1="1072" y2="1072" x1="448" />
<wire x2="1664" y1="1072" y2="1072" x1="512" />
</branch>
<instance x="960" y="1472" name="XLXI_35" orien="R0" />
<branch name="XLXN_54">
<wire x2="848" y1="2304" y2="2304" x1="736" />
<wire x2="1984" y1="560" y2="560" x1="848" />
<wire x2="848" y1="560" y2="1024" x1="848" />
<wire x2="960" y1="1024" y2="1024" x1="848" />
<wire x2="848" y1="1024" y2="1408" x1="848" />
<wire x2="960" y1="1408" y2="1408" x1="848" />
<wire x2="848" y1="1408" y2="1888" x1="848" />
<wire x2="1088" y1="1888" y2="1888" x1="848" />
<wire x2="848" y1="1888" y2="2304" x1="848" />
</branch>
<branch name="XLXN_48">
<wire x2="1584" y1="1344" y2="1344" x1="1216" />
<wire x2="1584" y1="1344" y2="1376" x1="1584" />
<wire x2="1984" y1="1376" y2="1376" x1="1584" />
</branch>
<branch name="P2">
<attrtext style="alignment:SOFT-BCENTER;fontsize:28;fontname:Arial" attrname="Name" x="512" y="1456" type="branch" />
<wire x2="512" y1="1456" y2="1456" x1="432" />
<wire x2="1664" y1="1456" y2="1456" x1="512" />
</branch>
<branch name="P3">
<attrtext style="alignment:SOFT-BCENTER;fontsize:28;fontname:Arial" attrname="Name" x="512" y="1920" type="branch" />
<wire x2="512" y1="1920" y2="1920" x1="416" />
<wire x2="1664" y1="1920" y2="1920" x1="512" />
<wire x2="1664" y1="1904" y2="1920" x1="1664" />
</branch>
<text style="fontsize:24;fontname:Arial" x="2464" y="152">Q0,Q1,Q2,Q3 is Qa,Qb,Qc,Qd from TTL book</text>
<text style="fontsize:24;fontname:Arial" x="3008" y="2168">TC is RCO from TTL Book</text>
<text style="fontsize:24;fontname:Arial" x="188" y="2196">CET CEP same as ENT ENP from TTL Book</text>
<text style="fontsize:24;fontname:Arial" x="180" y="584">P0 is DATA_A, P1 is DATA_B ... from TTL Book</text>
<text style="fontsize:24;fontname:Arial" x="340" y="308">PEn is LOADn from TTL Book</text>
<iomarker fontsize="28" x="432" y="656" name="P0" orien="R180" />
<iomarker fontsize="28" x="448" y="1072" name="P1" orien="R180" />
<iomarker fontsize="28" x="224" y="1232" name="CLK" orien="R180" />
<iomarker fontsize="28" x="432" y="1456" name="P2" orien="R180" />
<iomarker fontsize="28" x="416" y="1920" name="P3" orien="R180" />
<iomarker fontsize="28" x="224" y="2272" name="CEP" orien="R180" />
<iomarker fontsize="28" x="224" y="2336" name="CET" orien="R180" />
<iomarker fontsize="28" x="3392" y="960" name="Q1" orien="R0" />
<iomarker fontsize="28" x="3184" y="2288" name="TC" orien="R0" />
<iomarker fontsize="28" x="416" y="384" name="PEn" orien="R180" />
<instance x="2720" y="736" name="XLXI_39" orien="R0" />
<instance x="2368" y="512" name="XLXI_5" orien="R0" />
<branch name="XLXN_15">
<wire x2="1936" y1="448" y2="448" x1="1920" />
<wire x2="2368" y1="384" y2="384" x1="1936" />
<wire x2="1936" y1="384" y2="448" x1="1936" />
</branch>
<branch name="XLXN_64">
<wire x2="2720" y1="416" y2="416" x1="2624" />
</branch>
<instance x="2368" y="640" name="XLXI_6" orien="R0" />
<branch name="XLXN_65">
<wire x2="2672" y1="544" y2="544" x1="2624" />
<wire x2="2672" y1="480" y2="544" x1="2672" />
<wire x2="2720" y1="480" y2="480" x1="2672" />
</branch>
<branch name="Q0">
<attrtext style="alignment:SOFT-BCENTER;fontsize:28;fontname:Arial" attrname="Name" x="3312" y="480" type="branch" />
<wire x2="880" y1="816" y2="960" x1="880" />
<wire x2="960" y1="960" y2="960" x1="880" />
<wire x2="880" y1="960" y2="1344" x1="880" />
<wire x2="960" y1="1344" y2="1344" x1="880" />
<wire x2="880" y1="1344" y2="1824" x1="880" />
<wire x2="880" y1="1824" y2="2352" x1="880" />
<wire x2="2768" y1="2352" y2="2352" x1="880" />
<wire x2="1088" y1="1824" y2="1824" x1="880" />
<wire x2="3184" y1="816" y2="816" x1="880" />
<wire x2="3184" y1="480" y2="480" x1="3104" />
<wire x2="3184" y1="480" y2="816" x1="3184" />
<wire x2="3312" y1="480" y2="480" x1="3184" />
<wire x2="3376" y1="480" y2="480" x1="3312" />
</branch>
<iomarker fontsize="28" x="3376" y="480" name="Q0" orien="R0" />
<instance x="2720" y="1216" name="XLXI_40" orien="R0" />
<instance x="2368" y="992" name="XLXI_7" orien="R0" />
<branch name="XLXN_17">
<wire x2="1936" y1="896" y2="896" x1="1920" />
<wire x2="2368" y1="864" y2="864" x1="1936" />
<wire x2="1936" y1="864" y2="896" x1="1936" />
</branch>
<branch name="XLXN_11">
<wire x2="2352" y1="1024" y2="1024" x1="2240" />
<wire x2="2368" y1="928" y2="928" x1="2352" />
<wire x2="2352" y1="928" y2="992" x1="2352" />
<wire x2="2368" y1="992" y2="992" x1="2352" />
<wire x2="2352" y1="992" y2="1024" x1="2352" />
</branch>
<instance x="2368" y="1120" name="XLXI_8" orien="R0" />
<branch name="XLXN_71">
<wire x2="2720" y1="896" y2="896" x1="2624" />
</branch>
<branch name="XLXN_72">
<wire x2="2672" y1="1024" y2="1024" x1="2624" />
<wire x2="2672" y1="960" y2="1024" x1="2672" />
<wire x2="2720" y1="960" y2="960" x1="2672" />
</branch>
<instance x="2720" y="1632" name="XLXI_41" orien="R0" />
<instance x="2384" y="1408" name="XLXI_9" orien="R0" />
<branch name="XLXN_19">
<wire x2="1936" y1="1296" y2="1296" x1="1920" />
<wire x2="2384" y1="1280" y2="1280" x1="1936" />
<wire x2="1936" y1="1280" y2="1296" x1="1936" />
</branch>
<branch name="XLXN_12">
<wire x2="2368" y1="1408" y2="1408" x1="2240" />
<wire x2="2384" y1="1408" y2="1408" x1="2368" />
<wire x2="2384" y1="1344" y2="1344" x1="2368" />
<wire x2="2368" y1="1344" y2="1408" x1="2368" />
</branch>
<instance x="2384" y="1536" name="XLXI_10" orien="R0" />
<branch name="XLXN_76">
<wire x2="2720" y1="1312" y2="1312" x1="2640" />
</branch>
<branch name="XLXN_77">
<wire x2="2672" y1="1440" y2="1440" x1="2640" />
<wire x2="2672" y1="1376" y2="1440" x1="2672" />
<wire x2="2720" y1="1376" y2="1376" x1="2672" />
</branch>
<iomarker fontsize="28" x="3392" y="1376" name="Q2" orien="R0" />
<branch name="Q2">
<attrtext style="alignment:SOFT-BCENTER;fontsize:28;fontname:Arial" attrname="Name" x="3344" y="1376" type="branch" />
<wire x2="1024" y1="1632" y2="1696" x1="1024" />
<wire x2="1088" y1="1696" y2="1696" x1="1024" />
<wire x2="1024" y1="1696" y2="2224" x1="1024" />
<wire x2="2768" y1="2224" y2="2224" x1="1024" />
<wire x2="3232" y1="1632" y2="1632" x1="1024" />
<wire x2="3232" y1="1376" y2="1376" x1="3104" />
<wire x2="3232" y1="1376" y2="1632" x1="3232" />
<wire x2="3344" y1="1376" y2="1376" x1="3232" />
<wire x2="3392" y1="1376" y2="1376" x1="3344" />
</branch>
<instance x="2720" y="2048" name="XLXI_42" orien="R0" />
<instance x="2368" y="1952" name="XLXI_12" orien="R0" />
<branch name="XLXN_82">
<wire x2="2720" y1="1728" y2="1728" x1="2624" />
</branch>
<branch name="XLXN_83">
<wire x2="2672" y1="1856" y2="1856" x1="2624" />
<wire x2="2672" y1="1792" y2="1856" x1="2672" />
<wire x2="2720" y1="1792" y2="1792" x1="2672" />
</branch>
<iomarker fontsize="28" x="3392" y="1792" name="Q3" orien="R0" />
<branch name="XLXN_86">
<wire x2="2304" y1="592" y2="592" x1="2240" />
<wire x2="2368" y1="448" y2="448" x1="2304" />
<wire x2="2304" y1="448" y2="512" x1="2304" />
<wire x2="2304" y1="512" y2="592" x1="2304" />
<wire x2="2368" y1="512" y2="512" x1="2304" />
</branch>
<iomarker fontsize="28" x="368" y="2032" name="CLRn" orien="R180" />
<instance x="400" y="1264" name="XLXI_43" orien="R0" />
<branch name="XLXN_84">
<wire x2="2304" y1="1232" y2="1232" x1="624" />
<wire x2="2304" y1="1232" y2="1520" x1="2304" />
<wire x2="2720" y1="1520" y2="1520" x1="2304" />
<wire x2="2304" y1="1520" y2="1936" x1="2304" />
<wire x2="2544" y1="1936" y2="1936" x1="2304" />
<wire x2="2416" y1="752" y2="752" x1="2304" />
<wire x2="2304" y1="752" y2="1104" x1="2304" />
<wire x2="2512" y1="1104" y2="1104" x1="2304" />
<wire x2="2304" y1="1104" y2="1232" x1="2304" />
<wire x2="2416" y1="640" y2="752" x1="2416" />
<wire x2="2720" y1="640" y2="640" x1="2416" />
<wire x2="2720" y1="1088" y2="1088" x1="2512" />
<wire x2="2512" y1="1088" y2="1104" x1="2512" />
<wire x2="2720" y1="1920" y2="1920" x1="2544" />
<wire x2="2544" y1="1920" y2="1936" x1="2544" />
<wire x2="2720" y1="608" y2="640" x1="2720" />
<wire x2="2720" y1="1504" y2="1520" x1="2720" />
</branch>
<instance x="496" y="2064" name="XLXI_44" orien="R0" />
<branch name="XLXN_87">
<wire x2="2304" y1="2144" y2="2144" x1="2224" />
<wire x2="2304" y1="2016" y2="2144" x1="2304" />
<wire x2="2704" y1="2016" y2="2016" x1="2304" />
<wire x2="2720" y1="2016" y2="2016" x1="2704" />
<wire x2="2720" y1="704" y2="704" x1="2704" />
<wire x2="2704" y1="704" y2="1184" x1="2704" />
<wire x2="2720" y1="1184" y2="1184" x1="2704" />
<wire x2="2704" y1="1184" y2="1600" x1="2704" />
<wire x2="2720" y1="1600" y2="1600" x1="2704" />
<wire x2="2704" y1="1600" y2="2016" x1="2704" />
</branch>
<branch name="XLXN_44">
<wire x2="784" y1="2032" y2="2032" x1="720" />
<wire x2="1456" y1="2032" y2="2032" x1="784" />
<wire x2="1616" y1="2032" y2="2032" x1="1456" />
<wire x2="1664" y1="2032" y2="2032" x1="1616" />
<wire x2="1616" y1="2032" y2="2144" x1="1616" />
<wire x2="1936" y1="2144" y2="2144" x1="1616" />
<wire x2="2000" y1="2144" y2="2144" x1="1936" />
<wire x2="944" y1="448" y2="448" x1="784" />
<wire x2="784" y1="448" y2="2032" x1="784" />
<wire x2="1664" y1="720" y2="720" x1="1456" />
<wire x2="1456" y1="720" y2="1136" x1="1456" />
<wire x2="1664" y1="1136" y2="1136" x1="1456" />
<wire x2="1456" y1="1136" y2="1520" x1="1456" />
<wire x2="1664" y1="1520" y2="1520" x1="1456" />
<wire x2="1456" y1="1520" y2="2032" x1="1456" />
</branch>
<instance x="2000" y="2176" name="XLXI_45" orien="R0" />
</sheet>
</drawing>

View File

@ -0,0 +1,736 @@
<?xml version="1.0" encoding="UTF-8"?>
<drawing version="7">
<attr value="spartan3e" name="DeviceFamilyName">
<trait delete="all:0" />
<trait editname="all:0" />
<trait edittrait="all:0" />
</attr>
<netlist>
<signal name="XLXN_1" />
<signal name="XLXN_2" />
<signal name="XLXN_3" />
<signal name="XLXN_4" />
<signal name="XLXN_5" />
<signal name="XLXN_6" />
<signal name="XLXN_7" />
<signal name="XLXN_8" />
<signal name="XLXN_9" />
<signal name="XLXN_10" />
<signal name="XLXN_11" />
<signal name="XLXN_12" />
<signal name="XLXN_13" />
<signal name="CLK" />
<signal name="XLXN_15" />
<signal name="XLXN_16" />
<signal name="XLXN_17" />
<signal name="XLXN_18" />
<signal name="XLXN_19" />
<signal name="XLXN_20" />
<signal name="XLXN_21" />
<signal name="XLXN_22" />
<signal name="XLXN_24" />
<signal name="XLXN_26" />
<signal name="XLXN_28" />
<signal name="XLXN_29" />
<signal name="XLXN_33" />
<signal name="XLXN_34" />
<signal name="XLXN_35" />
<signal name="XLXN_36" />
<signal name="XLXN_37" />
<signal name="CLRn" />
<signal name="Q0" />
<signal name="Q1" />
<signal name="Q2" />
<signal name="Q3" />
<signal name="LOADn" />
<signal name="XLXN_44" />
<signal name="XLXN_45" />
<signal name="XLXN_47" />
<signal name="XLXN_48" />
<signal name="XLXN_49" />
<signal name="XLXN_50" />
<signal name="XLXN_51" />
<signal name="XLXN_52" />
<signal name="XLXN_53" />
<signal name="XLXN_54" />
<signal name="XLXN_55" />
<signal name="XLXN_56" />
<signal name="CEP" />
<signal name="CET" />
<signal name="XLXN_59" />
<signal name="TC" />
<signal name="P0" />
<signal name="P1" />
<signal name="P2" />
<signal name="P3" />
<port polarity="Input" name="CLK" />
<port polarity="Input" name="CLRn" />
<port polarity="Output" name="Q0" />
<port polarity="Output" name="Q1" />
<port polarity="Output" name="Q2" />
<port polarity="Output" name="Q3" />
<port polarity="Input" name="LOADn" />
<port polarity="Input" name="CEP" />
<port polarity="Input" name="CET" />
<port polarity="Output" name="TC" />
<port polarity="Input" name="P0" />
<port polarity="Input" name="P1" />
<port polarity="Input" name="P2" />
<port polarity="Input" name="P3" />
<blockdef name="JK_FlipFlop">
<timestamp>2018-5-22T0:7:17</timestamp>
<rect width="256" x="64" y="-192" height="192" />
<line x2="0" y1="-96" y2="-96" x1="64" />
<line x2="0" y1="-160" y2="-160" x1="64" />
<line x2="0" y1="-32" y2="-32" x1="64" />
<line x2="384" y1="-160" y2="-160" x1="320" />
<line x2="384" y1="-32" y2="-32" x1="320" />
</blockdef>
<blockdef name="and2">
<timestamp>2000-1-1T10:10:10</timestamp>
<line x2="64" y1="-64" y2="-64" x1="0" />
<line x2="64" y1="-128" y2="-128" x1="0" />
<line x2="192" y1="-96" y2="-96" x1="256" />
<arc ex="144" ey="-144" sx="144" sy="-48" r="48" cx="144" cy="-96" />
<line x2="64" y1="-48" y2="-48" x1="144" />
<line x2="144" y1="-144" y2="-144" x1="64" />
<line x2="64" y1="-48" y2="-144" x1="64" />
</blockdef>
<blockdef name="inv">
<timestamp>2000-1-1T10:10:10</timestamp>
<line x2="64" y1="-32" y2="-32" x1="0" />
<line x2="160" y1="-32" y2="-32" x1="224" />
<line x2="128" y1="-64" y2="-32" x1="64" />
<line x2="64" y1="-32" y2="0" x1="128" />
<line x2="64" y1="0" y2="-64" x1="64" />
<circle r="16" cx="144" cy="-32" />
</blockdef>
<blockdef name="or2">
<timestamp>2000-1-1T10:10:10</timestamp>
<line x2="64" y1="-64" y2="-64" x1="0" />
<line x2="64" y1="-128" y2="-128" x1="0" />
<line x2="192" y1="-96" y2="-96" x1="256" />
<arc ex="192" ey="-96" sx="112" sy="-48" r="88" cx="116" cy="-136" />
<arc ex="48" ey="-144" sx="48" sy="-48" r="56" cx="16" cy="-96" />
<line x2="48" y1="-144" y2="-144" x1="112" />
<arc ex="112" ey="-144" sx="192" sy="-96" r="88" cx="116" cy="-56" />
<line x2="48" y1="-48" y2="-48" x1="112" />
</blockdef>
<blockdef name="nand2">
<timestamp>2000-1-1T10:10:10</timestamp>
<line x2="64" y1="-64" y2="-64" x1="0" />
<line x2="64" y1="-128" y2="-128" x1="0" />
<line x2="216" y1="-96" y2="-96" x1="256" />
<circle r="12" cx="204" cy="-96" />
<line x2="64" y1="-48" y2="-144" x1="64" />
<line x2="144" y1="-144" y2="-144" x1="64" />
<line x2="64" y1="-48" y2="-48" x1="144" />
<arc ex="144" ey="-144" sx="144" sy="-48" r="48" cx="144" cy="-96" />
</blockdef>
<blockdef name="nand3">
<timestamp>2000-1-1T10:10:10</timestamp>
<line x2="64" y1="-64" y2="-64" x1="0" />
<line x2="64" y1="-128" y2="-128" x1="0" />
<line x2="64" y1="-192" y2="-192" x1="0" />
<line x2="216" y1="-128" y2="-128" x1="256" />
<circle r="12" cx="204" cy="-128" />
<line x2="144" y1="-176" y2="-176" x1="64" />
<line x2="64" y1="-80" y2="-80" x1="144" />
<arc ex="144" ey="-176" sx="144" sy="-80" r="48" cx="144" cy="-128" />
<line x2="64" y1="-64" y2="-192" x1="64" />
</blockdef>
<blockdef name="or2b2">
<timestamp>2000-1-1T10:10:10</timestamp>
<line x2="32" y1="-64" y2="-64" x1="0" />
<circle r="12" cx="44" cy="-64" />
<line x2="32" y1="-128" y2="-128" x1="0" />
<circle r="12" cx="44" cy="-128" />
<line x2="192" y1="-96" y2="-96" x1="256" />
<arc ex="48" ey="-144" sx="48" sy="-48" r="56" cx="16" cy="-96" />
<line x2="48" y1="-48" y2="-48" x1="112" />
<arc ex="112" ey="-144" sx="192" sy="-96" r="88" cx="116" cy="-56" />
<line x2="48" y1="-144" y2="-144" x1="112" />
<arc ex="192" ey="-96" sx="112" sy="-48" r="88" cx="116" cy="-136" />
</blockdef>
<blockdef name="and3">
<timestamp>2000-1-1T10:10:10</timestamp>
<line x2="64" y1="-64" y2="-64" x1="0" />
<line x2="64" y1="-128" y2="-128" x1="0" />
<line x2="64" y1="-192" y2="-192" x1="0" />
<line x2="192" y1="-128" y2="-128" x1="256" />
<line x2="144" y1="-176" y2="-176" x1="64" />
<line x2="64" y1="-80" y2="-80" x1="144" />
<arc ex="144" ey="-176" sx="144" sy="-80" r="48" cx="144" cy="-128" />
<line x2="64" y1="-64" y2="-192" x1="64" />
</blockdef>
<blockdef name="and4">
<timestamp>2000-1-1T10:10:10</timestamp>
<line x2="64" y1="-112" y2="-112" x1="144" />
<arc ex="144" ey="-208" sx="144" sy="-112" r="48" cx="144" cy="-160" />
<line x2="144" y1="-208" y2="-208" x1="64" />
<line x2="64" y1="-64" y2="-256" x1="64" />
<line x2="192" y1="-160" y2="-160" x1="256" />
<line x2="64" y1="-256" y2="-256" x1="0" />
<line x2="64" y1="-192" y2="-192" x1="0" />
<line x2="64" y1="-128" y2="-128" x1="0" />
<line x2="64" y1="-64" y2="-64" x1="0" />
</blockdef>
<blockdef name="and5">
<timestamp>2000-1-1T10:10:10</timestamp>
<arc ex="144" ey="-240" sx="144" sy="-144" r="48" cx="144" cy="-192" />
<line x2="64" y1="-144" y2="-144" x1="144" />
<line x2="144" y1="-240" y2="-240" x1="64" />
<line x2="64" y1="-64" y2="-320" x1="64" />
<line x2="192" y1="-192" y2="-192" x1="256" />
<line x2="64" y1="-320" y2="-320" x1="0" />
<line x2="64" y1="-256" y2="-256" x1="0" />
<line x2="64" y1="-192" y2="-192" x1="0" />
<line x2="64" y1="-128" y2="-128" x1="0" />
<line x2="64" y1="-64" y2="-64" x1="0" />
</blockdef>
<block symbolname="JK_FlipFlop" name="XLXI_1">
<blockpin signalname="XLXN_9" name="CLK" />
<blockpin signalname="XLXN_1" name="J" />
<blockpin signalname="XLXN_2" name="K" />
<blockpin signalname="Q0" name="Q" />
<blockpin name="Qnot" />
</block>
<block symbolname="JK_FlipFlop" name="XLXI_2">
<blockpin signalname="XLXN_9" name="CLK" />
<blockpin signalname="XLXN_3" name="J" />
<blockpin signalname="XLXN_4" name="K" />
<blockpin signalname="Q1" name="Q" />
<blockpin name="Qnot" />
</block>
<block symbolname="JK_FlipFlop" name="XLXI_3">
<blockpin signalname="XLXN_9" name="CLK" />
<blockpin signalname="XLXN_5" name="J" />
<blockpin signalname="XLXN_6" name="K" />
<blockpin signalname="Q2" name="Q" />
<blockpin name="Qnot" />
</block>
<block symbolname="JK_FlipFlop" name="XLXI_4">
<blockpin signalname="XLXN_9" name="CLK" />
<blockpin signalname="XLXN_7" name="J" />
<blockpin signalname="XLXN_8" name="K" />
<blockpin signalname="Q3" name="Q" />
<blockpin signalname="XLXN_55" name="Qnot" />
</block>
<block symbolname="and2" name="XLXI_5">
<blockpin signalname="XLXN_10" name="I0" />
<blockpin signalname="XLXN_15" name="I1" />
<blockpin signalname="XLXN_1" name="O" />
</block>
<block symbolname="and2" name="XLXI_6">
<blockpin signalname="XLXN_35" name="I0" />
<blockpin signalname="XLXN_10" name="I1" />
<blockpin signalname="XLXN_2" name="O" />
</block>
<block symbolname="and2" name="XLXI_7">
<blockpin signalname="XLXN_11" name="I0" />
<blockpin signalname="XLXN_17" name="I1" />
<blockpin signalname="XLXN_3" name="O" />
</block>
<block symbolname="and2" name="XLXI_8">
<blockpin signalname="XLXN_26" name="I0" />
<blockpin signalname="XLXN_11" name="I1" />
<blockpin signalname="XLXN_4" name="O" />
</block>
<block symbolname="and2" name="XLXI_9">
<blockpin signalname="XLXN_12" name="I0" />
<blockpin signalname="XLXN_19" name="I1" />
<blockpin signalname="XLXN_5" name="O" />
</block>
<block symbolname="and2" name="XLXI_10">
<blockpin signalname="XLXN_28" name="I0" />
<blockpin signalname="XLXN_12" name="I1" />
<blockpin signalname="XLXN_6" name="O" />
</block>
<block symbolname="and2" name="XLXI_11">
<blockpin signalname="XLXN_13" name="I0" />
<blockpin signalname="XLXN_21" name="I1" />
<blockpin signalname="XLXN_7" name="O" />
</block>
<block symbolname="and2" name="XLXI_12">
<blockpin signalname="XLXN_29" name="I0" />
<blockpin signalname="XLXN_13" name="I1" />
<blockpin signalname="XLXN_8" name="O" />
</block>
<block symbolname="inv" name="XLXI_13">
<blockpin signalname="CLK" name="I" />
<blockpin signalname="XLXN_9" name="O" />
</block>
<block symbolname="or2" name="XLXI_14">
<blockpin signalname="XLXN_36" name="I0" />
<blockpin signalname="XLXN_45" name="I1" />
<blockpin signalname="XLXN_11" name="O" />
</block>
<block symbolname="or2" name="XLXI_15">
<blockpin signalname="XLXN_36" name="I0" />
<blockpin signalname="XLXN_48" name="I1" />
<blockpin signalname="XLXN_12" name="O" />
</block>
<block symbolname="or2" name="XLXI_16">
<blockpin signalname="XLXN_36" name="I0" />
<blockpin signalname="XLXN_50" name="I1" />
<blockpin signalname="XLXN_13" name="O" />
</block>
<block symbolname="or2" name="XLXI_17">
<blockpin signalname="XLXN_36" name="I0" />
<blockpin signalname="XLXN_54" name="I1" />
<blockpin signalname="XLXN_10" name="O" />
</block>
<block symbolname="nand2" name="XLXI_18">
<blockpin signalname="XLXN_35" name="I0" />
<blockpin signalname="XLXN_36" name="I1" />
<blockpin signalname="XLXN_15" name="O" />
</block>
<block symbolname="nand2" name="XLXI_20">
<blockpin signalname="XLXN_26" name="I0" />
<blockpin signalname="XLXN_36" name="I1" />
<blockpin signalname="XLXN_17" name="O" />
</block>
<block symbolname="nand2" name="XLXI_24">
<blockpin signalname="XLXN_28" name="I0" />
<blockpin signalname="XLXN_36" name="I1" />
<blockpin signalname="XLXN_19" name="O" />
</block>
<block symbolname="nand2" name="XLXI_26">
<blockpin signalname="XLXN_29" name="I0" />
<blockpin signalname="XLXN_36" name="I1" />
<blockpin signalname="XLXN_21" name="O" />
</block>
<block symbolname="nand3" name="XLXI_28">
<blockpin signalname="XLXN_36" name="I0" />
<blockpin signalname="XLXN_44" name="I1" />
<blockpin signalname="P0" name="I2" />
<blockpin signalname="XLXN_35" name="O" />
</block>
<block symbolname="nand3" name="XLXI_29">
<blockpin signalname="XLXN_36" name="I0" />
<blockpin signalname="XLXN_44" name="I1" />
<blockpin signalname="P1" name="I2" />
<blockpin signalname="XLXN_26" name="O" />
</block>
<block symbolname="nand3" name="XLXI_30">
<blockpin signalname="XLXN_36" name="I0" />
<blockpin signalname="XLXN_44" name="I1" />
<blockpin signalname="P2" name="I2" />
<blockpin signalname="XLXN_28" name="O" />
</block>
<block symbolname="nand3" name="XLXI_31">
<blockpin signalname="XLXN_44" name="I0" />
<blockpin signalname="XLXN_36" name="I1" />
<blockpin signalname="P3" name="I2" />
<blockpin signalname="XLXN_29" name="O" />
</block>
<block symbolname="inv" name="XLXI_32">
<blockpin signalname="CLRn" name="I" />
<blockpin signalname="XLXN_44" name="O" />
</block>
<block symbolname="or2b2" name="XLXI_33">
<blockpin signalname="XLXN_44" name="I0" />
<blockpin signalname="LOADn" name="I1" />
<blockpin signalname="XLXN_36" name="O" />
</block>
<block symbolname="and2" name="XLXI_34">
<blockpin signalname="XLXN_54" name="I0" />
<blockpin signalname="Q0" name="I1" />
<blockpin signalname="XLXN_45" name="O" />
</block>
<block symbolname="and3" name="XLXI_35">
<blockpin signalname="XLXN_54" name="I0" />
<blockpin signalname="Q0" name="I1" />
<blockpin signalname="Q1" name="I2" />
<blockpin signalname="XLXN_48" name="O" />
</block>
<block symbolname="and4" name="XLXI_36">
<blockpin signalname="XLXN_54" name="I0" />
<blockpin signalname="Q0" name="I1" />
<blockpin signalname="Q1" name="I2" />
<blockpin signalname="Q2" name="I3" />
<blockpin signalname="XLXN_50" name="O" />
</block>
<block symbolname="and5" name="XLXI_37">
<blockpin signalname="CET" name="I0" />
<blockpin signalname="Q0" name="I1" />
<blockpin signalname="Q1" name="I2" />
<blockpin signalname="Q2" name="I3" />
<blockpin signalname="XLXN_55" name="I4" />
<blockpin signalname="TC" name="O" />
</block>
<block symbolname="and2" name="XLXI_38">
<blockpin signalname="CET" name="I0" />
<blockpin signalname="CEP" name="I1" />
<blockpin signalname="XLXN_54" name="O" />
</block>
</netlist>
<sheet sheetnum="1" width="3520" height="2720">
<instance x="2688" y="704" name="XLXI_1" orien="R0">
</instance>
<instance x="2688" y="1120" name="XLXI_2" orien="R0">
</instance>
<instance x="2688" y="1504" name="XLXI_3" orien="R0">
</instance>
<instance x="2688" y="1904" name="XLXI_4" orien="R0">
</instance>
<branch name="XLXN_1">
<wire x2="2672" y1="528" y2="528" x1="2624" />
<wire x2="2672" y1="528" y2="544" x1="2672" />
<wire x2="2688" y1="544" y2="544" x1="2672" />
</branch>
<branch name="XLXN_2">
<wire x2="2672" y1="688" y2="688" x1="2624" />
<wire x2="2688" y1="672" y2="672" x1="2672" />
<wire x2="2672" y1="672" y2="688" x1="2672" />
</branch>
<branch name="XLXN_3">
<wire x2="2672" y1="944" y2="944" x1="2624" />
<wire x2="2672" y1="944" y2="960" x1="2672" />
<wire x2="2688" y1="960" y2="960" x1="2672" />
</branch>
<branch name="XLXN_4">
<wire x2="2672" y1="1104" y2="1104" x1="2624" />
<wire x2="2688" y1="1088" y2="1088" x1="2672" />
<wire x2="2672" y1="1088" y2="1104" x1="2672" />
</branch>
<branch name="XLXN_5">
<wire x2="2672" y1="1328" y2="1328" x1="2624" />
<wire x2="2672" y1="1328" y2="1344" x1="2672" />
<wire x2="2688" y1="1344" y2="1344" x1="2672" />
</branch>
<branch name="XLXN_6">
<wire x2="2672" y1="1488" y2="1488" x1="2624" />
<wire x2="2688" y1="1472" y2="1472" x1="2672" />
<wire x2="2672" y1="1472" y2="1488" x1="2672" />
</branch>
<branch name="XLXN_7">
<wire x2="2672" y1="1728" y2="1728" x1="2624" />
<wire x2="2672" y1="1728" y2="1744" x1="2672" />
<wire x2="2688" y1="1744" y2="1744" x1="2672" />
</branch>
<branch name="XLXN_8">
<wire x2="2672" y1="1888" y2="1888" x1="2624" />
<wire x2="2688" y1="1872" y2="1872" x1="2672" />
<wire x2="2672" y1="1872" y2="1888" x1="2672" />
</branch>
<branch name="XLXN_9">
<wire x2="2304" y1="1232" y2="1232" x1="656" />
<wire x2="2304" y1="1232" y2="1408" x1="2304" />
<wire x2="2304" y1="1408" y2="1808" x1="2304" />
<wire x2="2688" y1="1808" y2="1808" x1="2304" />
<wire x2="2688" y1="1408" y2="1408" x1="2304" />
<wire x2="2688" y1="608" y2="608" x1="2304" />
<wire x2="2304" y1="608" y2="1024" x1="2304" />
<wire x2="2688" y1="1024" y2="1024" x1="2304" />
<wire x2="2304" y1="1024" y2="1232" x1="2304" />
</branch>
<instance x="2368" y="624" name="XLXI_5" orien="R0" />
<instance x="2368" y="784" name="XLXI_6" orien="R0" />
<instance x="2368" y="1040" name="XLXI_7" orien="R0" />
<instance x="2368" y="1200" name="XLXI_8" orien="R0" />
<instance x="2368" y="1424" name="XLXI_9" orien="R0" />
<instance x="2368" y="1584" name="XLXI_10" orien="R0" />
<instance x="2368" y="1824" name="XLXI_11" orien="R0" />
<instance x="2368" y="1984" name="XLXI_12" orien="R0" />
<branch name="XLXN_11">
<wire x2="2272" y1="1024" y2="1024" x1="2240" />
<wire x2="2272" y1="1024" y2="1072" x1="2272" />
<wire x2="2368" y1="1072" y2="1072" x1="2272" />
<wire x2="2368" y1="976" y2="976" x1="2272" />
<wire x2="2272" y1="976" y2="1024" x1="2272" />
</branch>
<branch name="CLK">
<attrtext style="alignment:SOFT-BCENTER;fontsize:28;fontname:Arial" attrname="Name" x="320" y="1232" type="branch" />
<wire x2="320" y1="1232" y2="1232" x1="224" />
<wire x2="432" y1="1232" y2="1232" x1="320" />
</branch>
<instance x="1984" y="1120" name="XLXI_14" orien="R0" />
<branch name="XLXN_15">
<wire x2="2352" y1="448" y2="448" x1="1920" />
<wire x2="2352" y1="448" y2="496" x1="2352" />
<wire x2="2368" y1="496" y2="496" x1="2352" />
</branch>
<branch name="XLXN_17">
<wire x2="2352" y1="896" y2="896" x1="1920" />
<wire x2="2352" y1="896" y2="912" x1="2352" />
<wire x2="2368" y1="912" y2="912" x1="2352" />
</branch>
<branch name="XLXN_19">
<wire x2="2368" y1="1296" y2="1296" x1="1920" />
</branch>
<branch name="XLXN_21">
<wire x2="2368" y1="1696" y2="1696" x1="1920" />
</branch>
<instance x="1664" y="1264" name="XLXI_29" orien="R0" />
<instance x="1664" y="1392" name="XLXI_24" orien="R0" />
<instance x="1664" y="1648" name="XLXI_30" orien="R0" />
<instance x="1664" y="1792" name="XLXI_26" orien="R0" />
<instance x="1664" y="992" name="XLXI_20" orien="R0" />
<branch name="XLXN_26">
<wire x2="1664" y1="928" y2="928" x1="1648" />
<wire x2="1648" y1="928" y2="960" x1="1648" />
<wire x2="1936" y1="960" y2="960" x1="1648" />
<wire x2="1936" y1="960" y2="1136" x1="1936" />
<wire x2="2368" y1="1136" y2="1136" x1="1936" />
<wire x2="1936" y1="1136" y2="1136" x1="1920" />
</branch>
<branch name="XLXN_12">
<wire x2="2272" y1="1408" y2="1408" x1="2240" />
<wire x2="2272" y1="1408" y2="1456" x1="2272" />
<wire x2="2368" y1="1456" y2="1456" x1="2272" />
<wire x2="2368" y1="1360" y2="1360" x1="2272" />
<wire x2="2272" y1="1360" y2="1408" x1="2272" />
</branch>
<branch name="XLXN_28">
<wire x2="1664" y1="1328" y2="1328" x1="1648" />
<wire x2="1648" y1="1328" y2="1360" x1="1648" />
<wire x2="1936" y1="1360" y2="1360" x1="1648" />
<wire x2="1936" y1="1360" y2="1520" x1="1936" />
<wire x2="2368" y1="1520" y2="1520" x1="1936" />
<wire x2="1936" y1="1520" y2="1520" x1="1920" />
</branch>
<branch name="XLXN_29">
<wire x2="1664" y1="1728" y2="1728" x1="1648" />
<wire x2="1648" y1="1728" y2="1760" x1="1648" />
<wire x2="1936" y1="1760" y2="1760" x1="1648" />
<wire x2="1936" y1="1760" y2="1936" x1="1936" />
<wire x2="2352" y1="1936" y2="1936" x1="1936" />
<wire x2="1936" y1="1936" y2="1968" x1="1936" />
<wire x2="1936" y1="1968" y2="1968" x1="1920" />
<wire x2="2368" y1="1920" y2="1920" x1="2352" />
<wire x2="2352" y1="1920" y2="1936" x1="2352" />
</branch>
<instance x="1664" y="2096" name="XLXI_31" orien="R0" />
<instance x="1984" y="1920" name="XLXI_16" orien="R0" />
<branch name="XLXN_13">
<wire x2="2256" y1="1824" y2="1824" x1="2240" />
<wire x2="2256" y1="1808" y2="1824" x1="2256" />
<wire x2="2272" y1="1808" y2="1808" x1="2256" />
<wire x2="2272" y1="1808" y2="1856" x1="2272" />
<wire x2="2368" y1="1856" y2="1856" x1="2272" />
<wire x2="2368" y1="1760" y2="1760" x1="2272" />
<wire x2="2272" y1="1760" y2="1808" x1="2272" />
</branch>
<instance x="1664" y="544" name="XLXI_18" orien="R0" />
<instance x="1984" y="688" name="XLXI_17" orien="R0" />
<branch name="XLXN_10">
<wire x2="2256" y1="592" y2="592" x1="2240" />
<wire x2="2256" y1="592" y2="608" x1="2256" />
<wire x2="2272" y1="608" y2="608" x1="2256" />
<wire x2="2272" y1="608" y2="656" x1="2272" />
<wire x2="2368" y1="656" y2="656" x1="2272" />
<wire x2="2368" y1="560" y2="560" x1="2272" />
<wire x2="2272" y1="560" y2="608" x1="2272" />
</branch>
<instance x="1664" y="848" name="XLXI_28" orien="R0" />
<branch name="XLXN_35">
<wire x2="1664" y1="480" y2="480" x1="1648" />
<wire x2="1648" y1="480" y2="512" x1="1648" />
<wire x2="1952" y1="512" y2="512" x1="1648" />
<wire x2="1952" y1="512" y2="720" x1="1952" />
<wire x2="2368" y1="720" y2="720" x1="1952" />
<wire x2="1952" y1="720" y2="720" x1="1920" />
</branch>
<branch name="XLXN_36">
<wire x2="1552" y1="416" y2="416" x1="1200" />
<wire x2="1664" y1="416" y2="416" x1="1552" />
<wire x2="1552" y1="416" y2="624" x1="1552" />
<wire x2="1984" y1="624" y2="624" x1="1552" />
<wire x2="1552" y1="624" y2="784" x1="1552" />
<wire x2="1664" y1="784" y2="784" x1="1552" />
<wire x2="1552" y1="784" y2="864" x1="1552" />
<wire x2="1664" y1="864" y2="864" x1="1552" />
<wire x2="1552" y1="864" y2="1056" x1="1552" />
<wire x2="1552" y1="1056" y2="1200" x1="1552" />
<wire x2="1664" y1="1200" y2="1200" x1="1552" />
<wire x2="1552" y1="1200" y2="1264" x1="1552" />
<wire x2="1664" y1="1264" y2="1264" x1="1552" />
<wire x2="1552" y1="1264" y2="1440" x1="1552" />
<wire x2="1552" y1="1440" y2="1584" x1="1552" />
<wire x2="1664" y1="1584" y2="1584" x1="1552" />
<wire x2="1552" y1="1584" y2="1664" x1="1552" />
<wire x2="1664" y1="1664" y2="1664" x1="1552" />
<wire x2="1552" y1="1664" y2="1856" x1="1552" />
<wire x2="1552" y1="1856" y2="1968" x1="1552" />
<wire x2="1552" y1="1968" y2="1984" x1="1552" />
<wire x2="1664" y1="1968" y2="1968" x1="1552" />
<wire x2="1984" y1="1856" y2="1856" x1="1552" />
<wire x2="1984" y1="1440" y2="1440" x1="1552" />
<wire x2="1984" y1="1056" y2="1056" x1="1552" />
</branch>
<instance x="1984" y="1504" name="XLXI_15" orien="R0" />
<instance x="512" y="2096" name="XLXI_32" orien="R0" />
<branch name="CLRn">
<attrtext style="alignment:SOFT-BCENTER;fontsize:28;fontname:Arial" attrname="Name" x="432" y="2064" type="branch" />
<wire x2="432" y1="2064" y2="2064" x1="352" />
<wire x2="512" y1="2064" y2="2064" x1="432" />
</branch>
<branch name="Q0">
<attrtext style="alignment:SOFT-BCENTER;fontsize:28;fontname:Arial" attrname="Name" x="3296" y="544" type="branch" />
<wire x2="896" y1="832" y2="960" x1="896" />
<wire x2="960" y1="960" y2="960" x1="896" />
<wire x2="896" y1="960" y2="1344" x1="896" />
<wire x2="896" y1="1344" y2="1824" x1="896" />
<wire x2="896" y1="1824" y2="2352" x1="896" />
<wire x2="2768" y1="2352" y2="2352" x1="896" />
<wire x2="1088" y1="1824" y2="1824" x1="896" />
<wire x2="960" y1="1344" y2="1344" x1="896" />
<wire x2="3216" y1="832" y2="832" x1="896" />
<wire x2="3216" y1="544" y2="544" x1="3072" />
<wire x2="3216" y1="544" y2="832" x1="3216" />
<wire x2="3296" y1="544" y2="544" x1="3216" />
<wire x2="3312" y1="544" y2="544" x1="3296" />
<wire x2="3376" y1="544" y2="544" x1="3312" />
</branch>
<branch name="Q1">
<attrtext style="alignment:SOFT-BCENTER;fontsize:28;fontname:Arial" attrname="Name" x="3328" y="960" type="branch" />
<wire x2="3216" y1="1216" y2="1216" x1="928" />
<wire x2="928" y1="1216" y2="1280" x1="928" />
<wire x2="928" y1="1280" y2="1760" x1="928" />
<wire x2="928" y1="1760" y2="2288" x1="928" />
<wire x2="2768" y1="2288" y2="2288" x1="928" />
<wire x2="1088" y1="1760" y2="1760" x1="928" />
<wire x2="960" y1="1280" y2="1280" x1="928" />
<wire x2="3216" y1="960" y2="960" x1="3072" />
<wire x2="3312" y1="960" y2="960" x1="3216" />
<wire x2="3328" y1="960" y2="960" x1="3312" />
<wire x2="3392" y1="960" y2="960" x1="3328" />
<wire x2="3216" y1="960" y2="1216" x1="3216" />
</branch>
<branch name="Q2">
<attrtext style="alignment:SOFT-BCENTER;fontsize:28;fontname:Arial" attrname="Name" x="3344" y="1344" type="branch" />
<wire x2="1024" y1="1600" y2="1696" x1="1024" />
<wire x2="1088" y1="1696" y2="1696" x1="1024" />
<wire x2="1024" y1="1696" y2="2224" x1="1024" />
<wire x2="2768" y1="2224" y2="2224" x1="1024" />
<wire x2="3232" y1="1600" y2="1600" x1="1024" />
<wire x2="3232" y1="1344" y2="1344" x1="3072" />
<wire x2="3312" y1="1344" y2="1344" x1="3232" />
<wire x2="3344" y1="1344" y2="1344" x1="3312" />
<wire x2="3392" y1="1344" y2="1344" x1="3344" />
<wire x2="3232" y1="1344" y2="1600" x1="3232" />
</branch>
<branch name="Q3">
<attrtext style="alignment:SOFT-BCENTER;fontsize:28;fontname:Arial" attrname="Name" x="3328" y="1744" type="branch" />
<wire x2="3312" y1="1744" y2="1744" x1="3072" />
<wire x2="3328" y1="1744" y2="1744" x1="3312" />
<wire x2="3392" y1="1744" y2="1744" x1="3328" />
</branch>
<instance x="944" y="512" name="XLXI_33" orien="R0" />
<branch name="LOADn">
<attrtext style="alignment:SOFT-BCENTER;fontsize:28;fontname:Arial" attrname="Name" x="496" y="384" type="branch" />
<wire x2="496" y1="384" y2="384" x1="416" />
<wire x2="944" y1="384" y2="384" x1="496" />
</branch>
<branch name="XLXN_44">
<wire x2="784" y1="2064" y2="2064" x1="736" />
<wire x2="1456" y1="2064" y2="2064" x1="784" />
<wire x2="784" y1="448" y2="2064" x1="784" />
<wire x2="944" y1="448" y2="448" x1="784" />
<wire x2="1664" y1="720" y2="720" x1="1456" />
<wire x2="1456" y1="720" y2="1136" x1="1456" />
<wire x2="1456" y1="1136" y2="1520" x1="1456" />
<wire x2="1456" y1="1520" y2="2032" x1="1456" />
<wire x2="1456" y1="2032" y2="2064" x1="1456" />
<wire x2="1664" y1="2032" y2="2032" x1="1456" />
<wire x2="1664" y1="1520" y2="1520" x1="1456" />
<wire x2="1664" y1="1136" y2="1136" x1="1456" />
</branch>
<instance x="960" y="1088" name="XLXI_34" orien="R0" />
<branch name="XLXN_45">
<wire x2="1984" y1="992" y2="992" x1="1216" />
</branch>
<branch name="XLXN_50">
<wire x2="1984" y1="1792" y2="1792" x1="1344" />
</branch>
<instance x="1088" y="1952" name="XLXI_36" orien="R0" />
<instance x="432" y="1264" name="XLXI_13" orien="R0" />
<instance x="2768" y="2480" name="XLXI_37" orien="R0" />
<branch name="XLXN_55">
<wire x2="2768" y1="2080" y2="2160" x1="2768" />
<wire x2="3152" y1="2080" y2="2080" x1="2768" />
<wire x2="3152" y1="1872" y2="1872" x1="3072" />
<wire x2="3152" y1="1872" y2="2080" x1="3152" />
</branch>
<instance x="480" y="2400" name="XLXI_38" orien="R0" />
<branch name="CEP">
<attrtext style="alignment:SOFT-BCENTER;fontsize:28;fontname:Arial" attrname="Name" x="304" y="2272" type="branch" />
<wire x2="304" y1="2272" y2="2272" x1="224" />
<wire x2="480" y1="2272" y2="2272" x1="304" />
</branch>
<branch name="CET">
<attrtext style="alignment:SOFT-BCENTER;fontsize:28;fontname:Arial" attrname="Name" x="304" y="2336" type="branch" />
<wire x2="304" y1="2336" y2="2336" x1="224" />
<wire x2="464" y1="2336" y2="2336" x1="304" />
<wire x2="480" y1="2336" y2="2336" x1="464" />
<wire x2="464" y1="2336" y2="2416" x1="464" />
<wire x2="2768" y1="2416" y2="2416" x1="464" />
</branch>
<branch name="TC">
<attrtext style="alignment:SOFT-BCENTER;fontsize:28;fontname:Arial" attrname="Name" x="3104" y="2288" type="branch" />
<wire x2="3104" y1="2288" y2="2288" x1="3024" />
<wire x2="3184" y1="2288" y2="2288" x1="3104" />
</branch>
<branch name="P0">
<attrtext style="alignment:SOFT-BCENTER;fontsize:28;fontname:Arial" attrname="Name" x="496" y="656" type="branch" />
<wire x2="496" y1="656" y2="656" x1="432" />
<wire x2="512" y1="656" y2="656" x1="496" />
<wire x2="1664" y1="656" y2="656" x1="512" />
</branch>
<branch name="P1">
<attrtext style="alignment:SOFT-BCENTER;fontsize:28;fontname:Arial" attrname="Name" x="512" y="1072" type="branch" />
<wire x2="512" y1="1072" y2="1072" x1="448" />
<wire x2="528" y1="1072" y2="1072" x1="512" />
<wire x2="1664" y1="1072" y2="1072" x1="528" />
</branch>
<instance x="960" y="1472" name="XLXI_35" orien="R0" />
<branch name="XLXN_54">
<wire x2="848" y1="2304" y2="2304" x1="736" />
<wire x2="1984" y1="560" y2="560" x1="848" />
<wire x2="848" y1="560" y2="1024" x1="848" />
<wire x2="960" y1="1024" y2="1024" x1="848" />
<wire x2="848" y1="1024" y2="1408" x1="848" />
<wire x2="960" y1="1408" y2="1408" x1="848" />
<wire x2="848" y1="1408" y2="1888" x1="848" />
<wire x2="1088" y1="1888" y2="1888" x1="848" />
<wire x2="848" y1="1888" y2="2304" x1="848" />
</branch>
<branch name="XLXN_48">
<wire x2="1584" y1="1344" y2="1344" x1="1216" />
<wire x2="1584" y1="1344" y2="1376" x1="1584" />
<wire x2="1984" y1="1376" y2="1376" x1="1584" />
</branch>
<branch name="P2">
<attrtext style="alignment:SOFT-BCENTER;fontsize:28;fontname:Arial" attrname="Name" x="512" y="1456" type="branch" />
<wire x2="512" y1="1456" y2="1456" x1="432" />
<wire x2="1664" y1="1456" y2="1456" x1="512" />
</branch>
<branch name="P3">
<attrtext style="alignment:SOFT-BCENTER;fontsize:28;fontname:Arial" attrname="Name" x="512" y="1920" type="branch" />
<wire x2="496" y1="1920" y2="1920" x1="416" />
<wire x2="512" y1="1920" y2="1920" x1="496" />
<wire x2="1664" y1="1920" y2="1920" x1="512" />
<wire x2="1664" y1="1904" y2="1920" x1="1664" />
</branch>
<text style="fontsize:24;fontname:Arial" x="2464" y="152">Q0,Q1,Q2,Q3 is Qa,Qb,Qc,Qd from TTL book</text>
<text style="fontsize:24;fontname:Arial" x="3008" y="2168">TC is RCO from TTL Book</text>
<text style="fontsize:24;fontname:Arial" x="188" y="2196">CET CEP same as ENT ENP from TTL Book</text>
<text style="fontsize:24;fontname:Arial" x="180" y="584">P0 is DATA_A, P1 is DATA_B ... from TTL Book</text>
<text style="fontsize:24;fontname:Arial" x="340" y="308">PEn is LOADn from TTL Book</text>
<iomarker fontsize="28" x="416" y="384" name="LOADn" orien="R180" />
<iomarker fontsize="28" x="432" y="656" name="P0" orien="R180" />
<iomarker fontsize="28" x="448" y="1072" name="P1" orien="R180" />
<iomarker fontsize="28" x="224" y="1232" name="CLK" orien="R180" />
<iomarker fontsize="28" x="432" y="1456" name="P2" orien="R180" />
<iomarker fontsize="28" x="416" y="1920" name="P3" orien="R180" />
<iomarker fontsize="28" x="352" y="2064" name="CLRn" orien="R180" />
<iomarker fontsize="28" x="224" y="2272" name="CEP" orien="R180" />
<iomarker fontsize="28" x="224" y="2336" name="CET" orien="R180" />
<iomarker fontsize="28" x="3376" y="544" name="Q0" orien="R0" />
<iomarker fontsize="28" x="3392" y="960" name="Q1" orien="R0" />
<iomarker fontsize="28" x="3392" y="1344" name="Q2" orien="R0" />
<iomarker fontsize="28" x="3392" y="1744" name="Q3" orien="R0" />
<iomarker fontsize="28" x="3184" y="2288" name="TC" orien="R0" />
</sheet>
</drawing>

View File

@ -0,0 +1,10 @@
select figure at 134 1564 8 -branches -sn=1
select figure at 501 353 8 -branches -sn=1
delete selection -sn=1
name branch at 496 384 8 PEn -sn=1
name branch at 512 384 8 PEn -sn=1
select figure at 375 383 8 -branches -sn=1
delete selection -sn=1
select figure at 379 386 8 -branches -sn=1
move bawin at 416 384 to 496 384 -sn=1
add iomarker 416 384 0 Input Unknown -sn=1

View File

@ -0,0 +1,51 @@
<?xml version="1.0" encoding="UTF-8"?>
<symbol version="7" name="chip74LS161">
<symboltype>BLOCK</symboltype>
<timestamp>2018-5-24T4:39:40</timestamp>
<pin polarity="Input" x="384" y="-32" name="CEP" />
<pin polarity="Input" x="0" y="-32" name="CET" />
<pin polarity="Input" x="384" y="-96" name="CLK" />
<pin polarity="Input" x="0" y="-96" name="CLRn" />
<pin polarity="Input" x="384" y="-224" name="P0" />
<pin polarity="Input" x="384" y="-288" name="P1" />
<pin polarity="Input" x="384" y="-352" name="P2" />
<pin polarity="Input" x="384" y="-416" name="P3" />
<pin polarity="Input" x="384" y="-160" name="PEn" />
<pin polarity="Output" x="0" y="-224" name="Q0" />
<pin polarity="Output" x="0" y="-288" name="Q1" />
<pin polarity="Output" x="0" y="-352" name="Q2" />
<pin polarity="Output" x="0" y="-416" name="Q3" />
<pin polarity="Output" x="0" y="-480" name="TC" />
<graph>
<rect width="256" x="64" y="-512" height="512" />
<line x2="384" y1="-32" y2="-32" x1="320" />
<attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="312" y="-32" type="pin CEP" />
<line x2="0" y1="-32" y2="-32" x1="64" />
<attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-32" type="pin CET" />
<line x2="384" y1="-96" y2="-96" x1="320" />
<attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="312" y="-96" type="pin CLK" />
<line x2="0" y1="-96" y2="-96" x1="64" />
<attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-96" type="pin CLRn" />
<line x2="384" y1="-224" y2="-224" x1="320" />
<attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="312" y="-224" type="pin P0" />
<line x2="384" y1="-288" y2="-288" x1="320" />
<attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="312" y="-288" type="pin P1" />
<line x2="384" y1="-352" y2="-352" x1="320" />
<attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="312" y="-352" type="pin P2" />
<line x2="384" y1="-416" y2="-416" x1="320" />
<attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="312" y="-416" type="pin P3" />
<line x2="384" y1="-160" y2="-160" x1="320" />
<attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="312" y="-160" type="pin PEn" />
<line x2="0" y1="-224" y2="-224" x1="64" />
<attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-224" type="pin Q0" />
<line x2="0" y1="-288" y2="-288" x1="64" />
<attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-288" type="pin Q1" />
<line x2="0" y1="-352" y2="-352" x1="64" />
<attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-352" type="pin Q2" />
<line x2="0" y1="-416" y2="-416" x1="64" />
<attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-416" type="pin Q3" />
<attrtext style="alignment:BCENTER;fontsize:40;fontname:Arial" attrname="SymbolName" x="196" y="-428" type="symbol" />
<line x2="0" y1="-480" y2="-480" x1="64" />
<attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-480" type="pin TC" />
</graph>
</symbol>

File diff suppressed because one or more lines are too long

View File

@ -0,0 +1,104 @@
// Verilog test fixture created from schematic C:\Users\Fred6502\Xilinx\tutorial\AppleIIGateSch\chip74LS161.sch - Wed May 23 13:17:20 2018
`timescale 1ns / 1ps
module chip74LS161_chip74LS161_sch_tb();
// Inputs
reg CLK;
reg CLRn;
reg PEn;
reg CEP;
reg CET;
reg P0;
reg P1;
reg P2;
reg P3;
// Output
wire Q1;
wire Q2;
wire Q3;
wire TC;
wire Q0;
// testbench Vars
integer i;
// Bidirs
// Instantiate the UUT
chip74LS161 UUT (
.CLK(CLK),
.CLRn(CLRn),
.Q0(Q0),
.Q1(Q1),
.Q2(Q2),
.Q3(Q3),
.TC(TC),
.PEn(PEn),
.CEP(CEP),
.CET(CET),
.P0(P0),
.P1(P1),
.P2(P2),
.P3(P3)
);
// Initialize Inputs
//`ifdef auto_init
initial begin
CLK = 0;
CLRn = 0;
PEn = 0;
CEP = 0;
CET = 0;
P0 = 0;
P1 = 0;
P2 = 0;
P3 = 0;
//`endif
#100;
#2 CLRn = 1; #2 CLRn=0; #2 CLRn=1;
#2; CLK=1; #1;
CEP=1;
CET=1;
PEn = 1;
for (i=0; i<40; i=i+1) begin
CLK=0; #2;
CLK=1; #2;
end
#4;
CLK=0; #2;
CLK=1; #2;
P0 = 1;
P1 = 1;
P2 = 1;
P3 = 1;
PEn = 1;
CLK=0; #2;
CLK=1; #2;
PEn = 0;
CLK=0; #2;
CLK=1; #2;
PEn = 1;
for (i=0; i<8; i=i+1) begin
CLK=0; #2;
CLK=1; #2;
end
#4;
P0 = 0;
P1 = 1;
P2 = 1;
P3 = 0;
PEn = 0;
CLK=0; #2;
CLK=1; #2;
PEn = 1;
for (i=0; i<8; i=i+1) begin
CLK=0; #2;
CLK=1; #2;
end
end
endmodule

View File

@ -0,0 +1,2 @@
sch2hdl -intstyle ise -family spartan3e -verilog chip74LS175_drc.vf -w C:/Users/Fred6502/Xilinx/tutorial/AppleIIGateSch/chip74LS175.sch
sch2verilog -intstyle ise -family spartan3e -tionly {} -tiext tfi -w C:/Users/Fred6502/Xilinx/tutorial/AppleIIGateSch/chip74LS175.sch chip74LS175.tfi

View File

@ -0,0 +1 @@
MODULE chip74LS175

View File

@ -0,0 +1,235 @@
<?xml version="1.0" encoding="UTF-8"?>
<drawing version="7">
<attr value="spartan3e" name="DeviceFamilyName">
<trait delete="all:0" />
<trait editname="all:0" />
<trait edittrait="all:0" />
</attr>
<netlist>
<signal name="Q0" />
<signal name="Q0n" />
<signal name="Q3" />
<signal name="Q2" />
<signal name="Q1" />
<signal name="Q1n" />
<signal name="Q2n" />
<signal name="Q3n" />
<signal name="D0" />
<signal name="D1" />
<signal name="D2" />
<signal name="D3" />
<signal name="CP" />
<signal name="XLXN_19" />
<signal name="CLRn" />
<port polarity="Output" name="Q0" />
<port polarity="Output" name="Q0n" />
<port polarity="Output" name="Q3" />
<port polarity="Output" name="Q2" />
<port polarity="Output" name="Q1" />
<port polarity="Output" name="Q1n" />
<port polarity="Output" name="Q2n" />
<port polarity="Output" name="Q3n" />
<port polarity="Input" name="D0" />
<port polarity="Input" name="D1" />
<port polarity="Input" name="D2" />
<port polarity="Input" name="D3" />
<port polarity="Input" name="CP" />
<port polarity="Input" name="CLRn" />
<blockdef name="fdc">
<timestamp>2000-1-1T10:10:10</timestamp>
<line x2="64" y1="-128" y2="-128" x1="0" />
<line x2="64" y1="-32" y2="-32" x1="0" />
<line x2="64" y1="-256" y2="-256" x1="0" />
<line x2="320" y1="-256" y2="-256" x1="384" />
<rect width="256" x="64" y="-320" height="256" />
<line x2="80" y1="-112" y2="-128" x1="64" />
<line x2="64" y1="-128" y2="-144" x1="80" />
<line x2="192" y1="-64" y2="-32" x1="192" />
<line x2="64" y1="-32" y2="-32" x1="192" />
</blockdef>
<blockdef name="inv">
<timestamp>2000-1-1T10:10:10</timestamp>
<line x2="64" y1="-32" y2="-32" x1="0" />
<line x2="160" y1="-32" y2="-32" x1="224" />
<line x2="128" y1="-64" y2="-32" x1="64" />
<line x2="64" y1="-32" y2="0" x1="128" />
<line x2="64" y1="0" y2="-64" x1="64" />
<circle r="16" cx="144" cy="-32" />
</blockdef>
<block symbolname="fdc" name="XLXI_1">
<blockpin signalname="CP" name="C" />
<blockpin signalname="XLXN_19" name="CLR" />
<blockpin signalname="D0" name="D" />
<blockpin signalname="Q0" name="Q" />
</block>
<block symbolname="fdc" name="XLXI_2">
<blockpin signalname="CP" name="C" />
<blockpin signalname="XLXN_19" name="CLR" />
<blockpin signalname="D1" name="D" />
<blockpin signalname="Q1" name="Q" />
</block>
<block symbolname="fdc" name="XLXI_3">
<blockpin signalname="CP" name="C" />
<blockpin signalname="XLXN_19" name="CLR" />
<blockpin signalname="D2" name="D" />
<blockpin signalname="Q2" name="Q" />
</block>
<block symbolname="fdc" name="XLXI_4">
<blockpin signalname="CP" name="C" />
<blockpin signalname="XLXN_19" name="CLR" />
<blockpin signalname="D3" name="D" />
<blockpin signalname="Q3" name="Q" />
</block>
<block symbolname="inv" name="XLXI_5">
<blockpin signalname="Q0" name="I" />
<blockpin signalname="Q0n" name="O" />
</block>
<block symbolname="inv" name="XLXI_6">
<blockpin signalname="Q1" name="I" />
<blockpin signalname="Q1n" name="O" />
</block>
<block symbolname="inv" name="XLXI_7">
<blockpin signalname="Q2" name="I" />
<blockpin signalname="Q2n" name="O" />
</block>
<block symbolname="inv" name="XLXI_8">
<blockpin signalname="Q3" name="I" />
<blockpin signalname="Q3n" name="O" />
</block>
<block symbolname="inv" name="XLXI_9">
<blockpin signalname="CLRn" name="I" />
<blockpin signalname="XLXN_19" name="O" />
</block>
</netlist>
<sheet sheetnum="1" width="3520" height="2720">
<instance x="2320" y="928" name="XLXI_1" orien="R0" />
<instance x="2320" y="1344" name="XLXI_2" orien="R0" />
<instance x="2320" y="1728" name="XLXI_3" orien="R0" />
<instance x="2320" y="2096" name="XLXI_4" orien="R0" />
<instance x="2832" y="832" name="XLXI_5" orien="R0" />
<branch name="Q0">
<attrtext style="alignment:SOFT-BCENTER;fontsize:28;fontname:Arial" attrname="Name" x="3088" y="672" type="branch" />
<wire x2="2768" y1="672" y2="672" x1="2704" />
<wire x2="2768" y1="672" y2="800" x1="2768" />
<wire x2="2832" y1="800" y2="800" x1="2768" />
<wire x2="3088" y1="672" y2="672" x1="2768" />
<wire x2="3152" y1="672" y2="672" x1="3088" />
</branch>
<branch name="Q0n">
<attrtext style="alignment:SOFT-BCENTER;fontsize:28;fontname:Arial" attrname="Name" x="3088" y="800" type="branch" />
<wire x2="3088" y1="800" y2="800" x1="3056" />
<wire x2="3152" y1="800" y2="800" x1="3088" />
</branch>
<instance x="2832" y="1248" name="XLXI_6" orien="R0" />
<instance x="2832" y="1632" name="XLXI_7" orien="R0" />
<branch name="Q3">
<attrtext style="alignment:SOFT-BCENTER;fontsize:28;fontname:Arial" attrname="Name" x="3104" y="1840" type="branch" />
<wire x2="2752" y1="1840" y2="1840" x1="2704" />
<wire x2="3104" y1="1840" y2="1840" x1="2752" />
<wire x2="3168" y1="1840" y2="1840" x1="3104" />
<wire x2="2752" y1="1840" y2="1984" x1="2752" />
<wire x2="2832" y1="1984" y2="1984" x1="2752" />
</branch>
<branch name="Q2">
<attrtext style="alignment:SOFT-BCENTER;fontsize:28;fontname:Arial" attrname="Name" x="3104" y="1472" type="branch" />
<wire x2="2768" y1="1472" y2="1472" x1="2704" />
<wire x2="2768" y1="1472" y2="1600" x1="2768" />
<wire x2="2832" y1="1600" y2="1600" x1="2768" />
<wire x2="3104" y1="1472" y2="1472" x1="2768" />
<wire x2="3168" y1="1472" y2="1472" x1="3104" />
</branch>
<branch name="Q1">
<attrtext style="alignment:SOFT-BCENTER;fontsize:28;fontname:Arial" attrname="Name" x="3104" y="1088" type="branch" />
<wire x2="2768" y1="1088" y2="1088" x1="2704" />
<wire x2="2768" y1="1088" y2="1216" x1="2768" />
<wire x2="2832" y1="1216" y2="1216" x1="2768" />
<wire x2="3104" y1="1088" y2="1088" x1="2768" />
<wire x2="3152" y1="1088" y2="1088" x1="3104" />
</branch>
<branch name="Q1n">
<attrtext style="alignment:SOFT-BCENTER;fontsize:28;fontname:Arial" attrname="Name" x="3104" y="1216" type="branch" />
<wire x2="3104" y1="1216" y2="1216" x1="3056" />
<wire x2="3168" y1="1216" y2="1216" x1="3104" />
</branch>
<branch name="Q2n">
<attrtext style="alignment:SOFT-BCENTER;fontsize:28;fontname:Arial" attrname="Name" x="3120" y="1600" type="branch" />
<wire x2="3120" y1="1600" y2="1600" x1="3056" />
<wire x2="3184" y1="1600" y2="1600" x1="3120" />
</branch>
<branch name="Q3n">
<attrtext style="alignment:SOFT-BCENTER;fontsize:28;fontname:Arial" attrname="Name" x="3104" y="1984" type="branch" />
<wire x2="3104" y1="1984" y2="1984" x1="3056" />
<wire x2="3168" y1="1984" y2="1984" x1="3104" />
</branch>
<branch name="D0">
<attrtext style="alignment:SOFT-BCENTER;fontsize:28;fontname:Arial" attrname="Name" x="1664" y="672" type="branch" />
<wire x2="1664" y1="672" y2="672" x1="1568" />
<wire x2="2320" y1="672" y2="672" x1="1664" />
</branch>
<branch name="D1">
<attrtext style="alignment:SOFT-BCENTER;fontsize:28;fontname:Arial" attrname="Name" x="1680" y="944" type="branch" />
<wire x2="1680" y1="944" y2="944" x1="1568" />
<wire x2="1984" y1="944" y2="944" x1="1680" />
<wire x2="1984" y1="944" y2="1088" x1="1984" />
<wire x2="2320" y1="1088" y2="1088" x1="1984" />
</branch>
<branch name="D2">
<attrtext style="alignment:SOFT-BCENTER;fontsize:28;fontname:Arial" attrname="Name" x="1696" y="1216" type="branch" />
<wire x2="1696" y1="1216" y2="1216" x1="1568" />
<wire x2="1984" y1="1216" y2="1216" x1="1696" />
<wire x2="1984" y1="1216" y2="1472" x1="1984" />
<wire x2="2320" y1="1472" y2="1472" x1="1984" />
</branch>
<branch name="D3">
<attrtext style="alignment:SOFT-BCENTER;fontsize:28;fontname:Arial" attrname="Name" x="1696" y="1472" type="branch" />
<wire x2="1696" y1="1472" y2="1472" x1="1568" />
<wire x2="1840" y1="1472" y2="1472" x1="1696" />
<wire x2="1840" y1="1472" y2="1840" x1="1840" />
<wire x2="2320" y1="1840" y2="1840" x1="1840" />
</branch>
<branch name="CP">
<attrtext style="alignment:SOFT-BCENTER;fontsize:28;fontname:Arial" attrname="Name" x="1664" y="1968" type="branch" />
<wire x2="1664" y1="1968" y2="1968" x1="1584" />
<wire x2="2240" y1="1968" y2="1968" x1="1664" />
<wire x2="2320" y1="1968" y2="1968" x1="2240" />
<wire x2="2320" y1="800" y2="800" x1="2240" />
<wire x2="2240" y1="800" y2="1216" x1="2240" />
<wire x2="2240" y1="1216" y2="1600" x1="2240" />
<wire x2="2240" y1="1600" y2="1968" x1="2240" />
<wire x2="2320" y1="1600" y2="1600" x1="2240" />
<wire x2="2320" y1="1216" y2="1216" x1="2240" />
</branch>
<branch name="XLXN_19">
<wire x2="2000" y1="2064" y2="2064" x1="1920" />
<wire x2="2128" y1="2064" y2="2064" x1="2000" />
<wire x2="2320" y1="2064" y2="2064" x1="2128" />
<wire x2="2320" y1="896" y2="896" x1="2128" />
<wire x2="2128" y1="896" y2="1312" x1="2128" />
<wire x2="2320" y1="1312" y2="1312" x1="2128" />
<wire x2="2128" y1="1312" y2="1696" x1="2128" />
<wire x2="2128" y1="1696" y2="2064" x1="2128" />
<wire x2="2320" y1="1696" y2="1696" x1="2128" />
</branch>
<instance x="1696" y="2096" name="XLXI_9" orien="R0" />
<branch name="CLRn">
<attrtext style="alignment:SOFT-BCENTER;fontsize:28;fontname:Arial" attrname="Name" x="1664" y="2064" type="branch" />
<wire x2="1664" y1="2064" y2="2064" x1="1584" />
<wire x2="1696" y1="2064" y2="2064" x1="1664" />
</branch>
<iomarker fontsize="28" x="1568" y="672" name="D0" orien="R180" />
<iomarker fontsize="28" x="1568" y="944" name="D1" orien="R180" />
<iomarker fontsize="28" x="1568" y="1216" name="D2" orien="R180" />
<iomarker fontsize="28" x="1568" y="1472" name="D3" orien="R180" />
<iomarker fontsize="28" x="1584" y="1968" name="CP" orien="R180" />
<iomarker fontsize="28" x="1584" y="2064" name="CLRn" orien="R180" />
<iomarker fontsize="28" x="3152" y="672" name="Q0" orien="R0" />
<iomarker fontsize="28" x="3152" y="800" name="Q0n" orien="R0" />
<iomarker fontsize="28" x="3152" y="1088" name="Q1" orien="R0" />
<iomarker fontsize="28" x="3168" y="1216" name="Q1n" orien="R0" />
<iomarker fontsize="28" x="3168" y="1472" name="Q2" orien="R0" />
<iomarker fontsize="28" x="3184" y="1600" name="Q2n" orien="R0" />
<instance x="2832" y="2016" name="XLXI_8" orien="R0" />
<iomarker fontsize="28" x="3168" y="1840" name="Q3" orien="R0" />
<iomarker fontsize="28" x="3168" y="1984" name="Q3n" orien="R0" />
</sheet>
</drawing>

View File

@ -0,0 +1,56 @@
<?xml version="1.0" encoding="UTF-8"?>
<symbol version="7" name="chip74LS175">
<symboltype>BLOCK</symboltype>
<timestamp>2018-5-27T1:40:50</timestamp>
<pin polarity="Input" x="0" y="-416" name="CLRn" />
<pin polarity="Input" x="0" y="-480" name="CP" />
<pin polarity="Input" x="0" y="-160" name="D0" />
<pin polarity="Input" x="0" y="-224" name="D1" />
<pin polarity="Input" x="0" y="-288" name="D2" />
<pin polarity="Input" x="0" y="-352" name="D3" />
<pin polarity="Output" x="384" y="-32" name="Q0" />
<pin polarity="Output" x="384" y="-96" name="Q0n" />
<pin polarity="Output" x="384" y="-160" name="Q1" />
<pin polarity="Output" x="384" y="-224" name="Q1n" />
<pin polarity="Output" x="384" y="-288" name="Q2" />
<pin polarity="Output" x="384" y="-352" name="Q2n" />
<pin polarity="Output" x="384" y="-416" name="Q3" />
<pin polarity="Output" x="384" y="-480" name="Q3n" />
<graph>
<rect width="256" x="64" y="-512" height="512" />
<attrtext style="alignment:BCENTER;fontsize:40;fontname:Arial" attrname="SymbolName" x="192" y="-520" type="symbol" />
<line x2="0" y1="-416" y2="-416" x1="64" />
<attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-416" type="pin CLRn" />
<line x2="0" y1="-480" y2="-480" x1="64" />
<attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-480" type="pin CP" />
<line x2="0" y1="-160" y2="-160" x1="64" />
<attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-160" type="pin D0" />
<line x2="0" y1="-224" y2="-224" x1="64" />
<attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-224" type="pin D1" />
<line x2="0" y1="-288" y2="-288" x1="64" />
<attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-288" type="pin D2" />
<line x2="0" y1="-352" y2="-352" x1="64" />
<attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-352" type="pin D3" />
<line x2="384" y1="-32" y2="-32" x1="320" />
<attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="312" y="-32" type="pin Q0" />
<line x2="384" y1="-96" y2="-96" x1="320" />
<attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="312" y="-96" type="pin Q0n" />
<line x2="384" y1="-160" y2="-160" x1="320" />
<attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="312" y="-160" type="pin Q1" />
<line x2="384" y1="-224" y2="-224" x1="320" />
<attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="312" y="-224" type="pin Q1n" />
<line x2="384" y1="-288" y2="-288" x1="320" />
<attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="312" y="-288" type="pin Q2" />
<line x2="384" y1="-352" y2="-352" x1="320" />
<attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="312" y="-352" type="pin Q2n" />
<line x2="384" y1="-416" y2="-416" x1="320" />
<attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="312" y="-416" type="pin Q3" />
<line x2="384" y1="-480" y2="-480" x1="320" />
<attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="312" y="-480" type="pin Q3n" />
<circle r="10" cx="54" cy="-418" />
<circle r="8" cx="332" cy="-480" />
<circle r="10" cx="330" cy="-352" />
<circle r="8" cx="332" cy="-224" />
<circle r="8" cx="332" cy="-100" />
</graph>
</symbol>

View File

@ -0,0 +1,2 @@
verilog work "chip74LS175.vf"
verilog work "C:/Xilinx/14.7/ISE_DS/ISE//verilog/src/glbl.v"

View File

@ -0,0 +1,104 @@
// Verilog test fixture created from schematic C:\Users\Fred6502\Xilinx\tutorial\AppleIIGateSch\chip74LS175.sch - Thu May 24 17:45:09 2018
`timescale 1ns / 1ps
module chip74LS175_chip74LS175_sch_tb();
// Inputs
reg D0;
reg D1;
reg D2;
reg D3;
reg CP;
reg CLRn;
// Output
wire Q0;
wire Q0n;
wire Q3;
wire Q2;
wire Q1;
wire Q1n;
wire Q2n;
wire Q3n;
wire [3:0] Qbus = {Q3,Q2,Q1,Q0};
wire [3:0] Qbusn = {Q3n,Q2n,Q1n,Q0n};
// TB
integer i;
integer errcnt;
// Bidirs
// Instantiate the UUT
chip74LS175 UUT (
.Q0(Q0),
.Q0n(Q0n),
.Q3(Q3),
.Q2(Q2),
.Q1(Q1),
.Q1n(Q1n),
.Q2n(Q2n),
.Q3n(Q3n),
.D0(D0),
.D1(D1),
.D2(D2),
.D3(D3),
.CP(CP),
.CLRn(CLRn)
);
// Initialize Inputs
// `ifndef auto_init
initial begin
$display("auto_init not set");
#100;
D0 = 0;
D1 = 0;
D2 = 0;
D3 = 0;
CP = 0;
CLRn = 0;
errcnt = 0;
#5;
CLRn = 1;
#5;
for (i=0; i<16; i=i+1) begin
CLRn = 1;
{D3,D2,D1,D0} = i[3:0];
CP = 0; #5; CP = 1; #5;
if ( Qbus != i[3:0] ) begin
$display("Wrong answer i=%d Qbus=%d", i[3:0], Qbus);
errcnt = errcnt + 1;
end
if ( Qbusn != ~i[3:0] ) begin
$display("Wrong answer i=%d ~Qbus=%d", i[3:0], ~Qbus);
errcnt = errcnt + 1;
end
//CP = 0; #5; CP = 1; #5;
//if ( Qbus != (i[3:0]+1) ) begin
// $display("Wrong answer i=%d Qbus=%d", i[3:0]+1, Qbus);
//end
CLRn = 0; CP = 0; #5; CP = 1; #5;
if ( Qbus != 0 ) begin
$display("Wrong answer i=%d CLRn=0 Qbus=%d should be zero", i[3:0]+1, Qbus);
errcnt = errcnt + 1;
end
if ( Qbusn != 4'hf ) begin
$display("Wrong answer i=%d CLRn=0 Qbusn=%d should be 15. ~0", i[3:0]+1, Qbusn);
errcnt = errcnt + 1;
end
end
if ( errcnt == 0 ) begin
$display("**** PASSED **** errcnt=0");
end else begin
$display("!!!! FAILED !!!! errcnt=%d", errcnt);
end
end
// `else begin
// initial begin
// $display("SET auto_init");
// end
// end
// `endif
endmodule

View File

@ -0,0 +1 @@
MODULE chip74LS257

View File

@ -0,0 +1,398 @@
<?xml version="1.0" encoding="UTF-8"?>
<drawing version="7">
<attr value="spartan3e" name="DeviceFamilyName">
<trait delete="all:0" />
<trait editname="all:0" />
<trait edittrait="all:0" />
</attr>
<netlist>
<signal name="XLXN_1" />
<signal name="XLXN_2" />
<signal name="XLXN_3" />
<signal name="XLXN_4" />
<signal name="XLXN_5" />
<signal name="XLXN_6" />
<signal name="XLXN_7" />
<signal name="XLXN_8" />
<signal name="XLXN_9" />
<signal name="XLXN_10" />
<signal name="XLXN_11" />
<signal name="XLXN_12" />
<signal name="XLXN_13" />
<signal name="XLXN_14" />
<signal name="XLXN_15" />
<signal name="En_15" />
<signal name="XLXN_17" />
<signal name="XLXN_18" />
<signal name="XLXN_19" />
<signal name="XLXN_20" />
<signal name="XLXN_21" />
<signal name="XLXN_22" />
<signal name="XLXN_23" />
<signal name="S_1" />
<signal name="i0a_2" />
<signal name="i1a_3" />
<signal name="i0b_5" />
<signal name="i1b_6" />
<signal name="i0c_11" />
<signal name="i1c_10" />
<signal name="i0d_14" />
<signal name="i1d_13" />
<signal name="Za_4" />
<signal name="Zb_7" />
<signal name="Zc_9" />
<signal name="Zd_12" />
<port polarity="Input" name="En_15" />
<port polarity="Input" name="S_1" />
<port polarity="Input" name="i0a_2" />
<port polarity="Input" name="i1a_3" />
<port polarity="Input" name="i0b_5" />
<port polarity="Input" name="i1b_6" />
<port polarity="Input" name="i0c_11" />
<port polarity="Input" name="i1c_10" />
<port polarity="Input" name="i0d_14" />
<port polarity="Input" name="i1d_13" />
<port polarity="Output" name="Za_4" />
<port polarity="Output" name="Zb_7" />
<port polarity="Output" name="Zc_9" />
<port polarity="Output" name="Zd_12" />
<blockdef name="or2">
<timestamp>2000-1-1T10:10:10</timestamp>
<line x2="64" y1="-64" y2="-64" x1="0" />
<line x2="64" y1="-128" y2="-128" x1="0" />
<line x2="192" y1="-96" y2="-96" x1="256" />
<arc ex="192" ey="-96" sx="112" sy="-48" r="88" cx="116" cy="-136" />
<arc ex="48" ey="-144" sx="48" sy="-48" r="56" cx="16" cy="-96" />
<line x2="48" y1="-144" y2="-144" x1="112" />
<arc ex="112" ey="-144" sx="192" sy="-96" r="88" cx="116" cy="-56" />
<line x2="48" y1="-48" y2="-48" x1="112" />
</blockdef>
<blockdef name="and2">
<timestamp>2000-1-1T10:10:10</timestamp>
<line x2="64" y1="-64" y2="-64" x1="0" />
<line x2="64" y1="-128" y2="-128" x1="0" />
<line x2="192" y1="-96" y2="-96" x1="256" />
<arc ex="144" ey="-144" sx="144" sy="-48" r="48" cx="144" cy="-96" />
<line x2="64" y1="-48" y2="-48" x1="144" />
<line x2="144" y1="-144" y2="-144" x1="64" />
<line x2="64" y1="-48" y2="-144" x1="64" />
</blockdef>
<blockdef name="bufgce">
<timestamp>2000-1-1T10:10:10</timestamp>
<line x2="128" y1="-32" y2="-32" x1="224" />
<line x2="64" y1="-32" y2="-32" x1="0" />
<line x2="64" y1="-96" y2="-96" x1="0" />
<line x2="96" y1="-48" y2="-96" x1="96" />
<line x2="64" y1="-96" y2="-96" x1="96" />
<line x2="128" y1="0" y2="-32" x1="64" />
<line x2="64" y1="-32" y2="-64" x1="128" />
<line x2="64" y1="-64" y2="0" x1="64" />
</blockdef>
<blockdef name="inv">
<timestamp>2000-1-1T10:10:10</timestamp>
<line x2="64" y1="-32" y2="-32" x1="0" />
<line x2="160" y1="-32" y2="-32" x1="224" />
<line x2="128" y1="-64" y2="-32" x1="64" />
<line x2="64" y1="-32" y2="0" x1="128" />
<line x2="64" y1="0" y2="-64" x1="64" />
<circle r="16" cx="144" cy="-32" />
</blockdef>
<block symbolname="or2" name="XLXI_1">
<blockpin signalname="XLXN_2" name="I0" />
<blockpin signalname="XLXN_1" name="I1" />
<blockpin signalname="XLXN_9" name="O" />
</block>
<block symbolname="or2" name="XLXI_2">
<blockpin signalname="XLXN_4" name="I0" />
<blockpin signalname="XLXN_3" name="I1" />
<blockpin signalname="XLXN_10" name="O" />
</block>
<block symbolname="or2" name="XLXI_3">
<blockpin signalname="XLXN_6" name="I0" />
<blockpin signalname="XLXN_5" name="I1" />
<blockpin signalname="XLXN_11" name="O" />
</block>
<block symbolname="or2" name="XLXI_4">
<blockpin signalname="XLXN_8" name="I0" />
<blockpin signalname="XLXN_7" name="I1" />
<blockpin signalname="XLXN_12" name="O" />
</block>
<block symbolname="and2" name="XLXI_5">
<blockpin signalname="XLXN_20" name="I0" />
<blockpin signalname="i0a_2" name="I1" />
<blockpin signalname="XLXN_1" name="O" />
</block>
<block symbolname="and2" name="XLXI_6">
<blockpin signalname="XLXN_17" name="I0" />
<blockpin signalname="i1a_3" name="I1" />
<blockpin signalname="XLXN_2" name="O" />
</block>
<block symbolname="and2" name="XLXI_7">
<blockpin signalname="XLXN_20" name="I0" />
<blockpin signalname="i0b_5" name="I1" />
<blockpin signalname="XLXN_3" name="O" />
</block>
<block symbolname="and2" name="XLXI_8">
<blockpin signalname="XLXN_17" name="I0" />
<blockpin signalname="i1b_6" name="I1" />
<blockpin signalname="XLXN_4" name="O" />
</block>
<block symbolname="and2" name="XLXI_9">
<blockpin signalname="XLXN_20" name="I0" />
<blockpin signalname="i0c_11" name="I1" />
<blockpin signalname="XLXN_5" name="O" />
</block>
<block symbolname="and2" name="XLXI_10">
<blockpin signalname="XLXN_17" name="I0" />
<blockpin signalname="i1c_10" name="I1" />
<blockpin signalname="XLXN_6" name="O" />
</block>
<block symbolname="and2" name="XLXI_11">
<blockpin signalname="XLXN_20" name="I0" />
<blockpin signalname="i0d_14" name="I1" />
<blockpin signalname="XLXN_7" name="O" />
</block>
<block symbolname="and2" name="XLXI_12">
<blockpin signalname="XLXN_17" name="I0" />
<blockpin signalname="i1d_13" name="I1" />
<blockpin signalname="XLXN_8" name="O" />
</block>
<block symbolname="bufgce" name="XLXI_13">
<blockpin signalname="XLXN_15" name="CE" />
<blockpin signalname="XLXN_10" name="I" />
<blockpin signalname="Zb_7" name="O" />
</block>
<block symbolname="bufgce" name="XLXI_14">
<blockpin signalname="XLXN_15" name="CE" />
<blockpin signalname="XLXN_9" name="I" />
<blockpin signalname="Za_4" name="O" />
</block>
<block symbolname="bufgce" name="XLXI_15">
<blockpin signalname="XLXN_15" name="CE" />
<blockpin signalname="XLXN_11" name="I" />
<blockpin signalname="Zc_9" name="O" />
</block>
<block symbolname="bufgce" name="XLXI_16">
<blockpin signalname="XLXN_15" name="CE" />
<blockpin signalname="XLXN_12" name="I" />
<blockpin signalname="Zd_12" name="O" />
</block>
<block symbolname="inv" name="XLXI_17">
<blockpin signalname="En_15" name="I" />
<blockpin signalname="XLXN_15" name="O" />
</block>
<block symbolname="inv" name="XLXI_18">
<blockpin signalname="XLXN_20" name="I" />
<blockpin signalname="XLXN_17" name="O" />
</block>
<block symbolname="inv" name="XLXI_19">
<blockpin signalname="S_1" name="I" />
<blockpin signalname="XLXN_20" name="O" />
</block>
</netlist>
<sheet sheetnum="1" width="3520" height="2720">
<instance x="2528" y="944" name="XLXI_1" orien="R0" />
<instance x="2528" y="1232" name="XLXI_2" orien="R0" />
<instance x="2528" y="1824" name="XLXI_4" orien="R0" />
<instance x="2160" y="1168" name="XLXI_7" orien="R0" />
<instance x="2160" y="1296" name="XLXI_8" orien="R0" />
<instance x="2160" y="1472" name="XLXI_9" orien="R0" />
<instance x="2160" y="1600" name="XLXI_10" orien="R0" />
<instance x="2160" y="1760" name="XLXI_11" orien="R0" />
<instance x="2160" y="1888" name="XLXI_12" orien="R0" />
<instance x="2160" y="1008" name="XLXI_6" orien="R0" />
<instance x="2160" y="880" name="XLXI_5" orien="R0" />
<instance x="2528" y="1536" name="XLXI_3" orien="R0" />
<branch name="XLXN_1">
<wire x2="2464" y1="784" y2="784" x1="2416" />
<wire x2="2464" y1="784" y2="816" x1="2464" />
<wire x2="2528" y1="816" y2="816" x1="2464" />
</branch>
<branch name="XLXN_2">
<wire x2="2464" y1="912" y2="912" x1="2416" />
<wire x2="2464" y1="880" y2="912" x1="2464" />
<wire x2="2528" y1="880" y2="880" x1="2464" />
</branch>
<branch name="XLXN_3">
<wire x2="2464" y1="1072" y2="1072" x1="2416" />
<wire x2="2464" y1="1072" y2="1104" x1="2464" />
<wire x2="2528" y1="1104" y2="1104" x1="2464" />
</branch>
<branch name="XLXN_4">
<wire x2="2464" y1="1200" y2="1200" x1="2416" />
<wire x2="2464" y1="1168" y2="1200" x1="2464" />
<wire x2="2528" y1="1168" y2="1168" x1="2464" />
</branch>
<branch name="XLXN_5">
<wire x2="2464" y1="1376" y2="1376" x1="2416" />
<wire x2="2464" y1="1376" y2="1408" x1="2464" />
<wire x2="2528" y1="1408" y2="1408" x1="2464" />
</branch>
<branch name="XLXN_6">
<wire x2="2464" y1="1504" y2="1504" x1="2416" />
<wire x2="2464" y1="1472" y2="1504" x1="2464" />
<wire x2="2528" y1="1472" y2="1472" x1="2464" />
</branch>
<branch name="XLXN_7">
<wire x2="2464" y1="1664" y2="1664" x1="2416" />
<wire x2="2464" y1="1664" y2="1696" x1="2464" />
<wire x2="2528" y1="1696" y2="1696" x1="2464" />
</branch>
<branch name="XLXN_8">
<wire x2="2464" y1="1792" y2="1792" x1="2416" />
<wire x2="2464" y1="1760" y2="1792" x1="2464" />
<wire x2="2528" y1="1760" y2="1760" x1="2464" />
</branch>
<instance x="2848" y="1168" name="XLXI_13" orien="R0" />
<instance x="2848" y="880" name="XLXI_14" orien="R0" />
<instance x="2848" y="1472" name="XLXI_15" orien="R0" />
<instance x="2848" y="1760" name="XLXI_16" orien="R0" />
<branch name="XLXN_9">
<wire x2="2848" y1="848" y2="848" x1="2784" />
</branch>
<branch name="XLXN_10">
<wire x2="2848" y1="1136" y2="1136" x1="2784" />
</branch>
<branch name="XLXN_11">
<wire x2="2848" y1="1440" y2="1440" x1="2784" />
</branch>
<branch name="XLXN_12">
<wire x2="2848" y1="1728" y2="1728" x1="2784" />
</branch>
<branch name="XLXN_15">
<wire x2="2832" y1="608" y2="608" x1="1760" />
<wire x2="2832" y1="608" y2="784" x1="2832" />
<wire x2="2848" y1="784" y2="784" x1="2832" />
<wire x2="2832" y1="784" y2="1072" x1="2832" />
<wire x2="2848" y1="1072" y2="1072" x1="2832" />
<wire x2="2832" y1="1072" y2="1376" x1="2832" />
<wire x2="2848" y1="1376" y2="1376" x1="2832" />
<wire x2="2832" y1="1376" y2="1664" x1="2832" />
<wire x2="2848" y1="1664" y2="1664" x1="2832" />
</branch>
<instance x="1536" y="640" name="XLXI_17" orien="R0" />
<branch name="En_15">
<attrtext style="alignment:SOFT-BCENTER;fontsize:28;fontname:Arial" attrname="Name" x="1328" y="608" type="branch" />
<wire x2="1328" y1="608" y2="608" x1="1280" />
<wire x2="1536" y1="608" y2="608" x1="1328" />
</branch>
<iomarker fontsize="28" x="1280" y="608" name="En_15" orien="R180" />
<text style="fontsize:20;fontname:Arial" x="1296" y="544">Gn instead of En in TTL Bookr</text>
<branch name="XLXN_17">
<wire x2="2080" y1="1968" y2="1968" x1="2064" />
<wire x2="2160" y1="944" y2="944" x1="2080" />
<wire x2="2080" y1="944" y2="1232" x1="2080" />
<wire x2="2160" y1="1232" y2="1232" x1="2080" />
<wire x2="2080" y1="1232" y2="1536" x1="2080" />
<wire x2="2160" y1="1536" y2="1536" x1="2080" />
<wire x2="2080" y1="1536" y2="1824" x1="2080" />
<wire x2="2160" y1="1824" y2="1824" x1="2080" />
<wire x2="2080" y1="1824" y2="1968" x1="2080" />
</branch>
<branch name="XLXN_20">
<wire x2="1824" y1="1968" y2="1968" x1="1792" />
<wire x2="1840" y1="1968" y2="1968" x1="1824" />
<wire x2="1824" y1="816" y2="1104" x1="1824" />
<wire x2="1824" y1="1104" y2="1408" x1="1824" />
<wire x2="1824" y1="1408" y2="1696" x1="1824" />
<wire x2="2160" y1="1696" y2="1696" x1="1824" />
<wire x2="1824" y1="1696" y2="1968" x1="1824" />
<wire x2="2160" y1="1408" y2="1408" x1="1824" />
<wire x2="2160" y1="1104" y2="1104" x1="1824" />
<wire x2="2160" y1="816" y2="816" x1="1824" />
</branch>
<instance x="1840" y="2000" name="XLXI_18" orien="R0" />
<instance x="1568" y="2000" name="XLXI_19" orien="R0" />
<branch name="S_1">
<attrtext style="alignment:SOFT-BCENTER;fontsize:28;fontname:Arial" attrname="Name" x="1264" y="1968" type="branch" />
<wire x2="1264" y1="1968" y2="1968" x1="1216" />
<wire x2="1568" y1="1968" y2="1968" x1="1264" />
</branch>
<iomarker fontsize="28" x="1216" y="1968" name="S_1" orien="R180" />
<text style="fontsize:20;fontname:Arial" x="1248" y="1996">An/B instead of S in TTL Book</text>
<branch name="i0a_2">
<attrtext style="alignment:SOFT-BCENTER;fontsize:28;fontname:Arial" attrname="Name" x="1360" y="752" type="branch" />
<wire x2="1360" y1="752" y2="752" x1="1296" />
<wire x2="2160" y1="752" y2="752" x1="1360" />
</branch>
<branch name="i1a_3">
<attrtext style="alignment:SOFT-BCENTER;fontsize:28;fontname:Arial" attrname="Name" x="1344" y="880" type="branch" />
<wire x2="1344" y1="880" y2="880" x1="1296" />
<wire x2="2160" y1="880" y2="880" x1="1344" />
</branch>
<branch name="i0b_5">
<attrtext style="alignment:SOFT-BCENTER;fontsize:28;fontname:Arial" attrname="Name" x="1344" y="1040" type="branch" />
<wire x2="1344" y1="1040" y2="1040" x1="1296" />
<wire x2="2160" y1="1040" y2="1040" x1="1344" />
</branch>
<branch name="i1b_6">
<attrtext style="alignment:SOFT-BCENTER;fontsize:28;fontname:Arial" attrname="Name" x="1328" y="1168" type="branch" />
<wire x2="1328" y1="1168" y2="1168" x1="1296" />
<wire x2="2160" y1="1168" y2="1168" x1="1328" />
</branch>
<branch name="i0c_11">
<attrtext style="alignment:SOFT-BCENTER;fontsize:28;fontname:Arial" attrname="Name" x="1344" y="1344" type="branch" />
<wire x2="1344" y1="1344" y2="1344" x1="1296" />
<wire x2="2160" y1="1344" y2="1344" x1="1344" />
</branch>
<branch name="i1c_10">
<attrtext style="alignment:SOFT-BCENTER;fontsize:28;fontname:Arial" attrname="Name" x="1328" y="1472" type="branch" />
<wire x2="1328" y1="1472" y2="1472" x1="1296" />
<wire x2="2160" y1="1472" y2="1472" x1="1328" />
</branch>
<branch name="i0d_14">
<attrtext style="alignment:SOFT-BCENTER;fontsize:28;fontname:Arial" attrname="Name" x="1360" y="1632" type="branch" />
<wire x2="1360" y1="1632" y2="1632" x1="1296" />
<wire x2="2160" y1="1632" y2="1632" x1="1360" />
</branch>
<branch name="i1d_13">
<attrtext style="alignment:SOFT-BCENTER;fontsize:28;fontname:Arial" attrname="Name" x="1344" y="1760" type="branch" />
<wire x2="1344" y1="1760" y2="1760" x1="1296" />
<wire x2="2160" y1="1760" y2="1760" x1="1344" />
</branch>
<iomarker fontsize="28" x="1296" y="752" name="i0a_2" orien="R180" />
<iomarker fontsize="28" x="1296" y="880" name="i1a_3" orien="R180" />
<iomarker fontsize="28" x="1296" y="1040" name="i0b_5" orien="R180" />
<iomarker fontsize="28" x="1296" y="1168" name="i1b_6" orien="R180" />
<iomarker fontsize="28" x="1296" y="1344" name="i0c_11" orien="R180" />
<iomarker fontsize="28" x="1296" y="1472" name="i1c_10" orien="R180" />
<iomarker fontsize="28" x="1296" y="1632" name="i0d_14" orien="R180" />
<iomarker fontsize="28" x="1296" y="1760" name="i1d_13" orien="R180" />
<text style="fontsize:20;fontname:Arial" x="1344" y="776">1A instead of i0a in TTL book</text>
<text style="fontsize:20;fontname:Arial" x="1344" y="900">1B instead of i1a in TTL book</text>
<text style="fontsize:20;fontname:Arial" x="1340" y="1056">2A instead of i0a in TTL book</text>
<text style="fontsize:20;fontname:Arial" x="1352" y="1184">2B instead of i1b in TTL book</text>
<text style="fontsize:20;fontname:Arial" x="1360" y="1368">3A</text>
<text style="fontsize:20;fontname:Arial" x="1368" y="1492">3B</text>
<text style="fontsize:20;fontname:Arial" x="1368" y="1648">4A</text>
<text style="fontsize:20;fontname:Arial" x="1356" y="1780">4B</text>
<branch name="Za_4">
<attrtext style="alignment:SOFT-BCENTER;fontsize:28;fontname:Arial" attrname="Name" x="3168" y="848" type="branch" />
<wire x2="3168" y1="848" y2="848" x1="3072" />
<wire x2="3248" y1="848" y2="848" x1="3168" />
</branch>
<branch name="Zb_7">
<attrtext style="alignment:SOFT-BCENTER;fontsize:28;fontname:Arial" attrname="Name" x="3168" y="1136" type="branch" />
<wire x2="3168" y1="1136" y2="1136" x1="3072" />
<wire x2="3248" y1="1136" y2="1136" x1="3168" />
</branch>
<branch name="Zc_9">
<attrtext style="alignment:SOFT-BCENTER;fontsize:28;fontname:Arial" attrname="Name" x="3168" y="1440" type="branch" />
<wire x2="3168" y1="1440" y2="1440" x1="3072" />
<wire x2="3232" y1="1440" y2="1440" x1="3168" />
</branch>
<branch name="Zd_12">
<attrtext style="alignment:SOFT-BCENTER;fontsize:28;fontname:Arial" attrname="Name" x="3168" y="1728" type="branch" />
<wire x2="3168" y1="1728" y2="1728" x1="3072" />
<wire x2="3248" y1="1728" y2="1728" x1="3168" />
</branch>
<iomarker fontsize="28" x="3248" y="848" name="Za_4" orien="R0" />
<iomarker fontsize="28" x="3248" y="1136" name="Zb_7" orien="R0" />
<iomarker fontsize="28" x="3232" y="1440" name="Zc_9" orien="R0" />
<iomarker fontsize="28" x="3248" y="1728" name="Zd_12" orien="R0" />
<text style="fontsize:20;fontname:Arial" x="3140" y="888">1Y in TTL book</text>
<text style="fontsize:28;fontname:Arial" x="3160" y="1176">2Y</text>
<text style="fontsize:28;fontname:Arial" x="3160" y="1476">3Y</text>
<text style="fontsize:28;fontname:Arial" x="3168" y="1756">4Y</text>
</sheet>
</drawing>

View File

@ -0,0 +1,52 @@
<?xml version="1.0" encoding="UTF-8"?>
<symbol version="7" name="chip74LS257">
<symboltype>BLOCK</symboltype>
<timestamp>2018-6-7T7:8:22</timestamp>
<pin polarity="Input" x="0" y="-544" name="i0a_2" />
<pin polarity="Input" x="0" y="-480" name="i0b_5" />
<pin polarity="Input" x="0" y="-416" name="i0c_11" />
<pin polarity="Input" x="0" y="-352" name="i0d_14" />
<pin polarity="Input" x="0" y="-224" name="i1a_3" />
<pin polarity="Input" x="0" y="-160" name="i1b_6" />
<pin polarity="Input" x="0" y="-96" name="i1c_10" />
<pin polarity="Input" x="0" y="-32" name="i1d_13" />
<pin polarity="Input" x="0" y="-288" name="S_1" />
<pin polarity="Input" x="384" y="-32" name="En_15" />
<pin polarity="Output" x="384" y="-416" name="Za_4" />
<pin polarity="Output" x="384" y="-352" name="Zb_7" />
<pin polarity="Output" x="384" y="-288" name="Zc_9" />
<pin polarity="Output" x="384" y="-224" name="Zd_12" />
<graph>
<rect width="256" x="64" y="-576" height="576" />
<attrtext style="alignment:BCENTER;fontsize:40;fontname:Arial" attrname="SymbolName" x="192" y="-584" type="symbol" />
<line x2="0" y1="-544" y2="-544" x1="64" />
<attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-544" type="pin i0a_2" />
<line x2="0" y1="-480" y2="-480" x1="64" />
<attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-480" type="pin i0b_5" />
<line x2="0" y1="-416" y2="-416" x1="64" />
<attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-416" type="pin i0c_11" />
<line x2="0" y1="-352" y2="-352" x1="64" />
<attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-352" type="pin i0d_14" />
<line x2="0" y1="-224" y2="-224" x1="64" />
<attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-224" type="pin i1a_3" />
<line x2="0" y1="-160" y2="-160" x1="64" />
<attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-160" type="pin i1b_6" />
<line x2="0" y1="-96" y2="-96" x1="64" />
<attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-96" type="pin i1c_10" />
<line x2="0" y1="-32" y2="-32" x1="64" />
<attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-32" type="pin i1d_13" />
<line x2="0" y1="-288" y2="-288" x1="64" />
<attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-288" type="pin S_1" />
<line x2="384" y1="-32" y2="-32" x1="320" />
<attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="312" y="-32" type="pin En_15" />
<line x2="384" y1="-416" y2="-416" x1="320" />
<line x2="384" y1="-352" y2="-352" x1="320" />
<line x2="384" y1="-288" y2="-288" x1="320" />
<line x2="384" y1="-224" y2="-224" x1="320" />
<attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="312" y="-416" type="pin Za_4" />
<attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="312" y="-352" type="pin Zb_7" />
<attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="312" y="-288" type="pin Zc_9" />
<attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="312" y="-224" type="pin Zd_12" />
<circle r="10" cx="330" cy="-32" />
</graph>
</symbol>

View File

@ -0,0 +1,104 @@
// Verilog test fixture created from schematic C:\Users\Fred6502\Xilinx\tutorial\AppleIIGateSch\chip74LS257.sch - Wed Jun 06 16:37:03 2018
`timescale 1ns / 1ps
module chip74LS257_chip74LS257_sch_tb();
// Inputs
reg En_15;
reg S_1;
reg i0a_2;
reg i1a_3;
reg i0b_5;
reg i1b_6;
reg i0c_11;
reg i1c_10;
reg i0d_14;
reg i1d_13;
// Output
wire Za_4;
wire Zb_7;
wire Zc_9;
wire Zd_12;
// Bidirs
wire expectedA, expectedB, expectedC, expectedD;
// Instantiate the UUT
chip74LS257 UUT (
.En_15(En_15),
.S_1(S_1),
.i0a_2(i0a_2),
.i1a_3(i1a_3),
.i0b_5(i0b_5),
.i1b_6(i1b_6),
.i0c_11(i0c_11),
.i1c_10(i1c_10),
.i0d_14(i0d_14),
.i1d_13(i1d_13),
.Za_4(Za_4),
.Zb_7(Zb_7),
.Zc_9(Zc_9),
.Zd_12(Zd_12)
);
// Test Sim
integer i, errct;
assign expectedA = En_15 ? 1'bx : (S_1 ? i1a_3 : i0a_2 );
assign expectedB = En_15 ? 1'bx : (S_1 ? i1b_6 : i0b_5 );
assign expectedC = En_15 ? 1'bx : (S_1 ? i1c_10 : i0c_11);
assign expectedD = En_15 ? 1'bx : (S_1 ? i1d_13 : i0d_14);
// Initialize Inputs
`ifdef auto_init
initial begin
$display("auto_init defined");
end
`else
initial begin
$display("auto_init not defined");
end
`endif
initial begin
En_15 = 0;
S_1 = 0;
i0a_2 = 0;
i1a_3 = 0;
i0b_5 = 0;
i1b_6 = 0;
i0c_11 = 0;
i1c_10 = 0;
i0d_14 = 0;
i1d_13 = 0;
errct = 0;
#100;
for (i=0; i<1024; i=i+1) begin
{En_15, S_1, i1d_13,i1c_10,i1b_6,i1a_3, i0d_14,i0c_11,i0b_5,i0a_2} = i;
#9;
if ( Za_4 != expectedA ) begin
$display("i:%d Za_4=%b expectedA=%b", i, Za_4, expectedA);
errct = errct + 1;
end
if ( Zb_7 != expectedB ) begin
$display("i:%d Zb_7=%b expectedB=%b", i, Zb_7, expectedB);
errct = errct + 1;
end
if ( Zc_9 != expectedC ) begin
$display("i:%d Zc_9=%b expectedA=%b", i, Zc_9, expectedC);
errct = errct + 1;
end
if ( Zd_12 != expectedD ) begin
$display("i:%d Zd_12=%b expectedD=%b", i, Zd_12, expectedD);
errct = errct + 1;
end
#1;
end
if ( errct==0 ) begin
$display("PASSED");
end else begin
$display("FAILED errct=%d", errct);
end
$finish;
end
endmodule

View File

@ -0,0 +1 @@
sch2hdl -intstyle ise -family spartan3e -verilog chip74S195.vf -w C:/Users/Fred6502/Xilinx/tutorial/AppleIIGateSch/chip74S195.sch

View File

@ -0,0 +1 @@
MODULE chip74S195

View File

@ -0,0 +1,547 @@
<?xml version="1.0" encoding="UTF-8"?>
<drawing version="7">
<attr value="spartan3e" name="DeviceFamilyName">
<trait delete="all:0" />
<trait editname="all:0" />
<trait edittrait="all:0" />
</attr>
<netlist>
<signal name="XLXN_3" />
<signal name="CLRn_1" />
<signal name="XLXN_9" />
<signal name="XLXN_11" />
<signal name="XLXN_12" />
<signal name="XLXN_13" />
<signal name="CP_10" />
<signal name="XLXN_17" />
<signal name="XLXN_19" />
<signal name="XLXN_20" />
<signal name="XLXN_21" />
<signal name="XLXN_22" />
<signal name="XLXN_23" />
<signal name="XLXN_24" />
<signal name="XLXN_25" />
<signal name="XLXN_26" />
<signal name="XLXN_27" />
<signal name="XLXN_28" />
<signal name="XLXN_29" />
<signal name="XLXN_30" />
<signal name="XLXN_32" />
<signal name="SH_LDn" />
<signal name="XLXN_37" />
<signal name="XLXN_38" />
<signal name="Q0_15" />
<signal name="J_2" />
<signal name="K_3" />
<signal name="XLXN_49" />
<signal name="P0_4" />
<signal name="P1_5" />
<signal name="P2_6" />
<signal name="P3_7" />
<signal name="Q1_14" />
<signal name="Q2_13" />
<signal name="Q3_12" />
<signal name="Q3n_11" />
<port polarity="Input" name="CLRn_1" />
<port polarity="Input" name="CP_10" />
<port polarity="Input" name="SH_LDn" />
<port polarity="Output" name="Q0_15" />
<port polarity="Input" name="J_2" />
<port polarity="Input" name="K_3" />
<port polarity="Input" name="P0_4" />
<port polarity="Input" name="P1_5" />
<port polarity="Input" name="P2_6" />
<port polarity="Input" name="P3_7" />
<port polarity="Output" name="Q1_14" />
<port polarity="Output" name="Q2_13" />
<port polarity="Output" name="Q3_12" />
<port polarity="Output" name="Q3n_11" />
<blockdef name="fjkc">
<timestamp>2000-1-1T10:10:10</timestamp>
<line x2="64" y1="-128" y2="-128" x1="0" />
<line x2="64" y1="-32" y2="-32" x1="0" />
<line x2="64" y1="-320" y2="-320" x1="0" />
<line x2="320" y1="-256" y2="-256" x1="384" />
<line x2="64" y1="-256" y2="-256" x1="0" />
<line x2="64" y1="-32" y2="-32" x1="192" />
<line x2="192" y1="-64" y2="-32" x1="192" />
<line x2="64" y1="-128" y2="-144" x1="80" />
<line x2="80" y1="-112" y2="-128" x1="64" />
<rect width="256" x="64" y="-384" height="320" />
</blockdef>
<blockdef name="inv">
<timestamp>2000-1-1T10:10:10</timestamp>
<line x2="64" y1="-32" y2="-32" x1="0" />
<line x2="160" y1="-32" y2="-32" x1="224" />
<line x2="128" y1="-64" y2="-32" x1="64" />
<line x2="64" y1="-32" y2="0" x1="128" />
<line x2="64" y1="0" y2="-64" x1="64" />
<circle r="16" cx="144" cy="-32" />
</blockdef>
<blockdef name="nor3">
<timestamp>2000-1-1T10:10:10</timestamp>
<line x2="48" y1="-64" y2="-64" x1="0" />
<line x2="72" y1="-128" y2="-128" x1="0" />
<line x2="48" y1="-192" y2="-192" x1="0" />
<line x2="216" y1="-128" y2="-128" x1="256" />
<circle r="12" cx="204" cy="-128" />
<line x2="48" y1="-64" y2="-80" x1="48" />
<line x2="48" y1="-192" y2="-176" x1="48" />
<line x2="48" y1="-80" y2="-80" x1="112" />
<line x2="48" y1="-176" y2="-176" x1="112" />
<arc ex="48" ey="-176" sx="48" sy="-80" r="56" cx="16" cy="-128" />
<arc ex="192" ey="-128" sx="112" sy="-80" r="88" cx="116" cy="-168" />
<arc ex="112" ey="-176" sx="192" sy="-128" r="88" cx="116" cy="-88" />
</blockdef>
<blockdef name="and3">
<timestamp>2000-1-1T10:10:10</timestamp>
<line x2="64" y1="-64" y2="-64" x1="0" />
<line x2="64" y1="-128" y2="-128" x1="0" />
<line x2="64" y1="-192" y2="-192" x1="0" />
<line x2="192" y1="-128" y2="-128" x1="256" />
<line x2="144" y1="-176" y2="-176" x1="64" />
<line x2="64" y1="-80" y2="-80" x1="144" />
<arc ex="144" ey="-176" sx="144" sy="-80" r="48" cx="144" cy="-128" />
<line x2="64" y1="-64" y2="-192" x1="64" />
</blockdef>
<blockdef name="and2">
<timestamp>2000-1-1T10:10:10</timestamp>
<line x2="64" y1="-64" y2="-64" x1="0" />
<line x2="64" y1="-128" y2="-128" x1="0" />
<line x2="192" y1="-96" y2="-96" x1="256" />
<arc ex="144" ey="-144" sx="144" sy="-48" r="48" cx="144" cy="-96" />
<line x2="64" y1="-48" y2="-48" x1="144" />
<line x2="144" y1="-144" y2="-144" x1="64" />
<line x2="64" y1="-48" y2="-144" x1="64" />
</blockdef>
<blockdef name="nor2">
<timestamp>2000-1-1T10:10:10</timestamp>
<line x2="64" y1="-64" y2="-64" x1="0" />
<line x2="64" y1="-128" y2="-128" x1="0" />
<line x2="216" y1="-96" y2="-96" x1="256" />
<circle r="12" cx="204" cy="-96" />
<arc ex="192" ey="-96" sx="112" sy="-48" r="88" cx="116" cy="-136" />
<arc ex="112" ey="-144" sx="192" sy="-96" r="88" cx="116" cy="-56" />
<arc ex="48" ey="-144" sx="48" sy="-48" r="56" cx="16" cy="-96" />
<line x2="48" y1="-48" y2="-48" x1="112" />
<line x2="48" y1="-144" y2="-144" x1="112" />
</blockdef>
<block symbolname="fjkc" name="XLXI_6">
<blockpin signalname="XLXN_17" name="C" />
<blockpin signalname="XLXN_3" name="CLR" />
<blockpin signalname="XLXN_27" name="J" />
<blockpin signalname="XLXN_28" name="K" />
<blockpin signalname="Q3_12" name="Q" />
</block>
<block symbolname="fjkc" name="XLXI_17">
<blockpin signalname="XLXN_17" name="C" />
<blockpin signalname="XLXN_3" name="CLR" />
<blockpin signalname="XLXN_23" name="J" />
<blockpin signalname="XLXN_24" name="K" />
<blockpin signalname="Q2_13" name="Q" />
</block>
<block symbolname="fjkc" name="XLXI_18">
<blockpin signalname="XLXN_17" name="C" />
<blockpin signalname="XLXN_3" name="CLR" />
<blockpin signalname="XLXN_9" name="J" />
<blockpin signalname="XLXN_38" name="K" />
<blockpin signalname="Q0_15" name="Q" />
</block>
<block symbolname="fjkc" name="XLXI_19">
<blockpin signalname="XLXN_17" name="C" />
<blockpin signalname="XLXN_3" name="CLR" />
<blockpin signalname="XLXN_19" name="J" />
<blockpin signalname="XLXN_20" name="K" />
<blockpin signalname="Q1_14" name="Q" />
</block>
<block symbolname="inv" name="XLXI_21">
<blockpin signalname="CLRn_1" name="I" />
<blockpin signalname="XLXN_3" name="O" />
</block>
<block symbolname="inv" name="XLXI_22">
<blockpin signalname="XLXN_38" name="I" />
<blockpin signalname="XLXN_9" name="O" />
</block>
<block symbolname="nor3" name="XLXI_23">
<blockpin signalname="XLXN_13" name="I0" />
<blockpin signalname="XLXN_12" name="I1" />
<blockpin signalname="XLXN_11" name="I2" />
<blockpin signalname="XLXN_38" name="O" />
</block>
<block symbolname="and3" name="XLXI_24">
<blockpin signalname="XLXN_32" name="I0" />
<blockpin signalname="K_3" name="I1" />
<blockpin signalname="Q0_15" name="I2" />
<blockpin signalname="XLXN_12" name="O" />
</block>
<block symbolname="and3" name="XLXI_25">
<blockpin signalname="XLXN_37" name="I0" />
<blockpin signalname="J_2" name="I1" />
<blockpin signalname="XLXN_32" name="I2" />
<blockpin signalname="XLXN_13" name="O" />
</block>
<block symbolname="and2" name="XLXI_26">
<blockpin signalname="XLXN_49" name="I0" />
<blockpin signalname="P0_4" name="I1" />
<blockpin signalname="XLXN_11" name="O" />
</block>
<block symbolname="and2" name="XLXI_27">
<blockpin signalname="CP_10" name="I0" />
<blockpin signalname="CLRn_1" name="I1" />
<blockpin signalname="XLXN_17" name="O" />
</block>
<block symbolname="inv" name="XLXI_28">
<blockpin signalname="XLXN_20" name="I" />
<blockpin signalname="XLXN_19" name="O" />
</block>
<block symbolname="and2" name="XLXI_30">
<blockpin signalname="XLXN_49" name="I0" />
<blockpin signalname="P1_5" name="I1" />
<blockpin signalname="XLXN_22" name="O" />
</block>
<block symbolname="and2" name="XLXI_31">
<blockpin signalname="Q0_15" name="I0" />
<blockpin signalname="XLXN_32" name="I1" />
<blockpin signalname="XLXN_21" name="O" />
</block>
<block symbolname="nor2" name="XLXI_32">
<blockpin signalname="XLXN_21" name="I0" />
<blockpin signalname="XLXN_22" name="I1" />
<blockpin signalname="XLXN_20" name="O" />
</block>
<block symbolname="inv" name="XLXI_33">
<blockpin signalname="XLXN_24" name="I" />
<blockpin signalname="XLXN_23" name="O" />
</block>
<block symbolname="nor2" name="XLXI_34">
<blockpin signalname="XLXN_26" name="I0" />
<blockpin signalname="XLXN_25" name="I1" />
<blockpin signalname="XLXN_24" name="O" />
</block>
<block symbolname="and2" name="XLXI_35">
<blockpin signalname="Q1_14" name="I0" />
<blockpin signalname="XLXN_32" name="I1" />
<blockpin signalname="XLXN_26" name="O" />
</block>
<block symbolname="and2" name="XLXI_36">
<blockpin signalname="XLXN_49" name="I0" />
<blockpin signalname="P2_6" name="I1" />
<blockpin signalname="XLXN_25" name="O" />
</block>
<block symbolname="and2" name="XLXI_37">
<blockpin signalname="Q2_13" name="I0" />
<blockpin signalname="XLXN_32" name="I1" />
<blockpin signalname="XLXN_30" name="O" />
</block>
<block symbolname="and2" name="XLXI_38">
<blockpin signalname="XLXN_49" name="I0" />
<blockpin signalname="P3_7" name="I1" />
<blockpin signalname="XLXN_29" name="O" />
</block>
<block symbolname="nor2" name="XLXI_39">
<blockpin signalname="XLXN_30" name="I0" />
<blockpin signalname="XLXN_29" name="I1" />
<blockpin signalname="XLXN_28" name="O" />
</block>
<block symbolname="inv" name="XLXI_40">
<blockpin signalname="XLXN_28" name="I" />
<blockpin signalname="XLXN_27" name="O" />
</block>
<block symbolname="inv" name="XLXI_41">
<blockpin signalname="XLXN_49" name="I" />
<blockpin signalname="XLXN_32" name="O" />
</block>
<block symbolname="inv" name="XLXI_42">
<blockpin signalname="SH_LDn" name="I" />
<blockpin signalname="XLXN_49" name="O" />
</block>
<block symbolname="inv" name="XLXI_43">
<blockpin signalname="Q0_15" name="I" />
<blockpin signalname="XLXN_37" name="O" />
</block>
<block symbolname="inv" name="XLXI_44">
<blockpin signalname="Q3_12" name="I" />
<blockpin signalname="Q3n_11" name="O" />
</block>
</netlist>
<sheet sheetnum="1" width="3520" height="2720">
<instance x="2720" y="528" name="XLXI_6" orien="R270" />
<instance x="2720" y="1136" name="XLXI_17" orien="R270" />
<instance x="2720" y="1696" name="XLXI_19" orien="R270" />
<instance x="2720" y="2256" name="XLXI_18" orien="R270" />
<instance x="2832" y="2592" name="XLXI_21" orien="R270" />
<branch name="XLXN_3">
<wire x2="2800" y1="528" y2="528" x1="2688" />
<wire x2="2800" y1="528" y2="1136" x1="2800" />
<wire x2="2800" y1="1136" y2="1696" x1="2800" />
<wire x2="2800" y1="1696" y2="2256" x1="2800" />
<wire x2="2800" y1="2256" y2="2368" x1="2800" />
<wire x2="2800" y1="1136" y2="1136" x1="2688" />
<wire x2="2800" y1="1696" y2="1696" x1="2688" />
<wire x2="2800" y1="2256" y2="2256" x1="2688" />
</branch>
<branch name="CLRn_1">
<attrtext style="alignment:SOFT-BCENTER;fontsize:28;fontname:Arial" attrname="Name" x="2736" y="2656" type="branch" />
<wire x2="2736" y1="2656" y2="2656" x1="2672" />
<wire x2="2800" y1="2656" y2="2656" x1="2736" />
<wire x2="2800" y1="2592" y2="2608" x1="2800" />
<wire x2="2912" y1="2608" y2="2608" x1="2800" />
<wire x2="2800" y1="2608" y2="2656" x1="2800" />
<wire x2="2912" y1="2560" y2="2608" x1="2912" />
</branch>
<instance x="2064" y="2336" name="XLXI_22" orien="R0" />
<branch name="XLXN_9">
<wire x2="2400" y1="2304" y2="2304" x1="2288" />
<wire x2="2400" y1="2256" y2="2304" x1="2400" />
</branch>
<instance x="1456" y="2432" name="XLXI_23" orien="R0" />
<instance x="1056" y="2432" name="XLXI_24" orien="R0" />
<instance x="1056" y="2624" name="XLXI_25" orien="R0" />
<instance x="1056" y="2240" name="XLXI_26" orien="R0" />
<branch name="XLXN_11">
<wire x2="1456" y1="2144" y2="2144" x1="1312" />
<wire x2="1456" y1="2144" y2="2240" x1="1456" />
</branch>
<branch name="XLXN_12">
<wire x2="1456" y1="2304" y2="2304" x1="1312" />
</branch>
<branch name="XLXN_13">
<wire x2="1456" y1="2496" y2="2496" x1="1312" />
<wire x2="1456" y1="2368" y2="2496" x1="1456" />
</branch>
<instance x="3040" y="2560" name="XLXI_27" orien="R270" />
<branch name="CP_10">
<attrtext style="alignment:SOFT-TCENTER;fontsize:28;fontname:Arial" attrname="Name" x="2976" y="2688" type="branch" />
<wire x2="2976" y1="2688" y2="2688" x1="2944" />
<wire x2="2976" y1="2560" y2="2688" x1="2976" />
</branch>
<branch name="XLXN_17">
<wire x2="2592" y1="528" y2="576" x1="2592" />
<wire x2="2944" y1="576" y2="576" x1="2592" />
<wire x2="2944" y1="576" y2="1200" x1="2944" />
<wire x2="2944" y1="1200" y2="1776" x1="2944" />
<wire x2="2944" y1="1776" y2="2288" x1="2944" />
<wire x2="2944" y1="2288" y2="2304" x1="2944" />
<wire x2="2592" y1="1136" y2="1200" x1="2592" />
<wire x2="2944" y1="1200" y2="1200" x1="2592" />
<wire x2="2592" y1="1696" y2="1776" x1="2592" />
<wire x2="2944" y1="1776" y2="1776" x1="2592" />
<wire x2="2592" y1="2256" y2="2288" x1="2592" />
<wire x2="2944" y1="2288" y2="2288" x1="2592" />
</branch>
<branch name="XLXN_19">
<wire x2="2400" y1="1728" y2="1728" x1="2288" />
<wire x2="2400" y1="1696" y2="1728" x1="2400" />
</branch>
<instance x="1056" y="1760" name="XLXI_30" orien="R0" />
<instance x="2064" y="1216" name="XLXI_33" orien="R0" />
<branch name="XLXN_23">
<wire x2="2400" y1="1184" y2="1184" x1="2288" />
<wire x2="2400" y1="1136" y2="1184" x1="2400" />
</branch>
<instance x="1472" y="1280" name="XLXI_34" orien="R0" />
<branch name="XLXN_24">
<wire x2="1984" y1="1184" y2="1184" x1="1728" />
<wire x2="2064" y1="1184" y2="1184" x1="1984" />
<wire x2="1984" y1="1184" y2="1232" x1="1984" />
<wire x2="2464" y1="1232" y2="1232" x1="1984" />
<wire x2="2464" y1="1136" y2="1232" x1="2464" />
</branch>
<instance x="1072" y="1360" name="XLXI_35" orien="R0" />
<instance x="1072" y="1200" name="XLXI_36" orien="R0" />
<branch name="XLXN_25">
<wire x2="1392" y1="1104" y2="1104" x1="1328" />
<wire x2="1392" y1="1104" y2="1152" x1="1392" />
<wire x2="1472" y1="1152" y2="1152" x1="1392" />
</branch>
<branch name="XLXN_26">
<wire x2="1392" y1="1264" y2="1264" x1="1328" />
<wire x2="1392" y1="1216" y2="1264" x1="1392" />
<wire x2="1472" y1="1216" y2="1216" x1="1392" />
</branch>
<instance x="1072" y="736" name="XLXI_37" orien="R0" />
<instance x="1072" y="576" name="XLXI_38" orien="R0" />
<instance x="1456" y="656" name="XLXI_39" orien="R0" />
<instance x="2080" y="592" name="XLXI_40" orien="R0" />
<branch name="XLXN_27">
<wire x2="2400" y1="560" y2="560" x1="2304" />
<wire x2="2400" y1="528" y2="560" x1="2400" />
</branch>
<branch name="XLXN_28">
<wire x2="1984" y1="560" y2="560" x1="1712" />
<wire x2="2080" y1="560" y2="560" x1="1984" />
<wire x2="1984" y1="560" y2="608" x1="1984" />
<wire x2="2464" y1="608" y2="608" x1="1984" />
<wire x2="2464" y1="528" y2="608" x1="2464" />
</branch>
<branch name="XLXN_29">
<wire x2="1392" y1="480" y2="480" x1="1328" />
<wire x2="1392" y1="480" y2="528" x1="1392" />
<wire x2="1456" y1="528" y2="528" x1="1392" />
</branch>
<branch name="XLXN_30">
<wire x2="1392" y1="640" y2="640" x1="1328" />
<wire x2="1392" y1="592" y2="640" x1="1392" />
<wire x2="1456" y1="592" y2="592" x1="1392" />
</branch>
<branch name="XLXN_32">
<wire x2="944" y1="2592" y2="2592" x1="848" />
<wire x2="1072" y1="608" y2="608" x1="944" />
<wire x2="944" y1="608" y2="1232" x1="944" />
<wire x2="1072" y1="1232" y2="1232" x1="944" />
<wire x2="944" y1="1232" y2="1760" x1="944" />
<wire x2="944" y1="1760" y2="2368" x1="944" />
<wire x2="944" y1="2368" y2="2432" x1="944" />
<wire x2="1056" y1="2432" y2="2432" x1="944" />
<wire x2="944" y1="2432" y2="2592" x1="944" />
<wire x2="1056" y1="2368" y2="2368" x1="944" />
<wire x2="1056" y1="1760" y2="1760" x1="944" />
</branch>
<instance x="624" y="2624" name="XLXI_41" orien="R0" />
<instance x="352" y="2688" name="XLXI_42" orien="R0" />
<branch name="SH_LDn">
<attrtext style="alignment:SOFT-BCENTER;fontsize:28;fontname:Arial" attrname="Name" x="320" y="2656" type="branch" />
<wire x2="320" y1="2656" y2="2656" x1="304" />
<wire x2="352" y1="2656" y2="2656" x1="320" />
</branch>
<iomarker fontsize="28" x="304" y="2656" name="SH_LDn" orien="R180" />
<branch name="XLXN_38">
<wire x2="2000" y1="2304" y2="2304" x1="1712" />
<wire x2="2064" y1="2304" y2="2304" x1="2000" />
<wire x2="2000" y1="2304" y2="2352" x1="2000" />
<wire x2="2464" y1="2352" y2="2352" x1="2000" />
<wire x2="2464" y1="2256" y2="2352" x1="2464" />
</branch>
<instance x="2064" y="1760" name="XLXI_28" orien="R0" />
<instance x="1456" y="1824" name="XLXI_32" orien="R0" />
<branch name="XLXN_22">
<wire x2="1328" y1="1664" y2="1664" x1="1312" />
<wire x2="1328" y1="1664" y2="1696" x1="1328" />
<wire x2="1456" y1="1696" y2="1696" x1="1328" />
</branch>
<branch name="XLXN_21">
<wire x2="1328" y1="1792" y2="1792" x1="1312" />
<wire x2="1328" y1="1760" y2="1792" x1="1328" />
<wire x2="1456" y1="1760" y2="1760" x1="1328" />
</branch>
<branch name="XLXN_20">
<wire x2="1984" y1="1728" y2="1728" x1="1712" />
<wire x2="2064" y1="1728" y2="1728" x1="1984" />
<wire x2="1984" y1="1728" y2="1776" x1="1984" />
<wire x2="2464" y1="1776" y2="1776" x1="1984" />
<wire x2="2464" y1="1696" y2="1776" x1="2464" />
</branch>
<instance x="1056" y="1888" name="XLXI_31" orien="R0" />
<instance x="1856" y="2032" name="XLXI_43" orien="R90" />
<branch name="XLXN_37">
<wire x2="1056" y1="2560" y2="2608" x1="1056" />
<wire x2="1888" y1="2608" y2="2608" x1="1056" />
<wire x2="1888" y1="2256" y2="2608" x1="1888" />
</branch>
<branch name="Q0_15">
<attrtext style="alignment:SOFT-BCENTER;fontsize:28;fontname:Arial" attrname="Name" x="3008" y="1856" type="branch" />
<wire x2="1056" y1="1824" y2="1824" x1="1024" />
<wire x2="1024" y1="1824" y2="1856" x1="1024" />
<wire x2="1888" y1="1856" y2="1856" x1="1024" />
<wire x2="2464" y1="1856" y2="1856" x1="1888" />
<wire x2="2464" y1="1856" y2="1872" x1="2464" />
<wire x2="3008" y1="1856" y2="1856" x1="2464" />
<wire x2="3120" y1="1856" y2="1856" x1="3008" />
<wire x2="1888" y1="1856" y2="2032" x1="1888" />
<wire x2="1024" y1="1856" y2="2240" x1="1024" />
<wire x2="1056" y1="2240" y2="2240" x1="1024" />
</branch>
<branch name="J_2">
<attrtext style="alignment:SOFT-BCENTER;fontsize:28;fontname:Arial" attrname="Name" x="448" y="2496" type="branch" />
<wire x2="448" y1="2496" y2="2496" x1="384" />
<wire x2="1056" y1="2496" y2="2496" x1="448" />
</branch>
<branch name="K_3">
<attrtext style="alignment:SOFT-BCENTER;fontsize:28;fontname:Arial" attrname="Name" x="448" y="2304" type="branch" />
<wire x2="448" y1="2304" y2="2304" x1="384" />
<wire x2="1056" y1="2304" y2="2304" x1="448" />
</branch>
<iomarker fontsize="28" x="384" y="2304" name="K_3" orien="R180" />
<iomarker fontsize="28" x="384" y="2496" name="J_2" orien="R180" />
<branch name="XLXN_49">
<wire x2="592" y1="2656" y2="2656" x1="576" />
<wire x2="1072" y1="512" y2="512" x1="592" />
<wire x2="592" y1="512" y2="1136" x1="592" />
<wire x2="592" y1="1136" y2="1696" x1="592" />
<wire x2="592" y1="1696" y2="2176" x1="592" />
<wire x2="592" y1="2176" y2="2592" x1="592" />
<wire x2="592" y1="2592" y2="2656" x1="592" />
<wire x2="624" y1="2592" y2="2592" x1="592" />
<wire x2="1056" y1="2176" y2="2176" x1="592" />
<wire x2="1056" y1="1696" y2="1696" x1="592" />
<wire x2="1072" y1="1136" y2="1136" x1="592" />
</branch>
<branch name="P0_4">
<attrtext style="alignment:SOFT-BCENTER;fontsize:28;fontname:Arial" attrname="Name" x="464" y="2112" type="branch" />
<wire x2="464" y1="2112" y2="2112" x1="384" />
<wire x2="1056" y1="2112" y2="2112" x1="464" />
</branch>
<iomarker fontsize="28" x="384" y="2112" name="P0_4" orien="R180" />
<branch name="P1_5">
<attrtext style="alignment:SOFT-BCENTER;fontsize:28;fontname:Arial" attrname="Name" x="448" y="1632" type="branch" />
<wire x2="448" y1="1632" y2="1632" x1="384" />
<wire x2="1056" y1="1632" y2="1632" x1="448" />
</branch>
<iomarker fontsize="28" x="384" y="1632" name="P1_5" orien="R180" />
<branch name="P2_6">
<attrtext style="alignment:SOFT-BCENTER;fontsize:28;fontname:Arial" attrname="Name" x="464" y="1072" type="branch" />
<wire x2="464" y1="1072" y2="1072" x1="384" />
<wire x2="1072" y1="1072" y2="1072" x1="464" />
</branch>
<branch name="P3_7">
<attrtext style="alignment:SOFT-BCENTER;fontsize:28;fontname:Arial" attrname="Name" x="480" y="448" type="branch" />
<wire x2="480" y1="448" y2="448" x1="400" />
<wire x2="1072" y1="448" y2="448" x1="480" />
</branch>
<iomarker fontsize="28" x="400" y="448" name="P3_7" orien="R180" />
<iomarker fontsize="28" x="384" y="1072" name="P2_6" orien="R180" />
<branch name="Q1_14">
<attrtext style="alignment:SOFT-BCENTER;fontsize:28;fontname:Arial" attrname="Name" x="3024" y="1296" type="branch" />
<wire x2="1072" y1="1296" y2="1296" x1="1056" />
<wire x2="1056" y1="1296" y2="1344" x1="1056" />
<wire x2="1504" y1="1344" y2="1344" x1="1056" />
<wire x2="2464" y1="1296" y2="1296" x1="1504" />
<wire x2="2464" y1="1296" y2="1312" x1="2464" />
<wire x2="3024" y1="1296" y2="1296" x1="2464" />
<wire x2="3120" y1="1296" y2="1296" x1="3024" />
<wire x2="1504" y1="1296" y2="1344" x1="1504" />
</branch>
<branch name="Q2_13">
<attrtext style="alignment:SOFT-BCENTER;fontsize:28;fontname:Arial" attrname="Name" x="3024" y="736" type="branch" />
<wire x2="1072" y1="672" y2="672" x1="1056" />
<wire x2="1056" y1="672" y2="736" x1="1056" />
<wire x2="2464" y1="736" y2="736" x1="1056" />
<wire x2="2464" y1="736" y2="752" x1="2464" />
<wire x2="3024" y1="736" y2="736" x1="2464" />
<wire x2="3104" y1="736" y2="736" x1="3024" />
</branch>
<branch name="Q3_12">
<attrtext style="alignment:SOFT-BCENTER;fontsize:28;fontname:Arial" attrname="Name" x="3040" y="112" type="branch" />
<wire x2="2464" y1="112" y2="144" x1="2464" />
<wire x2="2720" y1="112" y2="112" x1="2464" />
<wire x2="3040" y1="112" y2="112" x1="2720" />
<wire x2="3104" y1="112" y2="112" x1="3040" />
<wire x2="2720" y1="112" y2="224" x1="2720" />
<wire x2="2784" y1="224" y2="224" x1="2720" />
</branch>
<instance x="2784" y="256" name="XLXI_44" orien="R0" />
<branch name="Q3n_11">
<attrtext style="alignment:SOFT-BCENTER;fontsize:28;fontname:Arial" attrname="Name" x="3024" y="224" type="branch" />
<wire x2="3024" y1="224" y2="224" x1="3008" />
<wire x2="3104" y1="224" y2="224" x1="3024" />
</branch>
<iomarker fontsize="28" x="3104" y="224" name="Q3n_11" orien="R0" />
<iomarker fontsize="28" x="3104" y="112" name="Q3_12" orien="R0" />
<iomarker fontsize="28" x="3104" y="736" name="Q2_13" orien="R0" />
<iomarker fontsize="28" x="3120" y="1296" name="Q1_14" orien="R0" />
<iomarker fontsize="28" x="3120" y="1856" name="Q0_15" orien="R0" />
<iomarker fontsize="28" x="2672" y="2656" name="CLRn_1" orien="R180" />
<iomarker fontsize="28" x="2944" y="2688" name="CP_10" orien="R180" />
<text style="fontsize:52;fontname:Arial" x="332" y="68">FWK 20180530</text>
</sheet>
</drawing>

View File

@ -0,0 +1,56 @@
<?xml version="1.0" encoding="UTF-8"?>
<symbol version="7" name="chip74S195">
<symboltype>BLOCK</symboltype>
<timestamp>2018-6-3T18:32:16</timestamp>
<pin polarity="Input" x="384" y="-96" name="CLRn_1" />
<pin polarity="Input" x="0" y="-96" name="CP_10" />
<pin polarity="Input" x="0" y="-32" name="J_2" />
<pin polarity="Input" x="384" y="-32" name="K_3" />
<pin polarity="Input" x="0" y="-288" name="P0_4" />
<pin polarity="Input" x="0" y="-352" name="P1_5" />
<pin polarity="Input" x="0" y="-416" name="P2_6" />
<pin polarity="Input" x="0" y="-480" name="P3_7" />
<pin polarity="Output" x="384" y="-224" name="Q0_15" />
<pin polarity="Output" x="384" y="-288" name="Q1_14" />
<pin polarity="Output" x="384" y="-352" name="Q2_13" />
<pin polarity="Output" x="384" y="-480" name="Q3n_11" />
<pin polarity="Output" x="384" y="-416" name="Q3_12" />
<pin polarity="Input" x="0" y="-224" name="SH_LDn" />
<graph>
<rect width="256" x="64" y="-512" height="512" />
<attrtext style="alignment:BCENTER;fontsize:40;fontname:Arial" attrname="SymbolName" x="192" y="-520" type="symbol" />
<line x2="384" y1="-96" y2="-96" x1="320" />
<attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="312" y="-96" type="pin CLRn_1" />
<line x2="0" y1="-96" y2="-96" x1="64" />
<attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-96" type="pin CP_10" />
<line x2="0" y1="-32" y2="-32" x1="64" />
<attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-32" type="pin J_2" />
<line x2="384" y1="-32" y2="-32" x1="320" />
<attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="312" y="-32" type="pin K_3" />
<line x2="0" y1="-288" y2="-288" x1="64" />
<attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-288" type="pin P0_4" />
<line x2="0" y1="-352" y2="-352" x1="64" />
<attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-352" type="pin P1_5" />
<line x2="0" y1="-416" y2="-416" x1="64" />
<attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-416" type="pin P2_6" />
<line x2="0" y1="-480" y2="-480" x1="64" />
<attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-480" type="pin P3_7" />
<line x2="384" y1="-224" y2="-224" x1="320" />
<attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="312" y="-224" type="pin Q0_15" />
<line x2="384" y1="-288" y2="-288" x1="320" />
<attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="312" y="-288" type="pin Q1_14" />
<line x2="384" y1="-352" y2="-352" x1="320" />
<attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="312" y="-352" type="pin Q2_13" />
<line x2="384" y1="-480" y2="-480" x1="320" />
<attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="312" y="-480" type="pin Q3n_11" />
<line x2="384" y1="-416" y2="-416" x1="320" />
<attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="312" y="-416" type="pin Q3_12" />
<line x2="0" y1="-224" y2="-224" x1="64" />
<attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-224" type="pin SH_LDn" />
<text style="fontsize:32;fontname:Arial" x="72" y="-196">PE</text>
<circle r="12" cx="56" cy="-224" />
<circle r="12" cx="332" cy="-98" />
<circle r="12" cx="332" cy="-34" />
<circle r="12" cx="332" cy="-482" />
</graph>
</symbol>

View File

@ -0,0 +1,108 @@
// Verilog test fixture created from schematic C:\Users\Fred6502\Xilinx\tutorial\AppleIIGateSch\chip74S195.sch - Wed May 30 20:53:58 2018
`timescale 1ns / 1ps
module chip74S195_chip74S195_sch_tb();
// Inputs
reg CLRn_1;
reg CP_10;
reg SH_LDn;
reg J_2;
reg K_3;
reg P0_4;
reg P1_5;
reg P2_6;
reg P3_7;
// Output
wire Q0_15;
wire Q1_14;
wire Q2_13;
wire Q3_12;
wire Q3n_11;
// Bidirs
// simulation vars
integer i, errct;
wire [4:0] qbus = {Q3n_11,Q3_12,Q2_13,Q1_14,Q0_15};
wire [4:0] pbus = {~P3_7,P3_7,P2_6,P1_5,P0_4};
// Instantiate the UUT
chip74S195 UUT (
.CLRn_1(CLRn_1),
.CP_10(CP_10),
.SH_LDn(SH_LDn),
.J_2(J_2),
.K_3(K_3),
.P0_4(P0_4),
.P1_5(P1_5),
.P2_6(P2_6),
.P3_7(P3_7),
.Q0_15(Q0_15),
.Q1_14(Q1_14),
.Q2_13(Q2_13),
.Q3_12(Q3_12),
.Q3n_11(Q3n_11)
);
// Initialize Inputs
`ifdef auto_init
initial begin
$display("auto_init defined");
end
`else
initial begin
$display("not defined auto_init");
end
`endif
initial begin
CLRn_1 = 0;
CP_10 = 0;
SH_LDn = 0;
J_2 = 0;
K_3 = 0;
P0_4 = 0;
P1_5 = 0;
P2_6 = 0;
P3_7 = 0;
errct = 0;
#105
CLRn_1 = 1;
#5
for (i=0; i<16; i=i+1) begin
{P3_7,P2_6,P1_5,P0_4} = i[3:0];
CP_10 = 0;
#5
CP_10 = 1;
#5;
if ( qbus!= pbus ) begin
errct = errct + 1;
$display("ERROR: qbus=%b != pbus=%b", qbus, pbus);
end
end
#5;
SH_LDn = 1;
K_3 = 1;
#5;
// Test shifting in bits. Not used in APPLE II.
for (i=0; i<16; i=i+1) begin
if ( i[1:0]==0 ) begin
J_2 = 0; K_3 = 1;
end else begin
J_2 = 1; K_3 = 0;
end
CP_10 = 0;
#5
CP_10 = 1;
#5;
end
#10;
if (errct == 0) begin
$display("PASSED");
end else begin
$display("FAILED errct=%d", errct);
end
$finish;
end
endmodule

View File

@ -0,0 +1,7 @@
sch2hdl -intstyle ise -family spartan3e -verilog chipi74LS153.vf -w C:/Users/Fred6502/Xilinx/tutorial/AppleIIGateSch/chipi74LS153.sch
sch2sym -intstyle ise -family spartan3e -refsym chipi74LS153 C:/Users/Fred6502/Xilinx/tutorial/AppleIIGateSch/chipi74LS153.sch C:/Users/Fred6502/Xilinx/tutorial/AppleIIGateSch/chipi74LS153.sym
sch2hdl -intstyle ise -family spartan3e -verilog chipi74LS153_drc.vf -w C:/Users/Fred6502/Xilinx/tutorial/AppleIIGateSch/chipi74LS153.sch
sch2hdl -intstyle ise -family spartan3e -verilog chipi74LS153_drc.vf -w C:/Users/Fred6502/Xilinx/tutorial/AppleIIGateSch/chipi74LS153.sch
sch2hdl -intstyle ise -family spartan3e -verilog chipi74LS153.vf -w C:/Users/Fred6502/Xilinx/tutorial/AppleIIGateSch/chipi74LS153.sch
sch2hdl -intstyle ise -family spartan3e -verilog chipi74LS153_drc.vf -w C:/Users/Fred6502/Xilinx/tutorial/AppleIIGateSch/chipi74LS153.sch
sch2verilog -intstyle ise -family spartan3e -tionly {} -tiext tfi -w C:/Users/Fred6502/Xilinx/tutorial/AppleIIGateSch/chipi74LS153.sch chipi74LS153.tfi

View File

@ -0,0 +1 @@
MODULE chipi74LS153

View File

@ -0,0 +1,384 @@
<?xml version="1.0" encoding="UTF-8"?>
<drawing version="7">
<attr value="spartan3e" name="DeviceFamilyName">
<trait delete="all:0" />
<trait editname="all:0" />
<trait edittrait="all:0" />
</attr>
<netlist>
<signal name="XLXN_1" />
<signal name="XLXN_3" />
<signal name="XLXN_4" />
<signal name="XLXN_5" />
<signal name="XLXN_6" />
<signal name="XLXN_7" />
<signal name="XLXN_8" />
<signal name="XLXN_9" />
<signal name="Zb_9" />
<signal name="Ebn_15" />
<signal name="XLXN_14" />
<signal name="b0_10" />
<signal name="b2_12" />
<signal name="b3_13" />
<signal name="Za_7" />
<signal name="Ean_11" />
<signal name="a0_6" />
<signal name="a1_5" />
<signal name="a2_4" />
<signal name="a3_3" />
<signal name="XLXN_26" />
<signal name="XLXN_42" />
<signal name="b1_11" />
<signal name="S0_14" />
<signal name="XLXN_52" />
<signal name="XLXN_53" />
<signal name="XLXN_54" />
<signal name="S1_2" />
<port polarity="Output" name="Zb_9" />
<port polarity="Input" name="Ebn_15" />
<port polarity="Input" name="b0_10" />
<port polarity="Input" name="b2_12" />
<port polarity="Input" name="b3_13" />
<port polarity="Output" name="Za_7" />
<port polarity="Input" name="Ean_11" />
<port polarity="Input" name="a0_6" />
<port polarity="Input" name="a1_5" />
<port polarity="Input" name="a2_4" />
<port polarity="Input" name="a3_3" />
<port polarity="Input" name="b1_11" />
<port polarity="Input" name="S0_14" />
<port polarity="Input" name="S1_2" />
<blockdef name="or4">
<timestamp>2000-1-1T10:10:10</timestamp>
<line x2="48" y1="-64" y2="-64" x1="0" />
<line x2="64" y1="-128" y2="-128" x1="0" />
<line x2="64" y1="-192" y2="-192" x1="0" />
<line x2="48" y1="-256" y2="-256" x1="0" />
<line x2="192" y1="-160" y2="-160" x1="256" />
<arc ex="112" ey="-208" sx="192" sy="-160" r="88" cx="116" cy="-120" />
<line x2="48" y1="-208" y2="-208" x1="112" />
<line x2="48" y1="-112" y2="-112" x1="112" />
<line x2="48" y1="-256" y2="-208" x1="48" />
<line x2="48" y1="-64" y2="-112" x1="48" />
<arc ex="48" ey="-208" sx="48" sy="-112" r="56" cx="16" cy="-160" />
<arc ex="192" ey="-160" sx="112" sy="-112" r="88" cx="116" cy="-200" />
</blockdef>
<blockdef name="and4">
<timestamp>2000-1-1T10:10:10</timestamp>
<line x2="64" y1="-112" y2="-112" x1="144" />
<arc ex="144" ey="-208" sx="144" sy="-112" r="48" cx="144" cy="-160" />
<line x2="144" y1="-208" y2="-208" x1="64" />
<line x2="64" y1="-64" y2="-256" x1="64" />
<line x2="192" y1="-160" y2="-160" x1="256" />
<line x2="64" y1="-256" y2="-256" x1="0" />
<line x2="64" y1="-192" y2="-192" x1="0" />
<line x2="64" y1="-128" y2="-128" x1="0" />
<line x2="64" y1="-64" y2="-64" x1="0" />
</blockdef>
<blockdef name="inv">
<timestamp>2000-1-1T10:10:10</timestamp>
<line x2="64" y1="-32" y2="-32" x1="0" />
<line x2="160" y1="-32" y2="-32" x1="224" />
<line x2="128" y1="-64" y2="-32" x1="64" />
<line x2="64" y1="-32" y2="0" x1="128" />
<line x2="64" y1="0" y2="-64" x1="64" />
<circle r="16" cx="144" cy="-32" />
</blockdef>
<block symbolname="or4" name="XLXI_1">
<blockpin signalname="XLXN_5" name="I0" />
<blockpin signalname="XLXN_4" name="I1" />
<blockpin signalname="XLXN_3" name="I2" />
<blockpin signalname="XLXN_1" name="I3" />
<blockpin signalname="Za_7" name="O" />
</block>
<block symbolname="or4" name="XLXI_2">
<blockpin signalname="XLXN_9" name="I0" />
<blockpin signalname="XLXN_8" name="I1" />
<blockpin signalname="XLXN_7" name="I2" />
<blockpin signalname="XLXN_6" name="I3" />
<blockpin signalname="Zb_9" name="O" />
</block>
<block symbolname="and4" name="XLXI_3">
<blockpin signalname="a1_5" name="I0" />
<blockpin signalname="XLXN_26" name="I1" />
<blockpin signalname="XLXN_53" name="I2" />
<blockpin signalname="XLXN_14" name="I3" />
<blockpin signalname="XLXN_3" name="O" />
</block>
<block symbolname="and4" name="XLXI_4">
<blockpin signalname="a0_6" name="I0" />
<blockpin signalname="XLXN_52" name="I1" />
<blockpin signalname="XLXN_53" name="I2" />
<blockpin signalname="XLXN_14" name="I3" />
<blockpin signalname="XLXN_1" name="O" />
</block>
<block symbolname="and4" name="XLXI_5">
<blockpin signalname="a2_4" name="I0" />
<blockpin signalname="XLXN_52" name="I1" />
<blockpin signalname="XLXN_54" name="I2" />
<blockpin signalname="XLXN_14" name="I3" />
<blockpin signalname="XLXN_4" name="O" />
</block>
<block symbolname="and4" name="XLXI_6">
<blockpin signalname="a3_3" name="I0" />
<blockpin signalname="XLXN_26" name="I1" />
<blockpin signalname="XLXN_54" name="I2" />
<blockpin signalname="XLXN_14" name="I3" />
<blockpin signalname="XLXN_5" name="O" />
</block>
<block symbolname="and4" name="XLXI_8">
<blockpin signalname="XLXN_42" name="I0" />
<blockpin signalname="XLXN_26" name="I1" />
<blockpin signalname="XLXN_53" name="I2" />
<blockpin signalname="b1_11" name="I3" />
<blockpin signalname="XLXN_7" name="O" />
</block>
<block symbolname="and4" name="XLXI_9">
<blockpin signalname="XLXN_42" name="I0" />
<blockpin signalname="XLXN_52" name="I1" />
<blockpin signalname="XLXN_54" name="I2" />
<blockpin signalname="b2_12" name="I3" />
<blockpin signalname="XLXN_8" name="O" />
</block>
<block symbolname="and4" name="XLXI_10">
<blockpin signalname="XLXN_42" name="I0" />
<blockpin signalname="XLXN_26" name="I1" />
<blockpin signalname="XLXN_54" name="I2" />
<blockpin signalname="b3_13" name="I3" />
<blockpin signalname="XLXN_9" name="O" />
</block>
<block symbolname="inv" name="XLXI_11">
<blockpin signalname="Ean_11" name="I" />
<blockpin signalname="XLXN_14" name="O" />
</block>
<block symbolname="inv" name="XLXI_12">
<blockpin signalname="Ebn_15" name="I" />
<blockpin signalname="XLXN_42" name="O" />
</block>
<block symbolname="inv" name="XLXI_13">
<blockpin signalname="XLXN_52" name="I" />
<blockpin signalname="XLXN_26" name="O" />
</block>
<block symbolname="inv" name="XLXI_14">
<blockpin signalname="S0_14" name="I" />
<blockpin signalname="XLXN_52" name="O" />
</block>
<block symbolname="and4" name="XLXI_15">
<blockpin signalname="XLXN_42" name="I0" />
<blockpin signalname="XLXN_52" name="I1" />
<blockpin signalname="XLXN_53" name="I2" />
<blockpin signalname="b0_10" name="I3" />
<blockpin signalname="XLXN_6" name="O" />
</block>
<block symbolname="inv" name="XLXI_16">
<blockpin signalname="XLXN_53" name="I" />
<blockpin signalname="XLXN_54" name="O" />
</block>
<block symbolname="inv" name="XLXI_17">
<blockpin signalname="S1_2" name="I" />
<blockpin signalname="XLXN_53" name="O" />
</block>
</netlist>
<sheet sheetnum="1" width="3520" height="2720">
<instance x="2080" y="704" name="XLXI_3" orien="R0" />
<instance x="2080" y="400" name="XLXI_4" orien="R0" />
<instance x="2080" y="992" name="XLXI_5" orien="R0" />
<instance x="2064" y="1296" name="XLXI_6" orien="R0" />
<instance x="2768" y="864" name="XLXI_1" orien="R0" />
<instance x="2064" y="2608" name="XLXI_10" orien="R0" />
<branch name="XLXN_1">
<wire x2="2768" y1="240" y2="240" x1="2336" />
<wire x2="2768" y1="240" y2="608" x1="2768" />
</branch>
<branch name="XLXN_3">
<wire x2="2544" y1="544" y2="544" x1="2336" />
<wire x2="2544" y1="544" y2="672" x1="2544" />
<wire x2="2768" y1="672" y2="672" x1="2544" />
</branch>
<branch name="XLXN_4">
<wire x2="2544" y1="832" y2="832" x1="2336" />
<wire x2="2544" y1="736" y2="832" x1="2544" />
<wire x2="2768" y1="736" y2="736" x1="2544" />
</branch>
<branch name="XLXN_5">
<wire x2="2768" y1="1136" y2="1136" x1="2320" />
<wire x2="2768" y1="800" y2="1136" x1="2768" />
</branch>
<branch name="XLXN_6">
<wire x2="2736" y1="1680" y2="1680" x1="2320" />
<wire x2="2736" y1="1680" y2="1968" x1="2736" />
</branch>
<branch name="XLXN_9">
<wire x2="2736" y1="2448" y2="2448" x1="2320" />
<wire x2="2736" y1="2160" y2="2448" x1="2736" />
</branch>
<branch name="Za_7">
<wire x2="3168" y1="704" y2="704" x1="3024" />
</branch>
<branch name="Zb_9">
<wire x2="3168" y1="2064" y2="2064" x1="2992" />
</branch>
<instance x="992" y="176" name="XLXI_11" orien="R0" />
<branch name="Ean_11">
<attrtext style="alignment:SOFT-BCENTER;fontsize:28;fontname:Arial" attrname="Name" x="864" y="144" type="branch" />
<wire x2="864" y1="144" y2="144" x1="784" />
<wire x2="992" y1="144" y2="144" x1="864" />
</branch>
<branch name="XLXN_14">
<wire x2="1952" y1="144" y2="144" x1="1216" />
<wire x2="2080" y1="144" y2="144" x1="1952" />
<wire x2="1952" y1="144" y2="448" x1="1952" />
<wire x2="1952" y1="448" y2="736" x1="1952" />
<wire x2="1952" y1="736" y2="1040" x1="1952" />
<wire x2="2064" y1="1040" y2="1040" x1="1952" />
<wire x2="2080" y1="736" y2="736" x1="1952" />
<wire x2="2080" y1="448" y2="448" x1="1952" />
</branch>
<branch name="a0_6">
<wire x2="2080" y1="336" y2="336" x1="1024" />
</branch>
<branch name="a1_5">
<wire x2="2080" y1="640" y2="640" x1="1040" />
</branch>
<branch name="a2_4">
<wire x2="2080" y1="928" y2="928" x1="1024" />
</branch>
<branch name="a3_3">
<wire x2="2064" y1="1232" y2="1232" x1="1024" />
</branch>
<iomarker fontsize="28" x="1024" y="336" name="a0_6" orien="R180" />
<iomarker fontsize="28" x="1040" y="640" name="a1_5" orien="R180" />
<iomarker fontsize="28" x="1024" y="928" name="a2_4" orien="R180" />
<iomarker fontsize="28" x="1024" y="1232" name="a3_3" orien="R180" />
<instance x="896" y="2576" name="XLXI_12" orien="R0" />
<branch name="Ebn_15">
<attrtext style="alignment:SOFT-BCENTER;fontsize:28;fontname:Arial" attrname="Name" x="672" y="2544" type="branch" />
<wire x2="672" y1="2544" y2="2544" x1="592" />
<wire x2="896" y1="2544" y2="2544" x1="672" />
</branch>
<iomarker fontsize="28" x="3168" y="704" name="Za_7" orien="R0" />
<branch name="b0_10">
<attrtext style="alignment:SOFT-BCENTER;fontsize:28;fontname:Arial" attrname="Name" x="1120" y="1584" type="branch" />
<wire x2="1120" y1="1584" y2="1584" x1="1008" />
<wire x2="2064" y1="1584" y2="1584" x1="1120" />
</branch>
<branch name="b2_12">
<attrtext style="alignment:SOFT-BCENTER;fontsize:28;fontname:Arial" attrname="Name" x="1120" y="2096" type="branch" />
<wire x2="1120" y1="2096" y2="2096" x1="1024" />
<wire x2="2064" y1="2096" y2="2096" x1="1120" />
</branch>
<branch name="b3_13">
<attrtext style="alignment:SOFT-BCENTER;fontsize:28;fontname:Arial" attrname="Name" x="1120" y="2352" type="branch" />
<wire x2="1120" y1="2352" y2="2352" x1="1040" />
<wire x2="2064" y1="2352" y2="2352" x1="1120" />
</branch>
<iomarker fontsize="28" x="1040" y="2352" name="b3_13" orien="R180" />
<branch name="XLXN_26">
<wire x2="1744" y1="1456" y2="1456" x1="1264" />
<wire x2="1744" y1="1456" y2="1968" x1="1744" />
<wire x2="2064" y1="1968" y2="1968" x1="1744" />
<wire x2="1744" y1="1968" y2="2480" x1="1744" />
<wire x2="2064" y1="2480" y2="2480" x1="1744" />
<wire x2="2080" y1="576" y2="576" x1="1744" />
<wire x2="1744" y1="576" y2="1168" x1="1744" />
<wire x2="2064" y1="1168" y2="1168" x1="1744" />
<wire x2="1744" y1="1168" y2="1456" x1="1744" />
</branch>
<instance x="2064" y="2352" name="XLXI_9" orien="R0" />
<instance x="2064" y="2096" name="XLXI_8" orien="R0" />
<instance x="2736" y="2224" name="XLXI_2" orien="R0" />
<branch name="XLXN_7">
<wire x2="2336" y1="1936" y2="1936" x1="2320" />
<wire x2="2336" y1="1936" y2="2032" x1="2336" />
<wire x2="2736" y1="2032" y2="2032" x1="2336" />
</branch>
<branch name="XLXN_8">
<wire x2="2336" y1="2192" y2="2192" x1="2320" />
<wire x2="2736" y1="2096" y2="2096" x1="2336" />
<wire x2="2336" y1="2096" y2="2192" x1="2336" />
</branch>
<iomarker fontsize="28" x="3168" y="2064" name="Zb_9" orien="R0" />
<iomarker fontsize="28" x="1008" y="1584" name="b0_10" orien="R180" />
<instance x="2064" y="1840" name="XLXI_15" orien="R0" />
<branch name="XLXN_42">
<attrtext style="alignment:SOFT-BCENTER;fontsize:28;fontname:Arial" attrname="Name" x="1536" y="2544" type="branch" />
<wire x2="1536" y1="2544" y2="2544" x1="1120" />
<wire x2="1952" y1="2544" y2="2544" x1="1536" />
<wire x2="2064" y1="2544" y2="2544" x1="1952" />
<wire x2="2064" y1="1776" y2="1776" x1="1952" />
<wire x2="1952" y1="1776" y2="2032" x1="1952" />
<wire x2="1952" y1="2032" y2="2288" x1="1952" />
<wire x2="1952" y1="2288" y2="2544" x1="1952" />
<wire x2="2064" y1="2288" y2="2288" x1="1952" />
<wire x2="2064" y1="2032" y2="2032" x1="1952" />
</branch>
<iomarker fontsize="28" x="1024" y="2096" name="b2_12" orien="R180" />
<branch name="b1_11">
<attrtext style="alignment:SOFT-BCENTER;fontsize:28;fontname:Arial" attrname="Name" x="1104" y="1840" type="branch" />
<wire x2="1104" y1="1840" y2="1840" x1="1008" />
<wire x2="2064" y1="1840" y2="1840" x1="1104" />
</branch>
<iomarker fontsize="28" x="1008" y="1840" name="b1_11" orien="R180" />
<branch name="S0_14">
<attrtext style="alignment:SOFT-BCENTER;fontsize:28;fontname:Arial" attrname="Name" x="592" y="1456" type="branch" />
<wire x2="592" y1="1456" y2="1456" x1="528" />
<wire x2="720" y1="1456" y2="1456" x1="592" />
</branch>
<instance x="1040" y="1488" name="XLXI_13" orien="R0" />
<instance x="720" y="1488" name="XLXI_14" orien="R0" />
<iomarker fontsize="28" x="528" y="1456" name="S0_14" orien="R180" />
<branch name="XLXN_52">
<wire x2="992" y1="1456" y2="1456" x1="944" />
<wire x2="1040" y1="1456" y2="1456" x1="992" />
<wire x2="992" y1="1456" y2="1504" x1="992" />
<wire x2="1888" y1="1504" y2="1504" x1="992" />
<wire x2="1888" y1="1504" y2="1712" x1="1888" />
<wire x2="1888" y1="1712" y2="2224" x1="1888" />
<wire x2="2064" y1="2224" y2="2224" x1="1888" />
<wire x2="2064" y1="1712" y2="1712" x1="1888" />
<wire x2="2080" y1="272" y2="272" x1="1888" />
<wire x2="1888" y1="272" y2="864" x1="1888" />
<wire x2="2080" y1="864" y2="864" x1="1888" />
<wire x2="1888" y1="864" y2="1504" x1="1888" />
</branch>
<branch name="XLXN_53">
<wire x2="992" y1="1360" y2="1360" x1="944" />
<wire x2="1040" y1="1360" y2="1360" x1="992" />
<wire x2="1824" y1="1312" y2="1312" x1="992" />
<wire x2="1824" y1="1312" y2="1648" x1="1824" />
<wire x2="1824" y1="1648" y2="1904" x1="1824" />
<wire x2="2064" y1="1904" y2="1904" x1="1824" />
<wire x2="2064" y1="1648" y2="1648" x1="1824" />
<wire x2="992" y1="1312" y2="1360" x1="992" />
<wire x2="2080" y1="208" y2="208" x1="1824" />
<wire x2="1824" y1="208" y2="512" x1="1824" />
<wire x2="2080" y1="512" y2="512" x1="1824" />
<wire x2="1824" y1="512" y2="1312" x1="1824" />
</branch>
<branch name="XLXN_54">
<wire x2="1328" y1="1360" y2="1360" x1="1264" />
<wire x2="1664" y1="1360" y2="1360" x1="1328" />
<wire x2="1664" y1="1360" y2="2160" x1="1664" />
<wire x2="1664" y1="2160" y2="2416" x1="1664" />
<wire x2="2064" y1="2416" y2="2416" x1="1664" />
<wire x2="2064" y1="2160" y2="2160" x1="1664" />
<wire x2="2080" y1="800" y2="800" x1="1664" />
<wire x2="1664" y1="800" y2="1104" x1="1664" />
<wire x2="2064" y1="1104" y2="1104" x1="1664" />
<wire x2="1664" y1="1104" y2="1360" x1="1664" />
</branch>
<instance x="1040" y="1392" name="XLXI_16" orien="R0" />
<instance x="720" y="1392" name="XLXI_17" orien="R0" />
<branch name="S1_2">
<attrtext style="alignment:SOFT-BCENTER;fontsize:28;fontname:Arial" attrname="Name" x="592" y="1360" type="branch" />
<wire x2="592" y1="1360" y2="1360" x1="512" />
<wire x2="720" y1="1360" y2="1360" x1="592" />
</branch>
<iomarker fontsize="28" x="512" y="1360" name="S1_2" orien="R180" />
<text style="fontsize:52;fontname:Arial" x="2712" y="72">74LS153 from TI TTL Data Book</text>
<text style="fontsize:52;fontname:Arial" x="2788" y="156">Made for APPLE II schematic</text>
<text style="fontsize:52;fontname:Arial" x="3108" y="248">FWK 20180527</text>
<iomarker fontsize="28" x="784" y="144" name="Ean_11" orien="R180" />
<iomarker fontsize="28" x="592" y="2544" name="Ebn_15" orien="R180" />
</sheet>
</drawing>

View File

@ -0,0 +1,53 @@
<?xml version="1.0" encoding="UTF-8"?>
<symbol version="7" name="chipi74LS153">
<symboltype>BLOCK</symboltype>
<timestamp>2018-6-4T6:43:36</timestamp>
<pin polarity="Input" x="384" y="-224" name="a0_6" />
<pin polarity="Input" x="384" y="-160" name="a1_5" />
<pin polarity="Input" x="384" y="-96" name="a2_4" />
<pin polarity="Input" x="384" y="-32" name="a3_3" />
<pin polarity="Input" x="384" y="-544" name="b0_10" />
<pin polarity="Input" x="384" y="-480" name="b1_11" />
<pin polarity="Input" x="384" y="-416" name="b2_12" />
<pin polarity="Input" x="384" y="-352" name="b3_13" />
<pin polarity="Input" x="0" y="-288" name="Ean_11" />
<pin polarity="Input" x="0" y="-352" name="Ebn_15" />
<pin polarity="Input" x="0" y="-544" name="S0_14" />
<pin polarity="Input" x="0" y="-32" name="S1_2" />
<pin polarity="Output" x="0" y="-224" name="Za_7" />
<pin polarity="Output" x="0" y="-480" name="Zb_9" />
<graph>
<rect width="256" x="64" y="-576" height="576" />
<attrtext style="alignment:BCENTER;fontsize:40;fontname:Arial" attrname="SymbolName" x="192" y="-584" type="symbol" />
<line x2="384" y1="-224" y2="-224" x1="320" />
<attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="312" y="-224" type="pin a0_6" />
<line x2="384" y1="-160" y2="-160" x1="320" />
<attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="312" y="-160" type="pin a1_5" />
<line x2="384" y1="-96" y2="-96" x1="320" />
<attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="312" y="-96" type="pin a2_4" />
<line x2="384" y1="-32" y2="-32" x1="320" />
<attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="312" y="-32" type="pin a3_3" />
<line x2="384" y1="-544" y2="-544" x1="320" />
<attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="312" y="-544" type="pin b0_10" />
<line x2="384" y1="-480" y2="-480" x1="320" />
<attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="312" y="-480" type="pin b1_11" />
<line x2="384" y1="-416" y2="-416" x1="320" />
<attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="312" y="-416" type="pin b2_12" />
<line x2="384" y1="-352" y2="-352" x1="320" />
<attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="312" y="-352" type="pin b3_13" />
<line x2="0" y1="-288" y2="-288" x1="64" />
<attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-288" type="pin Ean_11" />
<line x2="0" y1="-352" y2="-352" x1="64" />
<attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-352" type="pin Ebn_15" />
<line x2="0" y1="-544" y2="-544" x1="64" />
<attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-544" type="pin S0_14" />
<line x2="0" y1="-32" y2="-32" x1="64" />
<attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-32" type="pin S1_2" />
<line x2="0" y1="-224" y2="-224" x1="64" />
<attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-224" type="pin Za_7" />
<line x2="0" y1="-480" y2="-480" x1="64" />
<attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-480" type="pin Zb_9" />
<circle r="12" cx="56" cy="-352" />
<circle r="12" cx="56" cy="-288" />
</graph>
</symbol>

View File

@ -0,0 +1,9 @@
<?xml version="1.0" encoding="UTF-8"?>
<!-- IMPORTANT: This is an internal file that has been generated
by the Xilinx ISE software. Any direct editing or
changes made to this file may result in unpredictable
behavior or data corruption. It is strongly advised that
users do not edit the contents of this file. -->
<messages>
</messages>

View File

@ -0,0 +1 @@
-intstyle "ise" -incremental -lib "unisims_ver" -lib "unimacro_ver" -lib "xilinxcorelib_ver" -o "C:/Users/Fred6502/Xilinx/tutorial/AppleIIGateSch/chip74LS257_chip74LS257_sch_tb_isim_beh.exe" -prj "C:/Users/Fred6502/Xilinx/tutorial/AppleIIGateSch/chip74LS257_chip74LS257_sch_tb_beh.prj" "work.chip74LS257_chip74LS257_sch_tb" "work.glbl"

View File

@ -0,0 +1,133 @@
<?xml version='1.0' encoding='utf-8'?>
<!--This is an ISE project configuration file.-->
<!--It holds project specific layout data for the projectmgr plugin.-->
<!--Copyright (c) 1995-2009 Xilinx, Inc. All rights reserved.-->
<Project version="2" owner="projectmgr" name="AppleIIGateSch" >
<!--This is an ISE project configuration file.-->
<ItemView engineview="SynthesisOnly" guiview="Source" compilemode="AutoCompile" >
<ClosedNodes>
<ClosedNodesVersion>2</ClosedNodesVersion>
</ClosedNodes>
<SelectedItems>
<SelectedItem>chip74LS257 (C:/Users/Fred6502/Xilinx/tutorial/AppleIIGateSch/chip74LS257.sch)</SelectedItem>
</SelectedItems>
<ScrollbarPosition orientation="vertical" >4</ScrollbarPosition>
<ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
<ViewHeaderState orientation="horizontal" >000000ff00000000000000010000000100000000000000000000000000000000020200000001000000010000006400000154000000020000000000000000000000000200000064ffffffff000000810000000300000002000001540000000100000003000000000000000100000003</ViewHeaderState>
<UserChangedColumnWidths orientation="horizontal" >true</UserChangedColumnWidths>
<CurrentItem>chip74LS257 (C:/Users/Fred6502/Xilinx/tutorial/AppleIIGateSch/chip74LS257.sch)</CurrentItem>
</ItemView>
<ItemView engineview="SynthesisOnly" sourcetype="" guiview="Process" >
<ClosedNodes>
<ClosedNodesVersion>1</ClosedNodesVersion>
<ClosedNode>Design Utilities</ClosedNode>
</ClosedNodes>
<SelectedItems>
<SelectedItem/>
</SelectedItems>
<ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
<ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
<ViewHeaderState orientation="horizontal" >000000ff00000000000000010000000100000000000000000000000000000000000000000000000108000000010000000100000000000000000000000064ffffffff000000810000000000000001000001080000000100000000</ViewHeaderState>
<UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
<CurrentItem/>
</ItemView>
<ItemView guiview="File" >
<ClosedNodes>
<ClosedNodesVersion>1</ClosedNodesVersion>
</ClosedNodes>
<SelectedItems>
<SelectedItem>chip74LS161.sch</SelectedItem>
</SelectedItems>
<ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
<ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
<ViewHeaderState orientation="horizontal" >000000ff0000000000000001000000000000000001000000000000000000000000000000000000028e000000040101000100000000000000000000000064ffffffff000000810000000000000004000000420000000100000000000000240000000100000000000000660000000100000000000001c20000000100000000</ViewHeaderState>
<UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
<CurrentItem>chip74LS161.sch</CurrentItem>
</ItemView>
<ItemView guiview="Library" >
<ClosedNodes>
<ClosedNodesVersion>1</ClosedNodesVersion>
</ClosedNodes>
<SelectedItems>
<SelectedItem>chip74LS153_tb.v</SelectedItem>
</SelectedItems>
<ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
<ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
<ViewHeaderState orientation="horizontal" >000000ff000000000000000100000000000000000100000000000000000000000000000000000000f8000000010001000100000000000000000000000064ffffffff000000810000000000000001000000f80000000100000000</ViewHeaderState>
<UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
<CurrentItem>chip74LS153_tb.v</CurrentItem>
</ItemView>
<ItemView engineview="BehavioralSim" guiview="Source" compilemode="AutoCompile" >
<ClosedNodes>
<ClosedNodesVersion>2</ClosedNodesVersion>
</ClosedNodes>
<SelectedItems>
<SelectedItem>chip74LS257_chip74LS257_sch_tb (C:/Users/Fred6502/Xilinx/tutorial/AppleIIGateSch/chip74LS257_tb.v)</SelectedItem>
</SelectedItems>
<ScrollbarPosition orientation="vertical" >15</ScrollbarPosition>
<ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
<ViewHeaderState orientation="horizontal" >000000ff000000000000000100000001000000000000000000000000000000000202000000010000000100000064000001e4000000020000000000000000000000000200000064ffffffff000000810000000300000002000001e40000000100000003000000000000000100000003</ViewHeaderState>
<UserChangedColumnWidths orientation="horizontal" >true</UserChangedColumnWidths>
<CurrentItem>chip74LS257_chip74LS257_sch_tb (C:/Users/Fred6502/Xilinx/tutorial/AppleIIGateSch/chip74LS257_tb.v)</CurrentItem>
</ItemView>
<ItemView engineview="BehavioralSim" sourcetype="" guiview="Process" >
<ClosedNodes>
<ClosedNodesVersion>1</ClosedNodesVersion>
</ClosedNodes>
<SelectedItems>
<SelectedItem/>
</SelectedItems>
<ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
<ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
<ViewHeaderState orientation="horizontal" >000000ff00000000000000010000000100000000000000000000000000000000000000000000000108000000010000000100000000000000000000000064ffffffff000000810000000000000001000001080000000100000000</ViewHeaderState>
<UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
<CurrentItem/>
</ItemView>
<ItemView engineview="SynthesisOnly" sourcetype="DESUT_SCHEMATIC" guiview="Process" >
<ClosedNodes>
<ClosedNodesVersion>1</ClosedNodesVersion>
<ClosedNode>Configure Target Device</ClosedNode>
<ClosedNode>Implement Design/Map</ClosedNode>
<ClosedNode>Implement Design/Place &amp; Route</ClosedNode>
<ClosedNode>Implement Design/Translate</ClosedNode>
<ClosedNode>Synthesize - XST</ClosedNode>
<ClosedNode>User Constraints</ClosedNode>
</ClosedNodes>
<SelectedItems>
<SelectedItem>Design Utilities</SelectedItem>
</SelectedItems>
<ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
<ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
<ViewHeaderState orientation="horizontal" >000000ff00000000000000010000000100000000000000000000000000000000000000000000000108000000010000000100000000000000000000000064ffffffff000000810000000000000001000001080000000100000000</ViewHeaderState>
<UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
<CurrentItem>Design Utilities</CurrentItem>
</ItemView>
<ItemView engineview="BehavioralSim" sourcetype="DESUT_VERILOG" guiview="Process" >
<ClosedNodes>
<ClosedNodesVersion>1</ClosedNodesVersion>
</ClosedNodes>
<SelectedItems>
<SelectedItem>Simulate Behavioral Model</SelectedItem>
</SelectedItems>
<ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
<ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
<ViewHeaderState orientation="horizontal" >000000ff00000000000000010000000100000000000000000000000000000000000000000000000108000000010000000100000000000000000000000064ffffffff000000810000000000000001000001080000000100000000</ViewHeaderState>
<UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
<CurrentItem>Simulate Behavioral Model</CurrentItem>
</ItemView>
<SourceProcessView>000000ff00000000000000020000011b0000011b01000000050100000002</SourceProcessView>
<CurrentView>Behavioral Simulation</CurrentView>
<ItemView engineview="BehavioralSim" sourcetype="DESUT_SCHEMATIC" guiview="Process" >
<ClosedNodes>
<ClosedNodesVersion>1</ClosedNodesVersion>
</ClosedNodes>
<SelectedItems>
<SelectedItem></SelectedItem>
</SelectedItems>
<ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
<ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
<ViewHeaderState orientation="horizontal" >000000ff00000000000000010000000100000000000000000000000000000000000000000000000108000000010000000100000000000000000000000064ffffffff000000810000000000000001000001080000000100000000</ViewHeaderState>
<UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
<CurrentItem></CurrentItem>
</ItemView>
</Project>

View File

@ -0,0 +1,215 @@
<?xml version='1.0' encoding='UTF-8'?>
<report-views version="2.0" >
<header>
<DateModified>2018-06-07T15:05:13</DateModified>
<ModuleName>ClockVideoGenerator</ModuleName>
<SummaryTimeStamp>2018-06-04T00:17:34</SummaryTimeStamp>
<SavedFilePath>C:/Users/Fred6502/Xilinx/tutorial/AppleIIGateSch/iseconfig/ClockVideoGenerator.xreport</SavedFilePath>
<ImplementationReportsDirectory>C:/Users/Fred6502/Xilinx/tutorial/AppleIIGateSch\</ImplementationReportsDirectory>
<DateInitialized>2018-06-03T23:03:36</DateInitialized>
<EnableMessageFiltering>false</EnableMessageFiltering>
</header>
<body>
<viewgroup label="Design Overview" >
<view inputState="Unknown" program="implementation" ShowPartitionData="false" type="FPGASummary" file="ClockVideoGenerator_summary.html" label="Summary" >
<toc-item title="Design Overview" target="Design Overview" />
<toc-item title="Design Utilization Summary" target="Design Utilization Summary" />
<toc-item title="Performance Summary" target="Performance Summary" />
<toc-item title="Failing Constraints" target="Failing Constraints" />
<toc-item title="Detailed Reports" target="Detailed Reports" />
</view>
<view inputState="Unknown" program="implementation" contextTags="FPGA_ONLY" hidden="true" type="HTML" file="ClockVideoGenerator_envsettings.html" label="System Settings" />
<view inputState="Translated" program="map" locator="MAP_IOB_TABLE" contextTags="FPGA_ONLY" type="IOBProperties" file="ClockVideoGenerator_map.xrpt" label="IOB Properties" />
<view inputState="Translated" program="map" contextTags="FPGA_ONLY" hidden="true" type="Control_Sets" file="ClockVideoGenerator_map.xrpt" label="Control Set Information" />
<view inputState="Translated" program="map" locator="MAP_MODULE_HIERARCHY" contextTags="FPGA_ONLY" type="Module_Utilization" file="ClockVideoGenerator_map.xrpt" label="Module Level Utilization" />
<view inputState="Mapped" program="par" locator="CONSTRAINT_TABLE" contextTags="FPGA_ONLY" type="ConstraintsData" file="ClockVideoGenerator.ptwx" label="Timing Constraints" translator="ptwxToTableXML.xslt" />
<view inputState="Mapped" program="par" locator="PAR_PINOUT_BY_PIN_NUMBER" contextTags="FPGA_ONLY" type="PinoutData" file="ClockVideoGenerator_par.xrpt" label="Pinout Report" />
<view inputState="Mapped" program="par" locator="PAR_CLOCK_TABLE" contextTags="FPGA_ONLY" type="ClocksData" file="ClockVideoGenerator_par.xrpt" label="Clock Report" />
<view inputState="Mapped" program="par" contextTags="FPGA_ONLY,EDK_OFF" type="Timing_Analyzer" file="ClockVideoGenerator.twx" label="Static Timing" />
<view inputState="Translated" program="cpldfit" contextTags="CPLD_ONLY,EDK_OFF" hidden="true" type="EXTERNAL_HTML" file="ClockVideoGenerator_html/fit/report.htm" label="CPLD Fitter Report" />
<view inputState="Fitted" program="taengine" contextTags="CPLD_ONLY,EDK_OFF" hidden="true" type="EXTERNAL_HTML" file="ClockVideoGenerator_html/tim/report.htm" label="CPLD Timing Report" />
</viewgroup>
<viewgroup label="XPS Errors and Warnings" >
<view program="platgen" WrapMessages="true" contextTags="EDK_ON" hidden="true" type="MessageList" hideColumns="Filtered" file="__xps/ise/_xmsgs/platgen.xmsgs" label="Platgen Messages" />
<view program="simgen" WrapMessages="true" contextTags="EDK_ON" hidden="true" type="MessageList" hideColumns="Filtered" file="__xps/ise/_xmsgs/simgen.xmsgs" label="Simgen Messages" />
<view program="bitinit" WrapMessages="true" contextTags="EDK_ON" hidden="true" type="MessageList" hideColumns="Filtered" file="__xps/ise/_xmsgs/bitinit.xmsgs" label="BitInit Messages" />
</viewgroup>
<viewgroup label="XPS Reports" >
<view inputState="PreSynthesized" program="platgen" contextTags="EDK_ON" hidden="true" type="Secondary_Report" file="platgen.log" label="Platgen Log File" />
<view inputState="PreSynthesized" program="simgen" contextTags="EDK_ON" hidden="true" type="Secondary_Report" file="simgen.log" label="Simgen Log File" />
<view inputState="PreSynthesized" program="bitinit" contextTags="EDK_ON" hidden="true" type="Secondary_Report" file="bitinit.log" label="BitInit Log File" />
<view inputState="PreSynthesized" program="system" contextTags="EDK_ON" hidden="true" type="Secondary_Report" file="ClockVideoGenerator.log" label="System Log File" />
</viewgroup>
<viewgroup label="Errors and Warnings" >
<view program="pn" WrapMessages="true" contextTags="EDK_OFF" type="MessageList" hideColumns="Filtered, New" file="_xmsgs/pn_parser.xmsgs" label="Parser Messages" />
<view program="xst" WrapMessages="true" contextTags="XST_ONLY,EDK_OFF" hidden="false" type="MessageList" hideColumns="Filtered" file="_xmsgs/xst.xmsgs" label="Synthesis Messages" />
<view inputState="Synthesized" program="ngdbuild" WrapMessages="true" type="MessageList" hideColumns="Filtered" file="_xmsgs/ngdbuild.xmsgs" label="Translation Messages" />
<view inputState="Translated" program="map" WrapMessages="true" contextTags="FPGA_ONLY" type="MessageList" hideColumns="Filtered" file="_xmsgs/map.xmsgs" label="Map Messages" />
<view inputState="Mapped" program="par" WrapMessages="true" contextTags="FPGA_ONLY" type="MessageList" hideColumns="Filtered" file="_xmsgs/par.xmsgs" label="Place and Route Messages" />
<view inputState="Routed" program="trce" WrapMessages="true" contextTags="FPGA_ONLY" type="MessageList" hideColumns="Filtered" file="_xmsgs/trce.xmsgs" label="Timing Messages" />
<view inputState="Routed" program="xpwr" WrapMessages="true" contextTags="EDK_OFF" hidden="true" type="MessageList" hideColumns="Filtered" file="_xmsgs/xpwr.xmsgs" label="Power Messages" />
<view inputState="Routed" program="bitgen" WrapMessages="true" contextTags="FPGA_ONLY" type="MessageList" hideColumns="Filtered" file="_xmsgs/bitgen.xmsgs" label="Bitgen Messages" />
<view inputState="Translated" program="cpldfit" WrapMessages="true" contextTags="CPLD_ONLY,EDK_OFF" hidden="true" type="MessageList" hideColumns="Filtered" file="_xmsgs/cpldfit.xmsgs" label="Fitter Messages" />
<view inputState="Current" program="implementation" WrapMessages="true" fileList="_xmsgs/xst.xmsgs,_xmsgs/ngdbuild.xmsgs,_xmsgs/map.xmsgs,_xmsgs/par.xmsgs,_xmsgs/trce.xmsgs,_xmsgs/xpwr.xmsgs,_xmsgs/bitgen.xmsgs" contextTags="FPGA_ONLY" type="MessageList" hideColumns="Filtered" file="_xmsgs/*.xmsgs" label="All Implementation Messages" />
<view inputState="Current" program="fitting" WrapMessages="true" fileList="_xmsgs/xst.xmsgs,_xmsgs/ngdbuild.xmsgs,_xmsgs/cpldfit.xmsgs,_xmsgs/xpwr.xmsgs" contextTags="CPLD_ONLY,EDK_OFF" hidden="true" type="CPLD_MessageList" hideColumns="Filtered" file="_xmsgs/*.xmsgs" label="All Implementation Messages (CPLD)" />
</viewgroup>
<viewgroup label="Detailed Reports" >
<view program="xst" contextTags="XST_ONLY,EDK_OFF" hidden="false" type="Report" file="ClockVideoGenerator.syr" label="Synthesis Report" >
<toc-item title="Top of Report" target="Copyright " searchDir="Forward" />
<toc-item title="Synthesis Options Summary" target=" Synthesis Options Summary " />
<toc-item title="HDL Compilation" target=" HDL Compilation " />
<toc-item title="Design Hierarchy Analysis" target=" Design Hierarchy Analysis " />
<toc-item title="HDL Analysis" target=" HDL Analysis " />
<toc-item title="HDL Parsing" target=" HDL Parsing " />
<toc-item title="HDL Elaboration" target=" HDL Elaboration " />
<toc-item title="HDL Synthesis" target=" HDL Synthesis " />
<toc-item title="HDL Synthesis Report" target="HDL Synthesis Report" searchCnt="2" searchDir="Backward" subItemLevel="1" />
<toc-item title="Advanced HDL Synthesis" target=" Advanced HDL Synthesis " searchDir="Backward" />
<toc-item title="Advanced HDL Synthesis Report" target="Advanced HDL Synthesis Report" subItemLevel="1" />
<toc-item title="Low Level Synthesis" target=" Low Level Synthesis " />
<toc-item title="Partition Report" target=" Partition Report " />
<toc-item title="Final Report" target=" Final Report " />
<toc-item title="Design Summary" target=" Design Summary " />
<toc-item title="Primitive and Black Box Usage" target="Primitive and Black Box Usage:" subItemLevel="1" />
<toc-item title="Device Utilization Summary" target="Device utilization summary:" subItemLevel="1" />
<toc-item title="Partition Resource Summary" target="Partition Resource Summary:" subItemLevel="1" />
<toc-item title="Timing Report" target="Timing Report" subItemLevel="1" />
<toc-item title="Clock Information" target="Clock Information" subItemLevel="2" />
<toc-item title="Asynchronous Control Signals Information" target="Asynchronous Control Signals Information" subItemLevel="2" />
<toc-item title="Timing Summary" target="Timing Summary" subItemLevel="2" />
<toc-item title="Timing Details" target="Timing Details" subItemLevel="2" />
<toc-item title="Cross Clock Domains Report" target="Cross Clock Domains Report:" subItemLevel="2" />
</view>
<view program="synplify" contextTags="SYNPLIFY_ONLY,EDK_OFF" hidden="true" type="Report" file="ClockVideoGenerator.srr" label="Synplify Report" />
<view program="precision" contextTags="PRECISION_ONLY,EDK_OFF" hidden="true" type="Report" file="ClockVideoGenerator.prec_log" label="Precision Report" />
<view inputState="Synthesized" program="ngdbuild" type="Report" file="ClockVideoGenerator.bld" label="Translation Report" >
<toc-item title="Top of Report" target="Copyright (c)" searchDir="Forward" />
<toc-item title="Command Line" target="Command Line:" />
<toc-item title="Partition Status" target="Partition Implementation Status" />
<toc-item title="Final Summary" target="NGDBUILD Design Results Summary:" />
</view>
<view inputState="Translated" program="map" contextTags="FPGA_ONLY" type="Report" file="ClockVideoGenerator_map.mrp" label="Map Report" >
<toc-item title="Top of Report" target="Release" searchDir="Forward" />
<toc-item title="Section 1: Errors" target="Section 1 -" searchDir="Backward" />
<toc-item title="Section 2: Warnings" target="Section 2 -" searchDir="Backward" />
<toc-item title="Section 3: Infos" target="Section 3 -" searchDir="Backward" />
<toc-item title="Section 4: Removed Logic Summary" target="Section 4 -" searchDir="Backward" />
<toc-item title="Section 5: Removed Logic" target="Section 5 -" searchDir="Backward" />
<toc-item title="Section 6: IOB Properties" target="Section 6 -" searchDir="Backward" />
<toc-item title="Section 7: RPMs" target="Section 7 -" searchDir="Backward" />
<toc-item title="Section 8: Guide Report" target="Section 8 -" searchDir="Backward" />
<toc-item title="Section 9: Area Group and Partition Summary" target="Section 9 -" searchDir="Backward" />
<toc-item title="Section 10: Timing Report" target="Section 10 -" searchDir="Backward" />
<toc-item title="Section 11: Configuration String Details" target="Section 11 -" searchDir="Backward" />
<toc-item title="Section 12: Control Set Information" target="Section 12 -" searchDir="Backward" />
<toc-item title="Section 13: Utilization by Hierarchy" target="Section 13 -" searchDir="Backward" />
</view>
<view inputState="Mapped" program="par" contextTags="FPGA_ONLY" type="Report" file="ClockVideoGenerator.par" label="Place and Route Report" >
<toc-item title="Top of Report" target="Copyright (c)" searchDir="Forward" />
<toc-item title="Device Utilization" target="Device Utilization Summary:" />
<toc-item title="Router Information" target="Starting Router" />
<toc-item title="Partition Status" target="Partition Implementation Status" />
<toc-item title="Clock Report" target="Generating Clock Report" />
<toc-item title="Timing Results" target="Timing Score:" />
<toc-item title="Final Summary" target="Peak Memory Usage:" />
</view>
<view inputState="Routed" program="trce" contextTags="FPGA_ONLY" type="Report" file="ClockVideoGenerator.twr" label="Post-PAR Static Timing Report" >
<toc-item title="Top of Report" target="Copyright (c)" searchDir="Forward" />
<toc-item title="Timing Report Description" target="Device,package,speed:" />
<toc-item title="Informational Messages" target="INFO:" />
<toc-item title="Warning Messages" target="WARNING:" />
<toc-item title="Timing Constraints" target="Timing constraint:" />
<toc-item title="Derived Constraint Report" target="Derived Constraint Report" />
<toc-item title="Data Sheet Report" target="Data Sheet report:" />
<toc-item title="Timing Summary" target="Timing summary:" />
<toc-item title="Trace Settings" target="Trace Settings:" />
</view>
<view inputState="Translated" program="cpldfit" contextTags="CPLD_ONLY,EDK_OFF" hidden="true" type="Report" file="ClockVideoGenerator.rpt" label="CPLD Fitter Report (Text)" >
<toc-item title="Top of Report" target="cpldfit:" searchDir="Forward" />
<toc-item title="Resources Summary" target="** Mapped Resource Summary **" />
<toc-item title="Pin Resources" target="** Pin Resources **" />
<toc-item title="Global Resources" target="** Global Control Resources **" />
</view>
<view inputState="Fitted" program="taengine" contextTags="CPLD_ONLY,EDK_OFF" hidden="true" type="Report" file="ClockVideoGenerator.tim" label="CPLD Timing Report (Text)" >
<toc-item title="Top of Report" target="Performance Summary Report" searchDir="Forward" />
<toc-item title="Performance Summary" target="Performance Summary:" />
</view>
<view inputState="Routed" program="xpwr" contextTags="EDK_OFF" type="Report" file="ClockVideoGenerator.pwr" label="Power Report" >
<toc-item title="Top of Report" target="Copyright (c)" searchDir="Forward" />
<toc-item title="Power summary" target="Power summary" />
<toc-item title="Thermal summary" target="Thermal summary" />
</view>
<view inputState="Routed" program="bitgen" contextTags="FPGA_ONLY" type="Report" file="ClockVideoGenerator.bgn" label="Bitgen Report" >
<toc-item title="Top of Report" target="Copyright (c)" searchDir="Forward" />
<toc-item title="Bitgen Options" target="Summary of Bitgen Options:" />
<toc-item title="Final Summary" target="DRC detected" />
</view>
</viewgroup>
<viewgroup label="Secondary Reports" >
<view inputState="PreSynthesized" program="isim" hidden="if_missing" type="Secondary_Report" file="isim.log" label="ISIM Simulator Log" />
<view inputState="Synthesized" program="netgen" hidden="if_missing" type="Secondary_Report" file="netgen/synthesis/ClockVideoGenerator_synthesis.nlf" label="Post-Synthesis Simulation Model Report" >
<toc-item title="Top of Report" target="Release" searchDir="Forward" />
</view>
<view inputState="Translated" program="netgen" hidden="if_missing" type="Secondary_Report" file="netgen/translate/ClockVideoGenerator_translate.nlf" label="Post-Translate Simulation Model Report" >
<toc-item title="Top of Report" target="Release" searchDir="Forward" />
</view>
<view inputState="Translated" program="netgen" hidden="if_missing" type="Secondary_Report" file="ClockVideoGenerator_tran_fecn.nlf" label="Post-Translate Formality Netlist Report" />
<view inputState="Translated" program="map" contextTags="FPGA_ONLY" hidden="true" type="Secondary_Report" file="ClockVideoGenerator_map.map" label="Map Log File" >
<toc-item title="Top of Report" target="Release" searchDir="Forward" />
<toc-item title="Design Information" target="Design Information" />
<toc-item title="Design Summary" target="Design Summary" />
</view>
<view inputState="Routed" program="smartxplorer" contextTags="FPGA_ONLY" hidden="if_missing" type="Secondary_Report" file="smartxplorer_results/smartxplorer.txt" label="SmartXplorer Report" />
<view inputState="Mapped" program="trce" contextTags="FPGA_ONLY" hidden="if_missing" type="Secondary_Report" file="ClockVideoGenerator_preroute.twr" label="Post-Map Static Timing Report" >
<toc-item title="Top of Report" target="Copyright (c)" searchDir="Forward" />
<toc-item title="Timing Report Description" target="Device,package,speed:" />
<toc-item title="Informational Messages" target="INFO:" />
<toc-item title="Warning Messages" target="WARNING:" />
<toc-item title="Timing Constraints" target="Timing constraint:" />
<toc-item title="Derived Constraint Report" target="Derived Constraint Report" />
<toc-item title="Data Sheet Report" target="Data Sheet report:" />
<toc-item title="Timing Summary" target="Timing summary:" />
<toc-item title="Trace Settings" target="Trace Settings:" />
</view>
<view inputState="Mapped" program="netgen" hidden="if_missing" type="Secondary_Report" file="netgen/map/ClockVideoGenerator_map.nlf" label="Post-Map Simulation Model Report" />
<view inputState="Mapped" program="map" contextTags="FPGA_ONLY" hidden="if_missing" type="Secondary_Report" file="ClockVideoGenerator_map.psr" label="Physical Synthesis Report" >
<toc-item title="Top of Report" target="Copyright (c)" searchDir="Forward" />
</view>
<view inputState="Mapped" program="par" contextTags="FPGA_ONLY" hidden="true" type="Pad_Report" file="ClockVideoGenerator_pad.txt" label="Pad Report" >
<toc-item title="Top of Report" target="Copyright (c)" searchDir="Forward" />
</view>
<view inputState="Mapped" program="par" contextTags="FPGA_ONLY" hidden="true" type="Secondary_Report" file="ClockVideoGenerator.unroutes" label="Unroutes Report" >
<toc-item title="Top of Report" target="Copyright (c)" searchDir="Forward" />
</view>
<view inputState="Mapped" program="map" contextTags="FPGA_ONLY" hidden="if_missing" type="Secondary_Report" file="ClockVideoGenerator_preroute.tsi" label="Post-Map Constraints Interaction Report" >
<toc-item title="Top of Report" target="Release" searchDir="Forward" />
</view>
<view inputState="Mapped" program="par" contextTags="FPGA_ONLY" hidden="if_missing" type="Secondary_Report" file="ClockVideoGenerator.grf" label="Guide Results Report" />
<view inputState="Routed" program="par" contextTags="FPGA_ONLY" hidden="if_missing" type="Secondary_Report" file="ClockVideoGenerator.dly" label="Asynchronous Delay Report" />
<view inputState="Routed" program="par" contextTags="FPGA_ONLY" hidden="if_missing" type="Secondary_Report" file="ClockVideoGenerator.clk_rgn" label="Clock Region Report" />
<view inputState="Routed" program="par" contextTags="FPGA_ONLY" hidden="if_missing" type="Secondary_Report" file="ClockVideoGenerator.tsi" label="Post-Place and Route Constraints Interaction Report" >
<toc-item title="Top of Report" target="Copyright (c)" searchDir="Forward" />
</view>
<view inputState="Routed" program="netgen" hidden="if_missing" type="Secondary_Report" file="ClockVideoGenerator_par_fecn.nlf" label="Post-Place and Route Formality Netlist Report" />
<view inputState="Routed" program="netgen" contextTags="FPGA_ONLY" hidden="if_missing" type="Secondary_Report" file="netgen/par/ClockVideoGenerator_timesim.nlf" label="Post-Place and Route Simulation Model Report" />
<view inputState="Routed" program="netgen" hidden="if_missing" type="Secondary_Report" file="ClockVideoGenerator_sta.nlf" label="Primetime Netlist Report" >
<toc-item title="Top of Report" target="Release" searchDir="Forward" />
</view>
<view inputState="Routed" program="ibiswriter" hidden="if_missing" type="Secondary_Report" file="ClockVideoGenerator.ibs" label="IBIS Model" >
<toc-item title="Top of Report" target="IBIS Models for" searchDir="Forward" />
<toc-item title="Component" target="Component " />
</view>
<view inputState="Routed" program="pin2ucf" contextTags="FPGA_ONLY" hidden="if_missing" type="Secondary_Report" file="ClockVideoGenerator.lck" label="Back-annotate Pin Report" >
<toc-item title="Top of Report" target="pin2ucf Report File" searchDir="Forward" />
<toc-item title="Constraint Conflicts Information" target="Constraint Conflicts Information" />
</view>
<view inputState="Routed" program="pin2ucf" contextTags="FPGA_ONLY" hidden="if_missing" type="Secondary_Report" file="ClockVideoGenerator.lpc" label="Locked Pin Constraints" >
<toc-item title="Top of Report" target="top.lpc" searchDir="Forward" />
<toc-item title="Newly Added Constraints" target="The following constraints were newly added" />
</view>
<view inputState="Translated" program="netgen" contextTags="CPLD_ONLY,EDK_OFF" hidden="if_missing" type="Secondary_Report" file="netgen/fit/ClockVideoGenerator_timesim.nlf" label="Post-Fit Simulation Model Report" />
<view inputState="Routed" program="bitgen" contextTags="FPGA_ONLY" hidden="if_missing" type="HTML" file="usage_statistics_webtalk.html" label="WebTalk Report" />
<view inputState="Routed" program="bitgen" contextTags="FPGA_ONLY" hidden="if_missing" type="Secondary_Report" file="webtalk.log" label="WebTalk Log File" />
</viewgroup>
</body>
</report-views>

View File

@ -0,0 +1,215 @@
<?xml version='1.0' encoding='UTF-8'?>
<report-views version="2.0" >
<header>
<DateModified>2018-06-03T22:59:28</DateModified>
<ModuleName>ClockVideoGenerator</ModuleName>
<SummaryTimeStamp>2018-05-23T17:00:46</SummaryTimeStamp>
<SavedFilePath>C:/Users/Fred6502/Xilinx/tutorial/AppleIIGateSch/iseconfig/chip74LS161.xreport</SavedFilePath>
<ImplementationReportsDirectory>C:/Users/Fred6502/Xilinx/tutorial/AppleIIGateSch\</ImplementationReportsDirectory>
<DateInitialized>2018-06-03T22:59:27</DateInitialized>
<EnableMessageFiltering>false</EnableMessageFiltering>
</header>
<body>
<viewgroup label="Design Overview" >
<view inputState="Unknown" program="implementation" ShowPartitionData="false" type="FPGASummary" file="ClockVideoGenerator_summary.html" label="Summary" >
<toc-item title="Design Overview" target="Design Overview" />
<toc-item title="Design Utilization Summary" target="Design Utilization Summary" />
<toc-item title="Performance Summary" target="Performance Summary" />
<toc-item title="Failing Constraints" target="Failing Constraints" />
<toc-item title="Detailed Reports" target="Detailed Reports" />
</view>
<view inputState="Unknown" program="implementation" contextTags="FPGA_ONLY" hidden="true" type="HTML" file="ClockVideoGenerator_envsettings.html" label="System Settings" />
<view inputState="Translated" program="map" locator="MAP_IOB_TABLE" contextTags="FPGA_ONLY" type="IOBProperties" file="ClockVideoGenerator_map.xrpt" label="IOB Properties" />
<view inputState="Translated" program="map" contextTags="FPGA_ONLY" hidden="true" type="Control_Sets" file="ClockVideoGenerator_map.xrpt" label="Control Set Information" />
<view inputState="Translated" program="map" locator="MAP_MODULE_HIERARCHY" contextTags="FPGA_ONLY" type="Module_Utilization" file="ClockVideoGenerator_map.xrpt" label="Module Level Utilization" />
<view inputState="Mapped" program="par" locator="CONSTRAINT_TABLE" contextTags="FPGA_ONLY" type="ConstraintsData" file="ClockVideoGenerator.ptwx" label="Timing Constraints" translator="ptwxToTableXML.xslt" />
<view inputState="Mapped" program="par" locator="PAR_PINOUT_BY_PIN_NUMBER" contextTags="FPGA_ONLY" type="PinoutData" file="ClockVideoGenerator_par.xrpt" label="Pinout Report" />
<view inputState="Mapped" program="par" locator="PAR_CLOCK_TABLE" contextTags="FPGA_ONLY" type="ClocksData" file="ClockVideoGenerator_par.xrpt" label="Clock Report" />
<view inputState="Mapped" program="par" contextTags="FPGA_ONLY,EDK_OFF" type="Timing_Analyzer" file="ClockVideoGenerator.twx" label="Static Timing" />
<view inputState="Translated" program="cpldfit" contextTags="CPLD_ONLY,EDK_OFF" hidden="true" type="EXTERNAL_HTML" file="ClockVideoGenerator_html/fit/report.htm" label="CPLD Fitter Report" />
<view inputState="Fitted" program="taengine" contextTags="CPLD_ONLY,EDK_OFF" hidden="true" type="EXTERNAL_HTML" file="ClockVideoGenerator_html/tim/report.htm" label="CPLD Timing Report" />
</viewgroup>
<viewgroup label="XPS Errors and Warnings" >
<view program="platgen" WrapMessages="true" contextTags="EDK_ON" hidden="true" type="MessageList" hideColumns="Filtered" file="__xps/ise/_xmsgs/platgen.xmsgs" label="Platgen Messages" />
<view program="simgen" WrapMessages="true" contextTags="EDK_ON" hidden="true" type="MessageList" hideColumns="Filtered" file="__xps/ise/_xmsgs/simgen.xmsgs" label="Simgen Messages" />
<view program="bitinit" WrapMessages="true" contextTags="EDK_ON" hidden="true" type="MessageList" hideColumns="Filtered" file="__xps/ise/_xmsgs/bitinit.xmsgs" label="BitInit Messages" />
</viewgroup>
<viewgroup label="XPS Reports" >
<view inputState="PreSynthesized" program="platgen" contextTags="EDK_ON" hidden="true" type="Secondary_Report" file="platgen.log" label="Platgen Log File" />
<view inputState="PreSynthesized" program="simgen" contextTags="EDK_ON" hidden="true" type="Secondary_Report" file="simgen.log" label="Simgen Log File" />
<view inputState="PreSynthesized" program="bitinit" contextTags="EDK_ON" hidden="true" type="Secondary_Report" file="bitinit.log" label="BitInit Log File" />
<view inputState="PreSynthesized" program="system" contextTags="EDK_ON" hidden="true" type="Secondary_Report" file="ClockVideoGenerator.log" label="System Log File" />
</viewgroup>
<viewgroup label="Errors and Warnings" >
<view program="pn" WrapMessages="true" contextTags="EDK_OFF" type="MessageList" hideColumns="Filtered, New" file="_xmsgs/pn_parser.xmsgs" label="Parser Messages" />
<view program="xst" WrapMessages="true" contextTags="XST_ONLY,EDK_OFF" hidden="false" type="MessageList" hideColumns="Filtered" file="_xmsgs/xst.xmsgs" label="Synthesis Messages" />
<view inputState="Synthesized" program="ngdbuild" WrapMessages="true" type="MessageList" hideColumns="Filtered" file="_xmsgs/ngdbuild.xmsgs" label="Translation Messages" />
<view inputState="Translated" program="map" WrapMessages="true" contextTags="FPGA_ONLY" type="MessageList" hideColumns="Filtered" file="_xmsgs/map.xmsgs" label="Map Messages" />
<view inputState="Mapped" program="par" WrapMessages="true" contextTags="FPGA_ONLY" type="MessageList" hideColumns="Filtered" file="_xmsgs/par.xmsgs" label="Place and Route Messages" />
<view inputState="Routed" program="trce" WrapMessages="true" contextTags="FPGA_ONLY" type="MessageList" hideColumns="Filtered" file="_xmsgs/trce.xmsgs" label="Timing Messages" />
<view inputState="Routed" program="xpwr" WrapMessages="true" contextTags="EDK_OFF" hidden="true" type="MessageList" hideColumns="Filtered" file="_xmsgs/xpwr.xmsgs" label="Power Messages" />
<view inputState="Routed" program="bitgen" WrapMessages="true" contextTags="FPGA_ONLY" type="MessageList" hideColumns="Filtered" file="_xmsgs/bitgen.xmsgs" label="Bitgen Messages" />
<view inputState="Translated" program="cpldfit" WrapMessages="true" contextTags="CPLD_ONLY,EDK_OFF" hidden="true" type="MessageList" hideColumns="Filtered" file="_xmsgs/cpldfit.xmsgs" label="Fitter Messages" />
<view inputState="Current" program="implementation" WrapMessages="true" fileList="_xmsgs/xst.xmsgs,_xmsgs/ngdbuild.xmsgs,_xmsgs/map.xmsgs,_xmsgs/par.xmsgs,_xmsgs/trce.xmsgs,_xmsgs/xpwr.xmsgs,_xmsgs/bitgen.xmsgs" contextTags="FPGA_ONLY" type="MessageList" hideColumns="Filtered" file="_xmsgs/*.xmsgs" label="All Implementation Messages" />
<view inputState="Current" program="fitting" WrapMessages="true" fileList="_xmsgs/xst.xmsgs,_xmsgs/ngdbuild.xmsgs,_xmsgs/cpldfit.xmsgs,_xmsgs/xpwr.xmsgs" contextTags="CPLD_ONLY,EDK_OFF" hidden="true" type="CPLD_MessageList" hideColumns="Filtered" file="_xmsgs/*.xmsgs" label="All Implementation Messages (CPLD)" />
</viewgroup>
<viewgroup label="Detailed Reports" >
<view program="xst" contextTags="XST_ONLY,EDK_OFF" hidden="false" type="Report" file="ClockVideoGenerator.syr" label="Synthesis Report" >
<toc-item title="Top of Report" target="Copyright " searchDir="Forward" />
<toc-item title="Synthesis Options Summary" target=" Synthesis Options Summary " />
<toc-item title="HDL Compilation" target=" HDL Compilation " />
<toc-item title="Design Hierarchy Analysis" target=" Design Hierarchy Analysis " />
<toc-item title="HDL Analysis" target=" HDL Analysis " />
<toc-item title="HDL Parsing" target=" HDL Parsing " />
<toc-item title="HDL Elaboration" target=" HDL Elaboration " />
<toc-item title="HDL Synthesis" target=" HDL Synthesis " />
<toc-item title="HDL Synthesis Report" target="HDL Synthesis Report" searchCnt="2" searchDir="Backward" subItemLevel="1" />
<toc-item title="Advanced HDL Synthesis" target=" Advanced HDL Synthesis " searchDir="Backward" />
<toc-item title="Advanced HDL Synthesis Report" target="Advanced HDL Synthesis Report" subItemLevel="1" />
<toc-item title="Low Level Synthesis" target=" Low Level Synthesis " />
<toc-item title="Partition Report" target=" Partition Report " />
<toc-item title="Final Report" target=" Final Report " />
<toc-item title="Design Summary" target=" Design Summary " />
<toc-item title="Primitive and Black Box Usage" target="Primitive and Black Box Usage:" subItemLevel="1" />
<toc-item title="Device Utilization Summary" target="Device utilization summary:" subItemLevel="1" />
<toc-item title="Partition Resource Summary" target="Partition Resource Summary:" subItemLevel="1" />
<toc-item title="Timing Report" target="Timing Report" subItemLevel="1" />
<toc-item title="Clock Information" target="Clock Information" subItemLevel="2" />
<toc-item title="Asynchronous Control Signals Information" target="Asynchronous Control Signals Information" subItemLevel="2" />
<toc-item title="Timing Summary" target="Timing Summary" subItemLevel="2" />
<toc-item title="Timing Details" target="Timing Details" subItemLevel="2" />
<toc-item title="Cross Clock Domains Report" target="Cross Clock Domains Report:" subItemLevel="2" />
</view>
<view program="synplify" contextTags="SYNPLIFY_ONLY,EDK_OFF" hidden="true" type="Report" file="ClockVideoGenerator.srr" label="Synplify Report" />
<view program="precision" contextTags="PRECISION_ONLY,EDK_OFF" hidden="true" type="Report" file="ClockVideoGenerator.prec_log" label="Precision Report" />
<view inputState="Synthesized" program="ngdbuild" type="Report" file="ClockVideoGenerator.bld" label="Translation Report" >
<toc-item title="Top of Report" target="Copyright (c)" searchDir="Forward" />
<toc-item title="Command Line" target="Command Line:" />
<toc-item title="Partition Status" target="Partition Implementation Status" />
<toc-item title="Final Summary" target="NGDBUILD Design Results Summary:" />
</view>
<view inputState="Translated" program="map" contextTags="FPGA_ONLY" type="Report" file="ClockVideoGenerator_map.mrp" label="Map Report" >
<toc-item title="Top of Report" target="Release" searchDir="Forward" />
<toc-item title="Section 1: Errors" target="Section 1 -" searchDir="Backward" />
<toc-item title="Section 2: Warnings" target="Section 2 -" searchDir="Backward" />
<toc-item title="Section 3: Infos" target="Section 3 -" searchDir="Backward" />
<toc-item title="Section 4: Removed Logic Summary" target="Section 4 -" searchDir="Backward" />
<toc-item title="Section 5: Removed Logic" target="Section 5 -" searchDir="Backward" />
<toc-item title="Section 6: IOB Properties" target="Section 6 -" searchDir="Backward" />
<toc-item title="Section 7: RPMs" target="Section 7 -" searchDir="Backward" />
<toc-item title="Section 8: Guide Report" target="Section 8 -" searchDir="Backward" />
<toc-item title="Section 9: Area Group and Partition Summary" target="Section 9 -" searchDir="Backward" />
<toc-item title="Section 10: Timing Report" target="Section 10 -" searchDir="Backward" />
<toc-item title="Section 11: Configuration String Details" target="Section 11 -" searchDir="Backward" />
<toc-item title="Section 12: Control Set Information" target="Section 12 -" searchDir="Backward" />
<toc-item title="Section 13: Utilization by Hierarchy" target="Section 13 -" searchDir="Backward" />
</view>
<view inputState="Mapped" program="par" contextTags="FPGA_ONLY" type="Report" file="ClockVideoGenerator.par" label="Place and Route Report" >
<toc-item title="Top of Report" target="Copyright (c)" searchDir="Forward" />
<toc-item title="Device Utilization" target="Device Utilization Summary:" />
<toc-item title="Router Information" target="Starting Router" />
<toc-item title="Partition Status" target="Partition Implementation Status" />
<toc-item title="Clock Report" target="Generating Clock Report" />
<toc-item title="Timing Results" target="Timing Score:" />
<toc-item title="Final Summary" target="Peak Memory Usage:" />
</view>
<view inputState="Routed" program="trce" contextTags="FPGA_ONLY" type="Report" file="ClockVideoGenerator.twr" label="Post-PAR Static Timing Report" >
<toc-item title="Top of Report" target="Copyright (c)" searchDir="Forward" />
<toc-item title="Timing Report Description" target="Device,package,speed:" />
<toc-item title="Informational Messages" target="INFO:" />
<toc-item title="Warning Messages" target="WARNING:" />
<toc-item title="Timing Constraints" target="Timing constraint:" />
<toc-item title="Derived Constraint Report" target="Derived Constraint Report" />
<toc-item title="Data Sheet Report" target="Data Sheet report:" />
<toc-item title="Timing Summary" target="Timing summary:" />
<toc-item title="Trace Settings" target="Trace Settings:" />
</view>
<view inputState="Translated" program="cpldfit" contextTags="CPLD_ONLY,EDK_OFF" hidden="true" type="Report" file="ClockVideoGenerator.rpt" label="CPLD Fitter Report (Text)" >
<toc-item title="Top of Report" target="cpldfit:" searchDir="Forward" />
<toc-item title="Resources Summary" target="** Mapped Resource Summary **" />
<toc-item title="Pin Resources" target="** Pin Resources **" />
<toc-item title="Global Resources" target="** Global Control Resources **" />
</view>
<view inputState="Fitted" program="taengine" contextTags="CPLD_ONLY,EDK_OFF" hidden="true" type="Report" file="ClockVideoGenerator.tim" label="CPLD Timing Report (Text)" >
<toc-item title="Top of Report" target="Performance Summary Report" searchDir="Forward" />
<toc-item title="Performance Summary" target="Performance Summary:" />
</view>
<view inputState="Routed" program="xpwr" contextTags="EDK_OFF" type="Report" file="ClockVideoGenerator.pwr" label="Power Report" >
<toc-item title="Top of Report" target="Copyright (c)" searchDir="Forward" />
<toc-item title="Power summary" target="Power summary" />
<toc-item title="Thermal summary" target="Thermal summary" />
</view>
<view inputState="Routed" program="bitgen" contextTags="FPGA_ONLY" type="Report" file="ClockVideoGenerator.bgn" label="Bitgen Report" >
<toc-item title="Top of Report" target="Copyright (c)" searchDir="Forward" />
<toc-item title="Bitgen Options" target="Summary of Bitgen Options:" />
<toc-item title="Final Summary" target="DRC detected" />
</view>
</viewgroup>
<viewgroup label="Secondary Reports" >
<view inputState="PreSynthesized" program="isim" hidden="if_missing" type="Secondary_Report" file="isim.log" label="ISIM Simulator Log" />
<view inputState="Synthesized" program="netgen" hidden="if_missing" type="Secondary_Report" file="netgen/synthesis/ClockVideoGenerator_synthesis.nlf" label="Post-Synthesis Simulation Model Report" >
<toc-item title="Top of Report" target="Release" searchDir="Forward" />
</view>
<view inputState="Translated" program="netgen" hidden="if_missing" type="Secondary_Report" file="netgen/translate/ClockVideoGenerator_translate.nlf" label="Post-Translate Simulation Model Report" >
<toc-item title="Top of Report" target="Release" searchDir="Forward" />
</view>
<view inputState="Translated" program="netgen" hidden="if_missing" type="Secondary_Report" file="ClockVideoGenerator_tran_fecn.nlf" label="Post-Translate Formality Netlist Report" />
<view inputState="Translated" program="map" contextTags="FPGA_ONLY" hidden="true" type="Secondary_Report" file="ClockVideoGenerator_map.map" label="Map Log File" >
<toc-item title="Top of Report" target="Release" searchDir="Forward" />
<toc-item title="Design Information" target="Design Information" />
<toc-item title="Design Summary" target="Design Summary" />
</view>
<view inputState="Routed" program="smartxplorer" contextTags="FPGA_ONLY" hidden="if_missing" type="Secondary_Report" file="smartxplorer_results/smartxplorer.txt" label="SmartXplorer Report" />
<view inputState="Mapped" program="trce" contextTags="FPGA_ONLY" hidden="if_missing" type="Secondary_Report" file="ClockVideoGenerator_preroute.twr" label="Post-Map Static Timing Report" >
<toc-item title="Top of Report" target="Copyright (c)" searchDir="Forward" />
<toc-item title="Timing Report Description" target="Device,package,speed:" />
<toc-item title="Informational Messages" target="INFO:" />
<toc-item title="Warning Messages" target="WARNING:" />
<toc-item title="Timing Constraints" target="Timing constraint:" />
<toc-item title="Derived Constraint Report" target="Derived Constraint Report" />
<toc-item title="Data Sheet Report" target="Data Sheet report:" />
<toc-item title="Timing Summary" target="Timing summary:" />
<toc-item title="Trace Settings" target="Trace Settings:" />
</view>
<view inputState="Mapped" program="netgen" hidden="if_missing" type="Secondary_Report" file="netgen/map/ClockVideoGenerator_map.nlf" label="Post-Map Simulation Model Report" />
<view inputState="Mapped" program="map" contextTags="FPGA_ONLY" hidden="if_missing" type="Secondary_Report" file="ClockVideoGenerator_map.psr" label="Physical Synthesis Report" >
<toc-item title="Top of Report" target="Copyright (c)" searchDir="Forward" />
</view>
<view inputState="Mapped" program="par" contextTags="FPGA_ONLY" hidden="true" type="Pad_Report" file="ClockVideoGenerator_pad.txt" label="Pad Report" >
<toc-item title="Top of Report" target="Copyright (c)" searchDir="Forward" />
</view>
<view inputState="Mapped" program="par" contextTags="FPGA_ONLY" hidden="true" type="Secondary_Report" file="ClockVideoGenerator.unroutes" label="Unroutes Report" >
<toc-item title="Top of Report" target="Copyright (c)" searchDir="Forward" />
</view>
<view inputState="Mapped" program="map" contextTags="FPGA_ONLY" hidden="if_missing" type="Secondary_Report" file="ClockVideoGenerator_preroute.tsi" label="Post-Map Constraints Interaction Report" >
<toc-item title="Top of Report" target="Release" searchDir="Forward" />
</view>
<view inputState="Mapped" program="par" contextTags="FPGA_ONLY" hidden="if_missing" type="Secondary_Report" file="ClockVideoGenerator.grf" label="Guide Results Report" />
<view inputState="Routed" program="par" contextTags="FPGA_ONLY" hidden="if_missing" type="Secondary_Report" file="ClockVideoGenerator.dly" label="Asynchronous Delay Report" />
<view inputState="Routed" program="par" contextTags="FPGA_ONLY" hidden="if_missing" type="Secondary_Report" file="ClockVideoGenerator.clk_rgn" label="Clock Region Report" />
<view inputState="Routed" program="par" contextTags="FPGA_ONLY" hidden="if_missing" type="Secondary_Report" file="ClockVideoGenerator.tsi" label="Post-Place and Route Constraints Interaction Report" >
<toc-item title="Top of Report" target="Copyright (c)" searchDir="Forward" />
</view>
<view inputState="Routed" program="netgen" hidden="if_missing" type="Secondary_Report" file="ClockVideoGenerator_par_fecn.nlf" label="Post-Place and Route Formality Netlist Report" />
<view inputState="Routed" program="netgen" contextTags="FPGA_ONLY" hidden="if_missing" type="Secondary_Report" file="netgen/par/ClockVideoGenerator_timesim.nlf" label="Post-Place and Route Simulation Model Report" />
<view inputState="Routed" program="netgen" hidden="if_missing" type="Secondary_Report" file="ClockVideoGenerator_sta.nlf" label="Primetime Netlist Report" >
<toc-item title="Top of Report" target="Release" searchDir="Forward" />
</view>
<view inputState="Routed" program="ibiswriter" hidden="if_missing" type="Secondary_Report" file="ClockVideoGenerator.ibs" label="IBIS Model" >
<toc-item title="Top of Report" target="IBIS Models for" searchDir="Forward" />
<toc-item title="Component" target="Component " />
</view>
<view inputState="Routed" program="pin2ucf" contextTags="FPGA_ONLY" hidden="if_missing" type="Secondary_Report" file="ClockVideoGenerator.lck" label="Back-annotate Pin Report" >
<toc-item title="Top of Report" target="pin2ucf Report File" searchDir="Forward" />
<toc-item title="Constraint Conflicts Information" target="Constraint Conflicts Information" />
</view>
<view inputState="Routed" program="pin2ucf" contextTags="FPGA_ONLY" hidden="if_missing" type="Secondary_Report" file="ClockVideoGenerator.lpc" label="Locked Pin Constraints" >
<toc-item title="Top of Report" target="top.lpc" searchDir="Forward" />
<toc-item title="Newly Added Constraints" target="The following constraints were newly added" />
</view>
<view inputState="Translated" program="netgen" contextTags="CPLD_ONLY,EDK_OFF" hidden="if_missing" type="Secondary_Report" file="netgen/fit/ClockVideoGenerator_timesim.nlf" label="Post-Fit Simulation Model Report" />
<view inputState="Routed" program="bitgen" contextTags="FPGA_ONLY" hidden="if_missing" type="HTML" file="usage_statistics_webtalk.html" label="WebTalk Report" />
<view inputState="Routed" program="bitgen" contextTags="FPGA_ONLY" hidden="if_missing" type="Secondary_Report" file="webtalk.log" label="WebTalk Log File" />
</viewgroup>
</body>
</report-views>

18
AppleIIGateSch/isim.log Normal file
View File

@ -0,0 +1,18 @@
ISim log file
Running: C:\Users\Fred6502\Xilinx\tutorial\AppleIIGateSch\chip74LS257_chip74LS257_sch_tb_isim_beh.exe -intstyle ise -gui -tclbatch isim.cmd -wdb C:/Users/Fred6502/Xilinx/tutorial/AppleIIGateSch/chip74LS257_chip74LS257_sch_tb_isim_beh.wdb
ISim P.20131013 (signature 0x7708f090)
----------------------------------------------------------------------
WARNING:Security:42 - Your software subscription period has lapsed. Your current version of Xilinx tools will continue to function, but you no longer qualify for Xilinx software updates or new releases.
----------------------------------------------------------------------
This is a Full version of ISim.
Time resolution is 1 ps
# onerror resume
# wave add /
# run 30000 ns
Simulator is doing circuit initialization process.
auto_init not defined
Finished circuit initialization process.
PASSED
Stopped at time : 10340 ns : File "C:/Users/Fred6502/Xilinx/tutorial/AppleIIGateSch/chip74LS257_tb.v" Line 102