Rough schematic and board layout

This commit is contained in:
Zane Kaminski 2019-06-25 19:44:54 -04:00
parent 6158eec9bd
commit 9ba21040f4
7 changed files with 5009 additions and 0 deletions

28
.gitignore vendored Normal file
View File

@ -0,0 +1,28 @@
# For PCBs designed using KiCad: http://www.kicad-pcb.org/
# Format documentation: http://kicad-pcb.org/help/file-formats/
# Temporary files
*.000
*.bak
*.bck
*.kicad_pcb-bak
*~
_autosave-*
*.tmp
*-rescue.lib
*-save.pro
*-save.kicad_pcb
fp-info-cache
# Netlist files (exported from Eeschema)
*.net
# Autorouter files (exported from Pcbnew)
*.dsn
*.ses
# Exported BOM files
*.xml
*.csv
*.DS_Store

482
GR8RAM-cache.lib Normal file
View File

@ -0,0 +1,482 @@
EESchema-LIBRARY Version 2.4
#encoding utf-8
#
# Connector_AVR-JTAG-10
#
DEF Connector_AVR-JTAG-10 J 0 40 Y Y 1 F N
F0 "J" 175 500 50 H V L CNN
F1 "Connector_AVR-JTAG-10" 100 -500 50 H V L CNN
F2 "" -150 150 50 V I C CNN
F3 "" -1275 -550 50 H I C CNN
$FPLIST
IDC?Header*2x05*
Pin?Header*2x05*
$ENDFPLIST
DRAW
S -105 450 -95 420 0 1 0 N
S -5 -420 5 -450 0 1 0 N
S -5 450 5 420 0 1 0 N
S 350 -195 320 -205 0 1 0 N
S 350 -95 320 -105 0 1 0 N
S 350 5 320 -5 0 1 0 N
S 350 105 320 95 0 1 0 N
S 350 205 320 195 0 1 0 N
S 350 305 320 295 0 1 0 N
S 350 450 -350 -450 0 1 10 f
X TCK 1 500 100 150 L 50 50 1 1 P
X GND 10 0 -600 150 U 50 50 1 1 W
X GND 2 0 -600 150 U 50 50 1 1 P N
X TDO 3 500 -100 150 L 50 50 1 1 P
X VREF 4 -100 600 150 D 50 50 1 1 P
X TMS 5 500 0 150 L 50 50 1 1 P
X ~SRST 6 500 300 150 L 50 50 1 1 P
X VCC 7 0 600 150 D 50 50 1 1 W
X ~TRST 8 500 200 150 L 50 50 1 1 P
X TDI 9 500 -200 150 L 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Connector_Generic_Conn_02x25_Counter_Clockwise
#
DEF Connector_Generic_Conn_02x25_Counter_Clockwise J 0 40 Y N 1 F N
F0 "J" 50 1300 50 H V C CNN
F1 "Connector_Generic_Conn_02x25_Counter_Clockwise" 50 -1300 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
Connector*:*_2x??_*
$ENDFPLIST
DRAW
S -50 -1195 0 -1205 1 1 6 N
S -50 -1095 0 -1105 1 1 6 N
S -50 -995 0 -1005 1 1 6 N
S -50 -895 0 -905 1 1 6 N
S -50 -795 0 -805 1 1 6 N
S -50 -695 0 -705 1 1 6 N
S -50 -595 0 -605 1 1 6 N
S -50 -495 0 -505 1 1 6 N
S -50 -395 0 -405 1 1 6 N
S -50 -295 0 -305 1 1 6 N
S -50 -195 0 -205 1 1 6 N
S -50 -95 0 -105 1 1 6 N
S -50 5 0 -5 1 1 6 N
S -50 105 0 95 1 1 6 N
S -50 205 0 195 1 1 6 N
S -50 305 0 295 1 1 6 N
S -50 405 0 395 1 1 6 N
S -50 505 0 495 1 1 6 N
S -50 605 0 595 1 1 6 N
S -50 705 0 695 1 1 6 N
S -50 805 0 795 1 1 6 N
S -50 905 0 895 1 1 6 N
S -50 1005 0 995 1 1 6 N
S -50 1105 0 1095 1 1 6 N
S -50 1205 0 1195 1 1 6 N
S -50 1250 150 -1250 1 1 10 f
S 150 -1195 100 -1205 1 1 6 N
S 150 -1095 100 -1105 1 1 6 N
S 150 -995 100 -1005 1 1 6 N
S 150 -895 100 -905 1 1 6 N
S 150 -795 100 -805 1 1 6 N
S 150 -695 100 -705 1 1 6 N
S 150 -595 100 -605 1 1 6 N
S 150 -495 100 -505 1 1 6 N
S 150 -395 100 -405 1 1 6 N
S 150 -295 100 -305 1 1 6 N
S 150 -195 100 -205 1 1 6 N
S 150 -95 100 -105 1 1 6 N
S 150 5 100 -5 1 1 6 N
S 150 105 100 95 1 1 6 N
S 150 205 100 195 1 1 6 N
S 150 305 100 295 1 1 6 N
S 150 405 100 395 1 1 6 N
S 150 505 100 495 1 1 6 N
S 150 605 100 595 1 1 6 N
S 150 705 100 695 1 1 6 N
S 150 805 100 795 1 1 6 N
S 150 905 100 895 1 1 6 N
S 150 1005 100 995 1 1 6 N
S 150 1105 100 1095 1 1 6 N
S 150 1205 100 1195 1 1 6 N
X Pin_1 1 -200 1200 150 R 50 50 1 1 P
X Pin_10 10 -200 300 150 R 50 50 1 1 P
X Pin_11 11 -200 200 150 R 50 50 1 1 P
X Pin_12 12 -200 100 150 R 50 50 1 1 P
X Pin_13 13 -200 0 150 R 50 50 1 1 P
X Pin_14 14 -200 -100 150 R 50 50 1 1 P
X Pin_15 15 -200 -200 150 R 50 50 1 1 P
X Pin_16 16 -200 -300 150 R 50 50 1 1 P
X Pin_17 17 -200 -400 150 R 50 50 1 1 P
X Pin_18 18 -200 -500 150 R 50 50 1 1 P
X Pin_19 19 -200 -600 150 R 50 50 1 1 P
X Pin_2 2 -200 1100 150 R 50 50 1 1 P
X Pin_20 20 -200 -700 150 R 50 50 1 1 P
X Pin_21 21 -200 -800 150 R 50 50 1 1 P
X Pin_22 22 -200 -900 150 R 50 50 1 1 P
X Pin_23 23 -200 -1000 150 R 50 50 1 1 P
X Pin_24 24 -200 -1100 150 R 50 50 1 1 P
X Pin_25 25 -200 -1200 150 R 50 50 1 1 P
X Pin_26 26 300 -1200 150 L 50 50 1 1 P
X Pin_27 27 300 -1100 150 L 50 50 1 1 P
X Pin_28 28 300 -1000 150 L 50 50 1 1 P
X Pin_29 29 300 -900 150 L 50 50 1 1 P
X Pin_3 3 -200 1000 150 R 50 50 1 1 P
X Pin_30 30 300 -800 150 L 50 50 1 1 P
X Pin_31 31 300 -700 150 L 50 50 1 1 P
X Pin_32 32 300 -600 150 L 50 50 1 1 P
X Pin_33 33 300 -500 150 L 50 50 1 1 P
X Pin_34 34 300 -400 150 L 50 50 1 1 P
X Pin_35 35 300 -300 150 L 50 50 1 1 P
X Pin_36 36 300 -200 150 L 50 50 1 1 P
X Pin_37 37 300 -100 150 L 50 50 1 1 P
X Pin_38 38 300 0 150 L 50 50 1 1 P
X Pin_39 39 300 100 150 L 50 50 1 1 P
X Pin_4 4 -200 900 150 R 50 50 1 1 P
X Pin_40 40 300 200 150 L 50 50 1 1 P
X Pin_41 41 300 300 150 L 50 50 1 1 P
X Pin_42 42 300 400 150 L 50 50 1 1 P
X Pin_43 43 300 500 150 L 50 50 1 1 P
X Pin_44 44 300 600 150 L 50 50 1 1 P
X Pin_45 45 300 700 150 L 50 50 1 1 P
X Pin_46 46 300 800 150 L 50 50 1 1 P
X Pin_47 47 300 900 150 L 50 50 1 1 P
X Pin_48 48 300 1000 150 L 50 50 1 1 P
X Pin_49 49 300 1100 150 L 50 50 1 1 P
X Pin_5 5 -200 800 150 R 50 50 1 1 P
X Pin_50 50 300 1200 150 L 50 50 1 1 P
X Pin_6 6 -200 700 150 R 50 50 1 1 P
X Pin_7 7 -200 600 150 R 50 50 1 1 P
X Pin_8 8 -200 500 150 R 50 50 1 1 P
X Pin_9 9 -200 400 150 R 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Device_CP_Small
#
DEF Device_CP_Small C 0 10 N N 1 F N
F0 "C" 10 70 50 H V L CNN
F1 "Device_CP_Small" 10 -80 50 H V L CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
CP_*
$ENDFPLIST
DRAW
S -60 -12 60 -27 0 1 0 F
S -60 27 60 12 0 1 0 N
P 2 0 1 0 -50 60 -30 60 N
P 2 0 1 0 -40 50 -40 70 N
X ~ 1 0 100 73 D 50 50 1 1 P
X ~ 2 0 -100 73 U 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Device_C_Small
#
DEF Device_C_Small C 0 10 N N 1 F N
F0 "C" 10 70 50 H V L CNN
F1 "Device_C_Small" 10 -80 50 H V L CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
C_*
$ENDFPLIST
DRAW
P 2 0 1 13 -60 -20 60 -20 N
P 2 0 1 12 -60 20 60 20 N
X ~ 1 0 100 80 D 50 50 1 1 P
X ~ 2 0 -100 80 U 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Mechanical_MountingHole
#
DEF Mechanical_MountingHole H 0 40 Y Y 1 F N
F0 "H" 0 200 50 H V C CNN
F1 "Mechanical_MountingHole" 0 125 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
MountingHole*
$ENDFPLIST
DRAW
C 0 0 50 0 1 50 N
ENDDRAW
ENDDEF
#
# Mechanical_MountingHole_Pad
#
DEF Mechanical_MountingHole_Pad H 0 40 N N 1 F N
F0 "H" 0 250 50 H V C CNN
F1 "Mechanical_MountingHole_Pad" 0 175 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
MountingHole*Pad*
$ENDFPLIST
DRAW
C 0 50 50 0 1 50 N
X 1 1 0 -100 100 U 50 50 1 1 I
ENDDRAW
ENDDEF
#
# power_+12V
#
DEF power_+12V #PWR 0 0 Y Y 1 F P
F0 "#PWR" 0 -150 50 H I C CNN
F1 "power_+12V" 0 140 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
DRAW
P 2 0 1 0 -30 50 0 100 N
P 2 0 1 0 0 0 0 100 N
P 2 0 1 0 0 100 30 50 N
X +12V 1 0 0 0 U 50 50 1 1 W N
ENDDRAW
ENDDEF
#
# power_+5V
#
DEF power_+5V #PWR 0 0 Y Y 1 F P
F0 "#PWR" 0 -150 50 H I C CNN
F1 "power_+5V" 0 140 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
DRAW
P 2 0 1 0 -30 50 0 100 N
P 2 0 1 0 0 0 0 100 N
P 2 0 1 0 0 100 30 50 N
X +5V 1 0 0 0 U 50 50 1 1 W N
ENDDRAW
ENDDEF
#
# power_-12V
#
DEF power_-12V #PWR 0 0 Y Y 1 F P
F0 "#PWR" 0 100 50 H I C CNN
F1 "power_-12V" 0 150 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
DRAW
P 6 0 1 0 0 0 0 50 30 50 0 100 -30 50 0 50 F
X -12V 1 0 0 0 U 50 50 0 0 W N
ENDDRAW
ENDDEF
#
# power_-5V
#
DEF power_-5V #PWR 0 0 Y Y 1 F P
F0 "#PWR" 0 100 50 H I C CNN
F1 "power_-5V" 0 150 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
DRAW
P 6 0 1 0 0 0 0 50 30 50 0 100 -30 50 0 50 F
X -5V 1 0 0 0 U 50 50 0 0 W N
ENDDRAW
ENDDEF
#
# power_GND
#
DEF power_GND #PWR 0 0 Y Y 1 F P
F0 "#PWR" 0 -250 50 H I C CNN
F1 "power_GND" 0 -150 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
DRAW
P 6 0 1 0 0 0 0 -50 50 -50 0 -100 -50 -50 0 -50 N
X GND 1 0 0 0 D 50 50 1 1 W N
ENDDRAW
ENDDEF
#
# stdparts_39F040
#
DEF stdparts_39F040 U 0 20 Y Y 1 F N
F0 "U" 0 1050 50 H V C CNN
F1 "stdparts_39F040" 0 0 50 V V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
DRAW
S -300 1000 300 -1000 0 1 10 f
X GND 16 500 -900 200 L 50 50 0 0 W
X VCC 32 500 900 200 L 50 50 0 0 W
X A18 1 -500 -900 200 R 50 50 1 1 I
X A2 10 -500 700 200 R 50 50 1 1 I
X A1 11 -500 800 200 R 50 50 1 1 I
X A0 12 -500 900 200 R 50 50 1 1 I
X D0 13 500 700 200 L 50 50 1 1 T
X D1 14 500 600 200 L 50 50 1 1 T
X D2 15 500 500 200 L 50 50 1 1 T
X D3 17 500 400 200 L 50 50 1 1 T
X D4 18 500 300 200 L 50 50 1 1 T
X D5 19 500 200 200 L 50 50 1 1 T
X A16 2 -500 -700 200 R 50 50 1 1 I
X D6 20 500 100 200 L 50 50 1 1 T
X D7 21 500 0 200 L 50 50 1 1 T
X ~CS~ 22 500 -400 200 L 50 50 1 1 I L
X A10 23 -500 -100 200 R 50 50 1 1 I
X ~OE~ 24 500 -600 200 L 50 50 1 1 I L
X A11 25 -500 -200 200 R 50 50 1 1 I
X A9 26 -500 0 200 R 50 50 1 1 I
X A8 27 -500 100 200 R 50 50 1 1 I
X A13 28 -500 -400 200 R 50 50 1 1 I
X A14 29 -500 -500 200 R 50 50 1 1 I
X A15 3 -500 -600 200 R 50 50 1 1 I
X A17 30 -500 -800 200 R 50 50 1 1 I
X ~WE~ 31 500 -500 200 L 50 50 1 1 I L
X A12 4 -500 -300 200 R 50 50 1 1 I
X A7 5 -500 200 200 R 50 50 1 1 I
X A6 6 -500 300 200 R 50 50 1 1 I
X A5 7 -500 400 200 R 50 50 1 1 I
X A4 8 -500 500 200 R 50 50 1 1 I
X A3 9 -500 600 200 R 50 50 1 1 I
ENDDRAW
ENDDEF
#
# stdparts_AS4C4M4
#
DEF stdparts_AS4C4M4 U 0 20 Y Y 1 F N
F0 "U" 0 800 50 H V C CNN
F1 "stdparts_AS4C4M4" 0 0 50 V V C CNN
F2 "Package_SO:TSOP-II-44_10.16x18.41mm_P0.8mm" 0 -900 50 H I C CNN
F3 "" 0 -450 50 H I C CNN
$FPLIST
SOJ*10.16x23.49mm*P1.27mm*
$ENDFPLIST
DRAW
S -300 750 300 -850 0 1 10 f
X A4 1 -400 250 100 R 50 50 1 1 I
X I/O2 11 400 250 100 L 50 50 1 1 B
X VDD 11 400 650 100 L 50 50 1 1 W
X GND 12 -400 -750 100 R 50 50 1 1 P N
X I/O3 12 400 150 100 L 50 50 1 1 B
X NC 15 -400 -550 100 R 50 50 1 1 N N
X NC 16 -400 -550 100 R 50 50 1 1 N N
X ~WE~ 17 400 -650 100 L 50 50 1 1 I
X A3 2 -400 350 100 R 50 50 1 1 I
X I/O4 25 400 50 100 L 50 50 1 1 B
X I/O5 26 400 -50 100 L 50 50 1 1 B
X A10 27 -400 -350 100 R 50 50 1 1 I
X A9 28 -400 -250 100 R 50 50 1 1 I
X I/O6 29 400 -150 100 L 50 50 1 1 B
X NC 29 -400 -550 100 R 50 50 1 1 N N
X A2 3 -400 450 100 R 50 50 1 1 I
X I/O7 30 400 -250 100 L 50 50 1 1 B
X NC 30 -400 -550 100 R 50 50 1 1 N N
X VDD 33 400 650 100 L 50 50 1 1 W N
X GND 34 -400 -750 100 R 50 50 1 1 W
X NC 37 -400 -550 100 R 50 50 1 1 N N
X NC 38 -400 -550 100 R 50 50 1 1 N N
X A8 39 -400 -150 100 R 50 50 1 1 I
X A1 4 -400 550 100 R 50 50 1 1 I
X ~RAS~ 40 400 -550 100 L 50 50 1 1 I
X ~OE~ 41 400 -750 100 L 50 50 1 1 I
X A7 42 -400 -50 100 R 50 50 1 1 I
X A6 43 -400 50 100 R 50 50 1 1 I
X A5 44 -400 150 100 R 50 50 1 1 I
X A0 5 -400 650 100 R 50 50 1 1 I
X ~CAS~ 6 400 -450 100 L 50 50 1 1 I
X I/O0 7 400 450 100 L 50 50 1 1 B
X NC 7 -400 -550 100 R 50 50 1 1 N N
X I/O1 8 400 350 100 L 50 50 1 1 B
X NC 8 -400 -550 100 R 50 50 1 1 N N
ENDDRAW
ENDDEF
#
# stdparts_EPM7128SL84
#
DEF stdparts_EPM7128SL84 U 0 40 Y Y 1 F N
F0 "U" 0 50 50 H V C CNN
F1 "stdparts_EPM7128SL84" 0 -50 50 H V C CNN
F2 "" -150 200 50 H I C CNN
F3 "" -150 200 50 H I C CNN
DRAW
S -600 -1950 600 1850 0 1 10 f
X ~GClr~ 1 750 900 150 L 50 50 1 1 I
X I/O 10 750 1600 150 L 50 50 1 1 B
X I/O 11 750 1700 150 L 50 50 1 1 B
X I/O 12 -750 1700 150 R 50 50 1 1 B
X VccIO 13 -350 2000 150 D 50 50 1 1 W
X TDI 14 -750 1600 150 R 50 50 1 1 B
X I/O 15 -750 1500 150 R 50 50 1 1 B
X I/O 16 -750 1400 150 R 50 50 1 1 B
X I/O 17 -750 1300 150 R 50 50 1 1 B
X I/O 18 -750 1200 150 R 50 50 1 1 B
X GND 19 -350 -2100 150 U 50 50 1 1 W
X OE2/GClk2 2 750 1000 150 L 50 50 1 1 I
X I/O 20 -750 1100 150 R 50 50 1 1 B
X I/O 21 -750 1000 150 R 50 50 1 1 B
X I/O 22 -750 900 150 R 50 50 1 1 B
X TMS 23 -750 800 150 R 50 50 1 1 B
X I/O 24 -750 700 150 R 50 50 1 1 B
X I/O 25 -750 600 150 R 50 50 1 1 B
X VccIO 26 -250 2000 150 D 50 50 1 1 W
X I/O 27 -750 500 150 R 50 50 1 1 W
X I/O 28 -750 400 150 R 50 50 1 1 B
X I/O 29 -750 300 150 R 50 50 1 1 B
X VccINT 3 350 2000 150 D 50 50 1 1 W
X I/O 30 -750 200 150 R 50 50 1 1 B
X I/O 31 -750 100 150 R 50 50 1 1 B
X GND 32 -250 -2100 150 U 50 50 1 1 W
X I/O 33 -750 -100 150 R 50 50 1 1 B
X I/O 34 -750 -200 150 R 50 50 1 1 B
X I/O 35 -750 -300 150 R 50 50 1 1 B
X I/O 36 -750 -400 150 R 50 50 1 1 B
X I/O 37 -750 -500 150 R 50 50 1 1 B
X VccIO 38 -150 2000 150 D 50 50 1 1 W
X I/O/NC 39 -750 -600 150 R 50 50 1 1 B
X I/O 4 750 1100 150 L 50 50 1 1 B
X I/O 40 -750 -700 150 R 50 50 1 1 B
X I/O 41 -750 -800 150 R 50 50 1 1 B
X GND 42 -150 -2100 150 U 50 50 1 1 W
X VccINT 43 250 2000 150 D 50 50 1 1 W
X I/O 44 -750 -900 150 R 50 50 1 1 B
X I/O 45 -750 -1000 150 R 50 50 1 1 B
X I/O/NC 46 -750 -1100 150 R 50 50 1 1 B
X GND 47 -50 -2100 150 U 50 50 1 1 W
X I/O 48 -750 -1200 150 R 50 50 1 1 B
X I/O 49 -750 -1300 150 R 50 50 1 1 B
X I/O 5 750 1200 150 L 50 50 1 1 B
X I/O 50 -750 -1400 150 R 50 50 1 1 B
X I/O 51 -750 -1500 150 R 50 50 1 1 B
X I/O 52 -750 -1600 150 R 50 50 1 1 B
X VccIO 53 -50 2000 150 D 50 50 1 1 W
X I/O 54 750 -1800 150 L 50 50 1 1 B
X I/O 55 750 -1700 150 L 50 50 1 1 B
X I/O 56 750 -1600 150 L 50 50 1 1 B
X I/O 57 750 -1500 150 L 50 50 1 1 B
X I/O 58 750 -1400 150 L 50 50 1 1 B
X GND 59 50 -2100 150 U 50 50 1 1 W
X I/O/NC 6 750 1300 150 L 50 50 1 1 B
X I/O 60 750 -1300 150 L 50 50 1 1 B
X I/O 61 750 -1200 150 L 50 50 1 1 B
X TCK 62 750 -1100 150 L 50 50 1 1 B
X I/O 63 750 -1000 150 L 50 50 1 1 B
X I/O 64 750 -900 150 L 50 50 1 1 B
X I/O 65 750 -800 150 L 50 50 1 1 B
X VccIO 66 50 2000 150 D 50 50 1 1 W
X I/O 67 750 -700 150 L 50 50 1 1 B
X I/O 68 750 -600 150 L 50 50 1 1 B
X I/O 69 750 -500 150 L 50 50 1 1 B
X GND 7 350 -2100 150 U 50 50 1 1 W
X I/O 70 750 -400 150 L 50 50 1 1 B
X TDO 71 750 -300 150 L 50 50 1 1 B
X GND 72 150 -2100 150 U 50 50 1 1 W
X I/O 73 750 -200 150 L 50 50 1 1 B
X I/O 74 750 -100 150 L 50 50 1 1 B
X I/O 75 750 100 150 L 50 50 1 1 B
X I/O 76 750 200 150 L 50 50 1 1 B
X I/O 77 750 300 150 L 50 50 1 1 B
X VccIO 78 150 2000 150 D 50 50 1 1 W
X I/O/NC 79 750 400 150 L 50 50 1 1 B
X I/O 8 750 1400 150 L 50 50 1 1 B
X I/O 80 750 500 150 L 50 50 1 1 B
X I/O 81 750 600 150 L 50 50 1 1 B
X GND 82 250 -2100 150 U 50 50 1 1 W
X GClk1 83 750 700 150 L 50 50 1 1 I
X OE1 84 750 800 150 L 50 50 1 1 I
X I/O 9 750 1500 150 L 50 50 1 1 B
ENDDRAW
ENDDEF
#
#End Library

2694
GR8RAM.kicad_pcb Normal file

File diff suppressed because it is too large Load Diff

256
GR8RAM.pro Normal file
View File

@ -0,0 +1,256 @@
update=Monday, June 10, 2019 at 02:27:25 PM
version=1
last_client=kicad
[general]
version=1
RootSch=
BoardNm=
[cvpcb]
version=1
NetIExt=net
[eeschema]
version=1
LibDir=
[eeschema/libraries]
[schematic_editor]
version=1
PageLayoutDescrFile=
PlotDirectoryName=
SubpartIdSeparator=0
SubpartFirstId=65
NetFmtName=
SpiceAjustPassiveValues=0
LabSize=50
ERC_TestSimilarLabels=1
[pcbnew]
version=1
PageLayoutDescrFile=
LastNetListRead=GR8RAM.net
CopperLayerCount=4
BoardThickness=1.6
AllowMicroVias=0
AllowBlindVias=0
RequireCourtyardDefinitions=0
ProhibitOverlappingCourtyards=1
MinTrackWidth=0.1524
MinViaDiameter=0.4
MinViaDrill=0.3
MinMicroViaDiameter=0.2
MinMicroViaDrill=0.09999999999999999
MinHoleToHole=0.25
TrackWidth1=0.1524
TrackWidth2=0.2
TrackWidth3=0.254
TrackWidth4=0.508
TrackWidth5=0.762
TrackWidth6=1.27
TrackWidth7=1.524
ViaDiameter1=0.8
ViaDrill1=0.4
ViaDiameter2=1.524
ViaDrill2=0.762
dPairWidth1=0.2
dPairGap1=0.25
dPairViaGap1=0.25
SilkLineWidth=0.15
SilkTextSizeV=1
SilkTextSizeH=1
SilkTextSizeThickness=0.15
SilkTextItalic=0
SilkTextUpright=1
CopperLineWidth=0.1524
CopperTextSizeV=1.5
CopperTextSizeH=1.5
CopperTextThickness=0.3
CopperTextItalic=0
CopperTextUpright=1
EdgeCutLineWidth=0.15
CourtyardLineWidth=0.05
OthersLineWidth=0.15
OthersTextSizeV=1
OthersTextSizeH=1
OthersTextSizeThickness=0.15
OthersTextItalic=0
OthersTextUpright=1
SolderMaskClearance=0.07619999999999999
SolderMaskMinWidth=0.1524
SolderPasteClearance=-0.05
SolderPasteRatio=0
[pcbnew/Layer.F.Cu]
Name=F.Cu
Type=0
Enabled=1
[pcbnew/Layer.In1.Cu]
Name=In1.Cu
Type=1
Enabled=1
[pcbnew/Layer.In2.Cu]
Name=In2.Cu
Type=1
Enabled=1
[pcbnew/Layer.In3.Cu]
Name=In3.Cu
Type=0
Enabled=0
[pcbnew/Layer.In4.Cu]
Name=In4.Cu
Type=0
Enabled=0
[pcbnew/Layer.In5.Cu]
Name=In5.Cu
Type=0
Enabled=0
[pcbnew/Layer.In6.Cu]
Name=In6.Cu
Type=0
Enabled=0
[pcbnew/Layer.In7.Cu]
Name=In7.Cu
Type=0
Enabled=0
[pcbnew/Layer.In8.Cu]
Name=In8.Cu
Type=0
Enabled=0
[pcbnew/Layer.In9.Cu]
Name=In9.Cu
Type=0
Enabled=0
[pcbnew/Layer.In10.Cu]
Name=In10.Cu
Type=0
Enabled=0
[pcbnew/Layer.In11.Cu]
Name=In11.Cu
Type=0
Enabled=0
[pcbnew/Layer.In12.Cu]
Name=In12.Cu
Type=0
Enabled=0
[pcbnew/Layer.In13.Cu]
Name=In13.Cu
Type=0
Enabled=0
[pcbnew/Layer.In14.Cu]
Name=In14.Cu
Type=0
Enabled=0
[pcbnew/Layer.In15.Cu]
Name=In15.Cu
Type=0
Enabled=0
[pcbnew/Layer.In16.Cu]
Name=In16.Cu
Type=0
Enabled=0
[pcbnew/Layer.In17.Cu]
Name=In17.Cu
Type=0
Enabled=0
[pcbnew/Layer.In18.Cu]
Name=In18.Cu
Type=0
Enabled=0
[pcbnew/Layer.In19.Cu]
Name=In19.Cu
Type=0
Enabled=0
[pcbnew/Layer.In20.Cu]
Name=In20.Cu
Type=0
Enabled=0
[pcbnew/Layer.In21.Cu]
Name=In21.Cu
Type=0
Enabled=0
[pcbnew/Layer.In22.Cu]
Name=In22.Cu
Type=0
Enabled=0
[pcbnew/Layer.In23.Cu]
Name=In23.Cu
Type=0
Enabled=0
[pcbnew/Layer.In24.Cu]
Name=In24.Cu
Type=0
Enabled=0
[pcbnew/Layer.In25.Cu]
Name=In25.Cu
Type=0
Enabled=0
[pcbnew/Layer.In26.Cu]
Name=In26.Cu
Type=0
Enabled=0
[pcbnew/Layer.In27.Cu]
Name=In27.Cu
Type=0
Enabled=0
[pcbnew/Layer.In28.Cu]
Name=In28.Cu
Type=0
Enabled=0
[pcbnew/Layer.In29.Cu]
Name=In29.Cu
Type=0
Enabled=0
[pcbnew/Layer.In30.Cu]
Name=In30.Cu
Type=0
Enabled=0
[pcbnew/Layer.B.Cu]
Name=B.Cu
Type=0
Enabled=1
[pcbnew/Layer.B.Adhes]
Enabled=1
[pcbnew/Layer.F.Adhes]
Enabled=1
[pcbnew/Layer.B.Paste]
Enabled=1
[pcbnew/Layer.F.Paste]
Enabled=1
[pcbnew/Layer.B.SilkS]
Enabled=1
[pcbnew/Layer.F.SilkS]
Enabled=1
[pcbnew/Layer.B.Mask]
Enabled=1
[pcbnew/Layer.F.Mask]
Enabled=1
[pcbnew/Layer.Dwgs.User]
Enabled=1
[pcbnew/Layer.Cmts.User]
Enabled=1
[pcbnew/Layer.Eco1.User]
Enabled=1
[pcbnew/Layer.Eco2.User]
Enabled=1
[pcbnew/Layer.Edge.Cuts]
Enabled=1
[pcbnew/Layer.Margin]
Enabled=1
[pcbnew/Layer.B.CrtYd]
Enabled=1
[pcbnew/Layer.F.CrtYd]
Enabled=1
[pcbnew/Layer.B.Fab]
Enabled=1
[pcbnew/Layer.F.Fab]
Enabled=1
[pcbnew/Layer.Rescue]
Enabled=0
[pcbnew/Netclasses]
[pcbnew/Netclasses/Default]
Name=Default
Clearance=0.1524
TrackWidth=0.1524
ViaDiameter=0.8
ViaDrill=0.4
uViaDiameter=0.3
uViaDrill=0.1
dPairWidth=0.2
dPairGap=0.25
dPairViaGap=0.25

1543
GR8RAM.sch Normal file

File diff suppressed because it is too large Load Diff

3
fp-lib-table Normal file
View File

@ -0,0 +1,3 @@
(fp_lib_table
(lib (name stdpads)(type KiCad)(uri "$(KIPRJMOD)/../stdpads.pretty")(options "")(descr ""))
)

3
sym-lib-table Normal file
View File

@ -0,0 +1,3 @@
(sym_lib_table
(lib (name stdparts)(type Legacy)(uri "$(KIPRJMOD)/../stdparts/stdparts.lib")(options "")(descr ""))
)