Submitted to JLCPCB

This commit is contained in:
Zane Kaminski 2019-07-30 17:10:25 -04:00
parent 9650cc5be5
commit 36855ea096
53 changed files with 338050 additions and 13001 deletions

974
Docs.sch

File diff suppressed because it is too large Load Diff

Binary file not shown.

After

Width:  |  Height:  |  Size: 279 KiB

View File

@ -64,11 +64,11 @@ X TDI 9 500 -200 150 L 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Connector_Generic_Conn_02x03_Odd_Even
# Connector_Generic_Conn_02x02_Odd_Even
#
DEF Connector_Generic_Conn_02x03_Odd_Even J 0 40 Y N 1 F N
F0 "J" 50 200 50 H V C CNN
F1 "Connector_Generic_Conn_02x03_Odd_Even" 50 -200 50 H V C CNN
DEF Connector_Generic_Conn_02x02_Odd_Even J 0 40 Y N 1 F N
F0 "J" 50 100 50 H V C CNN
F1 "Connector_Generic_Conn_02x02_Odd_Even" 50 -200 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
@ -77,8 +77,33 @@ $ENDFPLIST
DRAW
S -50 -95 0 -105 1 1 6 N
S -50 5 0 -5 1 1 6 N
S -50 50 150 -150 1 1 10 f
S 150 -95 100 -105 1 1 6 N
S 150 5 100 -5 1 1 6 N
X Pin_1 1 -200 0 150 R 50 50 1 1 P
X Pin_2 2 300 0 150 L 50 50 1 1 P
X Pin_3 3 -200 -100 150 R 50 50 1 1 P
X Pin_4 4 300 -100 150 L 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Connector_Generic_Conn_02x04_Odd_Even
#
DEF Connector_Generic_Conn_02x04_Odd_Even J 0 40 Y N 1 F N
F0 "J" 50 200 50 H V C CNN
F1 "Connector_Generic_Conn_02x04_Odd_Even" 50 -300 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
Connector*:*_2x??_*
$ENDFPLIST
DRAW
S -50 -195 0 -205 1 1 6 N
S -50 -95 0 -105 1 1 6 N
S -50 5 0 -5 1 1 6 N
S -50 105 0 95 1 1 6 N
S -50 150 150 -150 1 1 10 f
S -50 150 150 -250 1 1 10 f
S 150 -195 100 -205 1 1 6 N
S 150 -95 100 -105 1 1 6 N
S 150 5 100 -5 1 1 6 N
S 150 105 100 95 1 1 6 N
@ -88,6 +113,8 @@ X Pin_3 3 -200 0 150 R 50 50 1 1 P
X Pin_4 4 300 0 150 L 50 50 1 1 P
X Pin_5 5 -200 -100 150 R 50 50 1 1 P
X Pin_6 6 300 -100 150 L 50 50 1 1 P
X Pin_7 7 -200 -200 150 R 50 50 1 1 P
X Pin_8 8 300 -200 150 L 50 50 1 1 P
ENDDRAW
ENDDEF
#
@ -299,24 +326,6 @@ X 2 2 100 0 50 L 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Device_Jumper_NO_Small
#
DEF Device_Jumper_NO_Small JP 0 30 N N 1 F N
F0 "JP" 0 80 50 H V C CNN
F1 "Device_Jumper_NO_Small" 10 -60 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
SolderJumper*Open*
$ENDFPLIST
DRAW
C -40 0 20 0 1 0 N
C 40 0 20 0 1 0 N
X 1 1 -100 0 40 R 50 50 0 1 P
X 2 2 100 0 40 L 50 50 0 1 P
ENDDRAW
ENDDEF
#
# Device_R_Small
#
DEF Device_R_Small R 0 10 N N 1 F N
@ -425,18 +434,18 @@ X PB7 9 600 100 100 L 50 50 1 1 T
ENDDRAW
ENDDEF
#
# Mechanical_MountingHole
# Mechanical_Fiducial
#
DEF Mechanical_MountingHole H 0 40 Y Y 1 F N
F0 "H" 0 200 50 H V C CNN
F1 "Mechanical_MountingHole" 0 125 50 H V C CNN
DEF Mechanical_Fiducial FID 0 20 Y Y 1 F N
F0 "FID" 0 200 50 H V C CNN
F1 "Mechanical_Fiducial" 0 125 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
MountingHole*
Fiducial*
$ENDFPLIST
DRAW
C 0 0 50 0 1 50 N
C 0 0 50 0 1 20 f
ENDDRAW
ENDDEF
#

BIN
Mouserial-gerber.zip Normal file

Binary file not shown.

File diff suppressed because it is too large Load Diff

View File

@ -1,4 +1,4 @@
update=Sunday, June 23, 2019 at 10:47:41 PM
update=Friday, July 19, 2019 at 08:39:38 PM
version=1
last_client=kicad
[general]
@ -75,9 +75,9 @@ OthersTextSizeThickness=0.15
OthersTextItalic=0
OthersTextUpright=1
SolderMaskClearance=0.07619999999999999
SolderMaskMinWidth=0.1524
SolderPasteClearance=-0.05
SolderPasteRatio=0
SolderMaskMinWidth=0.127
SolderPasteClearance=-0.03809999999999999
SolderPasteRatio=-0
[pcbnew/Layer.F.Cu]
Name=F.Cu
Type=0

File diff suppressed because it is too large Load Diff

View File

@ -0,0 +1,3 @@
(fp_lib_table
(lib (name stdpads)(type KiCad)(uri "$(KIPRJMOD)/../../stdpads.pretty")(options "")(descr ""))
)

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

View File

@ -0,0 +1,321 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.1.2-1)-1*
G04 #@! TF.CreationDate,2019-07-29T22:21:16-04:00*
G04 #@! TF.ProjectId,mouserial-keymouse,6d6f7573-6572-4696-916c-2d6b65796d6f,rev?*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Paste,Bot*
G04 #@! TF.FilePolarity,Positive*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW (5.1.2-1)-1) date 2019-07-29 22:21:16*
%MOMM*%
%LPD*%
G04 APERTURE LIST*
%ADD10C,0.100000*%
%ADD11C,0.973800*%
%ADD12C,1.123800*%
G04 APERTURE END LIST*
D10*
G36*
X165542312Y-82064272D02*
G01*
X165565945Y-82067778D01*
X165589120Y-82073583D01*
X165611614Y-82081632D01*
X165633212Y-82091846D01*
X165653704Y-82104129D01*
X165672893Y-82118361D01*
X165690595Y-82134405D01*
X165706639Y-82152107D01*
X165720871Y-82171296D01*
X165733154Y-82191788D01*
X165743368Y-82213386D01*
X165751417Y-82235880D01*
X165757222Y-82259055D01*
X165760728Y-82282688D01*
X165761900Y-82306550D01*
X165761900Y-82793450D01*
X165760728Y-82817312D01*
X165757222Y-82840945D01*
X165751417Y-82864120D01*
X165743368Y-82886614D01*
X165733154Y-82908212D01*
X165720871Y-82928704D01*
X165706639Y-82947893D01*
X165690595Y-82965595D01*
X165672893Y-82981639D01*
X165653704Y-82995871D01*
X165633212Y-83008154D01*
X165611614Y-83018368D01*
X165589120Y-83026417D01*
X165565945Y-83032222D01*
X165542312Y-83035728D01*
X165518450Y-83036900D01*
X164681550Y-83036900D01*
X164657688Y-83035728D01*
X164634055Y-83032222D01*
X164610880Y-83026417D01*
X164588386Y-83018368D01*
X164566788Y-83008154D01*
X164546296Y-82995871D01*
X164527107Y-82981639D01*
X164509405Y-82965595D01*
X164493361Y-82947893D01*
X164479129Y-82928704D01*
X164466846Y-82908212D01*
X164456632Y-82886614D01*
X164448583Y-82864120D01*
X164442778Y-82840945D01*
X164439272Y-82817312D01*
X164438100Y-82793450D01*
X164438100Y-82306550D01*
X164439272Y-82282688D01*
X164442778Y-82259055D01*
X164448583Y-82235880D01*
X164456632Y-82213386D01*
X164466846Y-82191788D01*
X164479129Y-82171296D01*
X164493361Y-82152107D01*
X164509405Y-82134405D01*
X164527107Y-82118361D01*
X164546296Y-82104129D01*
X164566788Y-82091846D01*
X164588386Y-82081632D01*
X164610880Y-82073583D01*
X164634055Y-82067778D01*
X164657688Y-82064272D01*
X164681550Y-82063100D01*
X165518450Y-82063100D01*
X165542312Y-82064272D01*
X165542312Y-82064272D01*
G37*
D11*
X165100000Y-82550000D03*
D10*
G36*
X165542312Y-83764272D02*
G01*
X165565945Y-83767778D01*
X165589120Y-83773583D01*
X165611614Y-83781632D01*
X165633212Y-83791846D01*
X165653704Y-83804129D01*
X165672893Y-83818361D01*
X165690595Y-83834405D01*
X165706639Y-83852107D01*
X165720871Y-83871296D01*
X165733154Y-83891788D01*
X165743368Y-83913386D01*
X165751417Y-83935880D01*
X165757222Y-83959055D01*
X165760728Y-83982688D01*
X165761900Y-84006550D01*
X165761900Y-84493450D01*
X165760728Y-84517312D01*
X165757222Y-84540945D01*
X165751417Y-84564120D01*
X165743368Y-84586614D01*
X165733154Y-84608212D01*
X165720871Y-84628704D01*
X165706639Y-84647893D01*
X165690595Y-84665595D01*
X165672893Y-84681639D01*
X165653704Y-84695871D01*
X165633212Y-84708154D01*
X165611614Y-84718368D01*
X165589120Y-84726417D01*
X165565945Y-84732222D01*
X165542312Y-84735728D01*
X165518450Y-84736900D01*
X164681550Y-84736900D01*
X164657688Y-84735728D01*
X164634055Y-84732222D01*
X164610880Y-84726417D01*
X164588386Y-84718368D01*
X164566788Y-84708154D01*
X164546296Y-84695871D01*
X164527107Y-84681639D01*
X164509405Y-84665595D01*
X164493361Y-84647893D01*
X164479129Y-84628704D01*
X164466846Y-84608212D01*
X164456632Y-84586614D01*
X164448583Y-84564120D01*
X164442778Y-84540945D01*
X164439272Y-84517312D01*
X164438100Y-84493450D01*
X164438100Y-84006550D01*
X164439272Y-83982688D01*
X164442778Y-83959055D01*
X164448583Y-83935880D01*
X164456632Y-83913386D01*
X164466846Y-83891788D01*
X164479129Y-83871296D01*
X164493361Y-83852107D01*
X164509405Y-83834405D01*
X164527107Y-83818361D01*
X164546296Y-83804129D01*
X164566788Y-83791846D01*
X164588386Y-83781632D01*
X164610880Y-83773583D01*
X164634055Y-83767778D01*
X164657688Y-83764272D01*
X164681550Y-83763100D01*
X165518450Y-83763100D01*
X165542312Y-83764272D01*
X165542312Y-83764272D01*
G37*
D11*
X165100000Y-84250000D03*
D10*
G36*
X168883488Y-81989453D02*
G01*
X168910761Y-81993498D01*
X168937505Y-82000198D01*
X168963465Y-82009486D01*
X168988389Y-82021274D01*
X169012037Y-82035449D01*
X169034183Y-82051873D01*
X169054612Y-82070388D01*
X169073127Y-82090817D01*
X169089551Y-82112963D01*
X169103726Y-82136611D01*
X169115514Y-82161535D01*
X169124802Y-82187495D01*
X169131502Y-82214239D01*
X169135547Y-82241512D01*
X169136900Y-82269050D01*
X169136900Y-82830950D01*
X169135547Y-82858488D01*
X169131502Y-82885761D01*
X169124802Y-82912505D01*
X169115514Y-82938465D01*
X169103726Y-82963389D01*
X169089551Y-82987037D01*
X169073127Y-83009183D01*
X169054612Y-83029612D01*
X169034183Y-83048127D01*
X169012037Y-83064551D01*
X168988389Y-83078726D01*
X168963465Y-83090514D01*
X168937505Y-83099802D01*
X168910761Y-83106502D01*
X168883488Y-83110547D01*
X168855950Y-83111900D01*
X167694050Y-83111900D01*
X167666512Y-83110547D01*
X167639239Y-83106502D01*
X167612495Y-83099802D01*
X167586535Y-83090514D01*
X167561611Y-83078726D01*
X167537963Y-83064551D01*
X167515817Y-83048127D01*
X167495388Y-83029612D01*
X167476873Y-83009183D01*
X167460449Y-82987037D01*
X167446274Y-82963389D01*
X167434486Y-82938465D01*
X167425198Y-82912505D01*
X167418498Y-82885761D01*
X167414453Y-82858488D01*
X167413100Y-82830950D01*
X167413100Y-82269050D01*
X167414453Y-82241512D01*
X167418498Y-82214239D01*
X167425198Y-82187495D01*
X167434486Y-82161535D01*
X167446274Y-82136611D01*
X167460449Y-82112963D01*
X167476873Y-82090817D01*
X167495388Y-82070388D01*
X167515817Y-82051873D01*
X167537963Y-82035449D01*
X167561611Y-82021274D01*
X167586535Y-82009486D01*
X167612495Y-82000198D01*
X167639239Y-81993498D01*
X167666512Y-81989453D01*
X167694050Y-81988100D01*
X168855950Y-81988100D01*
X168883488Y-81989453D01*
X168883488Y-81989453D01*
G37*
D12*
X168275000Y-82550000D03*
D10*
G36*
X168883488Y-84989453D02*
G01*
X168910761Y-84993498D01*
X168937505Y-85000198D01*
X168963465Y-85009486D01*
X168988389Y-85021274D01*
X169012037Y-85035449D01*
X169034183Y-85051873D01*
X169054612Y-85070388D01*
X169073127Y-85090817D01*
X169089551Y-85112963D01*
X169103726Y-85136611D01*
X169115514Y-85161535D01*
X169124802Y-85187495D01*
X169131502Y-85214239D01*
X169135547Y-85241512D01*
X169136900Y-85269050D01*
X169136900Y-85830950D01*
X169135547Y-85858488D01*
X169131502Y-85885761D01*
X169124802Y-85912505D01*
X169115514Y-85938465D01*
X169103726Y-85963389D01*
X169089551Y-85987037D01*
X169073127Y-86009183D01*
X169054612Y-86029612D01*
X169034183Y-86048127D01*
X169012037Y-86064551D01*
X168988389Y-86078726D01*
X168963465Y-86090514D01*
X168937505Y-86099802D01*
X168910761Y-86106502D01*
X168883488Y-86110547D01*
X168855950Y-86111900D01*
X167694050Y-86111900D01*
X167666512Y-86110547D01*
X167639239Y-86106502D01*
X167612495Y-86099802D01*
X167586535Y-86090514D01*
X167561611Y-86078726D01*
X167537963Y-86064551D01*
X167515817Y-86048127D01*
X167495388Y-86029612D01*
X167476873Y-86009183D01*
X167460449Y-85987037D01*
X167446274Y-85963389D01*
X167434486Y-85938465D01*
X167425198Y-85912505D01*
X167418498Y-85885761D01*
X167414453Y-85858488D01*
X167413100Y-85830950D01*
X167413100Y-85269050D01*
X167414453Y-85241512D01*
X167418498Y-85214239D01*
X167425198Y-85187495D01*
X167434486Y-85161535D01*
X167446274Y-85136611D01*
X167460449Y-85112963D01*
X167476873Y-85090817D01*
X167495388Y-85070388D01*
X167515817Y-85051873D01*
X167537963Y-85035449D01*
X167561611Y-85021274D01*
X167586535Y-85009486D01*
X167612495Y-85000198D01*
X167639239Y-84993498D01*
X167666512Y-84989453D01*
X167694050Y-84988100D01*
X168855950Y-84988100D01*
X168883488Y-84989453D01*
X168883488Y-84989453D01*
G37*
D12*
X168275000Y-85550000D03*
M02*

View File

@ -0,0 +1,458 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.1.2-1)-1*
G04 #@! TF.CreationDate,2019-07-29T22:21:16-04:00*
G04 #@! TF.ProjectId,mouserial-keymouse,6d6f7573-6572-4696-916c-2d6b65796d6f,rev?*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Legend,Bot*
G04 #@! TF.FilePolarity,Positive*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW (5.1.2-1)-1) date 2019-07-29 22:21:16*
%MOMM*%
%LPD*%
G04 APERTURE LIST*
%ADD10C,0.203200*%
%ADD11C,0.152400*%
%ADD12C,0.127000*%
%ADD13C,4.101600*%
%ADD14C,1.901600*%
%ADD15R,1.101600X1.101600*%
%ADD16O,1.101600X1.101600*%
%ADD17C,0.100000*%
%ADD18C,1.151600*%
%ADD19C,1.301600*%
%ADD20C,2.000000*%
%ADD21C,2.101600*%
G04 APERTURE END LIST*
D10*
X158939895Y-81745666D02*
X159520466Y-81745666D01*
X159636580Y-81706961D01*
X159713990Y-81629552D01*
X159752695Y-81513438D01*
X159752695Y-81436028D01*
X159752695Y-82519761D02*
X159752695Y-82132714D01*
X158939895Y-82132714D01*
X159675285Y-83255152D02*
X159713990Y-83216447D01*
X159752695Y-83100333D01*
X159752695Y-83022923D01*
X159713990Y-82906809D01*
X159636580Y-82829400D01*
X159559171Y-82790695D01*
X159404352Y-82751990D01*
X159288238Y-82751990D01*
X159133419Y-82790695D01*
X159056009Y-82829400D01*
X158978600Y-82906809D01*
X158939895Y-83022923D01*
X158939895Y-83100333D01*
X158978600Y-83216447D01*
X159017304Y-83255152D01*
X158939895Y-83835723D02*
X159520466Y-83835723D01*
X159636580Y-83797019D01*
X159713990Y-83719609D01*
X159752695Y-83603495D01*
X159752695Y-83526085D01*
X159752695Y-84609819D02*
X159752695Y-84222771D01*
X158939895Y-84222771D01*
X159675285Y-85345209D02*
X159713990Y-85306504D01*
X159752695Y-85190390D01*
X159752695Y-85112980D01*
X159713990Y-84996866D01*
X159636580Y-84919457D01*
X159559171Y-84880752D01*
X159404352Y-84842047D01*
X159288238Y-84842047D01*
X159133419Y-84880752D01*
X159056009Y-84919457D01*
X158978600Y-84996866D01*
X158939895Y-85112980D01*
X158939895Y-85190390D01*
X158978600Y-85306504D01*
X159017304Y-85345209D01*
X158939895Y-85925780D02*
X159520466Y-85925780D01*
X159636580Y-85887076D01*
X159713990Y-85809666D01*
X159752695Y-85693552D01*
X159752695Y-85616142D01*
X159752695Y-86699876D02*
X159752695Y-86312828D01*
X158939895Y-86312828D01*
X159675285Y-87435266D02*
X159713990Y-87396561D01*
X159752695Y-87280447D01*
X159752695Y-87203038D01*
X159713990Y-87086923D01*
X159636580Y-87009514D01*
X159559171Y-86970809D01*
X159404352Y-86932104D01*
X159288238Y-86932104D01*
X159133419Y-86970809D01*
X159056009Y-87009514D01*
X158978600Y-87086923D01*
X158939895Y-87203038D01*
X158939895Y-87280447D01*
X158978600Y-87396561D01*
X159017304Y-87435266D01*
D11*
X164300000Y-82993600D02*
X164300000Y-83806400D01*
X165900000Y-82993600D02*
X165900000Y-83806400D01*
D12*
X169325000Y-82350000D02*
X169325000Y-85750000D01*
X167225000Y-82350000D02*
X167225000Y-85750000D01*
%LPC*%
D13*
X174381000Y-74930000D03*
X173581000Y-68180000D03*
X173581000Y-81680000D03*
D14*
X170581000Y-71530000D03*
X170581000Y-78330000D03*
X168081000Y-78330000D03*
X168081000Y-71530000D03*
X170581000Y-73630000D03*
X170581000Y-76230000D03*
X170581000Y-95280000D03*
X170581000Y-92680000D03*
X168081000Y-90580000D03*
X168081000Y-97380000D03*
X170581000Y-97380000D03*
X170581000Y-90580000D03*
D13*
X173581000Y-100730000D03*
X173581000Y-87230000D03*
X174381000Y-93980000D03*
D15*
X162941000Y-80010000D03*
D16*
X162941000Y-81280000D03*
X162941000Y-82550000D03*
X162941000Y-83820000D03*
X162941000Y-85090000D03*
X162941000Y-86360000D03*
X162941000Y-87630000D03*
X162941000Y-88900000D03*
D17*
G36*
X165591119Y-81975586D02*
G01*
X165619067Y-81979732D01*
X165646473Y-81986597D01*
X165673075Y-81996115D01*
X165698615Y-82008195D01*
X165722849Y-82022720D01*
X165745542Y-82039550D01*
X165766476Y-82058524D01*
X165785450Y-82079458D01*
X165802280Y-82102151D01*
X165816805Y-82126385D01*
X165828885Y-82151925D01*
X165838403Y-82178527D01*
X165845268Y-82205933D01*
X165849414Y-82233881D01*
X165850800Y-82262100D01*
X165850800Y-82837900D01*
X165849414Y-82866119D01*
X165845268Y-82894067D01*
X165838403Y-82921473D01*
X165828885Y-82948075D01*
X165816805Y-82973615D01*
X165802280Y-82997849D01*
X165785450Y-83020542D01*
X165766476Y-83041476D01*
X165745542Y-83060450D01*
X165722849Y-83077280D01*
X165698615Y-83091805D01*
X165673075Y-83103885D01*
X165646473Y-83113403D01*
X165619067Y-83120268D01*
X165591119Y-83124414D01*
X165562900Y-83125800D01*
X164637100Y-83125800D01*
X164608881Y-83124414D01*
X164580933Y-83120268D01*
X164553527Y-83113403D01*
X164526925Y-83103885D01*
X164501385Y-83091805D01*
X164477151Y-83077280D01*
X164454458Y-83060450D01*
X164433524Y-83041476D01*
X164414550Y-83020542D01*
X164397720Y-82997849D01*
X164383195Y-82973615D01*
X164371115Y-82948075D01*
X164361597Y-82921473D01*
X164354732Y-82894067D01*
X164350586Y-82866119D01*
X164349200Y-82837900D01*
X164349200Y-82262100D01*
X164350586Y-82233881D01*
X164354732Y-82205933D01*
X164361597Y-82178527D01*
X164371115Y-82151925D01*
X164383195Y-82126385D01*
X164397720Y-82102151D01*
X164414550Y-82079458D01*
X164433524Y-82058524D01*
X164454458Y-82039550D01*
X164477151Y-82022720D01*
X164501385Y-82008195D01*
X164526925Y-81996115D01*
X164553527Y-81986597D01*
X164580933Y-81979732D01*
X164608881Y-81975586D01*
X164637100Y-81974200D01*
X165562900Y-81974200D01*
X165591119Y-81975586D01*
X165591119Y-81975586D01*
G37*
D18*
X165100000Y-82550000D03*
D17*
G36*
X165591119Y-83675586D02*
G01*
X165619067Y-83679732D01*
X165646473Y-83686597D01*
X165673075Y-83696115D01*
X165698615Y-83708195D01*
X165722849Y-83722720D01*
X165745542Y-83739550D01*
X165766476Y-83758524D01*
X165785450Y-83779458D01*
X165802280Y-83802151D01*
X165816805Y-83826385D01*
X165828885Y-83851925D01*
X165838403Y-83878527D01*
X165845268Y-83905933D01*
X165849414Y-83933881D01*
X165850800Y-83962100D01*
X165850800Y-84537900D01*
X165849414Y-84566119D01*
X165845268Y-84594067D01*
X165838403Y-84621473D01*
X165828885Y-84648075D01*
X165816805Y-84673615D01*
X165802280Y-84697849D01*
X165785450Y-84720542D01*
X165766476Y-84741476D01*
X165745542Y-84760450D01*
X165722849Y-84777280D01*
X165698615Y-84791805D01*
X165673075Y-84803885D01*
X165646473Y-84813403D01*
X165619067Y-84820268D01*
X165591119Y-84824414D01*
X165562900Y-84825800D01*
X164637100Y-84825800D01*
X164608881Y-84824414D01*
X164580933Y-84820268D01*
X164553527Y-84813403D01*
X164526925Y-84803885D01*
X164501385Y-84791805D01*
X164477151Y-84777280D01*
X164454458Y-84760450D01*
X164433524Y-84741476D01*
X164414550Y-84720542D01*
X164397720Y-84697849D01*
X164383195Y-84673615D01*
X164371115Y-84648075D01*
X164361597Y-84621473D01*
X164354732Y-84594067D01*
X164350586Y-84566119D01*
X164349200Y-84537900D01*
X164349200Y-83962100D01*
X164350586Y-83933881D01*
X164354732Y-83905933D01*
X164361597Y-83878527D01*
X164371115Y-83851925D01*
X164383195Y-83826385D01*
X164397720Y-83802151D01*
X164414550Y-83779458D01*
X164433524Y-83758524D01*
X164454458Y-83739550D01*
X164477151Y-83722720D01*
X164501385Y-83708195D01*
X164526925Y-83696115D01*
X164553527Y-83686597D01*
X164580933Y-83679732D01*
X164608881Y-83675586D01*
X164637100Y-83674200D01*
X165562900Y-83674200D01*
X165591119Y-83675586D01*
X165591119Y-83675586D01*
G37*
D18*
X165100000Y-84250000D03*
D17*
G36*
X168932295Y-81900767D02*
G01*
X168963882Y-81905452D01*
X168994859Y-81913212D01*
X169024925Y-81923970D01*
X169053792Y-81937623D01*
X169081183Y-81954040D01*
X169106832Y-81973062D01*
X169130493Y-81994507D01*
X169151938Y-82018168D01*
X169170960Y-82043817D01*
X169187377Y-82071208D01*
X169201030Y-82100075D01*
X169211788Y-82130141D01*
X169219548Y-82161118D01*
X169224233Y-82192705D01*
X169225800Y-82224600D01*
X169225800Y-82875400D01*
X169224233Y-82907295D01*
X169219548Y-82938882D01*
X169211788Y-82969859D01*
X169201030Y-82999925D01*
X169187377Y-83028792D01*
X169170960Y-83056183D01*
X169151938Y-83081832D01*
X169130493Y-83105493D01*
X169106832Y-83126938D01*
X169081183Y-83145960D01*
X169053792Y-83162377D01*
X169024925Y-83176030D01*
X168994859Y-83186788D01*
X168963882Y-83194548D01*
X168932295Y-83199233D01*
X168900400Y-83200800D01*
X167649600Y-83200800D01*
X167617705Y-83199233D01*
X167586118Y-83194548D01*
X167555141Y-83186788D01*
X167525075Y-83176030D01*
X167496208Y-83162377D01*
X167468817Y-83145960D01*
X167443168Y-83126938D01*
X167419507Y-83105493D01*
X167398062Y-83081832D01*
X167379040Y-83056183D01*
X167362623Y-83028792D01*
X167348970Y-82999925D01*
X167338212Y-82969859D01*
X167330452Y-82938882D01*
X167325767Y-82907295D01*
X167324200Y-82875400D01*
X167324200Y-82224600D01*
X167325767Y-82192705D01*
X167330452Y-82161118D01*
X167338212Y-82130141D01*
X167348970Y-82100075D01*
X167362623Y-82071208D01*
X167379040Y-82043817D01*
X167398062Y-82018168D01*
X167419507Y-81994507D01*
X167443168Y-81973062D01*
X167468817Y-81954040D01*
X167496208Y-81937623D01*
X167525075Y-81923970D01*
X167555141Y-81913212D01*
X167586118Y-81905452D01*
X167617705Y-81900767D01*
X167649600Y-81899200D01*
X168900400Y-81899200D01*
X168932295Y-81900767D01*
X168932295Y-81900767D01*
G37*
D19*
X168275000Y-82550000D03*
D17*
G36*
X168932295Y-84900767D02*
G01*
X168963882Y-84905452D01*
X168994859Y-84913212D01*
X169024925Y-84923970D01*
X169053792Y-84937623D01*
X169081183Y-84954040D01*
X169106832Y-84973062D01*
X169130493Y-84994507D01*
X169151938Y-85018168D01*
X169170960Y-85043817D01*
X169187377Y-85071208D01*
X169201030Y-85100075D01*
X169211788Y-85130141D01*
X169219548Y-85161118D01*
X169224233Y-85192705D01*
X169225800Y-85224600D01*
X169225800Y-85875400D01*
X169224233Y-85907295D01*
X169219548Y-85938882D01*
X169211788Y-85969859D01*
X169201030Y-85999925D01*
X169187377Y-86028792D01*
X169170960Y-86056183D01*
X169151938Y-86081832D01*
X169130493Y-86105493D01*
X169106832Y-86126938D01*
X169081183Y-86145960D01*
X169053792Y-86162377D01*
X169024925Y-86176030D01*
X168994859Y-86186788D01*
X168963882Y-86194548D01*
X168932295Y-86199233D01*
X168900400Y-86200800D01*
X167649600Y-86200800D01*
X167617705Y-86199233D01*
X167586118Y-86194548D01*
X167555141Y-86186788D01*
X167525075Y-86176030D01*
X167496208Y-86162377D01*
X167468817Y-86145960D01*
X167443168Y-86126938D01*
X167419507Y-86105493D01*
X167398062Y-86081832D01*
X167379040Y-86056183D01*
X167362623Y-86028792D01*
X167348970Y-85999925D01*
X167338212Y-85969859D01*
X167330452Y-85938882D01*
X167325767Y-85907295D01*
X167324200Y-85875400D01*
X167324200Y-85224600D01*
X167325767Y-85192705D01*
X167330452Y-85161118D01*
X167338212Y-85130141D01*
X167348970Y-85100075D01*
X167362623Y-85071208D01*
X167379040Y-85043817D01*
X167398062Y-85018168D01*
X167419507Y-84994507D01*
X167443168Y-84973062D01*
X167468817Y-84954040D01*
X167496208Y-84937623D01*
X167525075Y-84923970D01*
X167555141Y-84913212D01*
X167586118Y-84905452D01*
X167617705Y-84900767D01*
X167649600Y-84899200D01*
X168900400Y-84899200D01*
X168932295Y-84900767D01*
X168932295Y-84900767D01*
G37*
D19*
X168275000Y-85550000D03*
D20*
X159385000Y-67310000D03*
X159385000Y-101600000D03*
X176530000Y-97790000D03*
X176530000Y-71120000D03*
D21*
X138430000Y-66675000D03*
X138430000Y-102235000D03*
X177165000Y-102235000D03*
X177165000Y-66675000D03*
M02*

View File

@ -0,0 +1,75 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.1.2-1)-1*
G04 #@! TF.CreationDate,2019-07-29T22:21:16-04:00*
G04 #@! TF.ProjectId,mouserial-keymouse,6d6f7573-6572-4696-916c-2d6b65796d6f,rev?*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Profile,NP*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW (5.1.2-1)-1) date 2019-07-29 22:21:16*
%MOMM*%
%LPD*%
G04 APERTURE LIST*
%ADD10C,0.150000*%
G04 APERTURE END LIST*
D10*
X138430000Y-104140000D02*
G75*
G02X136525000Y-102235000I0J1905000D01*
G01*
X179070000Y-102235000D02*
G75*
G02X177165000Y-104140000I-1905000J0D01*
G01*
X177165000Y-64770000D02*
G75*
G02X179070000Y-66675000I0J-1905000D01*
G01*
X136525000Y-66675000D02*
G75*
G02X138430000Y-64770000I1905000J0D01*
G01*
X139700000Y-78740000D02*
G75*
G02X143510000Y-78740000I1905000J0D01*
G01*
X139700000Y-90170000D02*
X139700000Y-78740000D01*
X143510000Y-90170000D02*
G75*
G02X139700000Y-90170000I-1905000J0D01*
G01*
X143510000Y-78740000D02*
X143510000Y-90170000D01*
X146685000Y-90170000D02*
X146685000Y-78740000D01*
X150495000Y-90170000D02*
G75*
G02X146685000Y-90170000I-1905000J0D01*
G01*
X150495000Y-78740000D02*
X150495000Y-90170000D01*
X146685000Y-78740000D02*
G75*
G02X150495000Y-78740000I1905000J0D01*
G01*
X138430000Y-104140000D02*
X177165000Y-104140000D01*
X179070000Y-102235000D02*
X179070000Y-66675000D01*
X153670000Y-90170000D02*
X153670000Y-78740000D01*
X157480000Y-78740000D02*
X157480000Y-90170000D01*
X157480000Y-90170000D02*
G75*
G02X153670000Y-90170000I-1905000J0D01*
G01*
X153670000Y-78740000D02*
G75*
G02X157480000Y-78740000I1905000J0D01*
G01*
X136525000Y-66675000D02*
X136525000Y-102235000D01*
X177165000Y-64770000D02*
X138430000Y-64770000D01*
M02*

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

View File

@ -0,0 +1,113 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.1.2-1)-1*
G04 #@! TF.CreationDate,2019-07-29T22:21:16-04:00*
G04 #@! TF.ProjectId,mouserial-keymouse,6d6f7573-6572-4696-916c-2d6b65796d6f,rev?*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Legend,Top*
G04 #@! TF.FilePolarity,Positive*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW (5.1.2-1)-1) date 2019-07-29 22:21:16*
%MOMM*%
%LPD*%
G04 APERTURE LIST*
%ADD10C,0.120000*%
%ADD11C,0.190500*%
%ADD12C,4.101600*%
%ADD13C,1.901600*%
%ADD14R,1.101600X1.101600*%
%ADD15O,1.101600X1.101600*%
%ADD16C,2.101600*%
G04 APERTURE END LIST*
D10*
X161831000Y-89595000D02*
X162633470Y-89595000D01*
X163248530Y-89595000D02*
X164051000Y-89595000D01*
X161831000Y-80770000D02*
X161831000Y-89595000D01*
X164051000Y-80770000D02*
X164051000Y-89595000D01*
X161831000Y-80770000D02*
X162377529Y-80770000D01*
X163504471Y-80770000D02*
X164051000Y-80770000D01*
X161831000Y-80010000D02*
X161831000Y-79250000D01*
X161831000Y-79250000D02*
X162941000Y-79250000D01*
D11*
X168492714Y-75156000D02*
X169037000Y-75156000D01*
X169145857Y-75192285D01*
X169218428Y-75264857D01*
X169254714Y-75373714D01*
X169254714Y-75446285D01*
X168565285Y-74829428D02*
X168529000Y-74793142D01*
X168492714Y-74720571D01*
X168492714Y-74539142D01*
X168529000Y-74466571D01*
X168565285Y-74430285D01*
X168637857Y-74394000D01*
X168710428Y-74394000D01*
X168819285Y-74430285D01*
X169254714Y-74865714D01*
X169254714Y-74394000D01*
X168492714Y-94206000D02*
X169037000Y-94206000D01*
X169145857Y-94242285D01*
X169218428Y-94314857D01*
X169254714Y-94423714D01*
X169254714Y-94496285D01*
X168492714Y-93915714D02*
X168492714Y-93444000D01*
X168783000Y-93698000D01*
X168783000Y-93589142D01*
X168819285Y-93516571D01*
X168855571Y-93480285D01*
X168928142Y-93444000D01*
X169109571Y-93444000D01*
X169182142Y-93480285D01*
X169218428Y-93516571D01*
X169254714Y-93589142D01*
X169254714Y-93806857D01*
X169218428Y-93879428D01*
X169182142Y-93915714D01*
%LPC*%
D12*
X174381000Y-74930000D03*
X173581000Y-68180000D03*
X173581000Y-81680000D03*
D13*
X170581000Y-71530000D03*
X170581000Y-78330000D03*
X168081000Y-78330000D03*
X168081000Y-71530000D03*
X170581000Y-73630000D03*
X170581000Y-76230000D03*
X170581000Y-95280000D03*
X170581000Y-92680000D03*
X168081000Y-90580000D03*
X168081000Y-97380000D03*
X170581000Y-97380000D03*
X170581000Y-90580000D03*
D12*
X173581000Y-100730000D03*
X173581000Y-87230000D03*
X174381000Y-93980000D03*
D14*
X162941000Y-80010000D03*
D15*
X162941000Y-81280000D03*
X162941000Y-82550000D03*
X162941000Y-83820000D03*
X162941000Y-85090000D03*
X162941000Y-86360000D03*
X162941000Y-87630000D03*
X162941000Y-88900000D03*
D16*
X138430000Y-66675000D03*
X138430000Y-102235000D03*
X177165000Y-102235000D03*
X177165000Y-66675000D03*
M02*

File diff suppressed because it is too large Load Diff

View File

@ -0,0 +1,54 @@
M48
; DRILL file {KiCad (5.1.2-1)-1} date Monday, July 29, 2019 at 10:21:17 PM
; FORMAT={-:-/ absolute / inch / decimal}
; #@! TF.CreationDate,2019-07-29T22:21:17-04:00
; #@! TF.GenerationSoftware,Kicad,Pcbnew,(5.1.2-1)-1
FMAT,2
INCH
T1C0.0157
T2C0.0256
T3C0.0394
T4C0.0413
T5C0.0906
%
G90
G05
T1
X6.5Y-3.365
X6.555Y-3.315
T2
X6.415Y-3.15
X6.415Y-3.2
X6.415Y-3.25
X6.415Y-3.3
X6.415Y-3.35
X6.415Y-3.4
X6.415Y-3.45
X6.415Y-3.5
T3
X6.6174Y-2.8161
X6.6174Y-3.0839
X6.7158Y-2.8161
X6.7158Y-2.8988
X6.7158Y-3.0012
X6.7158Y-3.0839
X6.6174Y-3.5661
X6.6174Y-3.8339
X6.7158Y-3.5661
X6.7158Y-3.6488
X6.7158Y-3.7512
X6.7158Y-3.8339
T4
X5.45Y-2.625
X6.975Y-4.025
X5.45Y-4.025
X6.975Y-2.625
T5
X6.8339Y-2.6843
X6.8339Y-3.2157
X6.8654Y-2.95
X6.8339Y-3.4343
X6.8339Y-3.9657
X6.8654Y-3.7
T0
M30

View File

@ -0,0 +1,169 @@
EESchema-LIBRARY Version 2.4
#encoding utf-8
#
# Connector_Generic_Conn_02x04_Odd_Even
#
DEF Connector_Generic_Conn_02x04_Odd_Even J 0 40 Y N 1 F N
F0 "J" 50 200 50 H V C CNN
F1 "Connector_Generic_Conn_02x04_Odd_Even" 50 -300 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
Connector*:*_2x??_*
$ENDFPLIST
DRAW
S -50 -195 0 -205 1 1 6 N
S -50 -95 0 -105 1 1 6 N
S -50 5 0 -5 1 1 6 N
S -50 105 0 95 1 1 6 N
S -50 150 150 -250 1 1 10 f
S 150 -195 100 -205 1 1 6 N
S 150 -95 100 -105 1 1 6 N
S 150 5 100 -5 1 1 6 N
S 150 105 100 95 1 1 6 N
X Pin_1 1 -200 100 150 R 50 50 1 1 P
X Pin_2 2 300 100 150 L 50 50 1 1 P
X Pin_3 3 -200 0 150 R 50 50 1 1 P
X Pin_4 4 300 0 150 L 50 50 1 1 P
X Pin_5 5 -200 -100 150 R 50 50 1 1 P
X Pin_6 6 300 -100 150 L 50 50 1 1 P
X Pin_7 7 -200 -200 150 R 50 50 1 1 P
X Pin_8 8 300 -200 150 L 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Device_C_Small
#
DEF Device_C_Small C 0 10 N N 1 F N
F0 "C" 10 70 50 H V L CNN
F1 "Device_C_Small" 10 -80 50 H V L CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
C_*
$ENDFPLIST
DRAW
P 2 0 1 13 -60 -20 60 -20 N
P 2 0 1 12 -60 20 60 20 N
X ~ 1 0 100 80 D 50 50 1 1 P
X ~ 2 0 -100 80 U 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Device_Polyfuse_Small
#
DEF Device_Polyfuse_Small F 0 0 N Y 1 F N
F0 "F" -75 0 50 V V C CNN
F1 "Device_Polyfuse_Small" 75 0 50 V V C CNN
F2 "" 50 -200 50 H I L CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
*polyfuse*
*PTC*
$ENDFPLIST
DRAW
S -20 50 20 -50 0 1 0 N
P 2 0 1 0 0 100 0 -100 N
P 4 0 1 0 -40 50 -40 30 40 -30 40 -50 N
X ~ 1 0 100 25 D 50 50 1 1 P
X ~ 2 0 -100 25 U 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Mechanical_Fiducial
#
DEF Mechanical_Fiducial FID 0 20 Y Y 1 F N
F0 "FID" 0 200 50 H V C CNN
F1 "Mechanical_Fiducial" 0 125 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
Fiducial*
$ENDFPLIST
DRAW
C 0 0 50 0 1 20 f
ENDDRAW
ENDDEF
#
# Mechanical_MountingHole_Pad
#
DEF Mechanical_MountingHole_Pad H 0 40 N N 1 F N
F0 "H" 0 250 50 H V C CNN
F1 "Mechanical_MountingHole_Pad" 0 175 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
MountingHole*Pad*
$ENDFPLIST
DRAW
C 0 50 50 0 1 50 N
X 1 1 0 -100 100 U 50 50 1 1 I
ENDDRAW
ENDDEF
#
# StandardParts_MiniDIN-6
#
DEF StandardParts_MiniDIN-6 U 0 40 Y Y 1 F N
F0 "U" 50 600 50 H V C CNN
F1 "StandardParts_MiniDIN-6" 0 -250 50 H V C CNN
F2 "" -50 -350 50 H I C CNN
F3 "" -50 -350 50 H I C CNN
DRAW
A 0 0 200 -1269 -531 1 1 10 N -120 -160 120 -160
A 2 4 200 1021 -1488 1 1 10 N -40 200 -170 -100
A 2 5 198 -320 790 1 1 10 N 170 -100 40 200
C -130 0 20 1 1 0 N
C -80 -100 20 1 1 0 N
C -80 100 20 1 1 0 N
C 80 -100 20 1 1 0 N
C 90 100 20 1 1 0 N
C 130 0 20 1 1 0 N
S -30 100 30 0 1 1 0 F
P 2 1 1 0 -150 0 -200 0 N
P 2 1 1 0 -100 100 -200 100 N
P 2 1 1 0 110 100 200 100 N
P 2 1 1 0 200 0 150 0 N
P 3 1 1 10 -170 -100 -120 -100 -120 -160 N
P 3 1 1 10 170 -100 120 -100 120 -160 N
P 4 1 1 0 -80 -120 -80 -140 -200 -140 -200 -100 N
P 4 1 1 10 -40 200 -40 160 40 160 40 200 N
P 4 1 1 0 80 -120 80 -140 200 -140 200 -100 N
X ~ 1 300 -100 100 L 50 50 1 1 P
X ~ 2 -300 -100 100 R 50 50 1 1 P
X ~ 3 300 0 100 L 50 50 1 1 P
X ~ 4 -300 0 100 R 50 50 1 1 P
X ~ 5 300 100 100 L 50 50 1 1 P
X ~ 6 -300 100 100 R 50 50 1 1 P
X ~ 7 -300 -200 300 R 50 50 1 1 P
ENDDRAW
ENDDEF
#
# power_+5V
#
DEF power_+5V #PWR 0 0 Y Y 1 F P
F0 "#PWR" 0 -150 50 H I C CNN
F1 "power_+5V" 0 140 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
DRAW
P 2 0 1 0 -30 50 0 100 N
P 2 0 1 0 0 0 0 100 N
P 2 0 1 0 0 100 30 50 N
X +5V 1 0 0 0 U 50 50 1 1 W N
ENDDRAW
ENDDEF
#
# power_GND
#
DEF power_GND #PWR 0 0 Y Y 1 F P
F0 "#PWR" 0 -250 50 H I C CNN
F1 "power_GND" 0 -150 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
DRAW
P 6 0 1 0 0 0 0 -50 50 -50 0 -100 -50 -50 0 -50 N
X GND 1 0 0 0 D 50 50 1 1 W N
ENDDRAW
ENDDEF
#
#End Library

Binary file not shown.

File diff suppressed because it is too large Load Diff

View File

@ -0,0 +1,242 @@
update=Saturday, July 20, 2019 at 12:23:07 AM
version=1
last_client=kicad
[general]
version=1
RootSch=
BoardNm=
[cvpcb]
version=1
NetIExt=net
[eeschema]
version=1
LibDir=
[eeschema/libraries]
[pcbnew]
version=1
PageLayoutDescrFile=
LastNetListRead=mouserial-keymouse.net
CopperLayerCount=2
BoardThickness=1.6
AllowMicroVias=0
AllowBlindVias=0
RequireCourtyardDefinitions=0
ProhibitOverlappingCourtyards=1
MinTrackWidth=0.2
MinViaDiameter=0.4
MinViaDrill=0.3
MinMicroViaDiameter=0.2
MinMicroViaDrill=0.09999999999999999
MinHoleToHole=0.25
TrackWidth1=0.2
TrackWidth2=0.3
TrackWidth3=0.4
TrackWidth4=0.5
TrackWidth5=0.8
ViaDiameter1=0.8
ViaDrill1=0.4
dPairWidth1=0.2
dPairGap1=0.25
dPairViaGap1=0.25
SilkLineWidth=0.15
SilkTextSizeV=1
SilkTextSizeH=1
SilkTextSizeThickness=0.15
SilkTextItalic=0
SilkTextUpright=1
CopperLineWidth=0.2
CopperTextSizeV=1.5
CopperTextSizeH=1.5
CopperTextThickness=0.3
CopperTextItalic=0
CopperTextUpright=1
EdgeCutLineWidth=0.15
CourtyardLineWidth=0.05
OthersLineWidth=0.15
OthersTextSizeV=1
OthersTextSizeH=1
OthersTextSizeThickness=0.15
OthersTextItalic=0
OthersTextUpright=1
SolderMaskClearance=0.0508
SolderMaskMinWidth=0.127
SolderPasteClearance=-0.03809999999999999
SolderPasteRatio=-0
[pcbnew/Layer.F.Cu]
Name=F.Cu
Type=0
Enabled=1
[pcbnew/Layer.In1.Cu]
Name=In1.Cu
Type=0
Enabled=0
[pcbnew/Layer.In2.Cu]
Name=In2.Cu
Type=0
Enabled=0
[pcbnew/Layer.In3.Cu]
Name=In3.Cu
Type=0
Enabled=0
[pcbnew/Layer.In4.Cu]
Name=In4.Cu
Type=0
Enabled=0
[pcbnew/Layer.In5.Cu]
Name=In5.Cu
Type=0
Enabled=0
[pcbnew/Layer.In6.Cu]
Name=In6.Cu
Type=0
Enabled=0
[pcbnew/Layer.In7.Cu]
Name=In7.Cu
Type=0
Enabled=0
[pcbnew/Layer.In8.Cu]
Name=In8.Cu
Type=0
Enabled=0
[pcbnew/Layer.In9.Cu]
Name=In9.Cu
Type=0
Enabled=0
[pcbnew/Layer.In10.Cu]
Name=In10.Cu
Type=0
Enabled=0
[pcbnew/Layer.In11.Cu]
Name=In11.Cu
Type=0
Enabled=0
[pcbnew/Layer.In12.Cu]
Name=In12.Cu
Type=0
Enabled=0
[pcbnew/Layer.In13.Cu]
Name=In13.Cu
Type=0
Enabled=0
[pcbnew/Layer.In14.Cu]
Name=In14.Cu
Type=0
Enabled=0
[pcbnew/Layer.In15.Cu]
Name=In15.Cu
Type=0
Enabled=0
[pcbnew/Layer.In16.Cu]
Name=In16.Cu
Type=0
Enabled=0
[pcbnew/Layer.In17.Cu]
Name=In17.Cu
Type=0
Enabled=0
[pcbnew/Layer.In18.Cu]
Name=In18.Cu
Type=0
Enabled=0
[pcbnew/Layer.In19.Cu]
Name=In19.Cu
Type=0
Enabled=0
[pcbnew/Layer.In20.Cu]
Name=In20.Cu
Type=0
Enabled=0
[pcbnew/Layer.In21.Cu]
Name=In21.Cu
Type=0
Enabled=0
[pcbnew/Layer.In22.Cu]
Name=In22.Cu
Type=0
Enabled=0
[pcbnew/Layer.In23.Cu]
Name=In23.Cu
Type=0
Enabled=0
[pcbnew/Layer.In24.Cu]
Name=In24.Cu
Type=0
Enabled=0
[pcbnew/Layer.In25.Cu]
Name=In25.Cu
Type=0
Enabled=0
[pcbnew/Layer.In26.Cu]
Name=In26.Cu
Type=0
Enabled=0
[pcbnew/Layer.In27.Cu]
Name=In27.Cu
Type=0
Enabled=0
[pcbnew/Layer.In28.Cu]
Name=In28.Cu
Type=0
Enabled=0
[pcbnew/Layer.In29.Cu]
Name=In29.Cu
Type=0
Enabled=0
[pcbnew/Layer.In30.Cu]
Name=In30.Cu
Type=0
Enabled=0
[pcbnew/Layer.B.Cu]
Name=B.Cu
Type=0
Enabled=1
[pcbnew/Layer.B.Adhes]
Enabled=1
[pcbnew/Layer.F.Adhes]
Enabled=1
[pcbnew/Layer.B.Paste]
Enabled=1
[pcbnew/Layer.F.Paste]
Enabled=1
[pcbnew/Layer.B.SilkS]
Enabled=1
[pcbnew/Layer.F.SilkS]
Enabled=1
[pcbnew/Layer.B.Mask]
Enabled=1
[pcbnew/Layer.F.Mask]
Enabled=1
[pcbnew/Layer.Dwgs.User]
Enabled=1
[pcbnew/Layer.Cmts.User]
Enabled=1
[pcbnew/Layer.Eco1.User]
Enabled=1
[pcbnew/Layer.Eco2.User]
Enabled=1
[pcbnew/Layer.Edge.Cuts]
Enabled=1
[pcbnew/Layer.Margin]
Enabled=1
[pcbnew/Layer.B.CrtYd]
Enabled=1
[pcbnew/Layer.F.CrtYd]
Enabled=1
[pcbnew/Layer.B.Fab]
Enabled=1
[pcbnew/Layer.F.Fab]
Enabled=1
[pcbnew/Layer.Rescue]
Enabled=0
[pcbnew/Netclasses]
[pcbnew/Netclasses/Default]
Name=Default
Clearance=0.2
TrackWidth=0.2
ViaDiameter=0.8
ViaDrill=0.4
uViaDiameter=0.3
uViaDrill=0.1
dPairWidth=0.2
dPairGap=0.25
dPairViaGap=0.25

View File

@ -0,0 +1,344 @@
EESchema Schematic File Version 4
LIBS:mouserial-keymouse-cache
EELAYER 29 0
EELAYER END
$Descr A4 11693 8268
encoding utf-8
Sheet 1 1
Title ""
Date ""
Rev ""
Comp ""
Comment1 ""
Comment2 ""
Comment3 ""
Comment4 ""
$EndDescr
$Comp
L Device:C_Small C1
U 1 1 5C57F2AE
P 3400 4400
F 0 "C1" H 3492 4446 50 0000 L CNN
F 1 "100n" H 3492 4355 50 0000 L CNN
F 2 "stdpads:C_0805" H 3400 4400 50 0001 C CNN
F 3 "~" H 3400 4400 50 0001 C CNN
1 3400 4400
-1 0 0 -1
$EndComp
$Comp
L power:GND #PWR015
U 1 1 5C57F5E4
P 6500 4000
F 0 "#PWR015" H 6500 3750 50 0001 C CNN
F 1 "GND" V 6500 3800 50 0000 C CNN
F 2 "" H 6500 4000 50 0001 C CNN
F 3 "" H 6500 4000 50 0001 C CNN
1 6500 4000
0 -1 -1 0
$EndComp
$Comp
L power:+5V #PWR012
U 1 1 5C57F61D
P 5900 4000
F 0 "#PWR012" H 5900 3850 50 0001 C CNN
F 1 "+5V" H 5900 4150 50 0000 C CNN
F 2 "" H 5900 4000 50 0001 C CNN
F 3 "" H 5900 4000 50 0001 C CNN
1 5900 4000
0 -1 -1 0
$EndComp
Text Label 6500 3900 0 50 ~ 0
MClk
Text Label 6500 4100 0 50 ~ 0
MDat
NoConn ~ 5900 3900
NoConn ~ 5900 4100
$Comp
L StandardParts:MiniDIN-6 J2
U 1 1 5C59A3CD
P 6200 4000
F 0 "J2" H 6200 4367 50 0000 C CNN
F 1 "Mouse" H 6200 4276 50 0000 C CNN
F 2 "stdpads:MD-60" H 6150 3650 50 0001 C CNN
F 3 "" H 6150 3650 50 0001 C CNN
1 6200 4000
1 0 0 -1
$EndComp
$Comp
L power:GND #PWR013
U 1 1 5C59A48B
P 5900 4200
F 0 "#PWR013" H 5900 3950 50 0001 C CNN
F 1 "GND" H 5905 4027 50 0000 C CNN
F 2 "" H 5900 4200 50 0001 C CNN
F 3 "" H 5900 4200 50 0001 C CNN
1 5900 4200
1 0 0 -1
$EndComp
Text Label 3900 4100 2 50 ~ 0
MClk
$Comp
L power:GND #PWR04
U 1 1 5C57F31A
P 3400 4500
F 0 "#PWR04" H 3400 4250 50 0001 C CNN
F 1 "GND" H 3400 4350 50 0000 C CNN
F 2 "" H 3400 4500 50 0001 C CNN
F 3 "" H 3400 4500 50 0001 C CNN
1 3400 4500
1 0 0 -1
$EndComp
$Comp
L Connector_Generic:Conn_02x04_Odd_Even J1
U 1 1 5D328F12
P 4100 4200
F 0 "J1" H 4150 4517 50 0000 C CNN
F 1 "Mouserial" H 4150 4426 50 0000 C CNN
F 2 "Connector_PinHeader_1.27mm:PinHeader_1x08_P1.27mm_Vertical" H 4100 4200 50 0001 C CNN
F 3 "~" H 4100 4200 50 0001 C CNN
1 4100 4200
1 0 0 -1
$EndComp
Text Label 3900 4400 2 50 ~ 0
MDat
Text Label 4400 4200 0 50 ~ 0
KClk
Text Label 4400 4400 0 50 ~ 0
KDat
$Comp
L power:GND #PWR0101
U 1 1 5D329B9D
P 4700 4300
F 0 "#PWR0101" H 4700 4050 50 0001 C CNN
F 1 "GND" H 4705 4127 50 0000 C CNN
F 2 "" H 4700 4300 50 0001 C CNN
F 3 "" H 4700 4300 50 0001 C CNN
1 4700 4300
1 0 0 -1
$EndComp
Wire Wire Line
4700 4300 4400 4300
Wire Wire Line
4400 4100 4700 4100
Wire Wire Line
4700 4100 4700 4300
Connection ~ 4700 4300
Wire Wire Line
3600 4300 3900 4300
$Comp
L power:+5V #PWR0103
U 1 1 5D32AA9D
P 3100 4200
F 0 "#PWR0103" H 3100 4050 50 0001 C CNN
F 1 "+5V" H 3115 4373 50 0000 C CNN
F 2 "" H 3100 4200 50 0001 C CNN
F 3 "" H 3100 4200 50 0001 C CNN
1 3100 4200
1 0 0 -1
$EndComp
$Comp
L Device:Polyfuse_Small F1
U 1 1 5D30BE58
P 3300 4200
F 0 "F1" V 3095 4200 50 0000 C CNN
F 1 "1A" V 3186 4200 50 0000 C CNN
F 2 "stdpads:BelFuse_1206" H 3350 4000 50 0001 L CNN
F 3 "~" H 3300 4200 50 0001 C CNN
1 3300 4200
0 1 1 0
$EndComp
Wire Wire Line
3100 4200 3200 4200
Wire Wire Line
3400 4500 3600 4500
Wire Wire Line
3600 4500 3600 4300
Connection ~ 3400 4500
Wire Wire Line
3400 4200 3400 4300
Wire Wire Line
3400 4200 3900 4200
Connection ~ 3400 4200
$Comp
L power:GND #PWR0102
U 1 1 5D314D06
P 6500 4700
F 0 "#PWR0102" H 6500 4450 50 0001 C CNN
F 1 "GND" V 6500 4500 50 0000 C CNN
F 2 "" H 6500 4700 50 0001 C CNN
F 3 "" H 6500 4700 50 0001 C CNN
1 6500 4700
0 -1 -1 0
$EndComp
$Comp
L power:+5V #PWR0104
U 1 1 5D314D0C
P 5900 4700
F 0 "#PWR0104" H 5900 4550 50 0001 C CNN
F 1 "+5V" H 5900 4850 50 0000 C CNN
F 2 "" H 5900 4700 50 0001 C CNN
F 3 "" H 5900 4700 50 0001 C CNN
1 5900 4700
0 -1 -1 0
$EndComp
Text Label 6500 4600 0 50 ~ 0
KClk
Text Label 6500 4800 0 50 ~ 0
KDat
NoConn ~ 5900 4600
NoConn ~ 5900 4800
$Comp
L StandardParts:MiniDIN-6 J3
U 1 1 5D314D16
P 6200 4700
F 0 "J3" H 6200 5067 50 0000 C CNN
F 1 "Keybd" H 6200 4976 50 0000 C CNN
F 2 "stdpads:MD-60" H 6150 4350 50 0001 C CNN
F 3 "" H 6150 4350 50 0001 C CNN
1 6200 4700
1 0 0 -1
$EndComp
$Comp
L power:GND #PWR0105
U 1 1 5D314D1C
P 5900 4900
F 0 "#PWR0105" H 5900 4650 50 0001 C CNN
F 1 "GND" H 5905 4727 50 0000 C CNN
F 2 "" H 5900 4900 50 0001 C CNN
F 3 "" H 5900 4900 50 0001 C CNN
1 5900 4900
1 0 0 -1
$EndComp
$Comp
L Mechanical:Fiducial FID1
U 1 1 5D32713E
P 3450 2850
F 0 "FID1" H 3535 2896 50 0000 L CNN
F 1 "Fiducial" H 3535 2805 50 0000 L CNN
F 2 "stdpads:Fiducial" H 3450 2850 50 0001 C CNN
F 3 "~" H 3450 2850 50 0001 C CNN
1 3450 2850
1 0 0 -1
$EndComp
$Comp
L Mechanical:Fiducial FID2
U 1 1 5D327596
P 3450 3100
F 0 "FID2" H 3535 3146 50 0000 L CNN
F 1 "Fiducial" H 3535 3055 50 0000 L CNN
F 2 "stdpads:Fiducial" H 3450 3100 50 0001 C CNN
F 3 "~" H 3450 3100 50 0001 C CNN
1 3450 3100
1 0 0 -1
$EndComp
$Comp
L Mechanical:Fiducial FID3
U 1 1 5D327E8E
P 3450 3350
F 0 "FID3" H 3535 3396 50 0000 L CNN
F 1 "Fiducial" H 3535 3305 50 0000 L CNN
F 2 "stdpads:Fiducial" H 3450 3350 50 0001 C CNN
F 3 "~" H 3450 3350 50 0001 C CNN
1 3450 3350
1 0 0 -1
$EndComp
$Comp
L Mechanical:Fiducial FID4
U 1 1 5D3281AA
P 3450 3600
F 0 "FID4" H 3535 3646 50 0000 L CNN
F 1 "Fiducial" H 3535 3555 50 0000 L CNN
F 2 "stdpads:Fiducial" H 3450 3600 50 0001 C CNN
F 3 "~" H 3450 3600 50 0001 C CNN
1 3450 3600
1 0 0 -1
$EndComp
$Comp
L Mechanical:MountingHole_Pad H1
U 1 1 5D326D17
P 3950 2850
F 0 "H1" H 4050 2896 50 0000 L CNN
F 1 "MountingHole" H 4050 2805 50 0000 L CNN
F 2 "stdpads:PasteHole_1.05mm_PTH" H 3950 2850 50 0001 C CNN
F 3 "~" H 3950 2850 50 0001 C CNN
1 3950 2850
1 0 0 -1
$EndComp
$Comp
L Mechanical:MountingHole_Pad H2
U 1 1 5D3272FF
P 4650 2850
F 0 "H2" H 4750 2896 50 0000 L CNN
F 1 "MountingHole" H 4750 2805 50 0000 L CNN
F 2 "stdpads:PasteHole_1.05mm_PTH" H 4650 2850 50 0001 C CNN
F 3 "~" H 4650 2850 50 0001 C CNN
1 4650 2850
1 0 0 -1
$EndComp
$Comp
L Mechanical:MountingHole_Pad H3
U 1 1 5D32738D
P 3950 3350
F 0 "H3" H 4050 3396 50 0000 L CNN
F 1 "MountingHole" H 4050 3305 50 0000 L CNN
F 2 "stdpads:PasteHole_1.05mm_PTH" H 3950 3350 50 0001 C CNN
F 3 "~" H 3950 3350 50 0001 C CNN
1 3950 3350
1 0 0 -1
$EndComp
$Comp
L Mechanical:MountingHole_Pad H4
U 1 1 5D327619
P 4650 3350
F 0 "H4" H 4750 3396 50 0000 L CNN
F 1 "MountingHole" H 4750 3305 50 0000 L CNN
F 2 "stdpads:PasteHole_1.05mm_PTH" H 4650 3350 50 0001 C CNN
F 3 "~" H 4650 3350 50 0001 C CNN
1 4650 3350
1 0 0 -1
$EndComp
$Comp
L power:GND #PWR?
U 1 1 5D3291B1
P 3950 2950
F 0 "#PWR?" H 3950 2700 50 0001 C CNN
F 1 "GND" H 3950 2800 50 0000 C CNN
F 2 "" H 3950 2950 50 0001 C CNN
F 3 "" H 3950 2950 50 0001 C CNN
1 3950 2950
1 0 0 -1
$EndComp
$Comp
L power:GND #PWR?
U 1 1 5D329649
P 3950 3450
F 0 "#PWR?" H 3950 3200 50 0001 C CNN
F 1 "GND" H 3950 3300 50 0000 C CNN
F 2 "" H 3950 3450 50 0001 C CNN
F 3 "" H 3950 3450 50 0001 C CNN
1 3950 3450
1 0 0 -1
$EndComp
$Comp
L power:GND #PWR?
U 1 1 5D329A44
P 4650 3450
F 0 "#PWR?" H 4650 3200 50 0001 C CNN
F 1 "GND" H 4650 3300 50 0000 C CNN
F 2 "" H 4650 3450 50 0001 C CNN
F 3 "" H 4650 3450 50 0001 C CNN
1 4650 3450
1 0 0 -1
$EndComp
$Comp
L power:GND #PWR?
U 1 1 5D329D95
P 4650 2950
F 0 "#PWR?" H 4650 2700 50 0001 C CNN
F 1 "GND" H 4650 2800 50 0000 C CNN
F 2 "" H 4650 2950 50 0001 C CNN
F 3 "" H 4650 2950 50 0001 C CNN
1 4650 2950
1 0 0 -1
$EndComp
$EndSCHEMATC

View File

@ -0,0 +1,3 @@
(sym_lib_table
(lib (name StandardParts)(type Legacy)(uri "$(KIPRJMOD)/../../stdparts/stdparts.lib")(options "")(descr ""))
)

View File

@ -0,0 +1,3 @@
(fp_lib_table
(lib (name stdpads)(type KiCad)(uri "$(KIPRJMOD)/../../stdpads.pretty")(options "")(descr ""))
)

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

View File

@ -0,0 +1,321 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.1.2-1)-1*
G04 #@! TF.CreationDate,2019-07-29T02:23:31-04:00*
G04 #@! TF.ProjectId,mouserial-mouse,6d6f7573-6572-4696-916c-2d6d6f757365,rev?*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Paste,Bot*
G04 #@! TF.FilePolarity,Positive*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW (5.1.2-1)-1) date 2019-07-29 02:23:31*
%MOMM*%
%LPD*%
G04 APERTURE LIST*
%ADD10C,0.100000*%
%ADD11C,0.973800*%
%ADD12C,1.123800*%
G04 APERTURE END LIST*
D10*
G36*
X164907312Y-89684272D02*
G01*
X164930945Y-89687778D01*
X164954120Y-89693583D01*
X164976614Y-89701632D01*
X164998212Y-89711846D01*
X165018704Y-89724129D01*
X165037893Y-89738361D01*
X165055595Y-89754405D01*
X165071639Y-89772107D01*
X165085871Y-89791296D01*
X165098154Y-89811788D01*
X165108368Y-89833386D01*
X165116417Y-89855880D01*
X165122222Y-89879055D01*
X165125728Y-89902688D01*
X165126900Y-89926550D01*
X165126900Y-90413450D01*
X165125728Y-90437312D01*
X165122222Y-90460945D01*
X165116417Y-90484120D01*
X165108368Y-90506614D01*
X165098154Y-90528212D01*
X165085871Y-90548704D01*
X165071639Y-90567893D01*
X165055595Y-90585595D01*
X165037893Y-90601639D01*
X165018704Y-90615871D01*
X164998212Y-90628154D01*
X164976614Y-90638368D01*
X164954120Y-90646417D01*
X164930945Y-90652222D01*
X164907312Y-90655728D01*
X164883450Y-90656900D01*
X164046550Y-90656900D01*
X164022688Y-90655728D01*
X163999055Y-90652222D01*
X163975880Y-90646417D01*
X163953386Y-90638368D01*
X163931788Y-90628154D01*
X163911296Y-90615871D01*
X163892107Y-90601639D01*
X163874405Y-90585595D01*
X163858361Y-90567893D01*
X163844129Y-90548704D01*
X163831846Y-90528212D01*
X163821632Y-90506614D01*
X163813583Y-90484120D01*
X163807778Y-90460945D01*
X163804272Y-90437312D01*
X163803100Y-90413450D01*
X163803100Y-89926550D01*
X163804272Y-89902688D01*
X163807778Y-89879055D01*
X163813583Y-89855880D01*
X163821632Y-89833386D01*
X163831846Y-89811788D01*
X163844129Y-89791296D01*
X163858361Y-89772107D01*
X163874405Y-89754405D01*
X163892107Y-89738361D01*
X163911296Y-89724129D01*
X163931788Y-89711846D01*
X163953386Y-89701632D01*
X163975880Y-89693583D01*
X163999055Y-89687778D01*
X164022688Y-89684272D01*
X164046550Y-89683100D01*
X164883450Y-89683100D01*
X164907312Y-89684272D01*
X164907312Y-89684272D01*
G37*
D11*
X164465000Y-90170000D03*
D10*
G36*
X164907312Y-87984272D02*
G01*
X164930945Y-87987778D01*
X164954120Y-87993583D01*
X164976614Y-88001632D01*
X164998212Y-88011846D01*
X165018704Y-88024129D01*
X165037893Y-88038361D01*
X165055595Y-88054405D01*
X165071639Y-88072107D01*
X165085871Y-88091296D01*
X165098154Y-88111788D01*
X165108368Y-88133386D01*
X165116417Y-88155880D01*
X165122222Y-88179055D01*
X165125728Y-88202688D01*
X165126900Y-88226550D01*
X165126900Y-88713450D01*
X165125728Y-88737312D01*
X165122222Y-88760945D01*
X165116417Y-88784120D01*
X165108368Y-88806614D01*
X165098154Y-88828212D01*
X165085871Y-88848704D01*
X165071639Y-88867893D01*
X165055595Y-88885595D01*
X165037893Y-88901639D01*
X165018704Y-88915871D01*
X164998212Y-88928154D01*
X164976614Y-88938368D01*
X164954120Y-88946417D01*
X164930945Y-88952222D01*
X164907312Y-88955728D01*
X164883450Y-88956900D01*
X164046550Y-88956900D01*
X164022688Y-88955728D01*
X163999055Y-88952222D01*
X163975880Y-88946417D01*
X163953386Y-88938368D01*
X163931788Y-88928154D01*
X163911296Y-88915871D01*
X163892107Y-88901639D01*
X163874405Y-88885595D01*
X163858361Y-88867893D01*
X163844129Y-88848704D01*
X163831846Y-88828212D01*
X163821632Y-88806614D01*
X163813583Y-88784120D01*
X163807778Y-88760945D01*
X163804272Y-88737312D01*
X163803100Y-88713450D01*
X163803100Y-88226550D01*
X163804272Y-88202688D01*
X163807778Y-88179055D01*
X163813583Y-88155880D01*
X163821632Y-88133386D01*
X163831846Y-88111788D01*
X163844129Y-88091296D01*
X163858361Y-88072107D01*
X163874405Y-88054405D01*
X163892107Y-88038361D01*
X163911296Y-88024129D01*
X163931788Y-88011846D01*
X163953386Y-88001632D01*
X163975880Y-87993583D01*
X163999055Y-87987778D01*
X164022688Y-87984272D01*
X164046550Y-87983100D01*
X164883450Y-87983100D01*
X164907312Y-87984272D01*
X164907312Y-87984272D01*
G37*
D11*
X164465000Y-88470000D03*
D10*
G36*
X165073488Y-91514453D02*
G01*
X165100761Y-91518498D01*
X165127505Y-91525198D01*
X165153465Y-91534486D01*
X165178389Y-91546274D01*
X165202037Y-91560449D01*
X165224183Y-91576873D01*
X165244612Y-91595388D01*
X165263127Y-91615817D01*
X165279551Y-91637963D01*
X165293726Y-91661611D01*
X165305514Y-91686535D01*
X165314802Y-91712495D01*
X165321502Y-91739239D01*
X165325547Y-91766512D01*
X165326900Y-91794050D01*
X165326900Y-92355950D01*
X165325547Y-92383488D01*
X165321502Y-92410761D01*
X165314802Y-92437505D01*
X165305514Y-92463465D01*
X165293726Y-92488389D01*
X165279551Y-92512037D01*
X165263127Y-92534183D01*
X165244612Y-92554612D01*
X165224183Y-92573127D01*
X165202037Y-92589551D01*
X165178389Y-92603726D01*
X165153465Y-92615514D01*
X165127505Y-92624802D01*
X165100761Y-92631502D01*
X165073488Y-92635547D01*
X165045950Y-92636900D01*
X163884050Y-92636900D01*
X163856512Y-92635547D01*
X163829239Y-92631502D01*
X163802495Y-92624802D01*
X163776535Y-92615514D01*
X163751611Y-92603726D01*
X163727963Y-92589551D01*
X163705817Y-92573127D01*
X163685388Y-92554612D01*
X163666873Y-92534183D01*
X163650449Y-92512037D01*
X163636274Y-92488389D01*
X163624486Y-92463465D01*
X163615198Y-92437505D01*
X163608498Y-92410761D01*
X163604453Y-92383488D01*
X163603100Y-92355950D01*
X163603100Y-91794050D01*
X163604453Y-91766512D01*
X163608498Y-91739239D01*
X163615198Y-91712495D01*
X163624486Y-91686535D01*
X163636274Y-91661611D01*
X163650449Y-91637963D01*
X163666873Y-91615817D01*
X163685388Y-91595388D01*
X163705817Y-91576873D01*
X163727963Y-91560449D01*
X163751611Y-91546274D01*
X163776535Y-91534486D01*
X163802495Y-91525198D01*
X163829239Y-91518498D01*
X163856512Y-91514453D01*
X163884050Y-91513100D01*
X165045950Y-91513100D01*
X165073488Y-91514453D01*
X165073488Y-91514453D01*
G37*
D12*
X164465000Y-92075000D03*
D10*
G36*
X165073488Y-94514453D02*
G01*
X165100761Y-94518498D01*
X165127505Y-94525198D01*
X165153465Y-94534486D01*
X165178389Y-94546274D01*
X165202037Y-94560449D01*
X165224183Y-94576873D01*
X165244612Y-94595388D01*
X165263127Y-94615817D01*
X165279551Y-94637963D01*
X165293726Y-94661611D01*
X165305514Y-94686535D01*
X165314802Y-94712495D01*
X165321502Y-94739239D01*
X165325547Y-94766512D01*
X165326900Y-94794050D01*
X165326900Y-95355950D01*
X165325547Y-95383488D01*
X165321502Y-95410761D01*
X165314802Y-95437505D01*
X165305514Y-95463465D01*
X165293726Y-95488389D01*
X165279551Y-95512037D01*
X165263127Y-95534183D01*
X165244612Y-95554612D01*
X165224183Y-95573127D01*
X165202037Y-95589551D01*
X165178389Y-95603726D01*
X165153465Y-95615514D01*
X165127505Y-95624802D01*
X165100761Y-95631502D01*
X165073488Y-95635547D01*
X165045950Y-95636900D01*
X163884050Y-95636900D01*
X163856512Y-95635547D01*
X163829239Y-95631502D01*
X163802495Y-95624802D01*
X163776535Y-95615514D01*
X163751611Y-95603726D01*
X163727963Y-95589551D01*
X163705817Y-95573127D01*
X163685388Y-95554612D01*
X163666873Y-95534183D01*
X163650449Y-95512037D01*
X163636274Y-95488389D01*
X163624486Y-95463465D01*
X163615198Y-95437505D01*
X163608498Y-95410761D01*
X163604453Y-95383488D01*
X163603100Y-95355950D01*
X163603100Y-94794050D01*
X163604453Y-94766512D01*
X163608498Y-94739239D01*
X163615198Y-94712495D01*
X163624486Y-94686535D01*
X163636274Y-94661611D01*
X163650449Y-94637963D01*
X163666873Y-94615817D01*
X163685388Y-94595388D01*
X163705817Y-94576873D01*
X163727963Y-94560449D01*
X163751611Y-94546274D01*
X163776535Y-94534486D01*
X163802495Y-94525198D01*
X163829239Y-94518498D01*
X163856512Y-94514453D01*
X163884050Y-94513100D01*
X165045950Y-94513100D01*
X165073488Y-94514453D01*
X165073488Y-94514453D01*
G37*
D12*
X164465000Y-95075000D03*
M02*

View File

@ -0,0 +1,448 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.1.2-1)-1*
G04 #@! TF.CreationDate,2019-07-29T02:23:31-04:00*
G04 #@! TF.ProjectId,mouserial-mouse,6d6f7573-6572-4696-916c-2d6d6f757365,rev?*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Legend,Bot*
G04 #@! TF.FilePolarity,Positive*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW (5.1.2-1)-1) date 2019-07-29 02:23:31*
%MOMM*%
%LPD*%
G04 APERTURE LIST*
%ADD10C,0.203200*%
%ADD11C,0.152400*%
%ADD12C,0.127000*%
%ADD13C,1.901600*%
%ADD14C,4.101600*%
%ADD15C,0.100000*%
%ADD16C,1.151600*%
%ADD17C,1.301600*%
%ADD18C,2.000000*%
%ADD19C,2.101600*%
%ADD20R,1.801600X1.801600*%
%ADD21O,1.801600X1.801600*%
G04 APERTURE END LIST*
D10*
X151954895Y-91270666D02*
X152535466Y-91270666D01*
X152651580Y-91231961D01*
X152728990Y-91154552D01*
X152767695Y-91038438D01*
X152767695Y-90961028D01*
X152767695Y-92044761D02*
X152767695Y-91657714D01*
X151954895Y-91657714D01*
X152690285Y-92780152D02*
X152728990Y-92741447D01*
X152767695Y-92625333D01*
X152767695Y-92547923D01*
X152728990Y-92431809D01*
X152651580Y-92354400D01*
X152574171Y-92315695D01*
X152419352Y-92276990D01*
X152303238Y-92276990D01*
X152148419Y-92315695D01*
X152071009Y-92354400D01*
X151993600Y-92431809D01*
X151954895Y-92547923D01*
X151954895Y-92625333D01*
X151993600Y-92741447D01*
X152032304Y-92780152D01*
X151954895Y-93360723D02*
X152535466Y-93360723D01*
X152651580Y-93322019D01*
X152728990Y-93244609D01*
X152767695Y-93128495D01*
X152767695Y-93051085D01*
X152767695Y-94134819D02*
X152767695Y-93747771D01*
X151954895Y-93747771D01*
X152690285Y-94870209D02*
X152728990Y-94831504D01*
X152767695Y-94715390D01*
X152767695Y-94637980D01*
X152728990Y-94521866D01*
X152651580Y-94444457D01*
X152574171Y-94405752D01*
X152419352Y-94367047D01*
X152303238Y-94367047D01*
X152148419Y-94405752D01*
X152071009Y-94444457D01*
X151993600Y-94521866D01*
X151954895Y-94637980D01*
X151954895Y-94715390D01*
X151993600Y-94831504D01*
X152032304Y-94870209D01*
X151954895Y-95450780D02*
X152535466Y-95450780D01*
X152651580Y-95412076D01*
X152728990Y-95334666D01*
X152767695Y-95218552D01*
X152767695Y-95141142D01*
X152767695Y-96224876D02*
X152767695Y-95837828D01*
X151954895Y-95837828D01*
X152690285Y-96960266D02*
X152728990Y-96921561D01*
X152767695Y-96805447D01*
X152767695Y-96728038D01*
X152728990Y-96611923D01*
X152651580Y-96534514D01*
X152574171Y-96495809D01*
X152419352Y-96457104D01*
X152303238Y-96457104D01*
X152148419Y-96495809D01*
X152071009Y-96534514D01*
X151993600Y-96611923D01*
X151954895Y-96728038D01*
X151954895Y-96805447D01*
X151993600Y-96921561D01*
X152032304Y-96960266D01*
D11*
X165265000Y-89726400D02*
X165265000Y-88913600D01*
X163665000Y-89726400D02*
X163665000Y-88913600D01*
D12*
X165515000Y-91875000D02*
X165515000Y-95275000D01*
X163415000Y-91875000D02*
X163415000Y-95275000D01*
%LPC*%
D13*
X170581000Y-95280000D03*
X170581000Y-92680000D03*
X168081000Y-90580000D03*
X168081000Y-97380000D03*
X170581000Y-97380000D03*
X170581000Y-90580000D03*
D14*
X173581000Y-100730000D03*
X173581000Y-87230000D03*
X174381000Y-93980000D03*
D15*
G36*
X164956119Y-89595586D02*
G01*
X164984067Y-89599732D01*
X165011473Y-89606597D01*
X165038075Y-89616115D01*
X165063615Y-89628195D01*
X165087849Y-89642720D01*
X165110542Y-89659550D01*
X165131476Y-89678524D01*
X165150450Y-89699458D01*
X165167280Y-89722151D01*
X165181805Y-89746385D01*
X165193885Y-89771925D01*
X165203403Y-89798527D01*
X165210268Y-89825933D01*
X165214414Y-89853881D01*
X165215800Y-89882100D01*
X165215800Y-90457900D01*
X165214414Y-90486119D01*
X165210268Y-90514067D01*
X165203403Y-90541473D01*
X165193885Y-90568075D01*
X165181805Y-90593615D01*
X165167280Y-90617849D01*
X165150450Y-90640542D01*
X165131476Y-90661476D01*
X165110542Y-90680450D01*
X165087849Y-90697280D01*
X165063615Y-90711805D01*
X165038075Y-90723885D01*
X165011473Y-90733403D01*
X164984067Y-90740268D01*
X164956119Y-90744414D01*
X164927900Y-90745800D01*
X164002100Y-90745800D01*
X163973881Y-90744414D01*
X163945933Y-90740268D01*
X163918527Y-90733403D01*
X163891925Y-90723885D01*
X163866385Y-90711805D01*
X163842151Y-90697280D01*
X163819458Y-90680450D01*
X163798524Y-90661476D01*
X163779550Y-90640542D01*
X163762720Y-90617849D01*
X163748195Y-90593615D01*
X163736115Y-90568075D01*
X163726597Y-90541473D01*
X163719732Y-90514067D01*
X163715586Y-90486119D01*
X163714200Y-90457900D01*
X163714200Y-89882100D01*
X163715586Y-89853881D01*
X163719732Y-89825933D01*
X163726597Y-89798527D01*
X163736115Y-89771925D01*
X163748195Y-89746385D01*
X163762720Y-89722151D01*
X163779550Y-89699458D01*
X163798524Y-89678524D01*
X163819458Y-89659550D01*
X163842151Y-89642720D01*
X163866385Y-89628195D01*
X163891925Y-89616115D01*
X163918527Y-89606597D01*
X163945933Y-89599732D01*
X163973881Y-89595586D01*
X164002100Y-89594200D01*
X164927900Y-89594200D01*
X164956119Y-89595586D01*
X164956119Y-89595586D01*
G37*
D16*
X164465000Y-90170000D03*
D15*
G36*
X164956119Y-87895586D02*
G01*
X164984067Y-87899732D01*
X165011473Y-87906597D01*
X165038075Y-87916115D01*
X165063615Y-87928195D01*
X165087849Y-87942720D01*
X165110542Y-87959550D01*
X165131476Y-87978524D01*
X165150450Y-87999458D01*
X165167280Y-88022151D01*
X165181805Y-88046385D01*
X165193885Y-88071925D01*
X165203403Y-88098527D01*
X165210268Y-88125933D01*
X165214414Y-88153881D01*
X165215800Y-88182100D01*
X165215800Y-88757900D01*
X165214414Y-88786119D01*
X165210268Y-88814067D01*
X165203403Y-88841473D01*
X165193885Y-88868075D01*
X165181805Y-88893615D01*
X165167280Y-88917849D01*
X165150450Y-88940542D01*
X165131476Y-88961476D01*
X165110542Y-88980450D01*
X165087849Y-88997280D01*
X165063615Y-89011805D01*
X165038075Y-89023885D01*
X165011473Y-89033403D01*
X164984067Y-89040268D01*
X164956119Y-89044414D01*
X164927900Y-89045800D01*
X164002100Y-89045800D01*
X163973881Y-89044414D01*
X163945933Y-89040268D01*
X163918527Y-89033403D01*
X163891925Y-89023885D01*
X163866385Y-89011805D01*
X163842151Y-88997280D01*
X163819458Y-88980450D01*
X163798524Y-88961476D01*
X163779550Y-88940542D01*
X163762720Y-88917849D01*
X163748195Y-88893615D01*
X163736115Y-88868075D01*
X163726597Y-88841473D01*
X163719732Y-88814067D01*
X163715586Y-88786119D01*
X163714200Y-88757900D01*
X163714200Y-88182100D01*
X163715586Y-88153881D01*
X163719732Y-88125933D01*
X163726597Y-88098527D01*
X163736115Y-88071925D01*
X163748195Y-88046385D01*
X163762720Y-88022151D01*
X163779550Y-87999458D01*
X163798524Y-87978524D01*
X163819458Y-87959550D01*
X163842151Y-87942720D01*
X163866385Y-87928195D01*
X163891925Y-87916115D01*
X163918527Y-87906597D01*
X163945933Y-87899732D01*
X163973881Y-87895586D01*
X164002100Y-87894200D01*
X164927900Y-87894200D01*
X164956119Y-87895586D01*
X164956119Y-87895586D01*
G37*
D16*
X164465000Y-88470000D03*
D15*
G36*
X165122295Y-91425767D02*
G01*
X165153882Y-91430452D01*
X165184859Y-91438212D01*
X165214925Y-91448970D01*
X165243792Y-91462623D01*
X165271183Y-91479040D01*
X165296832Y-91498062D01*
X165320493Y-91519507D01*
X165341938Y-91543168D01*
X165360960Y-91568817D01*
X165377377Y-91596208D01*
X165391030Y-91625075D01*
X165401788Y-91655141D01*
X165409548Y-91686118D01*
X165414233Y-91717705D01*
X165415800Y-91749600D01*
X165415800Y-92400400D01*
X165414233Y-92432295D01*
X165409548Y-92463882D01*
X165401788Y-92494859D01*
X165391030Y-92524925D01*
X165377377Y-92553792D01*
X165360960Y-92581183D01*
X165341938Y-92606832D01*
X165320493Y-92630493D01*
X165296832Y-92651938D01*
X165271183Y-92670960D01*
X165243792Y-92687377D01*
X165214925Y-92701030D01*
X165184859Y-92711788D01*
X165153882Y-92719548D01*
X165122295Y-92724233D01*
X165090400Y-92725800D01*
X163839600Y-92725800D01*
X163807705Y-92724233D01*
X163776118Y-92719548D01*
X163745141Y-92711788D01*
X163715075Y-92701030D01*
X163686208Y-92687377D01*
X163658817Y-92670960D01*
X163633168Y-92651938D01*
X163609507Y-92630493D01*
X163588062Y-92606832D01*
X163569040Y-92581183D01*
X163552623Y-92553792D01*
X163538970Y-92524925D01*
X163528212Y-92494859D01*
X163520452Y-92463882D01*
X163515767Y-92432295D01*
X163514200Y-92400400D01*
X163514200Y-91749600D01*
X163515767Y-91717705D01*
X163520452Y-91686118D01*
X163528212Y-91655141D01*
X163538970Y-91625075D01*
X163552623Y-91596208D01*
X163569040Y-91568817D01*
X163588062Y-91543168D01*
X163609507Y-91519507D01*
X163633168Y-91498062D01*
X163658817Y-91479040D01*
X163686208Y-91462623D01*
X163715075Y-91448970D01*
X163745141Y-91438212D01*
X163776118Y-91430452D01*
X163807705Y-91425767D01*
X163839600Y-91424200D01*
X165090400Y-91424200D01*
X165122295Y-91425767D01*
X165122295Y-91425767D01*
G37*
D17*
X164465000Y-92075000D03*
D15*
G36*
X165122295Y-94425767D02*
G01*
X165153882Y-94430452D01*
X165184859Y-94438212D01*
X165214925Y-94448970D01*
X165243792Y-94462623D01*
X165271183Y-94479040D01*
X165296832Y-94498062D01*
X165320493Y-94519507D01*
X165341938Y-94543168D01*
X165360960Y-94568817D01*
X165377377Y-94596208D01*
X165391030Y-94625075D01*
X165401788Y-94655141D01*
X165409548Y-94686118D01*
X165414233Y-94717705D01*
X165415800Y-94749600D01*
X165415800Y-95400400D01*
X165414233Y-95432295D01*
X165409548Y-95463882D01*
X165401788Y-95494859D01*
X165391030Y-95524925D01*
X165377377Y-95553792D01*
X165360960Y-95581183D01*
X165341938Y-95606832D01*
X165320493Y-95630493D01*
X165296832Y-95651938D01*
X165271183Y-95670960D01*
X165243792Y-95687377D01*
X165214925Y-95701030D01*
X165184859Y-95711788D01*
X165153882Y-95719548D01*
X165122295Y-95724233D01*
X165090400Y-95725800D01*
X163839600Y-95725800D01*
X163807705Y-95724233D01*
X163776118Y-95719548D01*
X163745141Y-95711788D01*
X163715075Y-95701030D01*
X163686208Y-95687377D01*
X163658817Y-95670960D01*
X163633168Y-95651938D01*
X163609507Y-95630493D01*
X163588062Y-95606832D01*
X163569040Y-95581183D01*
X163552623Y-95553792D01*
X163538970Y-95524925D01*
X163528212Y-95494859D01*
X163520452Y-95463882D01*
X163515767Y-95432295D01*
X163514200Y-95400400D01*
X163514200Y-94749600D01*
X163515767Y-94717705D01*
X163520452Y-94686118D01*
X163528212Y-94655141D01*
X163538970Y-94625075D01*
X163552623Y-94596208D01*
X163569040Y-94568817D01*
X163588062Y-94543168D01*
X163609507Y-94519507D01*
X163633168Y-94498062D01*
X163658817Y-94479040D01*
X163686208Y-94462623D01*
X163715075Y-94448970D01*
X163745141Y-94438212D01*
X163776118Y-94430452D01*
X163807705Y-94425767D01*
X163839600Y-94424200D01*
X165090400Y-94424200D01*
X165122295Y-94425767D01*
X165122295Y-94425767D01*
G37*
D17*
X164465000Y-95075000D03*
D18*
X152400000Y-83820000D03*
X152400000Y-104140000D03*
X176530000Y-97790000D03*
X176530000Y-90170000D03*
D19*
X133985000Y-83185000D03*
X133985000Y-104775000D03*
X177165000Y-104775000D03*
X177165000Y-83185000D03*
D20*
X161290000Y-85090000D03*
D21*
X161290000Y-87630000D03*
X161290000Y-90170000D03*
X161290000Y-92710000D03*
X161290000Y-95250000D03*
X161290000Y-97790000D03*
X161290000Y-100330000D03*
X161290000Y-102870000D03*
M02*

View File

@ -0,0 +1,87 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.1.2-1)-1*
G04 #@! TF.CreationDate,2019-07-29T02:23:31-04:00*
G04 #@! TF.ProjectId,mouserial-mouse,6d6f7573-6572-4696-916c-2d6d6f757365,rev?*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Profile,NP*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW (5.1.2-1)-1) date 2019-07-29 02:23:31*
%MOMM*%
%LPD*%
G04 APERTURE LIST*
%ADD10C,0.150000*%
G04 APERTURE END LIST*
D10*
X138430000Y-101600000D02*
X142875000Y-101600000D01*
X142875000Y-101600000D02*
G75*
G02X142875000Y-104140000I0J-1270000D01*
G01*
X142875000Y-104140000D02*
X138430000Y-104140000D01*
X138430000Y-104140000D02*
G75*
G02X138430000Y-101600000I0J1270000D01*
G01*
X133985000Y-106680000D02*
G75*
G02X132080000Y-104775000I0J1905000D01*
G01*
X179070000Y-104775000D02*
G75*
G02X177165000Y-106680000I-1905000J0D01*
G01*
X177165000Y-81280000D02*
G75*
G02X179070000Y-83185000I0J-1905000D01*
G01*
X132080000Y-83185000D02*
G75*
G02X133985000Y-81280000I1905000J0D01*
G01*
X138430000Y-86360000D02*
G75*
G02X138430000Y-83820000I0J1270000D01*
G01*
X142875000Y-86360000D02*
X138430000Y-86360000D01*
X142875000Y-83820000D02*
G75*
G02X142875000Y-86360000I0J-1270000D01*
G01*
X138430000Y-83820000D02*
X142875000Y-83820000D01*
X146685000Y-99695000D02*
X146685000Y-88265000D01*
X150495000Y-99695000D02*
G75*
G02X146685000Y-99695000I-1905000J0D01*
G01*
X150495000Y-88265000D02*
X150495000Y-99695000D01*
X146685000Y-88265000D02*
G75*
G02X150495000Y-88265000I1905000J0D01*
G01*
X133985000Y-106680000D02*
X177165000Y-106680000D01*
X179070000Y-104775000D02*
X179070000Y-83185000D01*
X154305000Y-99695000D02*
X154305000Y-88265000D01*
X158115000Y-88265000D02*
X158115000Y-99695000D01*
X158115000Y-99695000D02*
G75*
G02X154305000Y-99695000I-1905000J0D01*
G01*
X154305000Y-88265000D02*
G75*
G02X158115000Y-88265000I1905000J0D01*
G01*
X132080000Y-83185000D02*
X132080000Y-104775000D01*
X177165000Y-81280000D02*
X133985000Y-81280000D01*
M02*

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

View File

@ -0,0 +1,79 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.1.2-1)-1*
G04 #@! TF.CreationDate,2019-07-29T02:23:31-04:00*
G04 #@! TF.ProjectId,mouserial-mouse,6d6f7573-6572-4696-916c-2d6d6f757365,rev?*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Legend,Top*
G04 #@! TF.FilePolarity,Positive*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW (5.1.2-1)-1) date 2019-07-29 02:23:31*
%MOMM*%
%LPD*%
G04 APERTURE LIST*
%ADD10C,0.120000*%
%ADD11C,0.190500*%
%ADD12C,1.901600*%
%ADD13C,4.101600*%
%ADD14C,2.101600*%
%ADD15R,1.801600X1.801600*%
%ADD16O,1.801600X1.801600*%
G04 APERTURE END LIST*
D10*
X159960000Y-104200000D02*
X162620000Y-104200000D01*
X159960000Y-86360000D02*
X159960000Y-104200000D01*
X162620000Y-86360000D02*
X162620000Y-104200000D01*
X159960000Y-86360000D02*
X162620000Y-86360000D01*
X159960000Y-85090000D02*
X159960000Y-83760000D01*
X159960000Y-83760000D02*
X161290000Y-83760000D01*
D11*
X168492714Y-94206000D02*
X169037000Y-94206000D01*
X169145857Y-94242285D01*
X169218428Y-94314857D01*
X169254714Y-94423714D01*
X169254714Y-94496285D01*
X168565285Y-93879428D02*
X168529000Y-93843142D01*
X168492714Y-93770571D01*
X168492714Y-93589142D01*
X168529000Y-93516571D01*
X168565285Y-93480285D01*
X168637857Y-93444000D01*
X168710428Y-93444000D01*
X168819285Y-93480285D01*
X169254714Y-93915714D01*
X169254714Y-93444000D01*
%LPC*%
D12*
X170581000Y-95280000D03*
X170581000Y-92680000D03*
X168081000Y-90580000D03*
X168081000Y-97380000D03*
X170581000Y-97380000D03*
X170581000Y-90580000D03*
D13*
X173581000Y-100730000D03*
X173581000Y-87230000D03*
X174381000Y-93980000D03*
D14*
X133985000Y-83185000D03*
X133985000Y-104775000D03*
X177165000Y-104775000D03*
X177165000Y-83185000D03*
D15*
X161290000Y-85090000D03*
D16*
X161290000Y-87630000D03*
X161290000Y-90170000D03*
X161290000Y-92710000D03*
X161290000Y-95250000D03*
X161290000Y-97790000D03*
X161290000Y-100330000D03*
X161290000Y-102870000D03*
M02*

File diff suppressed because it is too large Load Diff

View File

@ -0,0 +1,43 @@
M48
; DRILL file {KiCad (5.1.2-1)-1} date Monday, July 29, 2019 at 02:23:32 AM
; FORMAT={-:-/ absolute / inch / decimal}
; #@! TF.CreationDate,2019-07-29T02:23:32-04:00
; #@! TF.GenerationSoftware,Kicad,Pcbnew,(5.1.2-1)-1
FMAT,2
INCH
T1C0.0157
T2C0.0394
T3C0.0413
T4C0.0906
%
G90
G05
T1
X6.475Y-3.435
X6.53Y-3.485
T2
X6.35Y-3.35
X6.35Y-3.45
X6.35Y-3.55
X6.35Y-3.65
X6.35Y-3.75
X6.35Y-3.85
X6.35Y-3.95
X6.35Y-4.05
X6.6174Y-3.5661
X6.6174Y-3.8339
X6.7158Y-3.5661
X6.7158Y-3.6488
X6.7158Y-3.7512
X6.7158Y-3.8339
T3
X6.975Y-3.275
X6.975Y-4.125
X5.275Y-3.275
X5.275Y-4.125
T4
X6.8339Y-3.4343
X6.8339Y-3.9657
X6.8654Y-3.7
T0
M30

View File

@ -0,0 +1,169 @@
EESchema-LIBRARY Version 2.4
#encoding utf-8
#
# Connector_Generic_Conn_02x04_Odd_Even
#
DEF Connector_Generic_Conn_02x04_Odd_Even J 0 40 Y N 1 F N
F0 "J" 50 200 50 H V C CNN
F1 "Connector_Generic_Conn_02x04_Odd_Even" 50 -300 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
Connector*:*_2x??_*
$ENDFPLIST
DRAW
S -50 -195 0 -205 1 1 6 N
S -50 -95 0 -105 1 1 6 N
S -50 5 0 -5 1 1 6 N
S -50 105 0 95 1 1 6 N
S -50 150 150 -250 1 1 10 f
S 150 -195 100 -205 1 1 6 N
S 150 -95 100 -105 1 1 6 N
S 150 5 100 -5 1 1 6 N
S 150 105 100 95 1 1 6 N
X Pin_1 1 -200 100 150 R 50 50 1 1 P
X Pin_2 2 300 100 150 L 50 50 1 1 P
X Pin_3 3 -200 0 150 R 50 50 1 1 P
X Pin_4 4 300 0 150 L 50 50 1 1 P
X Pin_5 5 -200 -100 150 R 50 50 1 1 P
X Pin_6 6 300 -100 150 L 50 50 1 1 P
X Pin_7 7 -200 -200 150 R 50 50 1 1 P
X Pin_8 8 300 -200 150 L 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Device_C_Small
#
DEF Device_C_Small C 0 10 N N 1 F N
F0 "C" 10 70 50 H V L CNN
F1 "Device_C_Small" 10 -80 50 H V L CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
C_*
$ENDFPLIST
DRAW
P 2 0 1 13 -60 -20 60 -20 N
P 2 0 1 12 -60 20 60 20 N
X ~ 1 0 100 80 D 50 50 1 1 P
X ~ 2 0 -100 80 U 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Device_Polyfuse_Small
#
DEF Device_Polyfuse_Small F 0 0 N Y 1 F N
F0 "F" -75 0 50 V V C CNN
F1 "Device_Polyfuse_Small" 75 0 50 V V C CNN
F2 "" 50 -200 50 H I L CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
*polyfuse*
*PTC*
$ENDFPLIST
DRAW
S -20 50 20 -50 0 1 0 N
P 2 0 1 0 0 100 0 -100 N
P 4 0 1 0 -40 50 -40 30 40 -30 40 -50 N
X ~ 1 0 100 25 D 50 50 1 1 P
X ~ 2 0 -100 25 U 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Mechanical_Fiducial
#
DEF Mechanical_Fiducial FID 0 20 Y Y 1 F N
F0 "FID" 0 200 50 H V C CNN
F1 "Mechanical_Fiducial" 0 125 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
Fiducial*
$ENDFPLIST
DRAW
C 0 0 50 0 1 20 f
ENDDRAW
ENDDEF
#
# Mechanical_MountingHole_Pad
#
DEF Mechanical_MountingHole_Pad H 0 40 N N 1 F N
F0 "H" 0 250 50 H V C CNN
F1 "Mechanical_MountingHole_Pad" 0 175 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
MountingHole*Pad*
$ENDFPLIST
DRAW
C 0 50 50 0 1 50 N
X 1 1 0 -100 100 U 50 50 1 1 I
ENDDRAW
ENDDEF
#
# StandardParts_MiniDIN-6
#
DEF StandardParts_MiniDIN-6 U 0 40 Y Y 1 F N
F0 "U" 50 600 50 H V C CNN
F1 "StandardParts_MiniDIN-6" 0 -250 50 H V C CNN
F2 "" -50 -350 50 H I C CNN
F3 "" -50 -350 50 H I C CNN
DRAW
A 0 0 200 -1269 -531 1 1 10 N -120 -160 120 -160
A 2 4 200 1021 -1488 1 1 10 N -40 200 -170 -100
A 2 5 198 -320 790 1 1 10 N 170 -100 40 200
C -130 0 20 1 1 0 N
C -80 -100 20 1 1 0 N
C -80 100 20 1 1 0 N
C 80 -100 20 1 1 0 N
C 90 100 20 1 1 0 N
C 130 0 20 1 1 0 N
S -30 100 30 0 1 1 0 F
P 2 1 1 0 -150 0 -200 0 N
P 2 1 1 0 -100 100 -200 100 N
P 2 1 1 0 110 100 200 100 N
P 2 1 1 0 200 0 150 0 N
P 3 1 1 10 -170 -100 -120 -100 -120 -160 N
P 3 1 1 10 170 -100 120 -100 120 -160 N
P 4 1 1 0 -80 -120 -80 -140 -200 -140 -200 -100 N
P 4 1 1 10 -40 200 -40 160 40 160 40 200 N
P 4 1 1 0 80 -120 80 -140 200 -140 200 -100 N
X ~ 1 300 -100 100 L 50 50 1 1 P
X ~ 2 -300 -100 100 R 50 50 1 1 P
X ~ 3 300 0 100 L 50 50 1 1 P
X ~ 4 -300 0 100 R 50 50 1 1 P
X ~ 5 300 100 100 L 50 50 1 1 P
X ~ 6 -300 100 100 R 50 50 1 1 P
X ~ 7 -300 -200 300 R 50 50 1 1 P
ENDDRAW
ENDDEF
#
# power_+5V
#
DEF power_+5V #PWR 0 0 Y Y 1 F P
F0 "#PWR" 0 -150 50 H I C CNN
F1 "power_+5V" 0 140 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
DRAW
P 2 0 1 0 -30 50 0 100 N
P 2 0 1 0 0 0 0 100 N
P 2 0 1 0 0 100 30 50 N
X +5V 1 0 0 0 U 50 50 1 1 W N
ENDDRAW
ENDDEF
#
# power_GND
#
DEF power_GND #PWR 0 0 Y Y 1 F P
F0 "#PWR" 0 -250 50 H I C CNN
F1 "power_GND" 0 -150 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
DRAW
P 6 0 1 0 0 0 0 -50 50 -50 0 -100 -50 -50 0 -50 N
X GND 1 0 0 0 D 50 50 1 1 W N
ENDDRAW
ENDDEF
#
#End Library

Binary file not shown.

File diff suppressed because it is too large Load Diff

View File

@ -0,0 +1,242 @@
update=Saturday, July 20, 2019 at 12:22:36 AM
version=1
last_client=kicad
[general]
version=1
RootSch=
BoardNm=
[cvpcb]
version=1
NetIExt=net
[eeschema]
version=1
LibDir=
[eeschema/libraries]
[pcbnew]
version=1
PageLayoutDescrFile=
LastNetListRead=mouserial-mouse.net
CopperLayerCount=2
BoardThickness=1.6
AllowMicroVias=0
AllowBlindVias=0
RequireCourtyardDefinitions=0
ProhibitOverlappingCourtyards=1
MinTrackWidth=0.2
MinViaDiameter=0.4
MinViaDrill=0.3
MinMicroViaDiameter=0.2
MinMicroViaDrill=0.09999999999999999
MinHoleToHole=0.25
TrackWidth1=0.2
TrackWidth2=0.3
TrackWidth3=0.4
TrackWidth4=0.5
TrackWidth5=0.8
ViaDiameter1=0.8
ViaDrill1=0.4
dPairWidth1=0.2
dPairGap1=0.25
dPairViaGap1=0.25
SilkLineWidth=0.15
SilkTextSizeV=1
SilkTextSizeH=1
SilkTextSizeThickness=0.15
SilkTextItalic=0
SilkTextUpright=1
CopperLineWidth=0.2
CopperTextSizeV=1.5
CopperTextSizeH=1.5
CopperTextThickness=0.3
CopperTextItalic=0
CopperTextUpright=1
EdgeCutLineWidth=0.15
CourtyardLineWidth=0.05
OthersLineWidth=0.15
OthersTextSizeV=1
OthersTextSizeH=1
OthersTextSizeThickness=0.15
OthersTextItalic=0
OthersTextUpright=1
SolderMaskClearance=0.0508
SolderMaskMinWidth=0.127
SolderPasteClearance=-0.03809999999999999
SolderPasteRatio=-0
[pcbnew/Layer.F.Cu]
Name=F.Cu
Type=0
Enabled=1
[pcbnew/Layer.In1.Cu]
Name=In1.Cu
Type=0
Enabled=0
[pcbnew/Layer.In2.Cu]
Name=In2.Cu
Type=0
Enabled=0
[pcbnew/Layer.In3.Cu]
Name=In3.Cu
Type=0
Enabled=0
[pcbnew/Layer.In4.Cu]
Name=In4.Cu
Type=0
Enabled=0
[pcbnew/Layer.In5.Cu]
Name=In5.Cu
Type=0
Enabled=0
[pcbnew/Layer.In6.Cu]
Name=In6.Cu
Type=0
Enabled=0
[pcbnew/Layer.In7.Cu]
Name=In7.Cu
Type=0
Enabled=0
[pcbnew/Layer.In8.Cu]
Name=In8.Cu
Type=0
Enabled=0
[pcbnew/Layer.In9.Cu]
Name=In9.Cu
Type=0
Enabled=0
[pcbnew/Layer.In10.Cu]
Name=In10.Cu
Type=0
Enabled=0
[pcbnew/Layer.In11.Cu]
Name=In11.Cu
Type=0
Enabled=0
[pcbnew/Layer.In12.Cu]
Name=In12.Cu
Type=0
Enabled=0
[pcbnew/Layer.In13.Cu]
Name=In13.Cu
Type=0
Enabled=0
[pcbnew/Layer.In14.Cu]
Name=In14.Cu
Type=0
Enabled=0
[pcbnew/Layer.In15.Cu]
Name=In15.Cu
Type=0
Enabled=0
[pcbnew/Layer.In16.Cu]
Name=In16.Cu
Type=0
Enabled=0
[pcbnew/Layer.In17.Cu]
Name=In17.Cu
Type=0
Enabled=0
[pcbnew/Layer.In18.Cu]
Name=In18.Cu
Type=0
Enabled=0
[pcbnew/Layer.In19.Cu]
Name=In19.Cu
Type=0
Enabled=0
[pcbnew/Layer.In20.Cu]
Name=In20.Cu
Type=0
Enabled=0
[pcbnew/Layer.In21.Cu]
Name=In21.Cu
Type=0
Enabled=0
[pcbnew/Layer.In22.Cu]
Name=In22.Cu
Type=0
Enabled=0
[pcbnew/Layer.In23.Cu]
Name=In23.Cu
Type=0
Enabled=0
[pcbnew/Layer.In24.Cu]
Name=In24.Cu
Type=0
Enabled=0
[pcbnew/Layer.In25.Cu]
Name=In25.Cu
Type=0
Enabled=0
[pcbnew/Layer.In26.Cu]
Name=In26.Cu
Type=0
Enabled=0
[pcbnew/Layer.In27.Cu]
Name=In27.Cu
Type=0
Enabled=0
[pcbnew/Layer.In28.Cu]
Name=In28.Cu
Type=0
Enabled=0
[pcbnew/Layer.In29.Cu]
Name=In29.Cu
Type=0
Enabled=0
[pcbnew/Layer.In30.Cu]
Name=In30.Cu
Type=0
Enabled=0
[pcbnew/Layer.B.Cu]
Name=B.Cu
Type=0
Enabled=1
[pcbnew/Layer.B.Adhes]
Enabled=1
[pcbnew/Layer.F.Adhes]
Enabled=1
[pcbnew/Layer.B.Paste]
Enabled=1
[pcbnew/Layer.F.Paste]
Enabled=1
[pcbnew/Layer.B.SilkS]
Enabled=1
[pcbnew/Layer.F.SilkS]
Enabled=1
[pcbnew/Layer.B.Mask]
Enabled=1
[pcbnew/Layer.F.Mask]
Enabled=1
[pcbnew/Layer.Dwgs.User]
Enabled=1
[pcbnew/Layer.Cmts.User]
Enabled=1
[pcbnew/Layer.Eco1.User]
Enabled=1
[pcbnew/Layer.Eco2.User]
Enabled=1
[pcbnew/Layer.Edge.Cuts]
Enabled=1
[pcbnew/Layer.Margin]
Enabled=1
[pcbnew/Layer.B.CrtYd]
Enabled=1
[pcbnew/Layer.F.CrtYd]
Enabled=1
[pcbnew/Layer.B.Fab]
Enabled=1
[pcbnew/Layer.F.Fab]
Enabled=1
[pcbnew/Layer.Rescue]
Enabled=0
[pcbnew/Netclasses]
[pcbnew/Netclasses/Default]
Name=Default
Clearance=0.2
TrackWidth=0.2
ViaDiameter=0.8
ViaDrill=0.4
uViaDiameter=0.3
uViaDrill=0.1
dPairWidth=0.2
dPairGap=0.25
dPairViaGap=0.25

View File

@ -0,0 +1,294 @@
EESchema Schematic File Version 4
LIBS:mouserial-mouse-cache
EELAYER 29 0
EELAYER END
$Descr A4 11693 8268
encoding utf-8
Sheet 1 1
Title ""
Date ""
Rev ""
Comp ""
Comment1 ""
Comment2 ""
Comment3 ""
Comment4 ""
$EndDescr
$Comp
L Device:C_Small C1
U 1 1 5C57F2AE
P 3400 4400
F 0 "C1" H 3492 4446 50 0000 L CNN
F 1 "100n" H 3492 4355 50 0000 L CNN
F 2 "stdpads:C_0805" H 3400 4400 50 0001 C CNN
F 3 "~" H 3400 4400 50 0001 C CNN
1 3400 4400
-1 0 0 -1
$EndComp
$Comp
L power:GND #PWR015
U 1 1 5C57F5E4
P 6500 4000
F 0 "#PWR015" H 6500 3750 50 0001 C CNN
F 1 "GND" V 6500 3800 50 0000 C CNN
F 2 "" H 6500 4000 50 0001 C CNN
F 3 "" H 6500 4000 50 0001 C CNN
1 6500 4000
0 -1 -1 0
$EndComp
$Comp
L power:+5V #PWR012
U 1 1 5C57F61D
P 5900 4000
F 0 "#PWR012" H 5900 3850 50 0001 C CNN
F 1 "+5V" H 5900 4150 50 0000 C CNN
F 2 "" H 5900 4000 50 0001 C CNN
F 3 "" H 5900 4000 50 0001 C CNN
1 5900 4000
0 -1 -1 0
$EndComp
Text Label 6500 3900 0 50 ~ 0
MClk
Text Label 6500 4100 0 50 ~ 0
MDat
NoConn ~ 5900 3900
NoConn ~ 5900 4100
$Comp
L StandardParts:MiniDIN-6 J2
U 1 1 5C59A3CD
P 6200 4000
F 0 "J2" H 6200 4367 50 0000 C CNN
F 1 "Mouse" H 6200 4276 50 0000 C CNN
F 2 "stdpads:MD-60" H 6150 3650 50 0001 C CNN
F 3 "" H 6150 3650 50 0001 C CNN
1 6200 4000
1 0 0 -1
$EndComp
$Comp
L power:GND #PWR013
U 1 1 5C59A48B
P 5900 4200
F 0 "#PWR013" H 5900 3950 50 0001 C CNN
F 1 "GND" H 5905 4027 50 0000 C CNN
F 2 "" H 5900 4200 50 0001 C CNN
F 3 "" H 5900 4200 50 0001 C CNN
1 5900 4200
1 0 0 -1
$EndComp
Text Label 3900 4100 2 50 ~ 0
MClk
$Comp
L power:GND #PWR04
U 1 1 5C57F31A
P 3400 4500
F 0 "#PWR04" H 3400 4250 50 0001 C CNN
F 1 "GND" H 3400 4350 50 0000 C CNN
F 2 "" H 3400 4500 50 0001 C CNN
F 3 "" H 3400 4500 50 0001 C CNN
1 3400 4500
1 0 0 -1
$EndComp
$Comp
L Connector_Generic:Conn_02x04_Odd_Even J1
U 1 1 5D328F12
P 4100 4200
F 0 "J1" H 4150 4517 50 0000 C CNN
F 1 "Mouserial" H 4150 4426 50 0000 C CNN
F 2 "Connector_PinHeader_2.54mm:PinHeader_1x08_P2.54mm_Vertical" H 4100 4200 50 0001 C CNN
F 3 "~" H 4100 4200 50 0001 C CNN
1 4100 4200
1 0 0 -1
$EndComp
Text Label 3900 4400 2 50 ~ 0
MDat
Text Label 4400 4200 0 50 ~ 0
KClk
Text Label 4400 4400 0 50 ~ 0
KDat
$Comp
L power:GND #PWR0101
U 1 1 5D329B9D
P 4700 4300
F 0 "#PWR0101" H 4700 4050 50 0001 C CNN
F 1 "GND" H 4705 4127 50 0000 C CNN
F 2 "" H 4700 4300 50 0001 C CNN
F 3 "" H 4700 4300 50 0001 C CNN
1 4700 4300
1 0 0 -1
$EndComp
Wire Wire Line
4700 4300 4400 4300
Wire Wire Line
4400 4100 4700 4100
Wire Wire Line
4700 4100 4700 4300
Connection ~ 4700 4300
Wire Wire Line
3600 4300 3900 4300
$Comp
L power:+5V #PWR0103
U 1 1 5D32AA9D
P 3100 4200
F 0 "#PWR0103" H 3100 4050 50 0001 C CNN
F 1 "+5V" H 3115 4373 50 0000 C CNN
F 2 "" H 3100 4200 50 0001 C CNN
F 3 "" H 3100 4200 50 0001 C CNN
1 3100 4200
1 0 0 -1
$EndComp
$Comp
L Device:Polyfuse_Small F1
U 1 1 5D30BE58
P 3300 4200
F 0 "F1" V 3095 4200 50 0000 C CNN
F 1 "1A" V 3186 4200 50 0000 C CNN
F 2 "stdpads:BelFuse_1206" H 3350 4000 50 0001 L CNN
F 3 "~" H 3300 4200 50 0001 C CNN
1 3300 4200
0 1 1 0
$EndComp
Wire Wire Line
3100 4200 3200 4200
Wire Wire Line
3400 4500 3600 4500
Wire Wire Line
3600 4500 3600 4300
Connection ~ 3400 4500
Wire Wire Line
3400 4200 3400 4300
Wire Wire Line
3400 4200 3900 4200
Connection ~ 3400 4200
$Comp
L Mechanical:Fiducial FID1
U 1 1 5D3288B0
P 4200 2400
F 0 "FID1" H 4285 2446 50 0000 L CNN
F 1 "Fiducial" H 4285 2355 50 0000 L CNN
F 2 "stdpads:Fiducial" H 4200 2400 50 0001 C CNN
F 3 "~" H 4200 2400 50 0001 C CNN
1 4200 2400
1 0 0 -1
$EndComp
$Comp
L Mechanical:Fiducial FID2
U 1 1 5D328BE1
P 4200 2650
F 0 "FID2" H 4285 2696 50 0000 L CNN
F 1 "Fiducial" H 4285 2605 50 0000 L CNN
F 2 "stdpads:Fiducial" H 4200 2650 50 0001 C CNN
F 3 "~" H 4200 2650 50 0001 C CNN
1 4200 2650
1 0 0 -1
$EndComp
$Comp
L Mechanical:Fiducial FID3
U 1 1 5D328C6F
P 4200 2900
F 0 "FID3" H 4285 2946 50 0000 L CNN
F 1 "Fiducial" H 4285 2855 50 0000 L CNN
F 2 "stdpads:Fiducial" H 4200 2900 50 0001 C CNN
F 3 "~" H 4200 2900 50 0001 C CNN
1 4200 2900
1 0 0 -1
$EndComp
$Comp
L Mechanical:Fiducial FID4
U 1 1 5D328CF1
P 4200 3150
F 0 "FID4" H 4285 3196 50 0000 L CNN
F 1 "Fiducial" H 4285 3105 50 0000 L CNN
F 2 "stdpads:Fiducial" H 4200 3150 50 0001 C CNN
F 3 "~" H 4200 3150 50 0001 C CNN
1 4200 3150
1 0 0 -1
$EndComp
$Comp
L Mechanical:MountingHole_Pad H1
U 1 1 5D326D0E
P 4700 2450
F 0 "H1" H 4800 2499 50 0000 L CNN
F 1 "MountingHole" H 4800 2408 50 0000 L CNN
F 2 "stdpads:PasteHole_1.05mm_PTH" H 4700 2450 50 0001 C CNN
F 3 "~" H 4700 2450 50 0001 C CNN
1 4700 2450
1 0 0 -1
$EndComp
$Comp
L Mechanical:MountingHole_Pad H2
U 1 1 5D327348
P 4700 2950
F 0 "H2" H 4800 2999 50 0000 L CNN
F 1 "MountingHole" H 4800 2908 50 0000 L CNN
F 2 "stdpads:PasteHole_1.05mm_PTH" H 4700 2950 50 0001 C CNN
F 3 "~" H 4700 2950 50 0001 C CNN
1 4700 2950
1 0 0 -1
$EndComp
$Comp
L Mechanical:MountingHole_Pad H3
U 1 1 5D327C34
P 5400 2450
F 0 "H3" H 5500 2499 50 0000 L CNN
F 1 "MountingHole" H 5500 2408 50 0000 L CNN
F 2 "stdpads:PasteHole_1.05mm_PTH" H 5400 2450 50 0001 C CNN
F 3 "~" H 5400 2450 50 0001 C CNN
1 5400 2450
1 0 0 -1
$EndComp
$Comp
L Mechanical:MountingHole_Pad H4
U 1 1 5D327C3A
P 5400 2950
F 0 "H4" H 5500 2999 50 0000 L CNN
F 1 "MountingHole" H 5500 2908 50 0000 L CNN
F 2 "stdpads:PasteHole_1.05mm_PTH" H 5400 2950 50 0001 C CNN
F 3 "~" H 5400 2950 50 0001 C CNN
1 5400 2950
1 0 0 -1
$EndComp
$Comp
L power:GND #PWR0102
U 1 1 5D327E90
P 4700 2550
F 0 "#PWR0102" H 4700 2300 50 0001 C CNN
F 1 "GND" H 4700 2400 50 0000 C CNN
F 2 "" H 4700 2550 50 0001 C CNN
F 3 "" H 4700 2550 50 0001 C CNN
1 4700 2550
1 0 0 -1
$EndComp
$Comp
L power:GND #PWR0104
U 1 1 5D3282AE
P 5400 2550
F 0 "#PWR0104" H 5400 2300 50 0001 C CNN
F 1 "GND" H 5400 2400 50 0000 C CNN
F 2 "" H 5400 2550 50 0001 C CNN
F 3 "" H 5400 2550 50 0001 C CNN
1 5400 2550
1 0 0 -1
$EndComp
$Comp
L power:GND #PWR0105
U 1 1 5D3285AA
P 5400 3050
F 0 "#PWR0105" H 5400 2800 50 0001 C CNN
F 1 "GND" H 5400 2900 50 0000 C CNN
F 2 "" H 5400 3050 50 0001 C CNN
F 3 "" H 5400 3050 50 0001 C CNN
1 5400 3050
1 0 0 -1
$EndComp
$Comp
L power:GND #PWR0106
U 1 1 5D3288D9
P 4700 3050
F 0 "#PWR0106" H 4700 2800 50 0001 C CNN
F 1 "GND" H 4700 2900 50 0000 C CNN
F 2 "" H 4700 3050 50 0001 C CNN
F 3 "" H 4700 3050 50 0001 C CNN
1 4700 3050
1 0 0 -1
$EndComp
$EndSCHEMATC

View File

@ -0,0 +1,3 @@
(sym_lib_table
(lib (name StandardParts)(type Legacy)(uri "$(KIPRJMOD)/../../stdparts/stdparts.lib")(options "")(descr ""))
)

19389
gerber/Mouserial-B_Cu.gbl Normal file

File diff suppressed because it is too large Load Diff

1236
gerber/Mouserial-B_Mask.gbs Normal file

File diff suppressed because it is too large Load Diff

2295
gerber/Mouserial-B_SilkS.gbo Normal file

File diff suppressed because it is too large Load Diff

View File

@ -0,0 +1,61 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.1.2-1)-1*
G04 #@! TF.CreationDate,2019-07-29T21:02:17-04:00*
G04 #@! TF.ProjectId,Mouserial,4d6f7573-6572-4696-916c-2e6b69636164,rev?*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Profile,NP*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW (5.1.2-1)-1) date 2019-07-29 21:02:17*
%MOMM*%
%LPD*%
G04 APERTURE LIST*
%ADD10C,0.150000*%
G04 APERTURE END LIST*
D10*
X52705000Y-80391000D02*
G75*
G02X54229000Y-79883000I1524000J-2032000D01*
G01*
X38481001Y-94614999D02*
G75*
G03X37973000Y-96139000I2031999J-1524001D01*
G01*
X52705000Y-80391000D02*
X38481000Y-94615000D01*
X143002000Y-79883000D02*
G75*
G02X145542000Y-82423000I0J-2540000D01*
G01*
X145542000Y-129540000D02*
G75*
G02X143002000Y-132080000I-2540000J0D01*
G01*
X139446000Y-132080000D02*
X139446000Y-139192000D01*
X143002000Y-132080000D02*
X139446000Y-132080000D01*
X40513000Y-132080000D02*
G75*
G02X37973000Y-129540000I0J2540000D01*
G01*
X37973000Y-129540000D02*
X37973000Y-96139000D01*
X73914000Y-132080000D02*
X73914000Y-139192000D01*
X139446000Y-139192000D02*
G75*
G02X138938000Y-139700000I-508000J0D01*
G01*
X74422000Y-139700000D02*
G75*
G02X73914000Y-139192000I0J508000D01*
G01*
X143002000Y-79883000D02*
X54229000Y-79883000D01*
X145542000Y-129540000D02*
X145542000Y-82423000D01*
X40513000Y-132080000D02*
X73914000Y-132080000D01*
X138938000Y-139700000D02*
X74422000Y-139700000D01*
M02*

61794
gerber/Mouserial-F_Cu.gtl Normal file

File diff suppressed because it is too large Load Diff

13104
gerber/Mouserial-F_Mask.gts Normal file

File diff suppressed because it is too large Load Diff

21533
gerber/Mouserial-F_Paste.gtp Normal file

File diff suppressed because it is too large Load Diff

24499
gerber/Mouserial-F_SilkS.gto Normal file

File diff suppressed because it is too large Load Diff

52585
gerber/Mouserial-In1_Cu.g2 Normal file

File diff suppressed because it is too large Load Diff

78707
gerber/Mouserial-In2_Cu.g3 Normal file

File diff suppressed because it is too large Load Diff

5475
gerber/Mouserial-drl_map.ps Normal file

File diff suppressed because it is too large Load Diff

725
gerber/Mouserial.drl Normal file
View File

@ -0,0 +1,725 @@
M48
; DRILL file {KiCad (5.1.2-1)-1} date Monday, July 29, 2019 at 09:02:18 PM
; FORMAT={-:-/ absolute / inch / decimal}
; #@! TF.CreationDate,2019-07-29T21:02:18-04:00
; #@! TF.GenerationSoftware,Kicad,Pcbnew,(5.1.2-1)-1
FMAT,2
INCH
T1C0.0118
T2C0.0157
T3C0.0300
T4C0.0433
%
G90
G05
T1
X1.815Y-3.95
X1.875Y-4.7
X1.9Y-4.775
X1.95Y-4.775
X1.975Y-4.45
X1.975Y-4.5
X1.975Y-4.55
X2.0Y-3.88
X2.0Y-4.775
X2.01Y-4.35
X2.015Y-4.3
X2.025Y-4.935
X2.05Y-3.895
X2.085Y-4.925
X2.1Y-3.88
X2.1Y-4.775
X2.14Y-4.91
X2.15Y-4.23
X2.15Y-4.775
X2.185Y-4.005
X2.195Y-4.64
X2.2Y-3.72
X2.2Y-4.775
X2.2Y-4.9
X2.225Y-4.035
X2.25Y-4.3
X2.25Y-4.35
X2.25Y-4.4
X2.25Y-4.45
X2.25Y-4.5
X2.25Y-4.55
X2.25Y-4.6
X2.25Y-4.775
X2.255Y-4.885
X2.3Y-4.7
X2.3Y-4.75
X2.31Y-4.195
X2.315Y-4.095
X2.315Y-4.875
X2.335Y-3.925
X2.365Y-4.095
X2.375Y-4.865
X2.38Y-4.665
X2.405Y-4.175
X2.415Y-3.925
X2.415Y-4.095
X2.425Y-4.45
X2.465Y-3.825
X2.475Y-3.75
X2.505Y-4.075
X2.51Y-3.725
X2.525Y-3.985
X2.53Y-4.16
X2.55Y-3.7
X2.555Y-4.665
X2.565Y-4.475
X2.575Y-4.1
X2.59Y-3.725
X2.615Y-3.65
X2.615Y-4.24
X2.63Y-4.0
X2.63Y-4.485
X2.635Y-3.875
X2.65Y-3.725
X2.65Y-4.05
X2.655Y-3.675
X2.655Y-4.575
X2.675Y-4.15
X2.685Y-3.825
X2.685Y-4.665
X2.69Y-4.1
X2.69Y-4.48
X2.695Y-4.35
X2.7Y-3.7
X2.725Y-3.775
X2.725Y-3.9
X2.725Y-4.0
X2.725Y-4.05
X2.725Y-4.15
X2.725Y-4.2
X2.725Y-4.25
X2.725Y-4.45
X2.725Y-4.65
X2.75Y-4.795
X2.75Y-4.865
X2.82Y-4.85
X2.85Y-3.86
X2.85Y-4.1
X2.85Y-4.15
X2.85Y-4.2
X2.85Y-4.26
X2.85Y-4.35
X2.85Y-4.4
X2.85Y-4.45
X2.85Y-4.5
X2.85Y-4.55
X2.875Y-4.85
X2.9Y-3.7
X2.9Y-3.79
X2.9Y-3.91
X2.9Y-4.0
X2.9Y-4.05
X2.9Y-4.1
X2.925Y-4.575
X2.925Y-4.85
X2.95Y-3.7
X2.95Y-5.17
X2.975Y-3.95
X2.975Y-4.0
X2.975Y-4.05
X2.975Y-4.1
X2.975Y-4.15
X2.975Y-4.25
X2.975Y-4.3
X2.985Y-4.605
X3.0Y-3.7
X3.0Y-4.65
X3.015Y-3.875
X3.025Y-3.755
X3.05Y-4.175
X3.05Y-4.275
X3.05Y-4.4
X3.05Y-5.17
X3.075Y-4.0
X3.09Y-4.1
X3.1Y-3.7
X3.1Y-4.665
X3.125Y-4.25
X3.125Y-4.45
X3.125Y-4.575
X3.125Y-4.575
X3.14Y-4.05
X3.145Y-3.875
X3.15Y-4.825
X3.15Y-5.17
X3.165Y-3.8
X3.19Y-4.0
X3.2Y-3.7
X3.2Y-4.225
X3.225Y-4.15
X3.225Y-4.45
X3.25Y-3.7
X3.25Y-4.225
X3.25Y-4.575
X3.25Y-4.825
X3.25Y-5.17
X3.265Y-3.8
X3.265Y-4.98
X3.275Y-4.4
X3.3Y-3.7
X3.3Y-4.915
X3.325Y-4.15
X3.325Y-4.35
X3.325Y-4.45
X3.325Y-4.66
X3.35Y-4.225
X3.35Y-4.575
X3.35Y-4.825
X3.35Y-5.17
X3.36Y-4.1
X3.365Y-4.875
X3.375Y-4.3
X3.4Y-4.175
X3.4Y-4.825
X3.425Y-4.25
X3.425Y-4.45
X3.44Y-4.15
X3.45Y-3.7
X3.45Y-4.575
X3.45Y-5.17
X3.46Y-5.03
X3.475Y-4.2
X3.5Y-3.575
X3.525Y-3.7
X3.525Y-4.15
X3.525Y-4.45
X3.525Y-4.875
X3.525Y-4.975
X3.55Y-3.865
X3.55Y-4.575
X3.55Y-5.17
X3.575Y-4.875
X3.585Y-5.03
X3.615Y-4.975
X3.625Y-4.45
X3.625Y-4.875
X3.64Y-3.865
X3.64Y-3.95
X3.645Y-3.45
X3.65Y-4.175
X3.65Y-4.575
X3.65Y-4.71
X3.65Y-5.17
X3.665Y-5.03
X3.675Y-3.575
X3.7Y-4.01
X3.7Y-4.975
X3.71Y-3.475
X3.715Y-3.85
X3.725Y-3.575
X3.725Y-4.45
X3.735Y-3.91
X3.75Y-4.19
X3.75Y-5.17
X3.755Y-5.03
X3.775Y-3.575
X3.775Y-4.675
X3.8Y-4.24
X3.8Y-4.31
X3.8Y-4.44
X3.8Y-4.55
X3.8Y-4.6
X3.8Y-4.825
X3.8Y-4.975
X3.81Y-3.985
X3.815Y-4.64
X3.825Y-4.15
X3.835Y-3.275
X3.835Y-4.5
X3.845Y-3.455
X3.85Y-3.75
X3.85Y-3.8
X3.85Y-3.85
X3.85Y-3.9
X3.85Y-4.225
X3.85Y-4.6
X3.85Y-5.17
X3.855Y-5.03
X3.885Y-4.975
X3.89Y-3.3
X3.935Y-3.325
X3.94Y-4.79
X3.94Y-4.84
X3.95Y-5.17
X3.96Y-5.03
X3.975Y-3.575
X3.975Y-4.15
X3.975Y-4.25
X3.975Y-4.4
X3.975Y-4.45
X3.975Y-4.55
X3.985Y-4.98
X3.985Y-5.075
X3.99Y-4.79
X3.99Y-4.84
X3.995Y-4.685
X4.0Y-4.075
X4.0Y-4.19
X4.015Y-4.575
X4.025Y-4.365
X4.035Y-3.675
X4.05Y-4.2
X4.05Y-4.25
X4.05Y-4.81
X4.05Y-5.17
X4.065Y-3.925
X4.07Y-4.98
X4.1Y-4.44
X4.11Y-3.95
X4.115Y-3.665
X4.15Y-4.97
X4.15Y-5.125
X4.15Y-5.17
X4.19Y-3.325
X4.2Y-4.255
X4.2Y-4.44
X4.23Y-3.95
X4.235Y-3.3
X4.235Y-4.96
X4.25Y-5.125
X4.25Y-5.17
X4.26Y-4.815
X4.275Y-3.665
X4.275Y-3.925
X4.275Y-4.265
X4.285Y-4.91
X4.3Y-4.66
X4.305Y-3.275
X4.32Y-3.9
X4.325Y-3.25
X4.325Y-4.1
X4.325Y-4.175
X4.325Y-4.225
X4.325Y-4.35
X4.325Y-4.7
X4.33Y-4.525
X4.35Y-5.125
X4.35Y-5.17
X4.355Y-4.765
X4.385Y-3.875
X4.4Y-3.975
X4.405Y-3.24
X4.42Y-3.85
X4.425Y-4.835
X4.425Y-5.11
X4.45Y-4.1
X4.45Y-4.25
X4.45Y-4.92
X4.45Y-5.17
X4.455Y-3.825
X4.475Y-3.965
X4.49Y-3.8
X4.5Y-3.93
X4.5Y-4.15
X4.5Y-4.24
X4.5Y-4.45
X4.5Y-4.525
X4.525Y-3.775
X4.525Y-3.895
X4.535Y-4.92
X4.55Y-3.86
X4.55Y-4.125
X4.55Y-4.29
X4.55Y-4.45
X4.55Y-4.525
X4.55Y-5.17
X4.56Y-3.75
X4.575Y-3.825
X4.58Y-4.76
X4.585Y-3.675
X4.6Y-3.79
X4.6Y-4.17
X4.6Y-4.34
X4.6Y-4.45
X4.6Y-4.525
X4.61Y-3.74
X4.65Y-4.175
X4.65Y-4.275
X4.65Y-4.76
X4.65Y-5.17
X4.675Y-3.885
X4.675Y-3.975
X4.675Y-4.125
X4.675Y-4.225
X4.675Y-4.325
X4.675Y-4.425
X4.7Y-3.85
X4.7Y-3.925
X4.7Y-4.375
X4.7Y-4.465
X4.725Y-4.425
X4.725Y-4.86
X4.735Y-3.8
X4.75Y-3.86
X4.75Y-4.15
X4.75Y-4.2
X4.75Y-4.25
X4.75Y-4.315
X4.75Y-4.465
X4.75Y-4.6
X4.75Y-4.76
X4.75Y-5.17
X4.775Y-3.96
X4.8Y-4.26
X4.825Y-3.925
X4.825Y-4.45
X4.85Y-4.115
X4.85Y-4.95
X4.85Y-5.17
X4.875Y-3.97
X4.875Y-4.275
X4.875Y-4.47
X4.875Y-4.91
X4.875Y-5.01
X4.895Y-3.86
X4.895Y-4.6
X4.9Y-4.725
X4.91Y-4.175
X4.91Y-4.225
X4.925Y-4.86
X4.925Y-5.015
X4.94Y-5.09
X4.945Y-4.81
X4.95Y-4.4
X4.95Y-4.45
X4.95Y-5.17
X4.975Y-4.735
X4.98Y-3.94
X4.99Y-3.63
X4.995Y-4.86
X5.02Y-4.76
X5.045Y-5.01
X5.05Y-5.1
X5.05Y-5.17
X5.07Y-3.545
X5.07Y-3.85
X5.075Y-3.75
X5.075Y-4.4
X5.095Y-4.04
X5.095Y-4.09
X5.095Y-4.22
X5.095Y-4.275
X5.095Y-4.325
X5.095Y-4.45
X5.1Y-4.61
X5.115Y-3.78
X5.115Y-4.735
X5.115Y-4.875
X5.125Y-4.525
X5.145Y-3.97
X5.15Y-4.63
X5.15Y-5.17
X5.165Y-4.685
X5.17Y-4.015
X5.17Y-4.285
X5.175Y-4.36
X5.175Y-4.45
X5.175Y-4.525
X5.175Y-4.85
X5.185Y-4.065
X5.19Y-3.85
X5.195Y-4.175
X5.195Y-4.225
X5.2Y-3.58
X5.2Y-3.79
X5.2Y-4.025
X5.2Y-5.16
X5.225Y-3.89
X5.225Y-4.65
X5.25Y-4.15
X5.25Y-5.17
X5.265Y-4.665
X5.275Y-3.58
X5.275Y-3.75
X5.28Y-3.935
X5.29Y-4.9
X5.3Y-3.4
X5.3Y-4.27
X5.3Y-4.35
X5.3Y-4.425
X5.3Y-4.575
X5.3Y-4.815
X5.3Y-5.16
X5.31Y-3.835
X5.34Y-4.475
X5.35Y-3.4
X5.35Y-5.17
X5.365Y-4.66
X5.365Y-5.005
X5.375Y-4.55
X5.4Y-3.42
X5.4Y-4.45
X5.43Y-3.48
X5.43Y-3.67
X5.45Y-5.17
X5.5Y-3.58
X5.6Y-4.45
X5.6Y-4.95
X5.68Y-4.2
T2
X1.535Y-3.765
X1.535Y-3.915
X1.535Y-4.115
X1.535Y-4.315
X1.535Y-4.515
X1.535Y-4.715
X1.535Y-4.915
X1.535Y-5.115
X1.58Y-5.16
X1.635Y-4.015
X1.635Y-4.215
X1.635Y-4.415
X1.635Y-4.615
X1.635Y-4.815
X1.635Y-5.015
X1.665Y-3.82
X1.68Y-3.62
X1.735Y-3.765
X1.735Y-3.915
X1.735Y-4.115
X1.735Y-4.315
X1.735Y-4.515
X1.735Y-4.715
X1.735Y-4.915
X1.78Y-5.16
X1.8Y-3.5
X1.835Y-4.015
X1.835Y-4.815
X1.835Y-4.815
X1.855Y-3.645
X1.88Y-5.06
X1.92Y-3.38
X1.935Y-4.115
X1.975Y-3.325
X1.98Y-5.16
X2.0Y-3.5
X2.04Y-3.95
X2.05Y-4.665
X2.05Y-4.775
X2.085Y-4.0
X2.1Y-3.5
X2.1Y-4.125
X2.1Y-4.235
X2.115Y-3.185
X2.145Y-3.385
X2.15Y-3.9
X2.15Y-4.0
X2.15Y-4.125
X2.18Y-5.16
X2.195Y-3.95
X2.245Y-3.185
X2.245Y-3.485
X2.25Y-4.125
X2.345Y-3.285
X2.345Y-3.385
X2.38Y-4.76
X2.38Y-5.16
X2.4Y-3.5
X2.445Y-3.185
X2.445Y-3.36
X2.445Y-3.59
X2.48Y-4.86
X2.48Y-5.06
X2.5Y-4.3
X2.5Y-4.365
X2.545Y-3.485
X2.55Y-4.255
X2.55Y-4.41
X2.565Y-3.22
X2.565Y-3.36
X2.58Y-4.76
X2.58Y-5.16
X2.6Y-4.3
X2.6Y-4.365
X2.625Y-3.42
X2.645Y-3.185
X2.645Y-3.585
X2.68Y-4.86
X2.705Y-5.05
X2.725Y-3.95
X2.725Y-4.3
X2.725Y-4.6
X2.745Y-3.285
X2.745Y-3.485
X2.75Y-5.0
X2.75Y-5.1
X2.78Y-5.16
X2.805Y-3.4
X2.815Y-5.0
X2.815Y-5.1
X2.845Y-3.185
X2.85Y-3.35
X2.85Y-3.45
X2.85Y-3.575
X2.85Y-3.95
X2.85Y-4.6
X2.865Y-5.05
X2.9Y-3.55
X2.91Y-5.0
X2.91Y-5.1
X2.915Y-3.35
X2.915Y-3.45
X2.945Y-3.285
X2.96Y-3.4
X2.975Y-5.1
X3.0Y-4.7
X3.0Y-4.825
X3.03Y-3.505
X3.04Y-3.375
X3.045Y-3.185
X3.09Y-3.305
X3.09Y-3.445
X3.145Y-3.285
X3.15Y-3.575
X3.15Y-3.7
X3.2Y-4.7
X3.2Y-4.825
X3.21Y-3.305
X3.21Y-3.445
X3.245Y-3.185
X3.26Y-3.375
X3.3Y-3.55
X3.305Y-3.4
X3.345Y-3.285
X3.35Y-3.35
X3.35Y-3.45
X3.35Y-3.575
X3.35Y-3.7
X3.4Y-3.575
X3.4Y-3.7
X3.415Y-3.45
X3.42Y-3.35
X3.445Y-3.185
X3.45Y-4.7
X3.45Y-4.825
X3.475Y-3.4
X3.53Y-3.45
X3.535Y-3.35
X3.545Y-3.285
X3.55Y-3.55
X3.6Y-3.35
X3.6Y-3.45
X3.6Y-3.575
X3.6Y-3.7
X3.645Y-3.185
X3.645Y-3.4
X3.65Y-4.825
X3.745Y-3.285
X3.845Y-3.185
X3.85Y-4.0
X3.85Y-4.35
X3.85Y-4.65
X3.915Y-3.665
X3.94Y-3.4
X3.975Y-3.7
X3.975Y-4.0
X3.975Y-4.35
X3.975Y-4.65
X3.985Y-3.35
X3.985Y-3.45
X4.045Y-3.185
X4.05Y-3.35
X4.05Y-3.45
X4.095Y-3.4
X4.1Y-4.0
X4.1Y-4.065
X4.1Y-4.3
X4.1Y-4.365
X4.1Y-4.65
X4.1Y-4.715
X4.15Y-4.11
X4.15Y-4.41
X4.15Y-4.76
X4.2Y-4.0
X4.2Y-4.065
X4.2Y-4.3
X4.2Y-4.365
X4.2Y-4.65
X4.2Y-4.715
X4.245Y-3.185
X4.325Y-4.3
X4.355Y-3.285
X4.445Y-3.185
X4.45Y-3.355
X4.45Y-3.645
X4.45Y-4.3
X4.49Y-4.97
X4.49Y-5.05
X4.53Y-3.4
X4.53Y-3.6
X4.535Y-5.1
X4.545Y-3.285
X4.6Y-5.1
X4.645Y-3.185
X4.645Y-3.385
X4.645Y-3.585
X4.7Y-3.395
X4.7Y-3.55
X4.7Y-5.1
X4.745Y-3.275
X4.745Y-3.685
X4.75Y-3.44
X4.75Y-3.505
X4.765Y-5.1
X4.8Y-3.395
X4.8Y-3.55
X4.81Y-5.05
X4.845Y-3.185
X4.845Y-3.785
X5.045Y-3.185
X5.09Y-3.915
X5.14Y-3.375
X5.14Y-5.05
X5.145Y-3.285
X5.185Y-3.325
X5.185Y-5.0
X5.185Y-5.1
X5.245Y-3.185
X5.25Y-5.0
X5.25Y-5.1
X5.295Y-5.05
X5.315Y-4.2
X5.315Y-4.305
X5.345Y-3.285
X5.355Y-3.78
X5.4Y-3.73
X5.4Y-3.83
X5.445Y-3.185
X5.465Y-3.73
X5.465Y-3.83
X5.49Y-3.315
X5.51Y-3.78
X5.515Y-3.48
X5.55Y-4.665
X5.59Y-3.815
X5.6Y-4.055
X5.645Y-3.185
X5.645Y-5.16
X5.69Y-3.23
X5.69Y-3.32
X5.69Y-3.915
X5.69Y-4.1
X5.69Y-4.3
X5.69Y-4.515
X5.69Y-4.715
X5.69Y-4.915
X5.69Y-5.115
T3
X5.35Y-5.105
X5.5Y-3.41
X5.5Y-3.65
X5.67Y-3.755
T4
X2.235Y-3.245
X5.53Y-5.1
X1.595Y-3.885
X5.53Y-3.245
X1.695Y-5.1
T0
M30