RAM2E/cpld/simulation/modelsim/RAM2E.sft

2 lines
42 B
Plaintext
Raw Normal View History

2020-07-25 08:36:59 +00:00
set tool_name "ModelSim-Altera (Verilog)"