mirror of
https://github.com/garrettsworkshop/RAM2E.git
synced 2025-03-21 20:30:00 +00:00
Add GW4203B files
This commit is contained in:
parent
c8fc6df937
commit
0dbffb8b49
985
Docs.sch
Normal file
985
Docs.sch
Normal file
@ -0,0 +1,985 @@
|
||||
EESchema Schematic File Version 4
|
||||
EELAYER 30 0
|
||||
EELAYER END
|
||||
$Descr A4 11693 8268
|
||||
encoding utf-8
|
||||
Sheet 2 2
|
||||
Title "RAM2E II"
|
||||
Date "2020-07-25"
|
||||
Rev "1.0"
|
||||
Comp "Garrett's Workshop"
|
||||
Comment1 ""
|
||||
Comment2 ""
|
||||
Comment3 ""
|
||||
Comment4 ""
|
||||
$EndDescr
|
||||
Text Notes 4600 1200 0 104 ~ 0
|
||||
Video Access
|
||||
Text Notes 6600 1200 0 100 ~ 0
|
||||
6502 CPU Access
|
||||
Wire Wire Line
|
||||
3900 1400 3900 1500
|
||||
Wire Wire Line
|
||||
3900 1500 4050 1500
|
||||
Wire Wire Line
|
||||
3900 1400 3750 1400
|
||||
Wire Wire Line
|
||||
3750 1400 3750 1500
|
||||
Wire Wire Line
|
||||
3750 1500 3650 1500
|
||||
Wire Wire Line
|
||||
8300 1800 8750 1800
|
||||
Wire Wire Line
|
||||
6150 1800 6200 1700
|
||||
Wire Wire Line
|
||||
4100 1800 6150 1800
|
||||
Text Notes 3600 1800 2 50 ~ 0
|
||||
PHI0
|
||||
Wire Wire Line
|
||||
8700 1500 8750 1500
|
||||
Wire Wire Line
|
||||
8700 1400 8700 1500
|
||||
Wire Wire Line
|
||||
8400 1500 8550 1500
|
||||
Wire Wire Line
|
||||
8550 1500 8550 1400
|
||||
Wire Wire Line
|
||||
8400 1400 8400 1500
|
||||
Wire Wire Line
|
||||
8250 1400 8400 1400
|
||||
Wire Wire Line
|
||||
8550 1400 8700 1400
|
||||
Text Notes 3600 1500 2 50 ~ 0
|
||||
C14M
|
||||
Wire Wire Line
|
||||
8100 1500 8250 1500
|
||||
Wire Wire Line
|
||||
8250 1500 8250 1400
|
||||
Wire Wire Line
|
||||
8100 1400 8100 1500
|
||||
Wire Wire Line
|
||||
7950 1400 8100 1400
|
||||
Wire Wire Line
|
||||
7800 1400 7800 1500
|
||||
Wire Wire Line
|
||||
7950 1500 7950 1400
|
||||
Wire Wire Line
|
||||
7800 1500 7950 1500
|
||||
Wire Wire Line
|
||||
7650 1400 7800 1400
|
||||
Wire Wire Line
|
||||
7650 1500 7650 1400
|
||||
Wire Wire Line
|
||||
7500 1500 7650 1500
|
||||
Wire Wire Line
|
||||
6900 1500 7050 1500
|
||||
Wire Wire Line
|
||||
6900 1400 6900 1500
|
||||
Wire Wire Line
|
||||
7200 1500 7350 1500
|
||||
Wire Wire Line
|
||||
7350 1500 7350 1400
|
||||
Wire Wire Line
|
||||
7200 1400 7200 1500
|
||||
Wire Wire Line
|
||||
7050 1400 7200 1400
|
||||
Wire Wire Line
|
||||
7350 1400 7500 1400
|
||||
Wire Wire Line
|
||||
6750 1400 6900 1400
|
||||
Wire Wire Line
|
||||
6600 1400 6600 1500
|
||||
Wire Wire Line
|
||||
6600 1500 6750 1500
|
||||
Wire Wire Line
|
||||
6450 1400 6600 1400
|
||||
Wire Wire Line
|
||||
6300 1400 6300 1500
|
||||
Wire Wire Line
|
||||
6450 1500 6450 1400
|
||||
Wire Wire Line
|
||||
6300 1500 6450 1500
|
||||
Wire Wire Line
|
||||
5700 1500 5850 1500
|
||||
Wire Wire Line
|
||||
5700 1400 5700 1500
|
||||
Wire Wire Line
|
||||
6000 1500 6150 1500
|
||||
Wire Wire Line
|
||||
6150 1500 6150 1400
|
||||
Wire Wire Line
|
||||
6000 1400 6000 1500
|
||||
Wire Wire Line
|
||||
5850 1400 6000 1400
|
||||
Wire Wire Line
|
||||
6150 1400 6300 1400
|
||||
Wire Wire Line
|
||||
5550 1400 5700 1400
|
||||
Wire Wire Line
|
||||
5400 1400 5400 1500
|
||||
Wire Wire Line
|
||||
5550 1500 5550 1400
|
||||
Wire Wire Line
|
||||
5400 1500 5550 1500
|
||||
Wire Wire Line
|
||||
5250 1400 5400 1400
|
||||
Wire Wire Line
|
||||
5100 1400 5100 1500
|
||||
Wire Wire Line
|
||||
5250 1500 5250 1400
|
||||
Wire Wire Line
|
||||
4500 1500 4650 1500
|
||||
Wire Wire Line
|
||||
4650 1500 4650 1400
|
||||
Wire Wire Line
|
||||
4500 1400 4500 1500
|
||||
Wire Wire Line
|
||||
4800 1500 4950 1500
|
||||
Wire Wire Line
|
||||
4950 1500 4950 1400
|
||||
Wire Wire Line
|
||||
4800 1400 4800 1500
|
||||
Wire Wire Line
|
||||
4650 1400 4800 1400
|
||||
Wire Wire Line
|
||||
4950 1400 5100 1400
|
||||
Wire Wire Line
|
||||
4350 1400 4500 1400
|
||||
Wire Wire Line
|
||||
4200 1400 4200 1500
|
||||
Wire Wire Line
|
||||
4350 1500 4350 1400
|
||||
Wire Wire Line
|
||||
4200 1500 4350 1500
|
||||
Wire Wire Line
|
||||
4050 1400 4200 1400
|
||||
Wire Wire Line
|
||||
4050 1500 4050 1400
|
||||
Wire Wire Line
|
||||
6750 1500 6750 1400
|
||||
Text Notes 3600 1950 2 50 ~ 0
|
||||
RA[7:0]
|
||||
Wire Wire Line
|
||||
8250 1700 8300 1800
|
||||
Wire Wire Line
|
||||
7500 1400 7500 1500
|
||||
Wire Wire Line
|
||||
6200 1700 8250 1700
|
||||
Wire Wire Line
|
||||
5100 1500 5250 1500
|
||||
Text Notes 5400 1650 0 40 ~ 0
|
||||
S4
|
||||
Text Notes 5700 1650 0 40 ~ 0
|
||||
S5
|
||||
Text Notes 6000 1650 0 40 ~ 0
|
||||
S6
|
||||
Text Notes 6300 1650 0 40 ~ 0
|
||||
S7
|
||||
Text Notes 6600 1650 0 40 ~ 0
|
||||
S8
|
||||
Text Notes 6900 1650 0 40 ~ 0
|
||||
S9
|
||||
Wire Wire Line
|
||||
4400 1850 4450 1950
|
||||
Wire Wire Line
|
||||
4400 1950 4450 1850
|
||||
Wire Wire Line
|
||||
4450 1850 4500 1950
|
||||
Wire Wire Line
|
||||
4450 1950 4500 1850
|
||||
Wire Wire Line
|
||||
4500 1850 4550 1950
|
||||
Wire Wire Line
|
||||
4500 1950 4550 1850
|
||||
Wire Wire Line
|
||||
4550 1850 4600 1950
|
||||
Wire Wire Line
|
||||
4550 1950 4600 1850
|
||||
Wire Wire Line
|
||||
4600 1850 4650 1950
|
||||
Wire Wire Line
|
||||
4600 1950 4650 1850
|
||||
Wire Wire Line
|
||||
4650 1850 4700 1950
|
||||
Wire Wire Line
|
||||
4650 1950 4700 1850
|
||||
Wire Wire Line
|
||||
4700 1850 4750 1950
|
||||
Wire Wire Line
|
||||
4700 1950 4750 1850
|
||||
Wire Wire Line
|
||||
4750 1850 4800 1950
|
||||
Wire Wire Line
|
||||
4750 1950 4800 1850
|
||||
Wire Wire Line
|
||||
3850 1850 3900 1950
|
||||
Wire Wire Line
|
||||
3850 1950 3900 1850
|
||||
Wire Wire Line
|
||||
3900 1850 3950 1950
|
||||
Wire Wire Line
|
||||
3900 1950 3950 1850
|
||||
Wire Wire Line
|
||||
3950 1850 4000 1950
|
||||
Wire Wire Line
|
||||
3950 1950 4000 1850
|
||||
Wire Wire Line
|
||||
4000 1850 4050 1950
|
||||
Wire Wire Line
|
||||
4000 1950 4050 1850
|
||||
Wire Wire Line
|
||||
4050 1850 4100 1950
|
||||
Wire Wire Line
|
||||
4050 1950 4100 1850
|
||||
Wire Wire Line
|
||||
4100 1850 4150 1950
|
||||
Wire Wire Line
|
||||
4100 1950 4150 1850
|
||||
Wire Wire Line
|
||||
4150 1850 4200 1950
|
||||
Wire Wire Line
|
||||
4150 1950 4200 1850
|
||||
Wire Wire Line
|
||||
4050 1700 4100 1800
|
||||
Wire Wire Line
|
||||
3650 1700 4050 1700
|
||||
Text Notes 3600 1650 2 50 ~ 0
|
||||
State
|
||||
Wire Wire Line
|
||||
3750 1550 3800 1650
|
||||
Wire Wire Line
|
||||
3750 1650 3800 1550
|
||||
Wire Wire Line
|
||||
3750 1550 3650 1550
|
||||
Wire Wire Line
|
||||
3750 1650 3650 1650
|
||||
Wire Wire Line
|
||||
4050 1550 4100 1650
|
||||
Wire Wire Line
|
||||
4050 1650 4100 1550
|
||||
Wire Wire Line
|
||||
4050 1550 3800 1550
|
||||
Wire Wire Line
|
||||
3800 1650 4050 1650
|
||||
Wire Wire Line
|
||||
4350 1550 4400 1650
|
||||
Wire Wire Line
|
||||
4350 1650 4400 1550
|
||||
Wire Wire Line
|
||||
4350 1550 4100 1550
|
||||
Wire Wire Line
|
||||
4100 1650 4350 1650
|
||||
Wire Wire Line
|
||||
4650 1550 4700 1650
|
||||
Wire Wire Line
|
||||
4650 1650 4700 1550
|
||||
Wire Wire Line
|
||||
4650 1550 4400 1550
|
||||
Wire Wire Line
|
||||
4400 1650 4650 1650
|
||||
Wire Wire Line
|
||||
4950 1550 5000 1650
|
||||
Wire Wire Line
|
||||
4950 1650 5000 1550
|
||||
Wire Wire Line
|
||||
4950 1550 4700 1550
|
||||
Wire Wire Line
|
||||
4700 1650 4950 1650
|
||||
Wire Wire Line
|
||||
5250 1550 5300 1650
|
||||
Wire Wire Line
|
||||
5250 1650 5300 1550
|
||||
Wire Wire Line
|
||||
5250 1550 5000 1550
|
||||
Wire Wire Line
|
||||
5000 1650 5250 1650
|
||||
Wire Wire Line
|
||||
5550 1550 5600 1650
|
||||
Wire Wire Line
|
||||
5550 1650 5600 1550
|
||||
Wire Wire Line
|
||||
5550 1550 5300 1550
|
||||
Wire Wire Line
|
||||
5300 1650 5550 1650
|
||||
Wire Wire Line
|
||||
5850 1550 5900 1650
|
||||
Wire Wire Line
|
||||
5850 1650 5900 1550
|
||||
Wire Wire Line
|
||||
5850 1550 5600 1550
|
||||
Wire Wire Line
|
||||
5600 1650 5850 1650
|
||||
Wire Wire Line
|
||||
6150 1550 6200 1650
|
||||
Wire Wire Line
|
||||
6150 1650 6200 1550
|
||||
Wire Wire Line
|
||||
6150 1550 5900 1550
|
||||
Wire Wire Line
|
||||
5900 1650 6150 1650
|
||||
Wire Wire Line
|
||||
6450 1550 6500 1650
|
||||
Wire Wire Line
|
||||
6450 1650 6500 1550
|
||||
Wire Wire Line
|
||||
6450 1550 6200 1550
|
||||
Wire Wire Line
|
||||
6200 1650 6450 1650
|
||||
Wire Wire Line
|
||||
6750 1550 6800 1650
|
||||
Wire Wire Line
|
||||
6750 1650 6800 1550
|
||||
Wire Wire Line
|
||||
6750 1550 6500 1550
|
||||
Wire Wire Line
|
||||
6500 1650 6750 1650
|
||||
Wire Wire Line
|
||||
7050 1550 7100 1650
|
||||
Wire Wire Line
|
||||
7050 1650 7100 1550
|
||||
Wire Wire Line
|
||||
7050 1550 6800 1550
|
||||
Wire Wire Line
|
||||
6800 1650 7050 1650
|
||||
Wire Wire Line
|
||||
7350 1550 7400 1650
|
||||
Wire Wire Line
|
||||
7350 1650 7400 1550
|
||||
Wire Wire Line
|
||||
7350 1550 7100 1550
|
||||
Wire Wire Line
|
||||
7100 1650 7350 1650
|
||||
Wire Wire Line
|
||||
7650 1550 7700 1650
|
||||
Wire Wire Line
|
||||
7650 1650 7700 1550
|
||||
Wire Wire Line
|
||||
7650 1550 7400 1550
|
||||
Wire Wire Line
|
||||
7400 1650 7650 1650
|
||||
Wire Wire Line
|
||||
7950 1550 8000 1650
|
||||
Wire Wire Line
|
||||
7950 1650 8000 1550
|
||||
Wire Wire Line
|
||||
7950 1550 7700 1550
|
||||
Wire Wire Line
|
||||
7700 1650 7950 1650
|
||||
Wire Wire Line
|
||||
8250 1550 8300 1650
|
||||
Wire Wire Line
|
||||
8250 1650 8300 1550
|
||||
Wire Wire Line
|
||||
8250 1550 8000 1550
|
||||
Wire Wire Line
|
||||
8000 1650 8250 1650
|
||||
Wire Wire Line
|
||||
8550 1550 8600 1650
|
||||
Wire Wire Line
|
||||
8550 1650 8600 1550
|
||||
Wire Wire Line
|
||||
8550 1550 8300 1550
|
||||
Wire Wire Line
|
||||
8300 1650 8550 1650
|
||||
Wire Wire Line
|
||||
8750 1550 8600 1550
|
||||
Wire Wire Line
|
||||
8600 1650 8750 1650
|
||||
Text Notes 4500 1650 0 40 ~ 0
|
||||
S1
|
||||
Text Notes 4800 1650 0 40 ~ 0
|
||||
S2
|
||||
Text Notes 5100 1650 0 40 ~ 0
|
||||
S3
|
||||
Text Notes 4200 1650 0 40 ~ 0
|
||||
SE
|
||||
Text Notes 3900 1650 0 40 ~ 0
|
||||
SD
|
||||
Text Notes 7200 1650 0 40 ~ 0
|
||||
SA
|
||||
Text Notes 7500 1650 0 40 ~ 0
|
||||
SB
|
||||
Text Notes 7800 1650 0 40 ~ 0
|
||||
SC
|
||||
Text Notes 8100 1650 0 40 ~ 0
|
||||
SD
|
||||
Text Notes 8400 1650 0 40 ~ 0
|
||||
SE
|
||||
Text Notes 8650 1650 0 40 ~ 0
|
||||
S1
|
||||
Wire Wire Line
|
||||
5850 1500 5850 1400
|
||||
Wire Wire Line
|
||||
3800 1950 3850 1850
|
||||
Wire Wire Line
|
||||
3800 1850 3850 1950
|
||||
Wire Wire Line
|
||||
6500 1850 6550 1950
|
||||
Wire Wire Line
|
||||
6500 1950 6550 1850
|
||||
Wire Wire Line
|
||||
6550 1850 6600 1950
|
||||
Wire Wire Line
|
||||
6550 1950 6600 1850
|
||||
Wire Wire Line
|
||||
6600 1850 6650 1950
|
||||
Wire Wire Line
|
||||
6600 1950 6650 1850
|
||||
Wire Wire Line
|
||||
6650 1850 6700 1950
|
||||
Wire Wire Line
|
||||
6650 1950 6700 1850
|
||||
Wire Wire Line
|
||||
6700 1850 6750 1950
|
||||
Wire Wire Line
|
||||
6700 1950 6750 1850
|
||||
Wire Wire Line
|
||||
6750 1850 6800 1950
|
||||
Wire Wire Line
|
||||
6750 1950 6800 1850
|
||||
Wire Wire Line
|
||||
6800 1850 6850 1950
|
||||
Wire Wire Line
|
||||
6800 1950 6850 1850
|
||||
Wire Wire Line
|
||||
6850 1850 6900 1950
|
||||
Wire Wire Line
|
||||
6850 1950 6900 1850
|
||||
Wire Wire Line
|
||||
5900 1850 5950 1950
|
||||
Wire Wire Line
|
||||
5900 1950 5950 1850
|
||||
Wire Wire Line
|
||||
5950 1850 6000 1950
|
||||
Wire Wire Line
|
||||
5950 1950 6000 1850
|
||||
Wire Wire Line
|
||||
6000 1850 6050 1950
|
||||
Wire Wire Line
|
||||
6000 1950 6050 1850
|
||||
Wire Wire Line
|
||||
6050 1850 6100 1950
|
||||
Wire Wire Line
|
||||
6050 1950 6100 1850
|
||||
Wire Wire Line
|
||||
6100 1850 6150 1950
|
||||
Wire Wire Line
|
||||
6100 1950 6150 1850
|
||||
Wire Wire Line
|
||||
6150 1850 6200 1950
|
||||
Wire Wire Line
|
||||
6150 1950 6200 1850
|
||||
Wire Wire Line
|
||||
6200 1850 6250 1950
|
||||
Wire Wire Line
|
||||
6200 1950 6250 1850
|
||||
Wire Wire Line
|
||||
6250 1850 6300 1950
|
||||
Wire Wire Line
|
||||
6250 1950 6300 1850
|
||||
Wire Wire Line
|
||||
8600 1850 8650 1950
|
||||
Wire Wire Line
|
||||
8600 1950 8650 1850
|
||||
Wire Wire Line
|
||||
8650 1850 8700 1950
|
||||
Wire Wire Line
|
||||
8650 1950 8700 1850
|
||||
Wire Wire Line
|
||||
8700 1850 8750 1950
|
||||
Wire Wire Line
|
||||
8700 1950 8750 1850
|
||||
Wire Wire Line
|
||||
7050 1500 7050 1400
|
||||
Text Notes 3600 2250 2 50 ~ 0
|
||||
CKE
|
||||
Wire Wire Line
|
||||
7650 2150 4700 2150
|
||||
Wire Wire Line
|
||||
4700 2150 4650 2250
|
||||
Wire Wire Line
|
||||
4650 2250 3650 2250
|
||||
Wire Wire Line
|
||||
7650 2150 7700 2250
|
||||
Wire Wire Line
|
||||
7700 2250 8750 2250
|
||||
Wire Wire Line
|
||||
8550 2300 8600 2400
|
||||
Wire Wire Line
|
||||
8550 2400 8600 2300
|
||||
Wire Wire Line
|
||||
8550 2300 8300 2300
|
||||
Wire Wire Line
|
||||
8300 2400 8550 2400
|
||||
Wire Wire Line
|
||||
8750 2300 8600 2300
|
||||
Wire Wire Line
|
||||
8600 2400 8750 2400
|
||||
Wire Wire Line
|
||||
8550 2450 8600 2550
|
||||
Wire Wire Line
|
||||
8550 2550 8600 2450
|
||||
Wire Wire Line
|
||||
8550 2450 8300 2450
|
||||
Wire Wire Line
|
||||
8300 2550 8550 2550
|
||||
Wire Wire Line
|
||||
8750 2450 8600 2450
|
||||
Wire Wire Line
|
||||
8600 2550 8750 2550
|
||||
Text Notes 8350 2400 0 40 ~ 0
|
||||
NOP
|
||||
Text Notes 8350 2550 0 40 ~ 0
|
||||
NOP
|
||||
Text Notes 8600 2400 0 40 ~ 0
|
||||
NOP
|
||||
Text Notes 8600 2550 0 40 ~ 0
|
||||
NOP
|
||||
Wire Wire Line
|
||||
6450 2300 6500 2400
|
||||
Wire Wire Line
|
||||
6450 2400 6500 2300
|
||||
Wire Wire Line
|
||||
6450 2300 6200 2300
|
||||
Wire Wire Line
|
||||
6200 2400 6450 2400
|
||||
Wire Wire Line
|
||||
6750 2300 6800 2400
|
||||
Wire Wire Line
|
||||
6750 2400 6800 2300
|
||||
Wire Wire Line
|
||||
6750 2300 6500 2300
|
||||
Wire Wire Line
|
||||
6500 2400 6750 2400
|
||||
Wire Wire Line
|
||||
7050 2300 7100 2400
|
||||
Wire Wire Line
|
||||
7050 2400 7100 2300
|
||||
Wire Wire Line
|
||||
7050 2300 6800 2300
|
||||
Wire Wire Line
|
||||
6800 2400 7050 2400
|
||||
Wire Wire Line
|
||||
7350 2300 7400 2400
|
||||
Wire Wire Line
|
||||
7350 2400 7400 2300
|
||||
Wire Wire Line
|
||||
7350 2300 7100 2300
|
||||
Wire Wire Line
|
||||
7100 2400 7350 2400
|
||||
Wire Wire Line
|
||||
7650 2300 7700 2400
|
||||
Wire Wire Line
|
||||
7650 2400 7700 2300
|
||||
Wire Wire Line
|
||||
7650 2300 7400 2300
|
||||
Wire Wire Line
|
||||
7400 2400 7650 2400
|
||||
Wire Wire Line
|
||||
7950 2300 8000 2400
|
||||
Wire Wire Line
|
||||
7950 2400 8000 2300
|
||||
Wire Wire Line
|
||||
7950 2300 7700 2300
|
||||
Wire Wire Line
|
||||
7700 2400 7950 2400
|
||||
Wire Wire Line
|
||||
8250 2300 8300 2400
|
||||
Wire Wire Line
|
||||
8250 2400 8300 2300
|
||||
Wire Wire Line
|
||||
8250 2300 8000 2300
|
||||
Wire Wire Line
|
||||
8000 2400 8250 2400
|
||||
Wire Wire Line
|
||||
6450 2450 6500 2550
|
||||
Wire Wire Line
|
||||
6450 2550 6500 2450
|
||||
Wire Wire Line
|
||||
6450 2450 6200 2450
|
||||
Wire Wire Line
|
||||
6200 2550 6450 2550
|
||||
Wire Wire Line
|
||||
6750 2450 6800 2550
|
||||
Wire Wire Line
|
||||
6750 2550 6800 2450
|
||||
Wire Wire Line
|
||||
6750 2450 6500 2450
|
||||
Wire Wire Line
|
||||
6500 2550 6750 2550
|
||||
Wire Wire Line
|
||||
7050 2450 7100 2550
|
||||
Wire Wire Line
|
||||
7050 2550 7100 2450
|
||||
Wire Wire Line
|
||||
7050 2450 6800 2450
|
||||
Wire Wire Line
|
||||
6800 2550 7050 2550
|
||||
Wire Wire Line
|
||||
7350 2450 7400 2550
|
||||
Wire Wire Line
|
||||
7350 2550 7400 2450
|
||||
Wire Wire Line
|
||||
7350 2450 7100 2450
|
||||
Wire Wire Line
|
||||
7100 2550 7350 2550
|
||||
Wire Wire Line
|
||||
7650 2450 7700 2550
|
||||
Wire Wire Line
|
||||
7650 2550 7700 2450
|
||||
Wire Wire Line
|
||||
7650 2450 7400 2450
|
||||
Wire Wire Line
|
||||
7400 2550 7650 2550
|
||||
Wire Wire Line
|
||||
7950 2450 8000 2550
|
||||
Wire Wire Line
|
||||
7950 2550 8000 2450
|
||||
Wire Wire Line
|
||||
7950 2450 7700 2450
|
||||
Wire Wire Line
|
||||
7700 2550 7950 2550
|
||||
Wire Wire Line
|
||||
8250 2450 8300 2550
|
||||
Wire Wire Line
|
||||
8250 2550 8300 2450
|
||||
Wire Wire Line
|
||||
8250 2450 8000 2450
|
||||
Wire Wire Line
|
||||
8000 2550 8250 2550
|
||||
Text Notes 7100 2400 0 40 ~ 0
|
||||
RD+AP
|
||||
Text Notes 6850 2400 0 40 ~ 0
|
||||
ACT
|
||||
Text Notes 7450 2400 0 40 ~ 0
|
||||
NOP
|
||||
Text Notes 7750 2400 0 40 ~ 0
|
||||
NOP
|
||||
Text Notes 7100 2550 0 40 ~ 0
|
||||
WR+AP
|
||||
Text Notes 7450 2550 0 40 ~ 0
|
||||
NOP
|
||||
Text Notes 7750 2550 0 40 ~ 0
|
||||
NOP
|
||||
Text Notes 8050 2550 0 40 ~ 0
|
||||
NOP
|
||||
Text Notes 8050 2400 0 40 ~ 0
|
||||
NOP
|
||||
Text Notes 6250 2400 0 40 ~ 0
|
||||
AREF
|
||||
Text Notes 6250 2550 0 40 ~ 0
|
||||
AREF
|
||||
Text Notes 6850 2550 0 40 ~ 0
|
||||
ACT
|
||||
Text Notes 6550 2400 0 40 ~ 0
|
||||
NOP
|
||||
Text Notes 6550 2550 0 40 ~ 0
|
||||
NOP
|
||||
Wire Wire Line
|
||||
4350 2300 4400 2400
|
||||
Wire Wire Line
|
||||
4350 2400 4400 2300
|
||||
Wire Wire Line
|
||||
4350 2300 4100 2300
|
||||
Wire Wire Line
|
||||
4100 2400 4350 2400
|
||||
Wire Wire Line
|
||||
4650 2300 4700 2400
|
||||
Wire Wire Line
|
||||
4650 2400 4700 2300
|
||||
Wire Wire Line
|
||||
4650 2300 4400 2300
|
||||
Wire Wire Line
|
||||
4400 2400 4650 2400
|
||||
Wire Wire Line
|
||||
4950 2300 5000 2400
|
||||
Wire Wire Line
|
||||
4950 2400 5000 2300
|
||||
Wire Wire Line
|
||||
4950 2300 4700 2300
|
||||
Wire Wire Line
|
||||
4700 2400 4950 2400
|
||||
Wire Wire Line
|
||||
5250 2300 5300 2400
|
||||
Wire Wire Line
|
||||
5250 2400 5300 2300
|
||||
Wire Wire Line
|
||||
5250 2300 5000 2300
|
||||
Wire Wire Line
|
||||
5000 2400 5250 2400
|
||||
Wire Wire Line
|
||||
5550 2300 5600 2400
|
||||
Wire Wire Line
|
||||
5550 2400 5600 2300
|
||||
Wire Wire Line
|
||||
5550 2300 5300 2300
|
||||
Wire Wire Line
|
||||
5300 2400 5550 2400
|
||||
Wire Wire Line
|
||||
5850 2300 5900 2400
|
||||
Wire Wire Line
|
||||
5850 2400 5900 2300
|
||||
Wire Wire Line
|
||||
5850 2300 5600 2300
|
||||
Wire Wire Line
|
||||
5600 2400 5850 2400
|
||||
Wire Wire Line
|
||||
6150 2300 6200 2400
|
||||
Wire Wire Line
|
||||
6150 2400 6200 2300
|
||||
Wire Wire Line
|
||||
6150 2300 5900 2300
|
||||
Wire Wire Line
|
||||
5900 2400 6150 2400
|
||||
Wire Wire Line
|
||||
4350 2450 4400 2550
|
||||
Wire Wire Line
|
||||
4350 2550 4400 2450
|
||||
Wire Wire Line
|
||||
4350 2450 4100 2450
|
||||
Wire Wire Line
|
||||
4100 2550 4350 2550
|
||||
Wire Wire Line
|
||||
4650 2450 4700 2550
|
||||
Wire Wire Line
|
||||
4650 2550 4700 2450
|
||||
Wire Wire Line
|
||||
4650 2450 4400 2450
|
||||
Wire Wire Line
|
||||
4400 2550 4650 2550
|
||||
Wire Wire Line
|
||||
4950 2450 5000 2550
|
||||
Wire Wire Line
|
||||
4950 2550 5000 2450
|
||||
Wire Wire Line
|
||||
4950 2450 4700 2450
|
||||
Wire Wire Line
|
||||
4700 2550 4950 2550
|
||||
Wire Wire Line
|
||||
5550 2450 5600 2550
|
||||
Wire Wire Line
|
||||
5550 2550 5600 2450
|
||||
Wire Wire Line
|
||||
5850 2450 5900 2550
|
||||
Wire Wire Line
|
||||
5850 2550 5900 2450
|
||||
Wire Wire Line
|
||||
5850 2450 5600 2450
|
||||
Wire Wire Line
|
||||
5600 2550 5850 2550
|
||||
Wire Wire Line
|
||||
6150 2450 6200 2550
|
||||
Wire Wire Line
|
||||
6150 2550 6200 2450
|
||||
Wire Wire Line
|
||||
6150 2450 5900 2450
|
||||
Wire Wire Line
|
||||
5900 2550 6150 2550
|
||||
Text Notes 5300 2400 0 40 ~ 0
|
||||
RD+AP
|
||||
Text Notes 5050 2400 0 40 ~ 0
|
||||
ACT
|
||||
Text Notes 4450 2400 0 40 ~ 0
|
||||
NOP
|
||||
Text Notes 5650 2400 0 40 ~ 0
|
||||
NOP
|
||||
Text Notes 5950 2400 0 40 ~ 0
|
||||
NOP
|
||||
Text Notes 5050 2550 0 40 ~ 0
|
||||
ACT
|
||||
Text Notes 5650 2550 0 40 ~ 0
|
||||
NOP
|
||||
Text Notes 5950 2550 0 40 ~ 0
|
||||
NOP
|
||||
Wire Wire Line
|
||||
5250 2450 5000 2450
|
||||
Wire Wire Line
|
||||
5000 2550 5250 2550
|
||||
Wire Wire Line
|
||||
5550 2450 5300 2450
|
||||
Wire Wire Line
|
||||
5250 2550 5300 2450
|
||||
Wire Wire Line
|
||||
5300 2550 5550 2550
|
||||
Wire Wire Line
|
||||
5250 2450 5300 2550
|
||||
Text Notes 5300 2550 0 40 ~ 0
|
||||
RD+AP
|
||||
Text Notes 4450 2550 0 40 ~ 0
|
||||
NOP
|
||||
Text Notes 4750 2400 0 40 ~ 0
|
||||
NOP
|
||||
Text Notes 4750 2550 0 40 ~ 0
|
||||
NOP
|
||||
Text Notes 4150 2400 0 40 ~ 0
|
||||
NOP
|
||||
Text Notes 4150 2550 0 40 ~ 0
|
||||
NOP
|
||||
Text Notes 3850 2550 0 40 ~ 0
|
||||
NOP
|
||||
Text Notes 3850 2400 0 40 ~ 0
|
||||
NOP
|
||||
Wire Wire Line
|
||||
3800 2550 4050 2550
|
||||
Wire Wire Line
|
||||
4050 2450 3800 2450
|
||||
Wire Wire Line
|
||||
4050 2550 4100 2450
|
||||
Wire Wire Line
|
||||
4050 2450 4100 2550
|
||||
Wire Wire Line
|
||||
3750 2550 3650 2550
|
||||
Wire Wire Line
|
||||
3750 2450 3650 2450
|
||||
Wire Wire Line
|
||||
3750 2550 3800 2450
|
||||
Wire Wire Line
|
||||
3750 2450 3800 2550
|
||||
Wire Wire Line
|
||||
3800 2400 4050 2400
|
||||
Wire Wire Line
|
||||
4050 2300 3800 2300
|
||||
Wire Wire Line
|
||||
4050 2400 4100 2300
|
||||
Wire Wire Line
|
||||
4050 2300 4100 2400
|
||||
Wire Wire Line
|
||||
3750 2400 3650 2400
|
||||
Wire Wire Line
|
||||
3750 2300 3650 2300
|
||||
Wire Wire Line
|
||||
3750 2400 3800 2300
|
||||
Wire Wire Line
|
||||
3750 2300 3800 2400
|
||||
Text Notes 3600 2550 2 50 ~ 0
|
||||
CMD (write)
|
||||
Text Notes 3600 2400 2 50 ~ 0
|
||||
CMD (read)
|
||||
Wire Wire Line
|
||||
3650 1950 8750 1950
|
||||
Wire Wire Line
|
||||
3650 1850 8750 1850
|
||||
Text Notes 3600 2100 2 50 ~ 0
|
||||
DQMH/L
|
||||
Wire Wire Line
|
||||
3650 2000 5250 2000
|
||||
Wire Wire Line
|
||||
5250 2000 5300 2100
|
||||
Wire Wire Line
|
||||
5300 2100 5550 2100
|
||||
Wire Wire Line
|
||||
5550 2100 5600 2000
|
||||
Wire Wire Line
|
||||
7050 2000 7100 2100
|
||||
Wire Wire Line
|
||||
7100 2100 7350 2100
|
||||
Wire Wire Line
|
||||
7350 2100 7400 2000
|
||||
Connection ~ 8350 2950
|
||||
Connection ~ 8350 2800
|
||||
Connection ~ 8350 2650
|
||||
Connection ~ 6950 2950
|
||||
Connection ~ 6200 2800
|
||||
Connection ~ 6200 2650
|
||||
Connection ~ 4150 2950
|
||||
Connection ~ 4150 2800
|
||||
Connection ~ 4150 2650
|
||||
Text Notes 3600 2700 2 50 ~ 0
|
||||
VD[7:0]
|
||||
Wire Wire Line
|
||||
6200 2800 6250 2750
|
||||
Wire Wire Line
|
||||
6200 2800 6250 2850
|
||||
Wire Wire Line
|
||||
8350 2650 8300 2700
|
||||
Wire Wire Line
|
||||
8350 2650 8750 2650
|
||||
Wire Wire Line
|
||||
8350 2800 8300 2850
|
||||
Wire Wire Line
|
||||
8300 2750 8350 2800
|
||||
Wire Wire Line
|
||||
8350 2800 8750 2800
|
||||
Wire Wire Line
|
||||
8300 2600 8350 2650
|
||||
Wire Wire Line
|
||||
4150 2650 4100 2700
|
||||
Wire Wire Line
|
||||
4150 2800 4100 2850
|
||||
Wire Wire Line
|
||||
4100 2750 4150 2800
|
||||
Wire Wire Line
|
||||
3650 2750 4100 2750
|
||||
Wire Wire Line
|
||||
3650 2850 4100 2850
|
||||
Wire Wire Line
|
||||
4100 2600 4150 2650
|
||||
Wire Wire Line
|
||||
3650 2600 4100 2600
|
||||
Wire Wire Line
|
||||
3650 2700 4100 2700
|
||||
Text Notes 3600 2850 2 50 ~ 0
|
||||
MD[7:0] (read)
|
||||
Wire Wire Line
|
||||
4150 2800 6200 2800
|
||||
Text Notes 3600 3000 2 50 ~ 0
|
||||
MD[7:0] (write)
|
||||
Wire Wire Line
|
||||
4150 2650 6200 2650
|
||||
Wire Wire Line
|
||||
6200 2650 6250 2600
|
||||
Wire Wire Line
|
||||
6200 2650 6250 2700
|
||||
Wire Wire Line
|
||||
6250 2600 8300 2600
|
||||
Wire Wire Line
|
||||
6250 2700 8300 2700
|
||||
Wire Wire Line
|
||||
6950 2950 7000 2900
|
||||
Wire Wire Line
|
||||
6950 2950 4150 2950
|
||||
Wire Wire Line
|
||||
8350 2950 8300 3000
|
||||
Wire Wire Line
|
||||
8300 2900 8350 2950
|
||||
Wire Wire Line
|
||||
8350 2950 8750 2950
|
||||
Wire Wire Line
|
||||
4150 2950 4100 3000
|
||||
Wire Wire Line
|
||||
4100 2900 4150 2950
|
||||
Wire Wire Line
|
||||
3650 2900 4100 2900
|
||||
Wire Wire Line
|
||||
3650 3000 4100 3000
|
||||
Wire Wire Line
|
||||
7000 2900 8300 2900
|
||||
Wire Wire Line
|
||||
6950 2950 7000 3000
|
||||
Wire Wire Line
|
||||
7000 3000 8300 3000
|
||||
Text Notes 6650 2850 0 50 ~ 0
|
||||
old read data
|
||||
Text Notes 4150 3250 0 100 ~ 0
|
||||
Information may be out of date. See ./cpld/RAM2E.v
|
||||
Wire Wire Line
|
||||
6250 2850 7800 2850
|
||||
Wire Wire Line
|
||||
6250 2750 7800 2750
|
||||
Text Notes 7850 2850 0 50 ~ 0
|
||||
read data
|
||||
Wire Wire Line
|
||||
7850 2850 8300 2850
|
||||
Wire Wire Line
|
||||
7850 2750 8300 2750
|
||||
Wire Wire Line
|
||||
7800 2750 7850 2850
|
||||
Wire Wire Line
|
||||
7800 2850 7850 2750
|
||||
Text Notes 7050 3000 0 50 ~ 0
|
||||
write data
|
||||
Text Notes 6900 2700 0 50 ~ 0
|
||||
80-col video data
|
||||
Wire Wire Line
|
||||
5600 2000 8750 2000
|
||||
$EndSCHEMATC
|
BIN
Documentation/BackIsom.png
Normal file
BIN
Documentation/BackIsom.png
Normal file
Binary file not shown.
After Width: | Height: | Size: 228 KiB |
BIN
Documentation/FrontIsom.png
Normal file
BIN
Documentation/FrontIsom.png
Normal file
Binary file not shown.
After Width: | Height: | Size: 339 KiB |
BIN
Documentation/Manual.docx
Normal file
BIN
Documentation/Manual.docx
Normal file
Binary file not shown.
3
Documentation/Placement Notes.txt
Normal file
3
Documentation/Placement Notes.txt
Normal file
@ -0,0 +1,3 @@
|
||||
Placement and schematic are to mount Altera MAX II EPM240T100 for U1.
|
||||
Board also supports Altera MAX V 5M240ZT100 for lower power consumption.
|
||||
To use MAX V, DNP R5, 3k3 for R3, and 6k8 for R4, and mount TPS73701 for U9.
|
BIN
Documentation/Placement.pdf
Normal file
BIN
Documentation/Placement.pdf
Normal file
Binary file not shown.
BIN
Documentation/Schematic.pdf
Normal file
BIN
Documentation/Schematic.pdf
Normal file
Binary file not shown.
565
RAM2E-cache.lib
Normal file
565
RAM2E-cache.lib
Normal file
@ -0,0 +1,565 @@
|
||||
EESchema-LIBRARY Version 2.4
|
||||
#encoding utf-8
|
||||
#
|
||||
# Connector_Generic_Conn_02x05_Odd_Even
|
||||
#
|
||||
DEF Connector_Generic_Conn_02x05_Odd_Even J 0 40 Y N 1 F N
|
||||
F0 "J" 50 300 50 H V C CNN
|
||||
F1 "Connector_Generic_Conn_02x05_Odd_Even" 50 -300 50 H V C CNN
|
||||
F2 "" 0 0 50 H I C CNN
|
||||
F3 "" 0 0 50 H I C CNN
|
||||
$FPLIST
|
||||
Connector*:*_2x??_*
|
||||
$ENDFPLIST
|
||||
DRAW
|
||||
S -50 -195 0 -205 1 1 6 N
|
||||
S -50 -95 0 -105 1 1 6 N
|
||||
S -50 5 0 -5 1 1 6 N
|
||||
S -50 105 0 95 1 1 6 N
|
||||
S -50 205 0 195 1 1 6 N
|
||||
S -50 250 150 -250 1 1 10 f
|
||||
S 150 -195 100 -205 1 1 6 N
|
||||
S 150 -95 100 -105 1 1 6 N
|
||||
S 150 5 100 -5 1 1 6 N
|
||||
S 150 105 100 95 1 1 6 N
|
||||
S 150 205 100 195 1 1 6 N
|
||||
X Pin_1 1 -200 200 150 R 50 50 1 1 P
|
||||
X Pin_10 10 300 -200 150 L 50 50 1 1 P
|
||||
X Pin_2 2 300 200 150 L 50 50 1 1 P
|
||||
X Pin_3 3 -200 100 150 R 50 50 1 1 P
|
||||
X Pin_4 4 300 100 150 L 50 50 1 1 P
|
||||
X Pin_5 5 -200 0 150 R 50 50 1 1 P
|
||||
X Pin_6 6 300 0 150 L 50 50 1 1 P
|
||||
X Pin_7 7 -200 -100 150 R 50 50 1 1 P
|
||||
X Pin_8 8 300 -100 150 L 50 50 1 1 P
|
||||
X Pin_9 9 -200 -200 150 R 50 50 1 1 P
|
||||
ENDDRAW
|
||||
ENDDEF
|
||||
#
|
||||
# Connector_Generic_Conn_02x30_Counter_Clockwise
|
||||
#
|
||||
DEF Connector_Generic_Conn_02x30_Counter_Clockwise J 0 40 Y N 1 F N
|
||||
F0 "J" 50 1500 50 H V C CNN
|
||||
F1 "Connector_Generic_Conn_02x30_Counter_Clockwise" 50 -1600 50 H V C CNN
|
||||
F2 "" 0 0 50 H I C CNN
|
||||
F3 "" 0 0 50 H I C CNN
|
||||
$FPLIST
|
||||
Connector*:*_2x??_*
|
||||
$ENDFPLIST
|
||||
DRAW
|
||||
S -50 -1495 0 -1505 1 1 6 N
|
||||
S -50 -1395 0 -1405 1 1 6 N
|
||||
S -50 -1295 0 -1305 1 1 6 N
|
||||
S -50 -1195 0 -1205 1 1 6 N
|
||||
S -50 -1095 0 -1105 1 1 6 N
|
||||
S -50 -995 0 -1005 1 1 6 N
|
||||
S -50 -895 0 -905 1 1 6 N
|
||||
S -50 -795 0 -805 1 1 6 N
|
||||
S -50 -695 0 -705 1 1 6 N
|
||||
S -50 -595 0 -605 1 1 6 N
|
||||
S -50 -495 0 -505 1 1 6 N
|
||||
S -50 -395 0 -405 1 1 6 N
|
||||
S -50 -295 0 -305 1 1 6 N
|
||||
S -50 -195 0 -205 1 1 6 N
|
||||
S -50 -95 0 -105 1 1 6 N
|
||||
S -50 5 0 -5 1 1 6 N
|
||||
S -50 105 0 95 1 1 6 N
|
||||
S -50 205 0 195 1 1 6 N
|
||||
S -50 305 0 295 1 1 6 N
|
||||
S -50 405 0 395 1 1 6 N
|
||||
S -50 505 0 495 1 1 6 N
|
||||
S -50 605 0 595 1 1 6 N
|
||||
S -50 705 0 695 1 1 6 N
|
||||
S -50 805 0 795 1 1 6 N
|
||||
S -50 905 0 895 1 1 6 N
|
||||
S -50 1005 0 995 1 1 6 N
|
||||
S -50 1105 0 1095 1 1 6 N
|
||||
S -50 1205 0 1195 1 1 6 N
|
||||
S -50 1305 0 1295 1 1 6 N
|
||||
S -50 1405 0 1395 1 1 6 N
|
||||
S -50 1450 150 -1550 1 1 10 f
|
||||
S 150 -1495 100 -1505 1 1 6 N
|
||||
S 150 -1395 100 -1405 1 1 6 N
|
||||
S 150 -1295 100 -1305 1 1 6 N
|
||||
S 150 -1195 100 -1205 1 1 6 N
|
||||
S 150 -1095 100 -1105 1 1 6 N
|
||||
S 150 -995 100 -1005 1 1 6 N
|
||||
S 150 -895 100 -905 1 1 6 N
|
||||
S 150 -795 100 -805 1 1 6 N
|
||||
S 150 -695 100 -705 1 1 6 N
|
||||
S 150 -595 100 -605 1 1 6 N
|
||||
S 150 -495 100 -505 1 1 6 N
|
||||
S 150 -395 100 -405 1 1 6 N
|
||||
S 150 -295 100 -305 1 1 6 N
|
||||
S 150 -195 100 -205 1 1 6 N
|
||||
S 150 -95 100 -105 1 1 6 N
|
||||
S 150 5 100 -5 1 1 6 N
|
||||
S 150 105 100 95 1 1 6 N
|
||||
S 150 205 100 195 1 1 6 N
|
||||
S 150 305 100 295 1 1 6 N
|
||||
S 150 405 100 395 1 1 6 N
|
||||
S 150 505 100 495 1 1 6 N
|
||||
S 150 605 100 595 1 1 6 N
|
||||
S 150 705 100 695 1 1 6 N
|
||||
S 150 805 100 795 1 1 6 N
|
||||
S 150 905 100 895 1 1 6 N
|
||||
S 150 1005 100 995 1 1 6 N
|
||||
S 150 1105 100 1095 1 1 6 N
|
||||
S 150 1205 100 1195 1 1 6 N
|
||||
S 150 1305 100 1295 1 1 6 N
|
||||
S 150 1405 100 1395 1 1 6 N
|
||||
X Pin_1 1 -200 1400 150 R 50 50 1 1 P
|
||||
X Pin_10 10 -200 500 150 R 50 50 1 1 P
|
||||
X Pin_11 11 -200 400 150 R 50 50 1 1 P
|
||||
X Pin_12 12 -200 300 150 R 50 50 1 1 P
|
||||
X Pin_13 13 -200 200 150 R 50 50 1 1 P
|
||||
X Pin_14 14 -200 100 150 R 50 50 1 1 P
|
||||
X Pin_15 15 -200 0 150 R 50 50 1 1 P
|
||||
X Pin_16 16 -200 -100 150 R 50 50 1 1 P
|
||||
X Pin_17 17 -200 -200 150 R 50 50 1 1 P
|
||||
X Pin_18 18 -200 -300 150 R 50 50 1 1 P
|
||||
X Pin_19 19 -200 -400 150 R 50 50 1 1 P
|
||||
X Pin_2 2 -200 1300 150 R 50 50 1 1 P
|
||||
X Pin_20 20 -200 -500 150 R 50 50 1 1 P
|
||||
X Pin_21 21 -200 -600 150 R 50 50 1 1 P
|
||||
X Pin_22 22 -200 -700 150 R 50 50 1 1 P
|
||||
X Pin_23 23 -200 -800 150 R 50 50 1 1 P
|
||||
X Pin_24 24 -200 -900 150 R 50 50 1 1 P
|
||||
X Pin_25 25 -200 -1000 150 R 50 50 1 1 P
|
||||
X Pin_26 26 -200 -1100 150 R 50 50 1 1 P
|
||||
X Pin_27 27 -200 -1200 150 R 50 50 1 1 P
|
||||
X Pin_28 28 -200 -1300 150 R 50 50 1 1 P
|
||||
X Pin_29 29 -200 -1400 150 R 50 50 1 1 P
|
||||
X Pin_3 3 -200 1200 150 R 50 50 1 1 P
|
||||
X Pin_30 30 -200 -1500 150 R 50 50 1 1 P
|
||||
X Pin_31 31 300 -1500 150 L 50 50 1 1 P
|
||||
X Pin_32 32 300 -1400 150 L 50 50 1 1 P
|
||||
X Pin_33 33 300 -1300 150 L 50 50 1 1 P
|
||||
X Pin_34 34 300 -1200 150 L 50 50 1 1 P
|
||||
X Pin_35 35 300 -1100 150 L 50 50 1 1 P
|
||||
X Pin_36 36 300 -1000 150 L 50 50 1 1 P
|
||||
X Pin_37 37 300 -900 150 L 50 50 1 1 P
|
||||
X Pin_38 38 300 -800 150 L 50 50 1 1 P
|
||||
X Pin_39 39 300 -700 150 L 50 50 1 1 P
|
||||
X Pin_4 4 -200 1100 150 R 50 50 1 1 P
|
||||
X Pin_40 40 300 -600 150 L 50 50 1 1 P
|
||||
X Pin_41 41 300 -500 150 L 50 50 1 1 P
|
||||
X Pin_42 42 300 -400 150 L 50 50 1 1 P
|
||||
X Pin_43 43 300 -300 150 L 50 50 1 1 P
|
||||
X Pin_44 44 300 -200 150 L 50 50 1 1 P
|
||||
X Pin_45 45 300 -100 150 L 50 50 1 1 P
|
||||
X Pin_46 46 300 0 150 L 50 50 1 1 P
|
||||
X Pin_47 47 300 100 150 L 50 50 1 1 P
|
||||
X Pin_48 48 300 200 150 L 50 50 1 1 P
|
||||
X Pin_49 49 300 300 150 L 50 50 1 1 P
|
||||
X Pin_5 5 -200 1000 150 R 50 50 1 1 P
|
||||
X Pin_50 50 300 400 150 L 50 50 1 1 P
|
||||
X Pin_51 51 300 500 150 L 50 50 1 1 P
|
||||
X Pin_52 52 300 600 150 L 50 50 1 1 P
|
||||
X Pin_53 53 300 700 150 L 50 50 1 1 P
|
||||
X Pin_54 54 300 800 150 L 50 50 1 1 P
|
||||
X Pin_55 55 300 900 150 L 50 50 1 1 P
|
||||
X Pin_56 56 300 1000 150 L 50 50 1 1 P
|
||||
X Pin_57 57 300 1100 150 L 50 50 1 1 P
|
||||
X Pin_58 58 300 1200 150 L 50 50 1 1 P
|
||||
X Pin_59 59 300 1300 150 L 50 50 1 1 P
|
||||
X Pin_6 6 -200 900 150 R 50 50 1 1 P
|
||||
X Pin_60 60 300 1400 150 L 50 50 1 1 P
|
||||
X Pin_7 7 -200 800 150 R 50 50 1 1 P
|
||||
X Pin_8 8 -200 700 150 R 50 50 1 1 P
|
||||
X Pin_9 9 -200 600 150 R 50 50 1 1 P
|
||||
ENDDRAW
|
||||
ENDDEF
|
||||
#
|
||||
# Device_C_Small
|
||||
#
|
||||
DEF Device_C_Small C 0 10 N N 1 F N
|
||||
F0 "C" 10 70 50 H V L CNN
|
||||
F1 "Device_C_Small" 10 -80 50 H V L CNN
|
||||
F2 "" 0 0 50 H I C CNN
|
||||
F3 "" 0 0 50 H I C CNN
|
||||
$FPLIST
|
||||
C_*
|
||||
$ENDFPLIST
|
||||
DRAW
|
||||
P 2 0 1 13 -60 -20 60 -20 N
|
||||
P 2 0 1 12 -60 20 60 20 N
|
||||
X ~ 1 0 100 80 D 50 50 1 1 P
|
||||
X ~ 2 0 -100 80 U 50 50 1 1 P
|
||||
ENDDRAW
|
||||
ENDDEF
|
||||
#
|
||||
# Device_R_Pack04
|
||||
#
|
||||
DEF Device_R_Pack04 RN 0 0 Y N 1 F N
|
||||
F0 "RN" -300 0 50 V V C CNN
|
||||
F1 "Device_R_Pack04" 200 0 50 V V C CNN
|
||||
F2 "" 275 0 50 V I C CNN
|
||||
F3 "" 0 0 50 H I C CNN
|
||||
$FPLIST
|
||||
DIP*
|
||||
SOIC*
|
||||
$ENDFPLIST
|
||||
DRAW
|
||||
S -250 -95 150 95 0 1 10 f
|
||||
S -225 75 -175 -75 0 1 10 N
|
||||
S -125 75 -75 -75 0 1 10 N
|
||||
S -25 75 25 -75 0 1 10 N
|
||||
S 75 75 125 -75 0 1 10 N
|
||||
P 2 0 1 0 -200 -100 -200 -75 N
|
||||
P 2 0 1 0 -200 75 -200 100 N
|
||||
P 2 0 1 0 -100 -100 -100 -75 N
|
||||
P 2 0 1 0 -100 75 -100 100 N
|
||||
P 2 0 1 0 0 -100 0 -75 N
|
||||
P 2 0 1 0 0 75 0 100 N
|
||||
P 2 0 1 0 100 -100 100 -75 N
|
||||
P 2 0 1 0 100 75 100 100 N
|
||||
X R1.1 1 -200 -200 100 U 50 50 1 1 P
|
||||
X R2.1 2 -100 -200 100 U 50 50 1 1 P
|
||||
X R3.1 3 0 -200 100 U 50 50 1 1 P
|
||||
X R4.1 4 100 -200 100 U 50 50 1 1 P
|
||||
X R4.2 5 100 200 100 D 50 50 1 1 P
|
||||
X R3.2 6 0 200 100 D 50 50 1 1 P
|
||||
X R2.2 7 -100 200 100 D 50 50 1 1 P
|
||||
X R1.2 8 -200 200 100 D 50 50 1 1 P
|
||||
ENDDRAW
|
||||
ENDDEF
|
||||
#
|
||||
# Device_R_Small
|
||||
#
|
||||
DEF Device_R_Small R 0 10 N N 1 F N
|
||||
F0 "R" 30 20 50 H V L CNN
|
||||
F1 "Device_R_Small" 30 -40 50 H V L CNN
|
||||
F2 "" 0 0 50 H I C CNN
|
||||
F3 "" 0 0 50 H I C CNN
|
||||
$FPLIST
|
||||
R_*
|
||||
$ENDFPLIST
|
||||
DRAW
|
||||
S -30 70 30 -70 0 1 8 N
|
||||
X ~ 1 0 100 30 D 50 50 1 1 P
|
||||
X ~ 2 0 -100 30 U 50 50 1 1 P
|
||||
ENDDRAW
|
||||
ENDDEF
|
||||
#
|
||||
# GW_Logic_74245
|
||||
#
|
||||
DEF GW_Logic_74245 U 0 40 Y Y 1 F N
|
||||
F0 "U" 0 600 50 H V C CNN
|
||||
F1 "GW_Logic_74245" 0 -600 50 H V C CNN
|
||||
F2 "" 0 -650 50 H I C TNN
|
||||
F3 "" 0 100 60 H I C CNN
|
||||
DRAW
|
||||
S -200 550 200 -550 0 1 10 f
|
||||
X AtoB 1 -400 450 200 R 50 50 1 1 I
|
||||
X GND 10 -400 -450 200 R 50 50 1 1 W
|
||||
X B7 11 400 -450 200 L 50 50 1 1 B
|
||||
X B6 12 400 -350 200 L 50 50 1 1 B
|
||||
X B5 13 400 -250 200 L 50 50 1 1 B
|
||||
X B4 14 400 -150 200 L 50 50 1 1 B
|
||||
X B3 15 400 -50 200 L 50 50 1 1 B
|
||||
X B2 16 400 50 200 L 50 50 1 1 B
|
||||
X B1 17 400 150 200 L 50 50 1 1 B
|
||||
X B0 18 400 250 200 L 50 50 1 1 B
|
||||
X ~OE~ 19 400 350 200 L 50 50 1 1 I
|
||||
X A0 2 -400 350 200 R 50 50 1 1 B
|
||||
X Vcc 20 400 450 200 L 50 50 1 1 W
|
||||
X A1 3 -400 250 200 R 50 50 1 1 B
|
||||
X A2 4 -400 150 200 R 50 50 1 1 B
|
||||
X A3 5 -400 50 200 R 50 50 1 1 B
|
||||
X A4 6 -400 -50 200 R 50 50 1 1 B
|
||||
X A5 7 -400 -150 200 R 50 50 1 1 B
|
||||
X A6 8 -400 -250 200 R 50 50 1 1 B
|
||||
X A7 9 -400 -350 200 R 50 50 1 1 B
|
||||
ENDDRAW
|
||||
ENDDEF
|
||||
#
|
||||
# GW_PLD_5M240ZT100
|
||||
#
|
||||
DEF GW_PLD_5M240ZT100 U 0 40 Y Y 1 L N
|
||||
F0 "U" 0 50 50 H V C CNN
|
||||
F1 "GW_PLD_5M240ZT100" 0 -50 50 H V C CNN
|
||||
F2 "Package_QFP:LQFP-100_14x14mm_P0.5mm" 0 -100 20 H I C CNN
|
||||
F3 "" 0 0 50 H I C CNN
|
||||
$FPLIST
|
||||
*QFP*P0.5mm*
|
||||
$ENDFPLIST
|
||||
DRAW
|
||||
S -800 2200 800 -2200 1 1 10 f
|
||||
X GND 1 400 -2400 200 U 50 50 1 1 W
|
||||
X GNDIO 10 -200 -2400 200 U 50 50 1 1 W
|
||||
X IO2_100 100 1000 -2000 200 L 50 50 1 1 B
|
||||
X GNDINT 11 -400 -2400 200 U 50 50 1 1 W
|
||||
X IO1_12/GCLK0 12 -1000 1400 200 R 50 50 1 1 B C
|
||||
X VCCINT 13 -400 2400 200 D 50 50 1 1 W
|
||||
X IO1_14/GCLK1 14 -1000 1300 200 R 50 50 1 1 B C
|
||||
X IO1_15 15 -1000 1200 200 R 50 50 1 1 B
|
||||
X IO1_16 16 -1000 1100 200 R 50 50 1 1 B
|
||||
X IO1_17 17 -1000 1000 200 R 50 50 1 1 B
|
||||
X IO1_18 18 -1000 900 200 R 50 50 1 1 B
|
||||
X IO1_19 19 -1000 800 200 R 50 50 1 1 B
|
||||
X IO1_2 2 -1000 2100 200 R 50 50 1 1 B
|
||||
X IO1_20 20 -1000 700 200 R 50 50 1 1 B
|
||||
X IO1_21 21 -1000 600 200 R 50 50 1 1 B
|
||||
X TMS 22 -1000 -1700 200 R 50 50 1 1 I
|
||||
X TDI 23 -1000 -1800 200 R 50 50 1 1 I
|
||||
X TCK 24 -1000 -1900 200 R 50 50 1 1 I C
|
||||
X TDO 25 -1000 -2000 200 R 50 50 1 1 O
|
||||
X IO1_26 26 -1000 500 200 R 50 50 1 1 B
|
||||
X IO1_27 27 -1000 400 200 R 50 50 1 1 B
|
||||
X IO1_28 28 -1000 300 200 R 50 50 1 1 B
|
||||
X IO1_29 29 -1000 200 200 R 50 50 1 1 B
|
||||
X IO1_3 3 -1000 2000 200 R 50 50 1 1 B
|
||||
X IO1_30 30 -1000 100 200 R 50 50 1 1 B
|
||||
X VCCIO1 31 -100 2400 200 D 50 50 1 1 W
|
||||
X GNDIO 32 -100 -2400 200 U 50 50 1 1 W
|
||||
X IO1_33 33 -1000 0 200 R 50 50 1 1 B
|
||||
X IO1_34 34 -1000 -100 200 R 50 50 1 1 B
|
||||
X IO1_35 35 -1000 -200 200 R 50 50 1 1 B
|
||||
X IO1_36 36 -1000 -300 200 R 50 50 1 1 B
|
||||
X IO1_37 37 -1000 -400 200 R 50 50 1 1 B
|
||||
X IO1_38 38 -1000 -500 200 R 50 50 1 1 B
|
||||
X IO1_39 39 -1000 -600 200 R 50 50 1 1 B
|
||||
X IO1_4 4 -1000 1900 200 R 50 50 1 1 B
|
||||
X IO1_40 40 -1000 -700 200 R 50 50 1 1 B
|
||||
X IO1_41 41 -1000 -800 200 R 50 50 1 1 B
|
||||
X IO1_42 42 -1000 -900 200 R 50 50 1 1 B
|
||||
X IO1_43/DEV_OE 43 -1000 -1000 200 R 50 50 1 1 B
|
||||
X IO1_44/DEV_CLRn 44 -1000 -1100 200 R 50 50 1 1 B
|
||||
X VCCIO1 45 0 2400 200 D 50 50 1 1 W
|
||||
X GNDIO 46 0 -2400 200 U 50 50 1 1 W
|
||||
X IO1_47 47 -1000 -1200 200 R 50 50 1 1 B
|
||||
X IO1_48 48 -1000 -1300 200 R 50 50 1 1 B
|
||||
X IO1_49 49 -1000 -1400 200 R 50 50 1 1 B
|
||||
X IO1_5 5 -1000 1800 200 R 50 50 1 1 B
|
||||
X IO1_50 50 -1000 -1500 200 R 50 50 1 1 B
|
||||
X IO1_51 51 -1000 -1600 200 R 50 50 1 1 B
|
||||
X IO2_52 52 1000 2000 200 L 50 50 1 1 B
|
||||
X IO2_53 53 1000 1900 200 L 50 50 1 1 B
|
||||
X IO2_54 54 1000 1800 200 L 50 50 1 1 B
|
||||
X IO2_55 55 1000 1700 200 L 50 50 1 1 B
|
||||
X IO2_56 56 1000 1600 200 L 50 50 1 1 B
|
||||
X IO2_57 57 1000 1500 200 L 50 50 1 1 B
|
||||
X IO2_58 58 1000 1400 200 L 50 50 1 1 B
|
||||
X VCCIO2 59 100 2400 200 D 50 50 1 1 W
|
||||
X IO1_6 6 -1000 1700 200 R 50 50 1 1 B
|
||||
X GNDIO 60 100 -2400 200 U 50 50 1 1 W
|
||||
X IO2_61 61 1000 1300 200 L 50 50 1 1 B
|
||||
X IO2_62/GCLK2 62 1000 1200 200 L 50 50 1 1 B C
|
||||
X VCCINT 63 -300 2400 200 D 50 50 1 1 W
|
||||
X IO2_64/GCLK3 64 1000 1100 200 L 50 50 1 1 B C
|
||||
X GNDINT 65 -300 -2400 200 U 50 50 1 1 W
|
||||
X IO2_66 66 1000 1000 200 L 50 50 1 1 B
|
||||
X IO2_67 67 1000 900 200 L 50 50 1 1 B
|
||||
X IO2_68 68 1000 800 200 L 50 50 1 1 B
|
||||
X IO2_69 69 1000 700 200 L 50 50 1 1 B
|
||||
X IO1_7 7 -1000 1600 200 R 50 50 1 1 B
|
||||
X IO2_70 70 1000 600 200 L 50 50 1 1 B
|
||||
X IO2_71 71 1000 500 200 L 50 50 1 1 B
|
||||
X IO2_72 72 1000 400 200 L 50 50 1 1 B
|
||||
X IO2_73 73 1000 300 200 L 50 50 1 1 B
|
||||
X IO2_74 74 1000 200 200 L 50 50 1 1 B
|
||||
X IO2_75 75 1000 100 200 L 50 50 1 1 B
|
||||
X IO2_76 76 1000 0 200 L 50 50 1 1 B
|
||||
X IO2_77 77 1000 -100 200 L 50 50 1 1 B
|
||||
X IO2_78 78 1000 -200 200 L 50 50 1 1 B
|
||||
X GNDIO 79 200 -2400 200 U 50 50 1 1 W
|
||||
X IO1_8 8 -1000 1500 200 R 50 50 1 1 B
|
||||
X VCCIO2 80 200 2400 200 D 50 50 1 1 W
|
||||
X IO2_81 81 1000 -300 200 L 50 50 1 1 B
|
||||
X IO2_82 82 1000 -400 200 L 50 50 1 1 B
|
||||
X IO2_83 83 1000 -500 200 L 50 50 1 1 B
|
||||
X IO2_84 84 1000 -600 200 L 50 50 1 1 B
|
||||
X IO2_85 85 1000 -700 200 L 50 50 1 1 B
|
||||
X IO2_86 86 1000 -800 200 L 50 50 1 1 B
|
||||
X IO2_87 87 1000 -900 200 L 50 50 1 1 B
|
||||
X IO2_88 88 1000 -1000 200 L 50 50 1 1 B
|
||||
X IO2_89 89 1000 -1100 200 L 50 50 1 1 B
|
||||
X VCCIO1 9 -200 2400 200 D 50 50 1 1 W
|
||||
X IO2_90 90 1000 -1200 200 L 50 50 1 1 B
|
||||
X IO2_91 91 1000 -1300 200 L 50 50 1 1 B
|
||||
X IO2_92 92 1000 -1400 200 L 50 50 1 1 B
|
||||
X GNDIO 93 300 -2400 200 U 50 50 1 1 W
|
||||
X VCCIO2 94 300 2400 200 D 50 50 1 1 W
|
||||
X IO2_95 95 1000 -1500 200 L 50 50 1 1 B
|
||||
X IO2_96 96 1000 -1600 200 L 50 50 1 1 B
|
||||
X IO2_97 97 1000 -1700 200 L 50 50 1 1 B
|
||||
X IO2_98 98 1000 -1800 200 L 50 50 1 1 B
|
||||
X IO2_99 99 1000 -1900 200 L 50 50 1 1 B
|
||||
ENDDRAW
|
||||
ENDDEF
|
||||
#
|
||||
# GW_Power_TPS73701
|
||||
#
|
||||
DEF GW_Power_TPS73701 U 0 40 Y Y 1 F N
|
||||
F0 "U" 0 200 50 H V C CNN
|
||||
F1 "GW_Power_TPS73701" 0 -300 50 H V C CNN
|
||||
F2 "stdpads:SOT-223-6" 0 -350 50 H I C TNN
|
||||
F3 "" 0 -150 60 H I C CNN
|
||||
DRAW
|
||||
S -250 150 250 -250 0 1 10 f
|
||||
X Vin 1 -450 50 200 R 50 50 1 1 W
|
||||
X Vout 2 450 50 200 L 50 50 1 1 w
|
||||
X GND 3 -450 -150 200 R 50 50 1 1 W
|
||||
X FB 4 450 -150 200 L 50 50 1 1 I
|
||||
X En 5 -450 -50 200 R 50 50 1 1 I
|
||||
X GND 6 -450 -150 200 R 50 50 1 1 W N
|
||||
ENDDRAW
|
||||
ENDDEF
|
||||
#
|
||||
# GW_RAM_SDRAM-16Mx16-TSOP2-54
|
||||
#
|
||||
DEF GW_RAM_SDRAM-16Mx16-TSOP2-54 U 0 40 Y Y 1 F N
|
||||
F0 "U" 0 1150 50 H V C CNN
|
||||
F1 "GW_RAM_SDRAM-16Mx16-TSOP2-54" 0 0 50 V V C CNN
|
||||
F2 "stdpads:Winbond_TSOPII-54" 0 -1650 50 H I C CIN
|
||||
F3 "" 0 -250 50 H I C CNN
|
||||
DRAW
|
||||
S -300 1100 300 -1400 0 1 10 f
|
||||
X VDD 1 -500 1000 200 R 50 50 1 1 W
|
||||
X DQ5 10 500 500 200 L 50 50 1 1 B
|
||||
X DQ6 11 500 400 200 L 50 50 1 1 B
|
||||
X VSSQ 12 -500 -1300 200 R 50 50 1 1 W N
|
||||
X DQ7 13 500 300 200 L 50 50 1 1 B
|
||||
X VDD 14 -500 1000 200 R 50 50 1 1 W N
|
||||
X DQML 15 500 -600 200 L 50 50 1 1 I
|
||||
X ~WE~ 16 500 -1100 200 L 50 50 1 1 I
|
||||
X ~CAS~ 17 500 -1200 200 L 50 50 1 1 I
|
||||
X ~RAS~ 18 500 -1300 200 L 50 50 1 1 I
|
||||
X ~CS~ 19 500 -1000 200 L 50 50 1 1 I
|
||||
X DQ0 2 500 1000 200 L 50 50 1 1 B
|
||||
X BA0 20 -500 -600 200 R 50 50 1 1 I
|
||||
X BA1 21 -500 -700 200 R 50 50 1 1 I
|
||||
X A10 22 -500 -300 200 R 50 50 1 1 I
|
||||
X A0 23 -500 700 200 R 50 50 1 1 I
|
||||
X A1 24 -500 600 200 R 50 50 1 1 I
|
||||
X A2 25 -500 500 200 R 50 50 1 1 I
|
||||
X A3 26 -500 400 200 R 50 50 1 1 I
|
||||
X VDD 27 -500 1000 200 R 50 50 1 1 W N
|
||||
X VSS 28 -500 -1200 200 R 50 50 1 1 W
|
||||
X A4 29 -500 300 200 R 50 50 1 1 I
|
||||
X VDDQ 3 -500 900 200 R 50 50 1 1 W
|
||||
X A5 30 -500 200 200 R 50 50 1 1 I
|
||||
X A6 31 -500 100 200 R 50 50 1 1 I
|
||||
X A7 32 -500 0 200 R 50 50 1 1 I
|
||||
X A8 33 -500 -100 200 R 50 50 1 1 I
|
||||
X A9 34 -500 -200 200 R 50 50 1 1 I
|
||||
X A11 35 -500 -400 200 R 50 50 1 1 I
|
||||
X A11 36 -500 -500 200 R 50 50 1 1 I
|
||||
X CKE 37 -500 -900 200 R 50 50 1 1 I
|
||||
X CLK 38 -500 -1000 200 R 50 50 1 1 I
|
||||
X DQMH 39 500 -700 200 L 50 50 1 1 I
|
||||
X DQ1 4 500 900 200 L 50 50 1 1 B
|
||||
X VSS 41 -500 -1200 200 R 50 50 1 1 W N
|
||||
X DQ8 42 500 200 200 L 50 50 1 1 B
|
||||
X VDDQ 43 -500 900 200 R 50 50 1 1 W N
|
||||
X DQ9 44 500 100 200 L 50 50 1 1 B
|
||||
X DQ10 45 500 0 200 L 50 50 1 1 B
|
||||
X VSSQ 46 -500 -1300 200 R 50 50 1 1 W N
|
||||
X DQ11 47 500 -100 200 L 50 50 1 1 B
|
||||
X DQ12 48 500 -200 200 L 50 50 1 1 B
|
||||
X VDDQ 49 -500 900 200 R 50 50 1 1 W N
|
||||
X DQ2 5 500 800 200 L 50 50 1 1 B
|
||||
X DQ13 50 500 -300 200 L 50 50 1 1 B
|
||||
X DQ14 51 500 -400 200 L 50 50 1 1 B
|
||||
X VSSQ 52 -500 -1300 200 R 50 50 1 1 W N
|
||||
X DQ15 53 500 -500 200 L 50 50 1 1 B
|
||||
X VSS 54 -500 -1200 200 R 50 50 1 1 W N
|
||||
X VSSQ 6 -500 -1300 200 R 50 50 1 1 W
|
||||
X DQ3 7 500 700 200 L 50 50 1 1 B
|
||||
X DQ4 8 500 600 200 L 50 50 1 1 B
|
||||
X VDDQ 9 -500 900 200 R 50 50 1 1 W N
|
||||
ENDDRAW
|
||||
ENDDEF
|
||||
#
|
||||
# Mechanical_Fiducial
|
||||
#
|
||||
DEF Mechanical_Fiducial FID 0 20 Y Y 1 F N
|
||||
F0 "FID" 0 200 50 H V C CNN
|
||||
F1 "Mechanical_Fiducial" 0 125 50 H V C CNN
|
||||
F2 "" 0 0 50 H I C CNN
|
||||
F3 "" 0 0 50 H I C CNN
|
||||
$FPLIST
|
||||
Fiducial*
|
||||
$ENDFPLIST
|
||||
DRAW
|
||||
C 0 0 50 0 1 20 f
|
||||
ENDDRAW
|
||||
ENDDEF
|
||||
#
|
||||
# Mechanical_MountingHole_Pad
|
||||
#
|
||||
DEF Mechanical_MountingHole_Pad H 0 40 N N 1 F N
|
||||
F0 "H" 0 250 50 H V C CNN
|
||||
F1 "Mechanical_MountingHole_Pad" 0 175 50 H V C CNN
|
||||
F2 "" 0 0 50 H I C CNN
|
||||
F3 "" 0 0 50 H I C CNN
|
||||
$FPLIST
|
||||
MountingHole*Pad*
|
||||
$ENDFPLIST
|
||||
DRAW
|
||||
C 0 50 50 0 1 50 N
|
||||
X 1 1 0 -100 100 U 50 50 1 1 I
|
||||
ENDDRAW
|
||||
ENDDEF
|
||||
#
|
||||
# power_+1V8
|
||||
#
|
||||
DEF power_+1V8 #PWR 0 0 Y Y 1 F P
|
||||
F0 "#PWR" 0 -150 50 H I C CNN
|
||||
F1 "power_+1V8" 0 140 50 H V C CNN
|
||||
F2 "" 0 0 50 H I C CNN
|
||||
F3 "" 0 0 50 H I C CNN
|
||||
DRAW
|
||||
P 2 0 1 0 -30 50 0 100 N
|
||||
P 2 0 1 0 0 0 0 100 N
|
||||
P 2 0 1 0 0 100 30 50 N
|
||||
X +1V8 1 0 0 0 U 50 50 1 1 W N
|
||||
ENDDRAW
|
||||
ENDDEF
|
||||
#
|
||||
# power_+3V3
|
||||
#
|
||||
DEF power_+3V3 #PWR 0 0 Y Y 1 F P
|
||||
F0 "#PWR" 0 -150 50 H I C CNN
|
||||
F1 "power_+3V3" 0 140 50 H V C CNN
|
||||
F2 "" 0 0 50 H I C CNN
|
||||
F3 "" 0 0 50 H I C CNN
|
||||
ALIAS +3.3V
|
||||
DRAW
|
||||
P 2 0 1 0 -30 50 0 100 N
|
||||
P 2 0 1 0 0 0 0 100 N
|
||||
P 2 0 1 0 0 100 30 50 N
|
||||
X +3V3 1 0 0 0 U 50 50 1 1 W N
|
||||
ENDDRAW
|
||||
ENDDEF
|
||||
#
|
||||
# power_+5V
|
||||
#
|
||||
DEF power_+5V #PWR 0 0 Y Y 1 F P
|
||||
F0 "#PWR" 0 -150 50 H I C CNN
|
||||
F1 "power_+5V" 0 140 50 H V C CNN
|
||||
F2 "" 0 0 50 H I C CNN
|
||||
F3 "" 0 0 50 H I C CNN
|
||||
DRAW
|
||||
P 2 0 1 0 -30 50 0 100 N
|
||||
P 2 0 1 0 0 0 0 100 N
|
||||
P 2 0 1 0 0 100 30 50 N
|
||||
X +5V 1 0 0 0 U 50 50 1 1 W N
|
||||
ENDDRAW
|
||||
ENDDEF
|
||||
#
|
||||
# power_GND
|
||||
#
|
||||
DEF power_GND #PWR 0 0 Y Y 1 F P
|
||||
F0 "#PWR" 0 -250 50 H I C CNN
|
||||
F1 "power_GND" 0 -150 50 H V C CNN
|
||||
F2 "" 0 0 50 H I C CNN
|
||||
F3 "" 0 0 50 H I C CNN
|
||||
DRAW
|
||||
P 6 0 1 0 0 0 0 -50 50 -50 0 -100 -50 -50 0 -50 N
|
||||
X GND 1 0 0 0 D 50 50 1 1 W N
|
||||
ENDDRAW
|
||||
ENDDEF
|
||||
#
|
||||
#End Library
|
BIN
RAM2E.4203B-gerber.zip
Normal file
BIN
RAM2E.4203B-gerber.zip
Normal file
Binary file not shown.
47828
RAM2E.kicad_pcb
Normal file
47828
RAM2E.kicad_pcb
Normal file
File diff suppressed because it is too large
Load Diff
268
RAM2E.pro
Normal file
268
RAM2E.pro
Normal file
@ -0,0 +1,268 @@
|
||||
update=Saturday, July 25, 2020 at 02:40:03 AM
|
||||
version=1
|
||||
last_client=kicad
|
||||
[general]
|
||||
version=1
|
||||
RootSch=
|
||||
BoardNm=
|
||||
[cvpcb]
|
||||
version=1
|
||||
NetIExt=net
|
||||
[eeschema]
|
||||
version=1
|
||||
LibDir=
|
||||
[eeschema/libraries]
|
||||
[pcbnew]
|
||||
version=1
|
||||
PageLayoutDescrFile=
|
||||
LastNetListRead=RAM2E.net
|
||||
CopperLayerCount=4
|
||||
BoardThickness=1.6
|
||||
AllowMicroVias=0
|
||||
AllowBlindVias=0
|
||||
RequireCourtyardDefinitions=0
|
||||
ProhibitOverlappingCourtyards=1
|
||||
MinTrackWidth=0.15
|
||||
MinViaDiameter=0.5
|
||||
MinViaDrill=0.2
|
||||
MinMicroViaDiameter=0.2
|
||||
MinMicroViaDrill=0.09999999999999999
|
||||
MinHoleToHole=0.25
|
||||
TrackWidth1=0.15
|
||||
TrackWidth2=0.2
|
||||
TrackWidth3=0.25
|
||||
TrackWidth4=0.3
|
||||
TrackWidth5=0.35
|
||||
TrackWidth6=0.4
|
||||
TrackWidth7=0.45
|
||||
TrackWidth8=0.5
|
||||
TrackWidth9=0.6
|
||||
TrackWidth10=0.8
|
||||
TrackWidth11=1
|
||||
TrackWidth12=1.27
|
||||
TrackWidth13=1.524
|
||||
ViaDiameter1=0.5
|
||||
ViaDrill1=0.2
|
||||
ViaDiameter2=0.6
|
||||
ViaDrill2=0.3
|
||||
ViaDiameter3=0.8
|
||||
ViaDrill3=0.4
|
||||
ViaDiameter4=1
|
||||
ViaDrill4=0.5
|
||||
ViaDiameter5=1.524
|
||||
ViaDrill5=0.762
|
||||
dPairWidth1=0.2
|
||||
dPairGap1=0.25
|
||||
dPairViaGap1=0.25
|
||||
SilkLineWidth=0.15
|
||||
SilkTextSizeV=1
|
||||
SilkTextSizeH=1
|
||||
SilkTextSizeThickness=0.15
|
||||
SilkTextItalic=0
|
||||
SilkTextUpright=1
|
||||
CopperLineWidth=0.1524
|
||||
CopperTextSizeV=1.5
|
||||
CopperTextSizeH=1.5
|
||||
CopperTextThickness=0.3
|
||||
CopperTextItalic=0
|
||||
CopperTextUpright=1
|
||||
EdgeCutLineWidth=0.15
|
||||
CourtyardLineWidth=0.05
|
||||
OthersLineWidth=0.15
|
||||
OthersTextSizeV=1
|
||||
OthersTextSizeH=1
|
||||
OthersTextSizeThickness=0.15
|
||||
OthersTextItalic=0
|
||||
OthersTextUpright=1
|
||||
SolderMaskClearance=0.07619999999999999
|
||||
SolderMaskMinWidth=0.09999999999999999
|
||||
SolderPasteClearance=-0.03809999999999999
|
||||
SolderPasteRatio=-0
|
||||
[pcbnew/Layer.F.Cu]
|
||||
Name=F.Cu
|
||||
Type=0
|
||||
Enabled=1
|
||||
[pcbnew/Layer.In1.Cu]
|
||||
Name=In1.Cu
|
||||
Type=0
|
||||
Enabled=1
|
||||
[pcbnew/Layer.In2.Cu]
|
||||
Name=In2.Cu
|
||||
Type=0
|
||||
Enabled=1
|
||||
[pcbnew/Layer.In3.Cu]
|
||||
Name=In3.Cu
|
||||
Type=0
|
||||
Enabled=0
|
||||
[pcbnew/Layer.In4.Cu]
|
||||
Name=In4.Cu
|
||||
Type=0
|
||||
Enabled=0
|
||||
[pcbnew/Layer.In5.Cu]
|
||||
Name=In5.Cu
|
||||
Type=0
|
||||
Enabled=0
|
||||
[pcbnew/Layer.In6.Cu]
|
||||
Name=In6.Cu
|
||||
Type=0
|
||||
Enabled=0
|
||||
[pcbnew/Layer.In7.Cu]
|
||||
Name=In7.Cu
|
||||
Type=0
|
||||
Enabled=0
|
||||
[pcbnew/Layer.In8.Cu]
|
||||
Name=In8.Cu
|
||||
Type=0
|
||||
Enabled=0
|
||||
[pcbnew/Layer.In9.Cu]
|
||||
Name=In9.Cu
|
||||
Type=0
|
||||
Enabled=0
|
||||
[pcbnew/Layer.In10.Cu]
|
||||
Name=In10.Cu
|
||||
Type=0
|
||||
Enabled=0
|
||||
[pcbnew/Layer.In11.Cu]
|
||||
Name=In11.Cu
|
||||
Type=0
|
||||
Enabled=0
|
||||
[pcbnew/Layer.In12.Cu]
|
||||
Name=In12.Cu
|
||||
Type=0
|
||||
Enabled=0
|
||||
[pcbnew/Layer.In13.Cu]
|
||||
Name=In13.Cu
|
||||
Type=0
|
||||
Enabled=0
|
||||
[pcbnew/Layer.In14.Cu]
|
||||
Name=In14.Cu
|
||||
Type=0
|
||||
Enabled=0
|
||||
[pcbnew/Layer.In15.Cu]
|
||||
Name=In15.Cu
|
||||
Type=0
|
||||
Enabled=0
|
||||
[pcbnew/Layer.In16.Cu]
|
||||
Name=In16.Cu
|
||||
Type=0
|
||||
Enabled=0
|
||||
[pcbnew/Layer.In17.Cu]
|
||||
Name=In17.Cu
|
||||
Type=0
|
||||
Enabled=0
|
||||
[pcbnew/Layer.In18.Cu]
|
||||
Name=In18.Cu
|
||||
Type=0
|
||||
Enabled=0
|
||||
[pcbnew/Layer.In19.Cu]
|
||||
Name=In19.Cu
|
||||
Type=0
|
||||
Enabled=0
|
||||
[pcbnew/Layer.In20.Cu]
|
||||
Name=In20.Cu
|
||||
Type=0
|
||||
Enabled=0
|
||||
[pcbnew/Layer.In21.Cu]
|
||||
Name=In21.Cu
|
||||
Type=0
|
||||
Enabled=0
|
||||
[pcbnew/Layer.In22.Cu]
|
||||
Name=In22.Cu
|
||||
Type=0
|
||||
Enabled=0
|
||||
[pcbnew/Layer.In23.Cu]
|
||||
Name=In23.Cu
|
||||
Type=0
|
||||
Enabled=0
|
||||
[pcbnew/Layer.In24.Cu]
|
||||
Name=In24.Cu
|
||||
Type=0
|
||||
Enabled=0
|
||||
[pcbnew/Layer.In25.Cu]
|
||||
Name=In25.Cu
|
||||
Type=0
|
||||
Enabled=0
|
||||
[pcbnew/Layer.In26.Cu]
|
||||
Name=In26.Cu
|
||||
Type=0
|
||||
Enabled=0
|
||||
[pcbnew/Layer.In27.Cu]
|
||||
Name=In27.Cu
|
||||
Type=0
|
||||
Enabled=0
|
||||
[pcbnew/Layer.In28.Cu]
|
||||
Name=In28.Cu
|
||||
Type=0
|
||||
Enabled=0
|
||||
[pcbnew/Layer.In29.Cu]
|
||||
Name=In29.Cu
|
||||
Type=0
|
||||
Enabled=0
|
||||
[pcbnew/Layer.In30.Cu]
|
||||
Name=In30.Cu
|
||||
Type=0
|
||||
Enabled=0
|
||||
[pcbnew/Layer.B.Cu]
|
||||
Name=B.Cu
|
||||
Type=0
|
||||
Enabled=1
|
||||
[pcbnew/Layer.B.Adhes]
|
||||
Enabled=1
|
||||
[pcbnew/Layer.F.Adhes]
|
||||
Enabled=1
|
||||
[pcbnew/Layer.B.Paste]
|
||||
Enabled=1
|
||||
[pcbnew/Layer.F.Paste]
|
||||
Enabled=1
|
||||
[pcbnew/Layer.B.SilkS]
|
||||
Enabled=1
|
||||
[pcbnew/Layer.F.SilkS]
|
||||
Enabled=1
|
||||
[pcbnew/Layer.B.Mask]
|
||||
Enabled=1
|
||||
[pcbnew/Layer.F.Mask]
|
||||
Enabled=1
|
||||
[pcbnew/Layer.Dwgs.User]
|
||||
Enabled=1
|
||||
[pcbnew/Layer.Cmts.User]
|
||||
Enabled=1
|
||||
[pcbnew/Layer.Eco1.User]
|
||||
Enabled=1
|
||||
[pcbnew/Layer.Eco2.User]
|
||||
Enabled=1
|
||||
[pcbnew/Layer.Edge.Cuts]
|
||||
Enabled=1
|
||||
[pcbnew/Layer.Margin]
|
||||
Enabled=1
|
||||
[pcbnew/Layer.B.CrtYd]
|
||||
Enabled=1
|
||||
[pcbnew/Layer.F.CrtYd]
|
||||
Enabled=1
|
||||
[pcbnew/Layer.B.Fab]
|
||||
Enabled=1
|
||||
[pcbnew/Layer.F.Fab]
|
||||
Enabled=1
|
||||
[pcbnew/Layer.Rescue]
|
||||
Enabled=0
|
||||
[pcbnew/Netclasses]
|
||||
[pcbnew/Netclasses/Default]
|
||||
Name=Default
|
||||
Clearance=0.15
|
||||
TrackWidth=0.15
|
||||
ViaDiameter=0.5
|
||||
ViaDrill=0.2
|
||||
uViaDiameter=0.3
|
||||
uViaDrill=0.1
|
||||
dPairWidth=0.2
|
||||
dPairGap=0.25
|
||||
dPairViaGap=0.25
|
||||
[schematic_editor]
|
||||
version=1
|
||||
PageLayoutDescrFile=
|
||||
PlotDirectoryName=
|
||||
SubpartIdSeparator=0
|
||||
SubpartFirstId=65
|
||||
NetFmtName=Pcbnew
|
||||
SpiceAjustPassiveValues=0
|
||||
LabSize=50
|
||||
ERC_TestSimilarLabels=1
|
26
cpld/RAM2E - 16MB.mif
Normal file
26
cpld/RAM2E - 16MB.mif
Normal file
@ -0,0 +1,26 @@
|
||||
-- Copyright (C) 1991-2013 Altera Corporation
|
||||
-- Your use of Altera Corporation's design tools, logic functions
|
||||
-- and other software and tools, and its AMPP partner logic
|
||||
-- functions, and any output files from any of the foregoing
|
||||
-- (including device programming or simulation files), and any
|
||||
-- associated documentation or information are expressly subject
|
||||
-- to the terms and conditions of the Altera Program License
|
||||
-- Subscription Agreement, Altera MegaCore Function License
|
||||
-- Agreement, or other applicable license agreement, including,
|
||||
-- without limitation, that your use is for the sole purpose of
|
||||
-- programming logic devices manufactured by Altera and sold by
|
||||
-- Altera or its authorized distributors. Please refer to the
|
||||
-- applicable agreement for further details.
|
||||
|
||||
-- Quartus II generated Memory Initialization File (.mif)
|
||||
|
||||
WIDTH=16;
|
||||
DEPTH=512;
|
||||
|
||||
ADDRESS_RADIX=HEX;
|
||||
DATA_RADIX=HEX;
|
||||
|
||||
CONTENT BEGIN
|
||||
000 : 8080;
|
||||
[001..1FF] : FFFF;
|
||||
END;
|
273
cpld/RAM2E - AF.mif
Normal file
273
cpld/RAM2E - AF.mif
Normal file
@ -0,0 +1,273 @@
|
||||
-- Copyright (C) 1991-2013 Altera Corporation
|
||||
-- Your use of Altera Corporation's design tools, logic functions
|
||||
-- and other software and tools, and its AMPP partner logic
|
||||
-- functions, and any output files from any of the foregoing
|
||||
-- (including device programming or simulation files), and any
|
||||
-- associated documentation or information are expressly subject
|
||||
-- to the terms and conditions of the Altera Program License
|
||||
-- Subscription Agreement, Altera MegaCore Function License
|
||||
-- Agreement, or other applicable license agreement, including,
|
||||
-- without limitation, that your use is for the sole purpose of
|
||||
-- programming logic devices manufactured by Altera and sold by
|
||||
-- Altera or its authorized distributors. Please refer to the
|
||||
-- applicable agreement for further details.
|
||||
|
||||
-- Quartus II generated Memory Initialization File (.mif)
|
||||
|
||||
WIDTH=16;
|
||||
DEPTH=512;
|
||||
|
||||
ADDRESS_RADIX=HEX;
|
||||
DATA_RADIX=HEX;
|
||||
|
||||
CONTENT BEGIN
|
||||
000 : 0000;
|
||||
001 : 0101;
|
||||
002 : 0202;
|
||||
003 : 0303;
|
||||
004 : 0404;
|
||||
005 : 0505;
|
||||
006 : 0606;
|
||||
007 : 0707;
|
||||
008 : 0808;
|
||||
009 : 0909;
|
||||
00A : 0A0A;
|
||||
00B : 0B0B;
|
||||
00C : 0C0C;
|
||||
00D : 0D0D;
|
||||
00E : 0E0E;
|
||||
00F : 0F0F;
|
||||
010 : 1010;
|
||||
011 : 1111;
|
||||
012 : 1212;
|
||||
013 : 1313;
|
||||
014 : 1414;
|
||||
015 : 1515;
|
||||
016 : 1616;
|
||||
017 : 1717;
|
||||
018 : 1818;
|
||||
019 : 1919;
|
||||
01A : 1A1A;
|
||||
01B : 1B1B;
|
||||
01C : 1C1C;
|
||||
01D : 1D1D;
|
||||
01E : 1E1E;
|
||||
01F : 1F1F;
|
||||
020 : 2020;
|
||||
021 : 2121;
|
||||
022 : 2222;
|
||||
023 : 2323;
|
||||
024 : 2424;
|
||||
025 : 2525;
|
||||
026 : 2626;
|
||||
027 : 2727;
|
||||
028 : 2828;
|
||||
029 : 2929;
|
||||
02A : 2A2A;
|
||||
02B : 2B2B;
|
||||
02C : 2C2C;
|
||||
02D : 2D2D;
|
||||
02E : 2E2E;
|
||||
02F : 2F2F;
|
||||
030 : 3030;
|
||||
031 : 3131;
|
||||
032 : 3232;
|
||||
033 : 3333;
|
||||
034 : 3434;
|
||||
035 : 3535;
|
||||
036 : 3636;
|
||||
037 : 3737;
|
||||
038 : 3838;
|
||||
039 : 3939;
|
||||
03A : 3A3A;
|
||||
03B : 3B3B;
|
||||
03C : 3C3C;
|
||||
03D : 3D3D;
|
||||
03E : 3E3E;
|
||||
03F : 3F3F;
|
||||
040 : 4040;
|
||||
041 : 4141;
|
||||
042 : 4242;
|
||||
043 : 4343;
|
||||
044 : 4444;
|
||||
045 : 4545;
|
||||
046 : 4646;
|
||||
047 : 4747;
|
||||
048 : 4848;
|
||||
049 : 4949;
|
||||
04A : 4A4A;
|
||||
04B : 4B4B;
|
||||
04C : 4C4C;
|
||||
04D : 4D4D;
|
||||
04E : 4E4E;
|
||||
04F : 4F4F;
|
||||
050 : 5050;
|
||||
051 : 5151;
|
||||
052 : 5252;
|
||||
053 : 5353;
|
||||
054 : 5454;
|
||||
055 : 5555;
|
||||
056 : 5656;
|
||||
057 : 5757;
|
||||
058 : 5858;
|
||||
059 : 5959;
|
||||
05A : 5A5A;
|
||||
05B : 5B5B;
|
||||
05C : 5C5C;
|
||||
05D : 5D5D;
|
||||
05E : 5E5E;
|
||||
05F : 5F5F;
|
||||
060 : 6060;
|
||||
061 : 6161;
|
||||
062 : 6262;
|
||||
063 : 6363;
|
||||
064 : 6464;
|
||||
065 : 6565;
|
||||
066 : 6666;
|
||||
067 : 6767;
|
||||
068 : 6868;
|
||||
069 : 6969;
|
||||
06A : 6A6A;
|
||||
06B : 6B6B;
|
||||
06C : 6C6C;
|
||||
06D : 6D6D;
|
||||
06E : 6E6E;
|
||||
06F : 6F6F;
|
||||
070 : 7070;
|
||||
071 : 7171;
|
||||
072 : 7272;
|
||||
073 : 7373;
|
||||
074 : 7474;
|
||||
075 : 7575;
|
||||
076 : 7676;
|
||||
077 : 7777;
|
||||
078 : 7878;
|
||||
079 : 7979;
|
||||
07A : 7A7A;
|
||||
07B : 7B7B;
|
||||
07C : 7C7C;
|
||||
07D : 7D7D;
|
||||
07E : 7E7E;
|
||||
07F : 7F7F;
|
||||
080 : 8080;
|
||||
081 : 8181;
|
||||
082 : 8282;
|
||||
083 : 8383;
|
||||
084 : 8484;
|
||||
085 : 8585;
|
||||
086 : 8686;
|
||||
087 : 8787;
|
||||
088 : 8888;
|
||||
089 : 8989;
|
||||
08A : 8A8A;
|
||||
08B : 8B8B;
|
||||
08C : 8C8C;
|
||||
08D : 8D8D;
|
||||
08E : 8E8E;
|
||||
08F : 8F8F;
|
||||
090 : 9090;
|
||||
091 : 9191;
|
||||
092 : 9292;
|
||||
093 : 9393;
|
||||
094 : 9494;
|
||||
095 : 9595;
|
||||
096 : 9696;
|
||||
097 : 9797;
|
||||
098 : 9898;
|
||||
099 : 9999;
|
||||
09A : 9A9A;
|
||||
09B : 9B9B;
|
||||
09C : 9C9C;
|
||||
09D : 9D9D;
|
||||
09E : 9E9E;
|
||||
09F : 9F9F;
|
||||
0A0 : A0A0;
|
||||
0A1 : A1A1;
|
||||
0A2 : A2A2;
|
||||
0A3 : A3A3;
|
||||
0A4 : A4A4;
|
||||
0A5 : A5A5;
|
||||
0A6 : A6A6;
|
||||
0A7 : A7A7;
|
||||
0A8 : A8A8;
|
||||
0A9 : A9A9;
|
||||
0AA : AAAA;
|
||||
0AB : ABAB;
|
||||
0AC : ACAC;
|
||||
0AD : ADAD;
|
||||
0AE : AEAE;
|
||||
0AF : AFAF;
|
||||
0B0 : B0B0;
|
||||
0B1 : B1B1;
|
||||
0B2 : B2B2;
|
||||
0B3 : B3B3;
|
||||
0B4 : B4B4;
|
||||
0B5 : B5B5;
|
||||
0B6 : B6B6;
|
||||
0B7 : B7B7;
|
||||
0B8 : B8B8;
|
||||
0B9 : B9B9;
|
||||
0BA : BABA;
|
||||
0BB : BBBB;
|
||||
0BC : BCBC;
|
||||
0BD : BDBD;
|
||||
0BE : BEBE;
|
||||
0BF : BFBF;
|
||||
0C0 : C0C0;
|
||||
0C1 : C1C1;
|
||||
0C2 : C2C2;
|
||||
0C3 : C3C3;
|
||||
0C4 : C4C4;
|
||||
0C5 : C5C5;
|
||||
0C6 : C6C6;
|
||||
0C7 : C7C7;
|
||||
0C8 : C8C8;
|
||||
0C9 : C9C9;
|
||||
0CA : CACA;
|
||||
0CB : CBCB;
|
||||
0CC : CCCC;
|
||||
0CD : CDCD;
|
||||
0CE : CECE;
|
||||
0CF : CFCF;
|
||||
0D0 : D0D0;
|
||||
0D1 : D1D1;
|
||||
0D2 : D2D2;
|
||||
0D3 : D3D3;
|
||||
0D4 : D4D4;
|
||||
0D5 : D5D5;
|
||||
0D6 : D6D6;
|
||||
0D7 : D7D7;
|
||||
0D8 : D8D8;
|
||||
0D9 : D9D9;
|
||||
0DA : DADA;
|
||||
0DB : DBDB;
|
||||
0DC : DCDC;
|
||||
0DD : DDDD;
|
||||
0DE : DEDE;
|
||||
0DF : DFDF;
|
||||
0E0 : E0E0;
|
||||
0E1 : E1E1;
|
||||
0E2 : E2E2;
|
||||
0E3 : E3E3;
|
||||
0E4 : E4E4;
|
||||
0E5 : E5E5;
|
||||
0E6 : E6E6;
|
||||
0E7 : E7E7;
|
||||
0E8 : E8E8;
|
||||
0E9 : E9E9;
|
||||
0EA : EAEA;
|
||||
0EB : EBEB;
|
||||
0EC : ECEC;
|
||||
0ED : EDED;
|
||||
0EE : EEEE;
|
||||
0EF : EFEF;
|
||||
0F0 : F0F0;
|
||||
0F1 : F1F1;
|
||||
0F2 : F2F2;
|
||||
0F3 : F3F3;
|
||||
0F4 : F4F4;
|
||||
0F5 : F5F5;
|
||||
0F6 : F6F6;
|
||||
0F7 : 7F7F;
|
||||
[0F8..1FF] : FFFF;
|
||||
END;
|
25
cpld/RAM2E - E.mif
Normal file
25
cpld/RAM2E - E.mif
Normal file
@ -0,0 +1,25 @@
|
||||
-- Copyright (C) 1991-2013 Altera Corporation
|
||||
-- Your use of Altera Corporation's design tools, logic functions
|
||||
-- and other software and tools, and its AMPP partner logic
|
||||
-- functions, and any output files from any of the foregoing
|
||||
-- (including device programming or simulation files), and any
|
||||
-- associated documentation or information are expressly subject
|
||||
-- to the terms and conditions of the Altera Program License
|
||||
-- Subscription Agreement, Altera MegaCore Function License
|
||||
-- Agreement, or other applicable license agreement, including,
|
||||
-- without limitation, that your use is for the sole purpose of
|
||||
-- programming logic devices manufactured by Altera and sold by
|
||||
-- Altera or its authorized distributors. Please refer to the
|
||||
-- applicable agreement for further details.
|
||||
|
||||
-- Quartus II generated Memory Initialization File (.mif)
|
||||
|
||||
WIDTH=16;
|
||||
DEPTH=512;
|
||||
|
||||
ADDRESS_RADIX=HEX;
|
||||
DATA_RADIX=HEX;
|
||||
|
||||
CONTENT BEGIN
|
||||
[000..1FF] : FFFF;
|
||||
END;
|
281
cpld/RAM2E - F.mif
Normal file
281
cpld/RAM2E - F.mif
Normal file
@ -0,0 +1,281 @@
|
||||
-- Copyright (C) 1991-2013 Altera Corporation
|
||||
-- Your use of Altera Corporation's design tools, logic functions
|
||||
-- and other software and tools, and its AMPP partner logic
|
||||
-- functions, and any output files from any of the foregoing
|
||||
-- (including device programming or simulation files), and any
|
||||
-- associated documentation or information are expressly subject
|
||||
-- to the terms and conditions of the Altera Program License
|
||||
-- Subscription Agreement, Altera MegaCore Function License
|
||||
-- Agreement, or other applicable license agreement, including,
|
||||
-- without limitation, that your use is for the sole purpose of
|
||||
-- programming logic devices manufactured by Altera and sold by
|
||||
-- Altera or its authorized distributors. Please refer to the
|
||||
-- applicable agreement for further details.
|
||||
|
||||
-- Quartus II generated Memory Initialization File (.mif)
|
||||
|
||||
WIDTH=16;
|
||||
DEPTH=512;
|
||||
|
||||
ADDRESS_RADIX=HEX;
|
||||
DATA_RADIX=HEX;
|
||||
|
||||
CONTENT BEGIN
|
||||
000 : 0000;
|
||||
001 : 0101;
|
||||
002 : 0202;
|
||||
003 : 0303;
|
||||
004 : 0404;
|
||||
005 : 0505;
|
||||
006 : 0606;
|
||||
007 : 0707;
|
||||
008 : 0808;
|
||||
009 : 0909;
|
||||
00A : 0A0A;
|
||||
00B : 0B0B;
|
||||
00C : 0C0C;
|
||||
00D : 0D0D;
|
||||
00E : 0E0E;
|
||||
00F : 0F0F;
|
||||
010 : 1010;
|
||||
011 : 1111;
|
||||
012 : 1212;
|
||||
013 : 1313;
|
||||
014 : 1414;
|
||||
015 : 1515;
|
||||
016 : 1616;
|
||||
017 : 1717;
|
||||
018 : 1818;
|
||||
019 : 1919;
|
||||
01A : 1A1A;
|
||||
01B : 1B1B;
|
||||
01C : 1C1C;
|
||||
01D : 1D1D;
|
||||
01E : 1E1E;
|
||||
01F : 1F1F;
|
||||
020 : 2020;
|
||||
021 : 2121;
|
||||
022 : 2222;
|
||||
023 : 2323;
|
||||
024 : 2424;
|
||||
025 : 2525;
|
||||
026 : 2626;
|
||||
027 : 2727;
|
||||
028 : 2828;
|
||||
029 : 2929;
|
||||
02A : 2A2A;
|
||||
02B : 2B2B;
|
||||
02C : 2C2C;
|
||||
02D : 2D2D;
|
||||
02E : 2E2E;
|
||||
02F : 2F2F;
|
||||
030 : 3030;
|
||||
031 : 3131;
|
||||
032 : 3232;
|
||||
033 : 3333;
|
||||
034 : 3434;
|
||||
035 : 3535;
|
||||
036 : 3636;
|
||||
037 : 3737;
|
||||
038 : 3838;
|
||||
039 : 3939;
|
||||
03A : 3A3A;
|
||||
03B : 3B3B;
|
||||
03C : 3C3C;
|
||||
03D : 3D3D;
|
||||
03E : 3E3E;
|
||||
03F : 3F3F;
|
||||
040 : 4040;
|
||||
041 : 4141;
|
||||
042 : 4242;
|
||||
043 : 4343;
|
||||
044 : 4444;
|
||||
045 : 4545;
|
||||
046 : 4646;
|
||||
047 : 4747;
|
||||
048 : 4848;
|
||||
049 : 4949;
|
||||
04A : 4A4A;
|
||||
04B : 4B4B;
|
||||
04C : 4C4C;
|
||||
04D : 4D4D;
|
||||
04E : 4E4E;
|
||||
04F : 4F4F;
|
||||
050 : 5050;
|
||||
051 : 5151;
|
||||
052 : 5252;
|
||||
053 : 5353;
|
||||
054 : 5454;
|
||||
055 : 5555;
|
||||
056 : 5656;
|
||||
057 : 5757;
|
||||
058 : 5858;
|
||||
059 : 5959;
|
||||
05A : 5A5A;
|
||||
05B : 5B5B;
|
||||
05C : 5C5C;
|
||||
05D : 5D5D;
|
||||
05E : 5E5E;
|
||||
05F : 5F5F;
|
||||
060 : 6060;
|
||||
061 : 6161;
|
||||
062 : 6262;
|
||||
063 : 6363;
|
||||
064 : 6464;
|
||||
065 : 6565;
|
||||
066 : 6666;
|
||||
067 : 6767;
|
||||
068 : 6868;
|
||||
069 : 6969;
|
||||
06A : 6A6A;
|
||||
06B : 6B6B;
|
||||
06C : 6C6C;
|
||||
06D : 6D6D;
|
||||
06E : 6E6E;
|
||||
06F : 6F6F;
|
||||
070 : 7070;
|
||||
071 : 7171;
|
||||
072 : 7272;
|
||||
073 : 7373;
|
||||
074 : 7474;
|
||||
075 : 7575;
|
||||
076 : 7676;
|
||||
077 : 7777;
|
||||
078 : 7878;
|
||||
079 : 7979;
|
||||
07A : 7A7A;
|
||||
07B : 7B7B;
|
||||
07C : 7C7C;
|
||||
07D : 7D7D;
|
||||
07E : 7E7E;
|
||||
07F : 7F7F;
|
||||
080 : 8080;
|
||||
081 : 8181;
|
||||
082 : 8282;
|
||||
083 : 8383;
|
||||
084 : 8484;
|
||||
085 : 8585;
|
||||
086 : 8686;
|
||||
087 : 8787;
|
||||
088 : 8888;
|
||||
089 : 8989;
|
||||
08A : 8A8A;
|
||||
08B : 8B8B;
|
||||
08C : 8C8C;
|
||||
08D : 8D8D;
|
||||
08E : 8E8E;
|
||||
08F : 8F8F;
|
||||
090 : 9090;
|
||||
091 : 9191;
|
||||
092 : 9292;
|
||||
093 : 9393;
|
||||
094 : 9494;
|
||||
095 : 9595;
|
||||
096 : 9696;
|
||||
097 : 9797;
|
||||
098 : 9898;
|
||||
099 : 9999;
|
||||
09A : 9A9A;
|
||||
09B : 9B9B;
|
||||
09C : 9C9C;
|
||||
09D : 9D9D;
|
||||
09E : 9E9E;
|
||||
09F : 9F9F;
|
||||
0A0 : A0A0;
|
||||
0A1 : A1A1;
|
||||
0A2 : A2A2;
|
||||
0A3 : A3A3;
|
||||
0A4 : A4A4;
|
||||
0A5 : A5A5;
|
||||
0A6 : A6A6;
|
||||
0A7 : A7A7;
|
||||
0A8 : A8A8;
|
||||
0A9 : A9A9;
|
||||
0AA : AAAA;
|
||||
0AB : ABAB;
|
||||
0AC : ACAC;
|
||||
0AD : ADAD;
|
||||
0AE : AEAE;
|
||||
0AF : AFAF;
|
||||
0B0 : B0B0;
|
||||
0B1 : B1B1;
|
||||
0B2 : B2B2;
|
||||
0B3 : B3B3;
|
||||
0B4 : B4B4;
|
||||
0B5 : B5B5;
|
||||
0B6 : B6B6;
|
||||
0B7 : B7B7;
|
||||
0B8 : B8B8;
|
||||
0B9 : B9B9;
|
||||
0BA : BABA;
|
||||
0BB : BBBB;
|
||||
0BC : BCBC;
|
||||
0BD : BDBD;
|
||||
0BE : BEBE;
|
||||
0BF : BFBF;
|
||||
0C0 : C0C0;
|
||||
0C1 : C1C1;
|
||||
0C2 : C2C2;
|
||||
0C3 : C3C3;
|
||||
0C4 : C4C4;
|
||||
0C5 : C5C5;
|
||||
0C6 : C6C6;
|
||||
0C7 : C7C7;
|
||||
0C8 : C8C8;
|
||||
0C9 : C9C9;
|
||||
0CA : CACA;
|
||||
0CB : CBCB;
|
||||
0CC : CCCC;
|
||||
0CD : CDCD;
|
||||
0CE : CECE;
|
||||
0CF : CFCF;
|
||||
0D0 : D0D0;
|
||||
0D1 : D1D1;
|
||||
0D2 : D2D2;
|
||||
0D3 : D3D3;
|
||||
0D4 : D4D4;
|
||||
0D5 : D5D5;
|
||||
0D6 : D6D6;
|
||||
0D7 : D7D7;
|
||||
0D8 : D8D8;
|
||||
0D9 : D9D9;
|
||||
0DA : DADA;
|
||||
0DB : DBDB;
|
||||
0DC : DCDC;
|
||||
0DD : DDDD;
|
||||
0DE : DEDE;
|
||||
0DF : DFDF;
|
||||
0E0 : E0E0;
|
||||
0E1 : E1E1;
|
||||
0E2 : E2E2;
|
||||
0E3 : E3E3;
|
||||
0E4 : E4E4;
|
||||
0E5 : E5E5;
|
||||
0E6 : E6E6;
|
||||
0E7 : E7E7;
|
||||
0E8 : E8E8;
|
||||
0E9 : E9E9;
|
||||
0EA : EAEA;
|
||||
0EB : EBEB;
|
||||
0EC : ECEC;
|
||||
0ED : EDED;
|
||||
0EE : EEEE;
|
||||
0EF : EFEF;
|
||||
0F0 : F0F0;
|
||||
0F1 : F1F1;
|
||||
0F2 : F2F2;
|
||||
0F3 : F3F3;
|
||||
0F4 : F4F4;
|
||||
0F5 : F5F5;
|
||||
0F6 : F6F6;
|
||||
0F7 : 7F7F;
|
||||
0F8 : F8F8;
|
||||
0F9 : F9F9;
|
||||
0FA : FAFA;
|
||||
0FB : FBFB;
|
||||
0FC : FCFC;
|
||||
0FD : FDFD;
|
||||
0FE : FEFE;
|
||||
0FF : 0F0F;
|
||||
[100..1FF] : FFFF;
|
||||
END;
|
25
cpld/RAM2E.mif
Executable file
25
cpld/RAM2E.mif
Executable file
@ -0,0 +1,25 @@
|
||||
-- Copyright (C) 1991-2013 Altera Corporation
|
||||
-- Your use of Altera Corporation's design tools, logic functions
|
||||
-- and other software and tools, and its AMPP partner logic
|
||||
-- functions, and any output files from any of the foregoing
|
||||
-- (including device programming or simulation files), and any
|
||||
-- associated documentation or information are expressly subject
|
||||
-- to the terms and conditions of the Altera Program License
|
||||
-- Subscription Agreement, Altera MegaCore Function License
|
||||
-- Agreement, or other applicable license agreement, including,
|
||||
-- without limitation, that your use is for the sole purpose of
|
||||
-- programming logic devices manufactured by Altera and sold by
|
||||
-- Altera or its authorized distributors. Please refer to the
|
||||
-- applicable agreement for further details.
|
||||
|
||||
-- Quartus II generated Memory Initialization File (.mif)
|
||||
|
||||
WIDTH=16;
|
||||
DEPTH=512;
|
||||
|
||||
ADDRESS_RADIX=HEX;
|
||||
DATA_RADIX=HEX;
|
||||
|
||||
CONTENT BEGIN
|
||||
[000..1FF] : FFFF;
|
||||
END;
|
30
cpld/RAM2E.qpf
Executable file
30
cpld/RAM2E.qpf
Executable file
@ -0,0 +1,30 @@
|
||||
# -------------------------------------------------------------------------- #
|
||||
#
|
||||
# Copyright (C) 1991-2013 Altera Corporation
|
||||
# Your use of Altera Corporation's design tools, logic functions
|
||||
# and other software and tools, and its AMPP partner logic
|
||||
# functions, and any output files from any of the foregoing
|
||||
# (including device programming or simulation files), and any
|
||||
# associated documentation or information are expressly subject
|
||||
# to the terms and conditions of the Altera Program License
|
||||
# Subscription Agreement, Altera MegaCore Function License
|
||||
# Agreement, or other applicable license agreement, including,
|
||||
# without limitation, that your use is for the sole purpose of
|
||||
# programming logic devices manufactured by Altera and sold by
|
||||
# Altera or its authorized distributors. Please refer to the
|
||||
# applicable agreement for further details.
|
||||
#
|
||||
# -------------------------------------------------------------------------- #
|
||||
#
|
||||
# Quartus II 32-bit
|
||||
# Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition
|
||||
# Date created = 22:58:44 May 05, 2020
|
||||
#
|
||||
# -------------------------------------------------------------------------- #
|
||||
|
||||
QUARTUS_VERSION = "13.0"
|
||||
DATE = "22:58:44 May 05, 2020"
|
||||
|
||||
# Revisions
|
||||
|
||||
PROJECT_REVISION = "RAM2E"
|
236
cpld/RAM2E.qsf
Executable file
236
cpld/RAM2E.qsf
Executable file
@ -0,0 +1,236 @@
|
||||
# -------------------------------------------------------------------------- #
|
||||
#
|
||||
# Copyright (C) 1991-2013 Altera Corporation
|
||||
# Your use of Altera Corporation's design tools, logic functions
|
||||
# and other software and tools, and its AMPP partner logic
|
||||
# functions, and any output files from any of the foregoing
|
||||
# (including device programming or simulation files), and any
|
||||
# associated documentation or information are expressly subject
|
||||
# to the terms and conditions of the Altera Program License
|
||||
# Subscription Agreement, Altera MegaCore Function License
|
||||
# Agreement, or other applicable license agreement, including,
|
||||
# without limitation, that your use is for the sole purpose of
|
||||
# programming logic devices manufactured by Altera and sold by
|
||||
# Altera or its authorized distributors. Please refer to the
|
||||
# applicable agreement for further details.
|
||||
#
|
||||
# -------------------------------------------------------------------------- #
|
||||
#
|
||||
# Quartus II 32-bit
|
||||
# Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition
|
||||
# Date created = 22:58:44 May 05, 2020
|
||||
#
|
||||
# -------------------------------------------------------------------------- #
|
||||
#
|
||||
# Notes:
|
||||
#
|
||||
# 1) The default values for assignments are stored in the file:
|
||||
# RAM2E_assignment_defaults.qdf
|
||||
# If this file doesn't exist, see file:
|
||||
# assignment_defaults.qdf
|
||||
#
|
||||
# 2) Altera recommends that you do not modify this file. This
|
||||
# file is updated automatically by the Quartus II software
|
||||
# and any changes you make may be lost or overwritten.
|
||||
#
|
||||
# -------------------------------------------------------------------------- #
|
||||
|
||||
|
||||
set_global_assignment -name FAMILY "MAX II"
|
||||
set_global_assignment -name DEVICE EPM240T100C5
|
||||
set_global_assignment -name TOP_LEVEL_ENTITY RAM2E
|
||||
set_global_assignment -name ORIGINAL_QUARTUS_VERSION "13.0 SP1"
|
||||
set_global_assignment -name PROJECT_CREATION_TIME_DATE "22:58:44 MAY 05, 2020"
|
||||
set_global_assignment -name LAST_QUARTUS_VERSION "13.0 SP1"
|
||||
set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files
|
||||
set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0
|
||||
set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85
|
||||
set_global_assignment -name DEVICE_FILTER_PACKAGE TQFP
|
||||
set_global_assignment -name DEVICE_FILTER_PIN_COUNT 100
|
||||
set_global_assignment -name DEVICE_FILTER_SPEED_GRADE 5
|
||||
set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR "-1"
|
||||
set_global_assignment -name POWER_EXT_SUPPLY_VOLTAGE_TO_REGULATOR 3.3V
|
||||
set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "3.3-V LVCMOS"
|
||||
set_global_assignment -name VERILOG_FILE RAM2E.v
|
||||
set_global_assignment -name SDC_FILE constraints.sdc
|
||||
set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS OFF
|
||||
set_global_assignment -name OPTIMIZE_HOLD_TIMING "IO PATHS AND MINIMUM TPD PATHS"
|
||||
set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING ON
|
||||
set_global_assignment -name FITTER_EFFORT "STANDARD FIT"
|
||||
set_global_assignment -name QIP_FILE UFM.qip
|
||||
set_global_assignment -name MAXII_OPTIMIZATION_TECHNIQUE BALANCED
|
||||
set_global_assignment -name ALLOW_POWER_UP_DONT_CARE OFF
|
||||
set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS OFF
|
||||
set_global_assignment -name SAFE_STATE_MACHINE ON
|
||||
set_global_assignment -name PARALLEL_SYNTHESIS OFF
|
||||
set_global_assignment -name SYNTH_MESSAGE_LEVEL HIGH
|
||||
set_global_assignment -name PHYSICAL_SYNTHESIS_EFFORT NORMAL
|
||||
set_global_assignment -name PHYSICAL_SYNTHESIS_COMBO_LOGIC OFF
|
||||
set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_RETIMING OFF
|
||||
set_global_assignment -name PHYSICAL_SYNTHESIS_ASYNCHRONOUS_SIGNAL_PIPELINING OFF
|
||||
set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_DUPLICATION OFF
|
||||
set_global_assignment -name PHYSICAL_SYNTHESIS_COMBO_LOGIC_FOR_AREA OFF
|
||||
set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "NO HEAT SINK WITH STILL AIR"
|
||||
set_global_assignment -name MIF_FILE RAM2E.mif
|
||||
set_global_assignment -name FLOW_ENABLE_POWER_ANALYZER OFF
|
||||
set_global_assignment -name POWER_DEFAULT_INPUT_IO_TOGGLE_RATE "12.5 %"
|
||||
|
||||
set_location_assignment PIN_12 -to C14M
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to C14M
|
||||
|
||||
set_location_assignment PIN_37 -to PHI1
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to PHI1
|
||||
set_instance_assignment -name PAD_TO_CORE_DELAY 1 -to PHI1
|
||||
|
||||
set_location_assignment PIN_51 -to nWE
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to nWE
|
||||
set_instance_assignment -name PAD_TO_CORE_DELAY 0 -to nWE
|
||||
|
||||
set_location_assignment PIN_28 -to nEN80
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to nEN80
|
||||
set_instance_assignment -name PAD_TO_CORE_DELAY 0 -to nEN80
|
||||
|
||||
set_location_assignment PIN_33 -to nWE80
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to nWE80
|
||||
set_instance_assignment -name PAD_TO_CORE_DELAY 0 -to nWE80
|
||||
|
||||
set_location_assignment PIN_52 -to nC07X
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to nC07X
|
||||
set_instance_assignment -name PAD_TO_CORE_DELAY 0 -to nC07X
|
||||
|
||||
set_location_assignment PIN_56 -to Ain[0]
|
||||
set_location_assignment PIN_54 -to Ain[1]
|
||||
set_location_assignment PIN_43 -to Ain[2]
|
||||
set_location_assignment PIN_47 -to Ain[3]
|
||||
set_location_assignment PIN_44 -to Ain[4]
|
||||
set_location_assignment PIN_34 -to Ain[5]
|
||||
set_location_assignment PIN_39 -to Ain[6]
|
||||
set_location_assignment PIN_53 -to Ain[7]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to Ain
|
||||
set_instance_assignment -name PAD_TO_CORE_DELAY 0 -to Ain
|
||||
|
||||
set_location_assignment PIN_38 -to Din[0]
|
||||
set_location_assignment PIN_40 -to Din[1]
|
||||
set_location_assignment PIN_42 -to Din[2]
|
||||
set_location_assignment PIN_41 -to Din[3]
|
||||
set_location_assignment PIN_48 -to Din[4]
|
||||
set_location_assignment PIN_49 -to Din[5]
|
||||
set_location_assignment PIN_36 -to Din[6]
|
||||
set_location_assignment PIN_35 -to Din[7]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to Din
|
||||
set_instance_assignment -name PAD_TO_CORE_DELAY 0 -to Din
|
||||
|
||||
set_location_assignment PIN_55 -to nDOE
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to nDOE
|
||||
set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to nDOE
|
||||
set_instance_assignment -name SLOW_SLEW_RATE ON -to nDOE
|
||||
|
||||
set_location_assignment PIN_77 -to Dout[0]
|
||||
set_location_assignment PIN_76 -to Dout[1]
|
||||
set_location_assignment PIN_74 -to Dout[2]
|
||||
set_location_assignment PIN_75 -to Dout[3]
|
||||
set_location_assignment PIN_73 -to Dout[4]
|
||||
set_location_assignment PIN_72 -to Dout[5]
|
||||
set_location_assignment PIN_84 -to Dout[6]
|
||||
set_location_assignment PIN_85 -to Dout[7]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to Dout
|
||||
set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to Dout
|
||||
set_instance_assignment -name SLOW_SLEW_RATE OFF -to Dout
|
||||
set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to Dout
|
||||
|
||||
set_location_assignment PIN_50 -to nVOE
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to nVOE
|
||||
set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to nVOE
|
||||
set_instance_assignment -name SLOW_SLEW_RATE OFF -to nVOE
|
||||
|
||||
set_location_assignment PIN_70 -to Vout[0]
|
||||
set_location_assignment PIN_67 -to Vout[1]
|
||||
set_location_assignment PIN_69 -to Vout[2]
|
||||
set_location_assignment PIN_62 -to Vout[3]
|
||||
set_location_assignment PIN_71 -to Vout[4]
|
||||
set_location_assignment PIN_68 -to Vout[5]
|
||||
set_location_assignment PIN_58 -to Vout[6]
|
||||
set_location_assignment PIN_57 -to Vout[7]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to Vout
|
||||
set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to Vout
|
||||
set_instance_assignment -name SLOW_SLEW_RATE OFF -to Vout
|
||||
set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to Vout
|
||||
|
||||
set_location_assignment PIN_4 -to CKE
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to CKE
|
||||
set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to CKE
|
||||
set_instance_assignment -name SLOW_SLEW_RATE ON -to CKE
|
||||
set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to CKE
|
||||
|
||||
set_location_assignment PIN_8 -to nCS
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to nCS
|
||||
set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to nCS
|
||||
set_instance_assignment -name SLOW_SLEW_RATE ON -to nCS
|
||||
set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to nCS
|
||||
|
||||
set_location_assignment PIN_2 -to nRWE
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to nRWE
|
||||
set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to nRWE
|
||||
set_instance_assignment -name SLOW_SLEW_RATE ON -to nRWE
|
||||
set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to nRWE
|
||||
|
||||
set_location_assignment PIN_5 -to nRAS
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to nRAS
|
||||
set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to nRAS
|
||||
set_instance_assignment -name SLOW_SLEW_RATE ON -to nRAS
|
||||
set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to nRAS
|
||||
|
||||
set_location_assignment PIN_3 -to nCAS
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to nCAS
|
||||
set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to nCAS
|
||||
set_instance_assignment -name SLOW_SLEW_RATE ON -to nCAS
|
||||
set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to nCAS
|
||||
|
||||
set_location_assignment PIN_6 -to BA[0]
|
||||
set_location_assignment PIN_14 -to BA[1]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to BA
|
||||
set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to BA
|
||||
set_instance_assignment -name SLOW_SLEW_RATE ON -to BA
|
||||
set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to BA
|
||||
|
||||
set_location_assignment PIN_18 -to RA[0]
|
||||
set_location_assignment PIN_20 -to RA[1]
|
||||
set_location_assignment PIN_30 -to RA[2]
|
||||
set_location_assignment PIN_27 -to RA[3]
|
||||
set_location_assignment PIN_26 -to RA[4]
|
||||
set_location_assignment PIN_29 -to RA[5]
|
||||
set_location_assignment PIN_21 -to RA[6]
|
||||
set_location_assignment PIN_19 -to RA[7]
|
||||
set_location_assignment PIN_17 -to RA[8]
|
||||
set_location_assignment PIN_15 -to RA[9]
|
||||
set_location_assignment PIN_16 -to RA[10]
|
||||
set_location_assignment PIN_7 -to RA[11]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to RA
|
||||
set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to RA
|
||||
set_instance_assignment -name SLOW_SLEW_RATE ON -to RA
|
||||
set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to RA
|
||||
|
||||
set_location_assignment PIN_100 -to DQMH
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to DQMH
|
||||
set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to DQMH
|
||||
set_instance_assignment -name SLOW_SLEW_RATE ON -to DQMH
|
||||
set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to DQMH
|
||||
|
||||
set_location_assignment PIN_98 -to DQML
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to DQML
|
||||
set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to DQML
|
||||
set_instance_assignment -name SLOW_SLEW_RATE ON -to DQML
|
||||
set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to DQML
|
||||
|
||||
set_location_assignment PIN_97 -to RD[0]
|
||||
set_location_assignment PIN_90 -to RD[1]
|
||||
set_location_assignment PIN_99 -to RD[2]
|
||||
set_location_assignment PIN_89 -to RD[3]
|
||||
set_location_assignment PIN_91 -to RD[4]
|
||||
set_location_assignment PIN_92 -to RD[5]
|
||||
set_location_assignment PIN_95 -to RD[6]
|
||||
set_location_assignment PIN_96 -to RD[7]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to RD
|
||||
set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to RD
|
||||
set_instance_assignment -name SLOW_SLEW_RATE ON -to RD
|
||||
set_instance_assignment -name PAD_TO_CORE_DELAY 0 -to RD
|
BIN
cpld/RAM2E.qws
Normal file
BIN
cpld/RAM2E.qws
Normal file
Binary file not shown.
587
cpld/RAM2E.v
Normal file
587
cpld/RAM2E.v
Normal file
@ -0,0 +1,587 @@
|
||||
module RAM2E(C14M, PHI1,
|
||||
nWE, nWE80, nEN80, nC07X,
|
||||
Ain, Din, Dout, nDOE, Vout, nVOE,
|
||||
CKE, nCS, nRAS, nCAS, nRWE,
|
||||
BA, RA, RD, DQML, DQMH);
|
||||
|
||||
/* Clocks */
|
||||
input C14M, PHI1;
|
||||
|
||||
/* Control inputs */
|
||||
input nWE, nWE80, nEN80, nC07X;
|
||||
|
||||
/* Delay for EN80 signal */
|
||||
//output DelayOut = 1'b0;
|
||||
//input DelayIn;
|
||||
wire EN80 = ~nEN80;
|
||||
|
||||
/* Address Bus */
|
||||
input [7:0] Ain; // Multiplexed DRAM address input
|
||||
|
||||
/* 6502 Data Bus */
|
||||
input [7:0] Din; // 6502 data bus inputs
|
||||
output nDOE = ~(EN80 & nWE); // 6502 data bus output enable
|
||||
output reg [7:0] Dout; // 6502 data Bus output
|
||||
|
||||
/* Video Data Bus */
|
||||
output nVOE = ~(~PHI1); /// Video data bus output enable
|
||||
output reg [7:0] Vout; // Video data bus
|
||||
|
||||
/* SDRAM */
|
||||
output reg CKE = 0;
|
||||
output reg nCS = 1, nRAS = 1, nCAS = 1, nRWE = 1;
|
||||
output reg [1:0] BA;
|
||||
output reg [11:0] RA;
|
||||
output reg DQML = 1, DQMH = 1;
|
||||
wire RDOE = EN80 & ~nWE80;
|
||||
inout [7:0] RD = RDOE ? Din[7:0] : 8'bZ;
|
||||
|
||||
/* RAMWorks Bank Register and Capacity Mask */
|
||||
reg [7:0] RWBank = 0; // RAMWorks bank register
|
||||
reg [7:0] RWMask = 0; // RAMWorks bank reg. capacity mask
|
||||
reg RWSel = 0; // RAMWorks bank register select
|
||||
reg RWMaskSet = 0; // RAMWorks Mask register set flag
|
||||
reg SetRWBankFF = 0; // Causes RWBank to be zeroed next RWSel access
|
||||
|
||||
/* Command Sequence Detector */
|
||||
reg [2:0] CS = 0; // Command sequence state
|
||||
reg [2:0] CmdTout = 0; // Command sequence timeout
|
||||
|
||||
/* UFM Interface */
|
||||
reg [15:8] UFMD = 0; // *Parallel* UFM data register
|
||||
reg ARCLK = 0; // UFM address register clock
|
||||
// UFM address register data input tied to 0
|
||||
reg ARShift = 0; // 1 to Shift UFM address in, 0 to increment
|
||||
reg DRCLK = 0; // UFM data register clock
|
||||
reg DRDIn = 0; // UFM data register input
|
||||
reg DRShift = 0; // 1 to shift UFM out, 0 to load from current address
|
||||
reg UFMErase = 0; // Rising edge starts erase. UFM+RTP must not be busy
|
||||
reg UFMProgram = 0; // Rising edge starts program. UFM+RTP must not be busy
|
||||
wire UFMBusy; // 1 if UFM is doing user operation. Asynchronous
|
||||
wire RTPBusy; // 1 if real-time programming in progress. Asynchronous
|
||||
wire DRDOut; // UFM data output
|
||||
// UFM oscillator always enabled
|
||||
wire UFMOsc; // UFM oscillator output (3.3-5.5 MHz)
|
||||
UFM UFM_inst ( // UFM IP block (for Altera MAX II and MAX V)
|
||||
.arclk (ARCLK),
|
||||
.ardin (1'b0),
|
||||
.arshft (ARShift),
|
||||
.drclk (DRCLK),
|
||||
.drdin (DRDIn),
|
||||
.drshft (DRShift),
|
||||
.erase (UFMErase),
|
||||
.oscena (1'b1),
|
||||
.program (UFMProgram),
|
||||
.busy (UFMBusy),
|
||||
.drdout (DRDOut),
|
||||
.osc (UFMOsc),
|
||||
.rtpbusy (RTPBusy));
|
||||
reg UFMBusyReg = 0; // UFMBusy registered to sync with C14M
|
||||
reg RTPBusyReg = 0; // RTPBusy registered to sync with C14M
|
||||
|
||||
/* UFM State & User Command Triggers */
|
||||
reg UFMInitDone = 0; // 1 if UFM initialization finished
|
||||
reg UFMReqErase = 0; // 1 if UFM requires erase
|
||||
reg UFMBitbang = 0; // Set by user command. Loads UFM outputs next RWSel
|
||||
reg UFMPrgmEN = 0; // Set by user command. Programs UFM
|
||||
reg UFMEraseEN = 0; // Set by user command. Erases UFM
|
||||
reg DRCLKPulse = 0; // Set by user command. Causes DRCLK pulse next C14M
|
||||
|
||||
/* State Counters */
|
||||
reg PHI1reg = 0; // Saved PHI1 at last rising clock edge
|
||||
reg Ready = 0; // 1 if done with init sequence (S0) and enter S1-S15
|
||||
reg [15:0] FS = 0; // Fast state counter
|
||||
reg [3:0] S = 0; // IIe State counter
|
||||
|
||||
/* State Counters */
|
||||
always @(posedge C14M) begin
|
||||
// Increment fast state counter
|
||||
FS <= FS+1;
|
||||
// Synchronize Apple state counter to S1 when just entering PHI1
|
||||
PHI1reg <= PHI1; // Save old PHI1
|
||||
S <= (PHI1 & ~PHI1reg & Ready) ? 4'h1 :
|
||||
S==4'h0 ? 4'h0 :
|
||||
S==4'hF ? 4'hF : S+1;
|
||||
end
|
||||
|
||||
/* UFM Control */
|
||||
always @(posedge C14M) begin
|
||||
// Synchronize asynchronous UFM signals
|
||||
UFMBusyReg <= UFMBusy;
|
||||
RTPBusyReg <= RTPBusy;
|
||||
|
||||
if (S==4'h0) begin
|
||||
if ((FS[15:13]==3'b101) | (FS[15:13]==3'b111 & UFMReqErase)) begin
|
||||
// In states AXXX-BXXX and also EXXX-FXXX if erase/wrap req'd
|
||||
// shift in 0's to address register
|
||||
ARCLK <= FS[0]; // Clock address register
|
||||
DRCLK <= 1'b0; // Don't clock data register
|
||||
ARShift <= 1'b1; // Shift address registers
|
||||
DRDIn <= 1'b0; // Don't care DRDIn
|
||||
DRShift <= 1'b0; // Don't care DRDShift
|
||||
end else if (~UFMInitDone & FS[15:13]==3'b110 & FS[4:1]==4'h4) begin
|
||||
// In states CXXX-DXXX (substep 4)
|
||||
// Xfer to data reg (repeat 256x 1x)
|
||||
ARCLK <= 1'b0; // Don't clock address register
|
||||
DRCLK <= FS[0]; // Clock data register
|
||||
ARShift <= 1'b0; // Don't care ARShift
|
||||
DRDIn <= 1'b0; // Don't care DRDIn
|
||||
DRShift <= 1'b0; // Don't care DRShift
|
||||
end else if (~UFMInitDone & FS[15:13]==3'b110 & FS[4]==1'b1) begin
|
||||
// In states CXXX-DXXX (substeps 8-F)
|
||||
// Save UFM D15-8, shift out D14-7 (repeat 256x 8x)
|
||||
DRCLK <= FS[0]; // Clock data register
|
||||
ARShift <= 1'b0; // ARShift is 0 because we want to increment
|
||||
DRDIn <= 1'b0; // Don't care what to shift into data register
|
||||
DRShift <= 1'b1; // Shift data register
|
||||
// Shift into UFMD
|
||||
if (FS[0]) UFMD[15:8] <= {UFMD[14:8], DRDOut};
|
||||
|
||||
// Compare and store mask
|
||||
if (FS[4:1]==4'hF) begin
|
||||
ARCLK <= FS[0]; // Clock address register to increment
|
||||
// If byte is erased (0xFF, i.e. all 1's, is erased)...
|
||||
if (UFMD[14:8]==7'b1111111 & DRDOut==1'b1) begin
|
||||
// Current UFM address is where we want to store
|
||||
UFMInitDone <= 1'b1; // Quit iterating
|
||||
// Otherwise byte is valid setting (i.e. some bit is 0)...
|
||||
end else begin
|
||||
// Set RWMask, but if saved mask is 0x80, store ~0xFF
|
||||
if (UFMD[14:8]==7'b1000000 & DRDOut==1'b0) begin
|
||||
RWMask[7:0] <= {1'b1, ~7'h7F};
|
||||
end else RWMask[7:0] <= {UFMD[14], ~UFMD[13:8], ~DRDOut};
|
||||
// If last byte in sector...
|
||||
if (FS[12:5]==8'hFF) begin
|
||||
UFMReqErase <= 1'b1; // Mark need to erase
|
||||
end
|
||||
end
|
||||
end else ARCLK <= 1'b0; // Don't clock address register
|
||||
end else begin
|
||||
ARCLK <= 1'b0;
|
||||
DRCLK <= 1'b0;
|
||||
ARShift <= 1'b0;
|
||||
DRDIn <= 1'b0;
|
||||
DRShift <= 1'b0;
|
||||
end
|
||||
|
||||
// Don't erase or program UFM during initialization
|
||||
UFMErase <= 1'b0;
|
||||
UFMProgram <= 1'b0;
|
||||
// Keep DRCLK pulse control disabled during init
|
||||
DRCLKPulse <= 1'b0;
|
||||
end else begin
|
||||
// Can only shift UFM data register now
|
||||
ARCLK <= 1'b0;
|
||||
ARShift <= 1'b0;
|
||||
DRShift <= 1'b1;
|
||||
|
||||
// UFM bitbang control
|
||||
if (UFMBitbang & CS==3'h7 & RWSel & S==4'hC) begin
|
||||
DRDIn <= Din[6];
|
||||
DRCLKPulse <= Din[7];
|
||||
DRCLK <= 1'b0;
|
||||
end else begin
|
||||
DRCLKPulse <= 1'b0;
|
||||
DRCLK <= DRCLKPulse;
|
||||
end
|
||||
|
||||
// Set capacity mask
|
||||
if (RWMaskSet & RWSel & S==4'hC) RWMask[7:0] <= {Din[7], ~Din[6:0]};
|
||||
|
||||
// UFM programming sequence
|
||||
if (UFMPrgmEN | UFMEraseEN) begin
|
||||
if (~UFMBusyReg & ~RTPBusyReg) begin
|
||||
if (UFMReqErase | UFMEraseEN) UFMErase <= 1'b1;
|
||||
else if (UFMPrgmEN) UFMProgram <= 1'b1;
|
||||
end else if (UFMBusyReg) UFMReqErase <= 1'b0;
|
||||
end
|
||||
end
|
||||
end
|
||||
|
||||
/* SDRAM Control */
|
||||
always @(posedge C14M) begin
|
||||
if (S==4'h0) begin
|
||||
// SDRAM initialization
|
||||
if (FS[15:0]==16'hFFC0) begin
|
||||
// Precharge All
|
||||
nCS <= 1'b0;
|
||||
nRAS <= 1'b0;
|
||||
nCAS <= 1'b1;
|
||||
nRWE <= 1'b0;
|
||||
RA[10] <= 1'b1; // "all"
|
||||
end else if (FS[15:4]==16'hFFD & FS[0]==1'b0) begin // Repeat 8x
|
||||
// Auto-refresh
|
||||
nCS <= 1'b0;
|
||||
nRAS <= 1'b0;
|
||||
nCAS <= 1'b0;
|
||||
nRWE <= 1'b1;
|
||||
RA[10] <= 1'b0;
|
||||
end else if (FS[15:0]==16'hFFE8) begin
|
||||
// Set Mode Register
|
||||
nCS <= 1'b0;
|
||||
nRAS <= 1'b0;
|
||||
nCAS <= 1'b0;
|
||||
nRWE <= 1'b0;
|
||||
RA[10] <= 1'b0; // Reserved in mode register
|
||||
end else if (FS[15:4]==12'hFFF & FS[0]==1'b0) begin // Repeat 8x
|
||||
// Auto-refresh
|
||||
nCS <= 1'b0;
|
||||
nRAS <= 1'b0;
|
||||
nCAS <= 1'b0;
|
||||
nRWE <= 1'b1;
|
||||
RA[10] <= 1'b0;
|
||||
end else begin // Otherwise send no-op
|
||||
// NOP
|
||||
nCS <= 1'b1;
|
||||
nRAS <= 1'b1;
|
||||
nCAS <= 1'b1;
|
||||
nRWE <= 1'b1;
|
||||
RA[10] <= 1'b0;
|
||||
end
|
||||
// Enable SDRAM clock after 65,280 cycles (~4.56ms)
|
||||
CKE <= FS[15:8] == 8'hFF;
|
||||
|
||||
// Mode register contents
|
||||
BA[1:0] <= 2'b00; // Reserved
|
||||
RA[11] <= 1'b0; // Reserved
|
||||
// RA[10] set above ^
|
||||
RA[9] <= 1'b1; // "1" for single write mode
|
||||
RA[8] <= 1'b0; // Reserved
|
||||
RA[7] <= 1'b0; // "0" for not test mode
|
||||
RA[6:4] <= 3'b010; // "2" for CAS latency 2
|
||||
RA[3] <= 1'b0; // "0" for sequential burst (not used)
|
||||
RA[2:0] <= 3'b000; // "0" for burst length 1 (no burst)
|
||||
|
||||
// Mask everything
|
||||
DQML <= 1'b1;
|
||||
DQMH <= 1'b1;
|
||||
|
||||
// Begin normal operation after 128k init cycles (~9.15ms)
|
||||
if (FS == 16'hFFFF) Ready <= 1'b1;
|
||||
end else if (S==4'h1) begin
|
||||
// Enable clock
|
||||
CKE <= 1'b1;
|
||||
|
||||
// NOP
|
||||
nCS <= 1'b1;
|
||||
nRAS <= 1'b1;
|
||||
nCAS <= 1'b1;
|
||||
nRWE <= 1'b1;
|
||||
|
||||
// Don't care bank, RA[11:8]
|
||||
BA <= 2'b00;
|
||||
RA[11:8] <= 4'b0000;
|
||||
|
||||
// Mask everything
|
||||
DQML <= 1'b1;
|
||||
DQMH <= 1'b1;
|
||||
end else if (S==4'h2) begin
|
||||
// Enable clock
|
||||
CKE <= 1'b1;
|
||||
|
||||
// Activate
|
||||
nCS <= 1'b0;
|
||||
nRAS <= 1'b0;
|
||||
nCAS <= 1'b1;
|
||||
nRWE <= 1'b1;
|
||||
|
||||
// SDRAM bank 0, high-order row address is 0
|
||||
BA <= 2'b00;
|
||||
RA[11:8] <= 4'b0000;
|
||||
// Row address is as previously latched
|
||||
|
||||
// Mask everything
|
||||
DQML <= 1'b1;
|
||||
DQMH <= 1'b1;
|
||||
end else if (S==4'h3) begin
|
||||
// Enable clock
|
||||
CKE <= 1'b1;
|
||||
|
||||
// Read
|
||||
nCS <= 1'b0;
|
||||
nRAS <= 1'b1;
|
||||
nCAS <= 1'b0;
|
||||
nRWE <= 1'b1;
|
||||
|
||||
// SDRAM bank 0, RA[11,9:8] don't care
|
||||
BA <= 2'b00;
|
||||
RA[11] <= 1'b0;
|
||||
RA[10] <= 1'b1; // (A10 set to auto-precharge)
|
||||
RA[9] <= 1'b0;
|
||||
RA[8] <= 1'b0;
|
||||
// Latch column address for read command
|
||||
RA[7:0] <= Ain[7:0];
|
||||
|
||||
// Read low byte (high byte is +4MB in ramworks)
|
||||
DQML <= 1'b0;
|
||||
DQMH <= 1'b1;
|
||||
end else if (S==4'h4) begin
|
||||
// Enable clock
|
||||
CKE <= 1'b1;
|
||||
|
||||
// NOP
|
||||
nCS <= 1'b1;
|
||||
nRAS <= 1'b1;
|
||||
nCAS <= 1'b1;
|
||||
nRWE <= 1'b1;
|
||||
|
||||
// Don't care bank, RA[11:8]
|
||||
BA <= 2'b00;
|
||||
RA[11:8] <= 4'b0000;
|
||||
|
||||
// Mask everything
|
||||
DQML <= 1'b1;
|
||||
DQMH <= 1'b1;
|
||||
end else if (S==4'h5) begin
|
||||
// Enable clock
|
||||
CKE <= 1'b1;
|
||||
|
||||
// NOP
|
||||
nCS <= 1'b1;
|
||||
nRAS <= 1'b1;
|
||||
nCAS <= 1'b1;
|
||||
nRWE <= 1'b1;
|
||||
|
||||
// Don't care bank, RA[11:8]
|
||||
BA <= 2'b00;
|
||||
RA[11:8] <= 4'b0000;
|
||||
|
||||
// Mask everything
|
||||
DQML <= 1'b1;
|
||||
DQMH <= 1'b1;
|
||||
end else if (S==4'h6) begin
|
||||
// Enable clock
|
||||
CKE <= 1'b1;
|
||||
|
||||
if (FS[6:4]==0) begin
|
||||
// Auto-refresh
|
||||
nCS <= 1'b0;
|
||||
nRAS <= 1'b0;
|
||||
nCAS <= 1'b0;
|
||||
nRWE <= 1'b1;
|
||||
end else begin
|
||||
// NOP
|
||||
nCS <= 1'b1;
|
||||
nRAS <= 1'b1;
|
||||
nCAS <= 1'b1;
|
||||
nRWE <= 1'b1;
|
||||
end
|
||||
|
||||
// Don't care bank, RA[11:8]
|
||||
BA <= 2'b00;
|
||||
RA[11:8] <= 4'b0000;
|
||||
|
||||
// Mask everything
|
||||
DQML <= 1'b1;
|
||||
DQMH <= 1'b1;
|
||||
end else if (S==4'h7) begin
|
||||
// Enable clock
|
||||
CKE <= 1'b1;
|
||||
|
||||
// NOP
|
||||
nCS <= 1'b1;
|
||||
nRAS <= 1'b1;
|
||||
nCAS <= 1'b1;
|
||||
nRWE <= 1'b1;
|
||||
|
||||
// Don't care bank, RA[11:8]
|
||||
BA <= 2'b00;
|
||||
RA[11:8] <= 4'b0000;
|
||||
// Latch row address for activate command
|
||||
RA[7:0] <= Ain[7:0];
|
||||
|
||||
// Mask everything
|
||||
DQML <= 1'b1;
|
||||
DQMH <= 1'b1;
|
||||
end else if (S==4'h8) begin
|
||||
// Enable clock
|
||||
CKE <= 1'b1;
|
||||
|
||||
// Activate
|
||||
nCS <= 1'b0;
|
||||
nRAS <= 1'b0;
|
||||
nCAS <= 1'b1;
|
||||
nRWE <= 1'b1;
|
||||
|
||||
// SDRAM bank, RA[11:8] determine by RamWorks bank
|
||||
BA <= RWBank[5:4];
|
||||
RA[11:8] <= RWBank[3:0];
|
||||
// Row address is as previously latched
|
||||
|
||||
// Mask everything
|
||||
DQML <= 1'b1;
|
||||
DQMH <= 1'b1;
|
||||
end else if (S==4'h9) begin
|
||||
// Enable clock
|
||||
CKE <= 1'b1;
|
||||
|
||||
// Read/Write
|
||||
nCS <= 1'b0;
|
||||
nRAS <= 1'b1;
|
||||
nCAS <= 1'b0;
|
||||
nRWE <= nWE80;
|
||||
|
||||
// SDRAM bank still determined by RamWorks, RA[11,9:8] don't care
|
||||
BA <= RWBank[5:4];
|
||||
RA[11] <= 1'b0;
|
||||
RA[10] <= 1'b1; // (A10 set to auto-precharge)
|
||||
RA[9] <= 1'b0;
|
||||
RA[8] <= RWBank[7];
|
||||
// Latch column address for R/W command
|
||||
RA[7:0] <= Ain[7:0];
|
||||
|
||||
// Latch RAMWorks low nybble write select using old row address
|
||||
RWSel <= RA[0] & ~RA[3] & ~nWE & ~nC07X;
|
||||
|
||||
// Mask according to RAMWorks bank (high byte is +4MB)
|
||||
DQML <= RWBank[6];
|
||||
DQMH <= ~RWBank[6];
|
||||
end else if (S==4'hA) begin
|
||||
// Enable clock
|
||||
CKE <= 1'b1;
|
||||
|
||||
// NOP
|
||||
nCS <= 1'b1;
|
||||
nRAS <= 1'b1;
|
||||
nCAS <= 1'b1;
|
||||
nRWE <= 1'b1;
|
||||
|
||||
// Don't care bank, RA[11:8]
|
||||
BA <= 2'b00;
|
||||
RA[11:8] <= 4'b0000;
|
||||
|
||||
// Mask everything
|
||||
DQML <= 1'b1;
|
||||
DQMH <= 1'b1;
|
||||
end else if (S==4'hB) begin
|
||||
// Disable clock
|
||||
CKE <= 1'b0;
|
||||
|
||||
// NOP
|
||||
nCS <= 1'b1;
|
||||
nRAS <= 1'b1;
|
||||
nCAS <= 1'b1;
|
||||
nRWE <= 1'b1;
|
||||
|
||||
// Don't care bank, RA[11:8]
|
||||
BA <= 2'b00;
|
||||
RA[11:8] <= 4'b0000;
|
||||
|
||||
// Mask everything
|
||||
DQML <= 1'b1;
|
||||
DQMH <= 1'b1;
|
||||
end else if (S==4'hC) begin
|
||||
// Disable clock
|
||||
CKE <= 1'b0;
|
||||
|
||||
// NOP
|
||||
nCS <= 1'b1;
|
||||
nRAS <= 1'b1;
|
||||
nCAS <= 1'b1;
|
||||
nRWE <= 1'b1;
|
||||
|
||||
// Don't care bank, RA[11:8]
|
||||
BA <= 2'b00;
|
||||
RA[11:8] <= 4'b0000;
|
||||
|
||||
// Mask everything
|
||||
DQML <= 1'b1;
|
||||
DQMH <= 1'b1;
|
||||
|
||||
// RAMWorks Bank Register Select
|
||||
if (RWSel) begin
|
||||
// Latch RAMWorks bank if accessed
|
||||
if (SetRWBankFF) RWBank <= 8'hFF;
|
||||
else RWBank <= Din[7:0] & {RWMask[7], ~RWMask[6:0]};
|
||||
|
||||
// Recognize command sequence and advance CS state
|
||||
if ((CS==3'h0 & Din[7:0]==8'hFF) |
|
||||
(CS==3'h1 & Din[7:0]==8'h00) |
|
||||
(CS==3'h2 & Din[7:0]==8'h55) |
|
||||
(CS==3'h3 & Din[7:0]==8'hAA) |
|
||||
(CS==3'h4 & Din[7:0]==8'hC1) |
|
||||
(CS==3'h5 & Din[7:0]==8'hAD) |
|
||||
CS==3'h6 | CS==3'h7) CS <= CS+1;
|
||||
else CS <= 0; // Back to beginning if it's not right
|
||||
|
||||
if (CS==3'h6) begin // Recognize and submit command in CS6
|
||||
SetRWBankFF <= Din[7:0]==8'hFF;
|
||||
if (Din[7:0]==8'hEF) UFMPrgmEN <= 1'b1;
|
||||
if (Din[7:0]==8'hEE) UFMEraseEN <= 1'b1;
|
||||
UFMBitbang <= Din[7:0]==8'hEA;
|
||||
RWMaskSet <= Din[7:0]==8'hE0;
|
||||
end else begin // Reset command triggers
|
||||
SetRWBankFF <= 1'b0;
|
||||
UFMBitbang <= 1'b0;
|
||||
RWMaskSet <= 1'b0;
|
||||
end
|
||||
|
||||
CmdTout <= 0; // Reset command timeout if RWSel accessed
|
||||
end else begin
|
||||
CmdTout <= CmdTout+1; // Increment command timeout
|
||||
// If command sequence times out, reset sequence state
|
||||
if (CmdTout==3'h7) CS <= 0;
|
||||
end
|
||||
end else if (S==4'hD) begin
|
||||
// Disable clock
|
||||
CKE <= 1'b0;
|
||||
|
||||
// NOP
|
||||
nCS <= 1'b1;
|
||||
nRAS <= 1'b1;
|
||||
nCAS <= 1'b1;
|
||||
nRWE <= 1'b1;
|
||||
|
||||
// Don't care bank, RA[11:8]
|
||||
BA <= 2'b00;
|
||||
RA[11:8] <= 4'b0000;
|
||||
|
||||
// Mask everything
|
||||
DQML <= 1'b1;
|
||||
DQMH <= 1'b1;
|
||||
end else if (S==4'hE) begin
|
||||
// Disable clock
|
||||
CKE <= 1'b0;
|
||||
|
||||
// NOP
|
||||
nCS <= 1'b1;
|
||||
nRAS <= 1'b1;
|
||||
nCAS <= 1'b1;
|
||||
nRWE <= 1'b1;
|
||||
|
||||
// Don't care bank, RA[11:8]
|
||||
BA <= 2'b00;
|
||||
RA[11:8] <= 4'b0000;
|
||||
// Latch row address for next video read
|
||||
RA[7:0] <= Ain[7:0];
|
||||
|
||||
// Mask everything
|
||||
DQML <= 1'b1;
|
||||
DQMH <= 1'b1;
|
||||
end else if (S==4'hF) begin
|
||||
// Disable clock
|
||||
CKE <= 1'b0;
|
||||
|
||||
// NOP
|
||||
nCS <= 1'b1;
|
||||
nRAS <= 1'b1;
|
||||
nCAS <= 1'b1;
|
||||
nRWE <= 1'b1;
|
||||
|
||||
// Don't care bank, RA[11:8]
|
||||
BA <= 2'b00;
|
||||
RA[11:8] <= 4'b0000;
|
||||
// Latch row address for next video read
|
||||
RA[7:0] <= Ain[7:0];
|
||||
|
||||
// Mask everything
|
||||
DQML <= 1'b1;
|
||||
DQMH <= 1'b1;
|
||||
end
|
||||
end
|
||||
always @(negedge C14M) begin
|
||||
// Latch video and read data outputs
|
||||
if (S==4'h6) Vout[7:0] <= RD[7:0];
|
||||
if (S==4'hC) Dout[7:0] <= RD[7:0];
|
||||
end
|
||||
endmodule
|
127
cpld/UFM.bsf
Executable file
127
cpld/UFM.bsf
Executable file
@ -0,0 +1,127 @@
|
||||
/*
|
||||
WARNING: Do NOT edit the input and output ports in this file in a text
|
||||
editor if you plan to continue editing the block that represents it in
|
||||
the Block Editor! File corruption is VERY likely to occur.
|
||||
*/
|
||||
/*
|
||||
Copyright (C) 1991-2013 Altera Corporation
|
||||
Your use of Altera Corporation's design tools, logic functions
|
||||
and other software and tools, and its AMPP partner logic
|
||||
functions, and any output files from any of the foregoing
|
||||
(including device programming or simulation files), and any
|
||||
associated documentation or information are expressly subject
|
||||
to the terms and conditions of the Altera Program License
|
||||
Subscription Agreement, Altera MegaCore Function License
|
||||
Agreement, or other applicable license agreement, including,
|
||||
without limitation, that your use is for the sole purpose of
|
||||
programming logic devices manufactured by Altera and sold by
|
||||
Altera or its authorized distributors. Please refer to the
|
||||
applicable agreement for further details.
|
||||
*/
|
||||
(header "symbol" (version "1.2"))
|
||||
(symbol
|
||||
(rect 0 0 160 208)
|
||||
(text "UFM" (rect 66 -1 99 15)(font "Arial" (font_size 10)))
|
||||
(text "inst" (rect 8 192 25 204)(font "Arial" ))
|
||||
(port
|
||||
(pt 0 48)
|
||||
(input)
|
||||
(text "program" (rect 0 0 47 14)(font "Arial" (font_size 8)))
|
||||
(text "program" (rect 4 34 42 47)(font "Arial" (font_size 8)))
|
||||
(line (pt 0 48)(pt 48 48))
|
||||
)
|
||||
(port
|
||||
(pt 0 64)
|
||||
(input)
|
||||
(text "erase" (rect 0 0 33 14)(font "Arial" (font_size 8)))
|
||||
(text "erase" (rect 4 50 30 63)(font "Arial" (font_size 8)))
|
||||
(line (pt 0 64)(pt 48 64))
|
||||
)
|
||||
(port
|
||||
(pt 0 80)
|
||||
(input)
|
||||
(text "oscena" (rect 0 0 42 14)(font "Arial" (font_size 8)))
|
||||
(text "oscena" (rect 4 66 38 79)(font "Arial" (font_size 8)))
|
||||
(line (pt 0 80)(pt 48 80))
|
||||
)
|
||||
(port
|
||||
(pt 0 96)
|
||||
(input)
|
||||
(text "arclk" (rect 0 0 27 14)(font "Arial" (font_size 8)))
|
||||
(text "arclk" (rect 4 82 25 95)(font "Arial" (font_size 8)))
|
||||
(line (pt 0 96)(pt 48 96))
|
||||
)
|
||||
(port
|
||||
(pt 0 112)
|
||||
(input)
|
||||
(text "arshft" (rect 0 0 34 14)(font "Arial" (font_size 8)))
|
||||
(text "arshft" (rect 4 98 31 111)(font "Arial" (font_size 8)))
|
||||
(line (pt 0 112)(pt 48 112))
|
||||
)
|
||||
(port
|
||||
(pt 0 128)
|
||||
(input)
|
||||
(text "ardin" (rect 0 0 28 14)(font "Arial" (font_size 8)))
|
||||
(text "ardin" (rect 4 114 26 127)(font "Arial" (font_size 8)))
|
||||
(line (pt 0 128)(pt 48 128))
|
||||
)
|
||||
(port
|
||||
(pt 0 144)
|
||||
(input)
|
||||
(text "drclk" (rect 0 0 27 14)(font "Arial" (font_size 8)))
|
||||
(text "drclk" (rect 4 130 25 143)(font "Arial" (font_size 8)))
|
||||
(line (pt 0 144)(pt 48 144))
|
||||
)
|
||||
(port
|
||||
(pt 0 160)
|
||||
(input)
|
||||
(text "drshft" (rect 0 0 34 14)(font "Arial" (font_size 8)))
|
||||
(text "drshft" (rect 4 146 31 159)(font "Arial" (font_size 8)))
|
||||
(line (pt 0 160)(pt 48 160))
|
||||
)
|
||||
(port
|
||||
(pt 0 176)
|
||||
(input)
|
||||
(text "drdin" (rect 0 0 28 14)(font "Arial" (font_size 8)))
|
||||
(text "drdin" (rect 4 162 26 175)(font "Arial" (font_size 8)))
|
||||
(line (pt 0 176)(pt 48 176))
|
||||
)
|
||||
(port
|
||||
(pt 160 48)
|
||||
(output)
|
||||
(text "busy" (rect 0 0 28 14)(font "Arial" (font_size 8)))
|
||||
(text "busy" (rect 133 34 155 47)(font "Arial" (font_size 8)))
|
||||
(line (pt 160 48)(pt 112 48))
|
||||
)
|
||||
(port
|
||||
(pt 160 64)
|
||||
(output)
|
||||
(text "osc" (rect 0 0 21 14)(font "Arial" (font_size 8)))
|
||||
(text "osc" (rect 139 50 155 63)(font "Arial" (font_size 8)))
|
||||
(line (pt 160 64)(pt 112 64))
|
||||
)
|
||||
(port
|
||||
(pt 160 80)
|
||||
(output)
|
||||
(text "drdout" (rect 0 0 36 14)(font "Arial" (font_size 8)))
|
||||
(text "drdout" (rect 126 66 155 79)(font "Arial" (font_size 8)))
|
||||
(line (pt 160 80)(pt 112 80))
|
||||
)
|
||||
(port
|
||||
(pt 160 96)
|
||||
(output)
|
||||
(text "rtpbusy" (rect 0 0 43 14)(font "Arial" (font_size 8)))
|
||||
(text "rtpbusy" (rect 120 82 155 95)(font "Arial" (font_size 8)))
|
||||
(line (pt 160 96)(pt 112 96))
|
||||
)
|
||||
(drawing
|
||||
(line (pt 48 32)(pt 112 32))
|
||||
(line (pt 112 32)(pt 112 192))
|
||||
(line (pt 48 192)(pt 112 192))
|
||||
(line (pt 48 32)(pt 48 192))
|
||||
(line (pt 0 0)(pt 160 0))
|
||||
(line (pt 160 0)(pt 160 208))
|
||||
(line (pt 0 208)(pt 160 208))
|
||||
(line (pt 0 0)(pt 0 208))
|
||||
)
|
||||
)
|
5
cpld/UFM.qip
Executable file
5
cpld/UFM.qip
Executable file
@ -0,0 +1,5 @@
|
||||
set_global_assignment -name IP_TOOL_NAME "ALTUFM_NONE"
|
||||
set_global_assignment -name IP_TOOL_VERSION "13.0"
|
||||
set_global_assignment -name VERILOG_FILE [file join $::quartus(qip_path) "UFM.v"]
|
||||
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "UFM.bsf"]
|
||||
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "UFM_bb.v"]
|
268
cpld/UFM.v
Executable file
268
cpld/UFM.v
Executable file
@ -0,0 +1,268 @@
|
||||
// megafunction wizard: %ALTUFM_NONE%
|
||||
// GENERATION: STANDARD
|
||||
// VERSION: WM1.0
|
||||
// MODULE: ALTUFM_NONE
|
||||
|
||||
// ============================================================
|
||||
// File Name: UFM.v
|
||||
// Megafunction Name(s):
|
||||
// ALTUFM_NONE
|
||||
//
|
||||
// Simulation Library Files(s):
|
||||
// maxii
|
||||
// ============================================================
|
||||
// ************************************************************
|
||||
// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
|
||||
//
|
||||
// 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition
|
||||
// ************************************************************
|
||||
|
||||
|
||||
//Copyright (C) 1991-2013 Altera Corporation
|
||||
//Your use of Altera Corporation's design tools, logic functions
|
||||
//and other software and tools, and its AMPP partner logic
|
||||
//functions, and any output files from any of the foregoing
|
||||
//(including device programming or simulation files), and any
|
||||
//associated documentation or information are expressly subject
|
||||
//to the terms and conditions of the Altera Program License
|
||||
//Subscription Agreement, Altera MegaCore Function License
|
||||
//Agreement, or other applicable license agreement, including,
|
||||
//without limitation, that your use is for the sole purpose of
|
||||
//programming logic devices manufactured by Altera and sold by
|
||||
//Altera or its authorized distributors. Please refer to the
|
||||
//applicable agreement for further details.
|
||||
|
||||
|
||||
//altufm_none CBX_AUTO_BLACKBOX="ALL" DEVICE_FAMILY="MAX II" ERASE_TIME=500000000 LPM_FILE="RAM2E.mif" OSC_FREQUENCY=180000 PORT_ARCLKENA="PORT_UNUSED" PORT_DRCLKENA="PORT_UNUSED" PROGRAM_TIME=1600000 WIDTH_UFM_ADDRESS=9 arclk ardin arshft busy drclk drdin drdout drshft erase osc oscena program rtpbusy
|
||||
//VERSION_BEGIN 13.0 cbx_a_gray2bin 2013:06:12:18:03:43:SJ cbx_a_graycounter 2013:06:12:18:03:43:SJ cbx_altufm_none 2013:06:12:18:03:43:SJ cbx_cycloneii 2013:06:12:18:03:43:SJ cbx_lpm_add_sub 2013:06:12:18:03:43:SJ cbx_lpm_compare 2013:06:12:18:03:43:SJ cbx_lpm_counter 2013:06:12:18:03:43:SJ cbx_lpm_decode 2013:06:12:18:03:43:SJ cbx_lpm_mux 2013:06:12:18:03:43:SJ cbx_maxii 2013:06:12:18:03:43:SJ cbx_mgl 2013:06:12:18:05:10:SJ cbx_stratix 2013:06:12:18:03:43:SJ cbx_stratixii 2013:06:12:18:03:43:SJ cbx_util_mgl 2013:06:12:18:03:43:SJ VERSION_END
|
||||
// synthesis VERILOG_INPUT_VERSION VERILOG_2001
|
||||
// altera message_off 10463
|
||||
|
||||
|
||||
//synthesis_resources = maxii_ufm 1
|
||||
//synopsys translate_off
|
||||
`timescale 1 ps / 1 ps
|
||||
//synopsys translate_on
|
||||
module UFM_altufm_none_a7r
|
||||
(
|
||||
arclk,
|
||||
ardin,
|
||||
arshft,
|
||||
busy,
|
||||
drclk,
|
||||
drdin,
|
||||
drdout,
|
||||
drshft,
|
||||
erase,
|
||||
osc,
|
||||
oscena,
|
||||
program,
|
||||
rtpbusy) ;
|
||||
input arclk;
|
||||
input ardin;
|
||||
input arshft;
|
||||
output busy;
|
||||
input drclk;
|
||||
input drdin;
|
||||
output drdout;
|
||||
input drshft;
|
||||
input erase;
|
||||
output osc;
|
||||
input oscena;
|
||||
input program;
|
||||
output rtpbusy;
|
||||
|
||||
wire wire_maxii_ufm_block1_bgpbusy;
|
||||
wire wire_maxii_ufm_block1_busy;
|
||||
wire wire_maxii_ufm_block1_drdout;
|
||||
wire wire_maxii_ufm_block1_osc;
|
||||
wire ufm_arclk;
|
||||
wire ufm_ardin;
|
||||
wire ufm_arshft;
|
||||
wire ufm_bgpbusy;
|
||||
wire ufm_busy;
|
||||
wire ufm_drclk;
|
||||
wire ufm_drdin;
|
||||
wire ufm_drdout;
|
||||
wire ufm_drshft;
|
||||
wire ufm_erase;
|
||||
wire ufm_osc;
|
||||
wire ufm_oscena;
|
||||
wire ufm_program;
|
||||
|
||||
maxii_ufm maxii_ufm_block1
|
||||
(
|
||||
.arclk(ufm_arclk),
|
||||
.ardin(ufm_ardin),
|
||||
.arshft(ufm_arshft),
|
||||
.bgpbusy(wire_maxii_ufm_block1_bgpbusy),
|
||||
.busy(wire_maxii_ufm_block1_busy),
|
||||
.drclk(ufm_drclk),
|
||||
.drdin(ufm_drdin),
|
||||
.drdout(wire_maxii_ufm_block1_drdout),
|
||||
.drshft(ufm_drshft),
|
||||
.erase(ufm_erase),
|
||||
.osc(wire_maxii_ufm_block1_osc),
|
||||
.oscena(ufm_oscena),
|
||||
.program(ufm_program)
|
||||
// synopsys translate_off
|
||||
,
|
||||
.ctrl_bgpbusy(1'b0),
|
||||
.devclrn(1'b1),
|
||||
.devpor(1'b1),
|
||||
.sbdin(1'b0),
|
||||
.sbdout()
|
||||
// synopsys translate_on
|
||||
);
|
||||
defparam
|
||||
maxii_ufm_block1.address_width = 9,
|
||||
maxii_ufm_block1.erase_time = 500000000,
|
||||
maxii_ufm_block1.init_file = "RAM2E.mif",
|
||||
maxii_ufm_block1.mem1 = 512'hFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF,
|
||||
maxii_ufm_block1.mem10 = 512'hFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF,
|
||||
maxii_ufm_block1.mem11 = 512'hFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF,
|
||||
maxii_ufm_block1.mem12 = 512'hFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF,
|
||||
maxii_ufm_block1.mem13 = 512'hFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF,
|
||||
maxii_ufm_block1.mem14 = 512'hFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF,
|
||||
maxii_ufm_block1.mem15 = 512'hFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF,
|
||||
maxii_ufm_block1.mem16 = 512'hFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF,
|
||||
maxii_ufm_block1.mem2 = 512'hFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF,
|
||||
maxii_ufm_block1.mem3 = 512'hFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF,
|
||||
maxii_ufm_block1.mem4 = 512'hFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF,
|
||||
maxii_ufm_block1.mem5 = 512'hFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF,
|
||||
maxii_ufm_block1.mem6 = 512'hFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF,
|
||||
maxii_ufm_block1.mem7 = 512'hFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF,
|
||||
maxii_ufm_block1.mem8 = 512'hFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF,
|
||||
maxii_ufm_block1.mem9 = 512'hFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF,
|
||||
maxii_ufm_block1.osc_sim_setting = 180000,
|
||||
maxii_ufm_block1.program_time = 1600000,
|
||||
maxii_ufm_block1.lpm_type = "maxii_ufm";
|
||||
assign
|
||||
busy = ufm_busy,
|
||||
drdout = ufm_drdout,
|
||||
osc = ufm_osc,
|
||||
rtpbusy = ufm_bgpbusy,
|
||||
ufm_arclk = arclk,
|
||||
ufm_ardin = ardin,
|
||||
ufm_arshft = arshft,
|
||||
ufm_bgpbusy = wire_maxii_ufm_block1_bgpbusy,
|
||||
ufm_busy = wire_maxii_ufm_block1_busy,
|
||||
ufm_drclk = drclk,
|
||||
ufm_drdin = drdin,
|
||||
ufm_drdout = wire_maxii_ufm_block1_drdout,
|
||||
ufm_drshft = drshft,
|
||||
ufm_erase = erase,
|
||||
ufm_osc = wire_maxii_ufm_block1_osc,
|
||||
ufm_oscena = oscena,
|
||||
ufm_program = program;
|
||||
endmodule //UFM_altufm_none_a7r
|
||||
//VALID FILE
|
||||
|
||||
|
||||
// synopsys translate_off
|
||||
`timescale 1 ps / 1 ps
|
||||
// synopsys translate_on
|
||||
module UFM (
|
||||
arclk,
|
||||
ardin,
|
||||
arshft,
|
||||
drclk,
|
||||
drdin,
|
||||
drshft,
|
||||
erase,
|
||||
oscena,
|
||||
program,
|
||||
busy,
|
||||
drdout,
|
||||
osc,
|
||||
rtpbusy);
|
||||
|
||||
input arclk;
|
||||
input ardin;
|
||||
input arshft;
|
||||
input drclk;
|
||||
input drdin;
|
||||
input drshft;
|
||||
input erase;
|
||||
input oscena;
|
||||
input program;
|
||||
output busy;
|
||||
output drdout;
|
||||
output osc;
|
||||
output rtpbusy;
|
||||
|
||||
wire sub_wire0;
|
||||
wire sub_wire1;
|
||||
wire sub_wire2;
|
||||
wire sub_wire3;
|
||||
wire osc = sub_wire0;
|
||||
wire rtpbusy = sub_wire1;
|
||||
wire drdout = sub_wire2;
|
||||
wire busy = sub_wire3;
|
||||
|
||||
UFM_altufm_none_a7r UFM_altufm_none_a7r_component (
|
||||
.arshft (arshft),
|
||||
.drclk (drclk),
|
||||
.erase (erase),
|
||||
.program (program),
|
||||
.arclk (arclk),
|
||||
.drdin (drdin),
|
||||
.oscena (oscena),
|
||||
.ardin (ardin),
|
||||
.drshft (drshft),
|
||||
.osc (sub_wire0),
|
||||
.rtpbusy (sub_wire1),
|
||||
.drdout (sub_wire2),
|
||||
.busy (sub_wire3));
|
||||
|
||||
endmodule
|
||||
|
||||
// ============================================================
|
||||
// CNX file retrieval info
|
||||
// ============================================================
|
||||
// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
|
||||
// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "MAX II"
|
||||
// Retrieval info: CONSTANT: ERASE_TIME NUMERIC "500000000"
|
||||
// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "MAX II"
|
||||
// Retrieval info: CONSTANT: LPM_FILE STRING "RAM2E.mif"
|
||||
// Retrieval info: CONSTANT: LPM_HINT STRING "UNUSED"
|
||||
// Retrieval info: CONSTANT: LPM_TYPE STRING "altufm_none"
|
||||
// Retrieval info: CONSTANT: OSC_FREQUENCY NUMERIC "180000"
|
||||
// Retrieval info: CONSTANT: PORT_ARCLKENA STRING "PORT_UNUSED"
|
||||
// Retrieval info: CONSTANT: PORT_DRCLKENA STRING "PORT_UNUSED"
|
||||
// Retrieval info: CONSTANT: PROGRAM_TIME NUMERIC "1600000"
|
||||
// Retrieval info: CONSTANT: WIDTH_UFM_ADDRESS NUMERIC "9"
|
||||
// Retrieval info: USED_PORT: arclk 0 0 0 0 INPUT NODEFVAL "arclk"
|
||||
// Retrieval info: CONNECT: @arclk 0 0 0 0 arclk 0 0 0 0
|
||||
// Retrieval info: USED_PORT: ardin 0 0 0 0 INPUT NODEFVAL "ardin"
|
||||
// Retrieval info: CONNECT: @ardin 0 0 0 0 ardin 0 0 0 0
|
||||
// Retrieval info: USED_PORT: arshft 0 0 0 0 INPUT NODEFVAL "arshft"
|
||||
// Retrieval info: CONNECT: @arshft 0 0 0 0 arshft 0 0 0 0
|
||||
// Retrieval info: USED_PORT: busy 0 0 0 0 OUTPUT NODEFVAL "busy"
|
||||
// Retrieval info: CONNECT: busy 0 0 0 0 @busy 0 0 0 0
|
||||
// Retrieval info: USED_PORT: drclk 0 0 0 0 INPUT NODEFVAL "drclk"
|
||||
// Retrieval info: CONNECT: @drclk 0 0 0 0 drclk 0 0 0 0
|
||||
// Retrieval info: USED_PORT: drdin 0 0 0 0 INPUT NODEFVAL "drdin"
|
||||
// Retrieval info: CONNECT: @drdin 0 0 0 0 drdin 0 0 0 0
|
||||
// Retrieval info: USED_PORT: drdout 0 0 0 0 OUTPUT NODEFVAL "drdout"
|
||||
// Retrieval info: CONNECT: drdout 0 0 0 0 @drdout 0 0 0 0
|
||||
// Retrieval info: USED_PORT: drshft 0 0 0 0 INPUT NODEFVAL "drshft"
|
||||
// Retrieval info: CONNECT: @drshft 0 0 0 0 drshft 0 0 0 0
|
||||
// Retrieval info: USED_PORT: erase 0 0 0 0 INPUT NODEFVAL "erase"
|
||||
// Retrieval info: CONNECT: @erase 0 0 0 0 erase 0 0 0 0
|
||||
// Retrieval info: USED_PORT: osc 0 0 0 0 OUTPUT NODEFVAL "osc"
|
||||
// Retrieval info: CONNECT: osc 0 0 0 0 @osc 0 0 0 0
|
||||
// Retrieval info: USED_PORT: oscena 0 0 0 0 INPUT NODEFVAL "oscena"
|
||||
// Retrieval info: CONNECT: @oscena 0 0 0 0 oscena 0 0 0 0
|
||||
// Retrieval info: USED_PORT: program 0 0 0 0 INPUT NODEFVAL "program"
|
||||
// Retrieval info: CONNECT: @program 0 0 0 0 program 0 0 0 0
|
||||
// Retrieval info: USED_PORT: rtpbusy 0 0 0 0 OUTPUT NODEFVAL "rtpbusy"
|
||||
// Retrieval info: CONNECT: rtpbusy 0 0 0 0 @rtpbusy 0 0 0 0
|
||||
// Retrieval info: GEN_FILE: TYPE_NORMAL UFM.v TRUE FALSE
|
||||
// Retrieval info: GEN_FILE: TYPE_NORMAL UFM.qip TRUE FALSE
|
||||
// Retrieval info: GEN_FILE: TYPE_NORMAL UFM.bsf TRUE TRUE
|
||||
// Retrieval info: GEN_FILE: TYPE_NORMAL UFM_inst.v FALSE TRUE
|
||||
// Retrieval info: GEN_FILE: TYPE_NORMAL UFM_bb.v TRUE TRUE
|
||||
// Retrieval info: GEN_FILE: TYPE_NORMAL UFM.inc FALSE TRUE
|
||||
// Retrieval info: GEN_FILE: TYPE_NORMAL UFM.cmp FALSE TRUE
|
||||
// Retrieval info: LIB_FILE: maxii
|
113
cpld/UFM_bb.v
Executable file
113
cpld/UFM_bb.v
Executable file
@ -0,0 +1,113 @@
|
||||
// megafunction wizard: %ALTUFM_NONE%VBB%
|
||||
// GENERATION: STANDARD
|
||||
// VERSION: WM1.0
|
||||
// MODULE: ALTUFM_NONE
|
||||
|
||||
// ============================================================
|
||||
// File Name: UFM.v
|
||||
// Megafunction Name(s):
|
||||
// ALTUFM_NONE
|
||||
//
|
||||
// Simulation Library Files(s):
|
||||
// maxii
|
||||
// ============================================================
|
||||
// ************************************************************
|
||||
// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
|
||||
//
|
||||
// 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition
|
||||
// ************************************************************
|
||||
|
||||
//Copyright (C) 1991-2013 Altera Corporation
|
||||
//Your use of Altera Corporation's design tools, logic functions
|
||||
//and other software and tools, and its AMPP partner logic
|
||||
//functions, and any output files from any of the foregoing
|
||||
//(including device programming or simulation files), and any
|
||||
//associated documentation or information are expressly subject
|
||||
//to the terms and conditions of the Altera Program License
|
||||
//Subscription Agreement, Altera MegaCore Function License
|
||||
//Agreement, or other applicable license agreement, including,
|
||||
//without limitation, that your use is for the sole purpose of
|
||||
//programming logic devices manufactured by Altera and sold by
|
||||
//Altera or its authorized distributors. Please refer to the
|
||||
//applicable agreement for further details.
|
||||
|
||||
module UFM (
|
||||
arclk,
|
||||
ardin,
|
||||
arshft,
|
||||
drclk,
|
||||
drdin,
|
||||
drshft,
|
||||
erase,
|
||||
oscena,
|
||||
program,
|
||||
busy,
|
||||
drdout,
|
||||
osc,
|
||||
rtpbusy)/* synthesis synthesis_clearbox = 1 */;
|
||||
|
||||
input arclk;
|
||||
input ardin;
|
||||
input arshft;
|
||||
input drclk;
|
||||
input drdin;
|
||||
input drshft;
|
||||
input erase;
|
||||
input oscena;
|
||||
input program;
|
||||
output busy;
|
||||
output drdout;
|
||||
output osc;
|
||||
output rtpbusy;
|
||||
|
||||
endmodule
|
||||
|
||||
// ============================================================
|
||||
// CNX file retrieval info
|
||||
// ============================================================
|
||||
// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
|
||||
// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "MAX II"
|
||||
// Retrieval info: CONSTANT: ERASE_TIME NUMERIC "500000000"
|
||||
// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "MAX II"
|
||||
// Retrieval info: CONSTANT: LPM_FILE STRING "RAM2E.mif"
|
||||
// Retrieval info: CONSTANT: LPM_HINT STRING "UNUSED"
|
||||
// Retrieval info: CONSTANT: LPM_TYPE STRING "altufm_none"
|
||||
// Retrieval info: CONSTANT: OSC_FREQUENCY NUMERIC "180000"
|
||||
// Retrieval info: CONSTANT: PORT_ARCLKENA STRING "PORT_UNUSED"
|
||||
// Retrieval info: CONSTANT: PORT_DRCLKENA STRING "PORT_UNUSED"
|
||||
// Retrieval info: CONSTANT: PROGRAM_TIME NUMERIC "1600000"
|
||||
// Retrieval info: CONSTANT: WIDTH_UFM_ADDRESS NUMERIC "9"
|
||||
// Retrieval info: USED_PORT: arclk 0 0 0 0 INPUT NODEFVAL "arclk"
|
||||
// Retrieval info: CONNECT: @arclk 0 0 0 0 arclk 0 0 0 0
|
||||
// Retrieval info: USED_PORT: ardin 0 0 0 0 INPUT NODEFVAL "ardin"
|
||||
// Retrieval info: CONNECT: @ardin 0 0 0 0 ardin 0 0 0 0
|
||||
// Retrieval info: USED_PORT: arshft 0 0 0 0 INPUT NODEFVAL "arshft"
|
||||
// Retrieval info: CONNECT: @arshft 0 0 0 0 arshft 0 0 0 0
|
||||
// Retrieval info: USED_PORT: busy 0 0 0 0 OUTPUT NODEFVAL "busy"
|
||||
// Retrieval info: CONNECT: busy 0 0 0 0 @busy 0 0 0 0
|
||||
// Retrieval info: USED_PORT: drclk 0 0 0 0 INPUT NODEFVAL "drclk"
|
||||
// Retrieval info: CONNECT: @drclk 0 0 0 0 drclk 0 0 0 0
|
||||
// Retrieval info: USED_PORT: drdin 0 0 0 0 INPUT NODEFVAL "drdin"
|
||||
// Retrieval info: CONNECT: @drdin 0 0 0 0 drdin 0 0 0 0
|
||||
// Retrieval info: USED_PORT: drdout 0 0 0 0 OUTPUT NODEFVAL "drdout"
|
||||
// Retrieval info: CONNECT: drdout 0 0 0 0 @drdout 0 0 0 0
|
||||
// Retrieval info: USED_PORT: drshft 0 0 0 0 INPUT NODEFVAL "drshft"
|
||||
// Retrieval info: CONNECT: @drshft 0 0 0 0 drshft 0 0 0 0
|
||||
// Retrieval info: USED_PORT: erase 0 0 0 0 INPUT NODEFVAL "erase"
|
||||
// Retrieval info: CONNECT: @erase 0 0 0 0 erase 0 0 0 0
|
||||
// Retrieval info: USED_PORT: osc 0 0 0 0 OUTPUT NODEFVAL "osc"
|
||||
// Retrieval info: CONNECT: osc 0 0 0 0 @osc 0 0 0 0
|
||||
// Retrieval info: USED_PORT: oscena 0 0 0 0 INPUT NODEFVAL "oscena"
|
||||
// Retrieval info: CONNECT: @oscena 0 0 0 0 oscena 0 0 0 0
|
||||
// Retrieval info: USED_PORT: program 0 0 0 0 INPUT NODEFVAL "program"
|
||||
// Retrieval info: CONNECT: @program 0 0 0 0 program 0 0 0 0
|
||||
// Retrieval info: USED_PORT: rtpbusy 0 0 0 0 OUTPUT NODEFVAL "rtpbusy"
|
||||
// Retrieval info: CONNECT: rtpbusy 0 0 0 0 @rtpbusy 0 0 0 0
|
||||
// Retrieval info: GEN_FILE: TYPE_NORMAL UFM.v TRUE FALSE
|
||||
// Retrieval info: GEN_FILE: TYPE_NORMAL UFM.qip TRUE FALSE
|
||||
// Retrieval info: GEN_FILE: TYPE_NORMAL UFM.bsf TRUE TRUE
|
||||
// Retrieval info: GEN_FILE: TYPE_NORMAL UFM_inst.v FALSE TRUE
|
||||
// Retrieval info: GEN_FILE: TYPE_NORMAL UFM_bb.v TRUE TRUE
|
||||
// Retrieval info: GEN_FILE: TYPE_NORMAL UFM.inc FALSE TRUE
|
||||
// Retrieval info: GEN_FILE: TYPE_NORMAL UFM.cmp FALSE TRUE
|
||||
// Retrieval info: LIB_FILE: maxii
|
1
cpld/constraints.sdc
Executable file
1
cpld/constraints.sdc
Executable file
@ -0,0 +1 @@
|
||||
create_clock -period 69.841 C14M
|
BIN
cpld/db/RAM2E.(0).cnf.cdb
Executable file
BIN
cpld/db/RAM2E.(0).cnf.cdb
Executable file
Binary file not shown.
BIN
cpld/db/RAM2E.(0).cnf.hdb
Executable file
BIN
cpld/db/RAM2E.(0).cnf.hdb
Executable file
Binary file not shown.
BIN
cpld/db/RAM2E.(1).cnf.cdb
Executable file
BIN
cpld/db/RAM2E.(1).cnf.cdb
Executable file
Binary file not shown.
BIN
cpld/db/RAM2E.(1).cnf.hdb
Executable file
BIN
cpld/db/RAM2E.(1).cnf.hdb
Executable file
Binary file not shown.
BIN
cpld/db/RAM2E.(2).cnf.cdb
Executable file
BIN
cpld/db/RAM2E.(2).cnf.cdb
Executable file
Binary file not shown.
BIN
cpld/db/RAM2E.(2).cnf.hdb
Executable file
BIN
cpld/db/RAM2E.(2).cnf.hdb
Executable file
Binary file not shown.
BIN
cpld/db/RAM2E.ace_cmp.cdb
Executable file
BIN
cpld/db/RAM2E.ace_cmp.cdb
Executable file
Binary file not shown.
BIN
cpld/db/RAM2E.ace_cmp.hdb
Executable file
BIN
cpld/db/RAM2E.ace_cmp.hdb
Executable file
Binary file not shown.
BIN
cpld/db/RAM2E.asm 2.rdb
Normal file
BIN
cpld/db/RAM2E.asm 2.rdb
Normal file
Binary file not shown.
BIN
cpld/db/RAM2E.asm(1).rdb
Normal file
BIN
cpld/db/RAM2E.asm(1).rdb
Normal file
Binary file not shown.
6
cpld/db/RAM2E.asm.qmsg
Executable file
6
cpld/db/RAM2E.asm.qmsg
Executable file
@ -0,0 +1,6 @@
|
||||
{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1591131665199 ""}
|
||||
{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II 64-Bit " "Running Quartus II 64-Bit Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1591131665199 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue Jun 02 17:01:05 2020 " "Processing started: Tue Jun 02 17:01:05 2020" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1591131665199 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1591131665199 ""}
|
||||
{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off RAM2E -c RAM2E " "Command: quartus_asm --read_settings_files=off --write_settings_files=off RAM2E -c RAM2E" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1591131665200 ""}
|
||||
{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1591131665391 ""}
|
||||
{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1591131665396 ""}
|
||||
{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus II 64-Bit " "Quartus II 64-Bit Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4523 " "Peak virtual memory: 4523 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1591131665549 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Jun 02 17:01:05 2020 " "Processing ended: Tue Jun 02 17:01:05 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1591131665549 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:00 " "Elapsed time: 00:00:00" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1591131665549 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1591131665549 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1591131665549 ""}
|
BIN
cpld/db/RAM2E.asm.rdb
Executable file
BIN
cpld/db/RAM2E.asm.rdb
Executable file
Binary file not shown.
BIN
cpld/db/RAM2E.asm_labs 2.ddb
Normal file
BIN
cpld/db/RAM2E.asm_labs 2.ddb
Normal file
Binary file not shown.
BIN
cpld/db/RAM2E.asm_labs.ddb
Executable file
BIN
cpld/db/RAM2E.asm_labs.ddb
Executable file
Binary file not shown.
BIN
cpld/db/RAM2E.cmp 2.cdb
Normal file
BIN
cpld/db/RAM2E.cmp 2.cdb
Normal file
Binary file not shown.
BIN
cpld/db/RAM2E.cmp 2.hdb
Normal file
BIN
cpld/db/RAM2E.cmp 2.hdb
Normal file
Binary file not shown.
BIN
cpld/db/RAM2E.cmp 2.rdb
Executable file
BIN
cpld/db/RAM2E.cmp 2.rdb
Executable file
Binary file not shown.
BIN
cpld/db/RAM2E.cmp 3.cdb
Normal file
BIN
cpld/db/RAM2E.cmp 3.cdb
Normal file
Binary file not shown.
BIN
cpld/db/RAM2E.cmp 3.hdb
Normal file
BIN
cpld/db/RAM2E.cmp 3.hdb
Normal file
Binary file not shown.
BIN
cpld/db/RAM2E.cmp 3.rdb
Executable file
BIN
cpld/db/RAM2E.cmp 3.rdb
Executable file
Binary file not shown.
BIN
cpld/db/RAM2E.cmp 4.rdb
Normal file
BIN
cpld/db/RAM2E.cmp 4.rdb
Normal file
Binary file not shown.
BIN
cpld/db/RAM2E.cmp 5.rdb
Normal file
BIN
cpld/db/RAM2E.cmp 5.rdb
Normal file
Binary file not shown.
BIN
cpld/db/RAM2E.cmp.cdb
Executable file
BIN
cpld/db/RAM2E.cmp.cdb
Executable file
Binary file not shown.
BIN
cpld/db/RAM2E.cmp.hdb
Executable file
BIN
cpld/db/RAM2E.cmp.hdb
Executable file
Binary file not shown.
BIN
cpld/db/RAM2E.cmp.idb
Executable file
BIN
cpld/db/RAM2E.cmp.idb
Executable file
Binary file not shown.
BIN
cpld/db/RAM2E.cmp.kpt
Executable file
BIN
cpld/db/RAM2E.cmp.kpt
Executable file
Binary file not shown.
1
cpld/db/RAM2E.cmp.logdb
Executable file
1
cpld/db/RAM2E.cmp.logdb
Executable file
@ -0,0 +1 @@
|
||||
v1
|
BIN
cpld/db/RAM2E.cmp.rdb
Executable file
BIN
cpld/db/RAM2E.cmp.rdb
Executable file
Binary file not shown.
BIN
cpld/db/RAM2E.cmp0.ddb
Executable file
BIN
cpld/db/RAM2E.cmp0.ddb
Executable file
Binary file not shown.
3
cpld/db/RAM2E.db_info
Executable file
3
cpld/db/RAM2E.db_info
Executable file
@ -0,0 +1,3 @@
|
||||
Quartus_Version = Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition
|
||||
Version_Index = 302049280
|
||||
Creation_Time = Tue Jun 02 17:00:54 2020
|
BIN
cpld/db/RAM2E.eco.cdb
Executable file
BIN
cpld/db/RAM2E.eco.cdb
Executable file
Binary file not shown.
39
cpld/db/RAM2E.fit 2.qmsg
Executable file
39
cpld/db/RAM2E.fit 2.qmsg
Executable file
@ -0,0 +1,39 @@
|
||||
{ "Info" "IQCU_PARALLEL_AUTODETECT_ONE_PROCESSOR" "" "Only one processor detected - disabling parallel compilation" { } { } 0 20029 "Only one processor detected - disabling parallel compilation" 0 0 "Fitter" 0 -1 1590186805031 ""}
|
||||
{ "Info" "IMPP_MPP_USER_DEVICE" "RAM2E EPM240T100C5 " "Selected device EPM240T100C5 for design \"RAM2E\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1590186805156 ""}
|
||||
{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1590186805922 ""}
|
||||
{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1590186805922 ""}
|
||||
{ "Info" "IFITCC_FITCC_INFO_STANDARD_FIT_COMPILATION_ON" "" "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" { } { } 0 171004 "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" 0 0 "Fitter" 0 -1 1590186806281 ""}
|
||||
{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1590186806422 ""}
|
||||
{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100I5 " "Device EPM240T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1590186806640 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100A5 " "Device EPM240T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1590186806640 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100C5 " "Device EPM570T100C5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1590186806640 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100I5 " "Device EPM570T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1590186806640 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100A5 " "Device EPM570T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1590186806640 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1590186806640 ""}
|
||||
{ "Info" "ISTA_SDC_FOUND" "constraints.sdc " "Reading SDC File: 'constraints.sdc'" { } { } 0 332104 "Reading SDC File: '%1!s!'" 0 0 "Fitter" 0 -1 1590186806953 ""}
|
||||
{ "Warning" "WSTA_NODE_FOUND_WITHOUT_CLOCK_ASSIGNMENT" "DRCLK " "Node: DRCLK was determined to be a clock but was found without an associated clock assignment." { } { } 0 332060 "Node: %1!s! was determined to be a clock but was found without an associated clock assignment." 0 0 "Fitter" 0 -1 1590186807015 "|RAM2E|DRCLK"}
|
||||
{ "Warning" "WSTA_NODE_FOUND_WITHOUT_CLOCK_ASSIGNMENT" "ARCLK " "Node: ARCLK was determined to be a clock but was found without an associated clock assignment." { } { } 0 332060 "Node: %1!s! was determined to be a clock but was found without an associated clock assignment." 0 0 "Fitter" 0 -1 1590186807015 "|RAM2E|ARCLK"}
|
||||
{ "Info" "ISTA_USER_TDC_OPTIMIZATION_GOALS" "" "Detected timing requirements -- optimizing circuit to achieve only the specified requirements" { } { } 0 332129 "Detected timing requirements -- optimizing circuit to achieve only the specified requirements" 0 0 "Fitter" 0 -1 1590186807031 ""}
|
||||
{ "Info" "ISTA_REPORT_CLOCKS_INFO" "Found 1 clocks " "Found 1 clocks" { { "Info" "ISTA_REPORT_CLOCKS_INFO" " Period Clock Name " " Period Clock Name" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1590186807031 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" "======== ============ " "======== ============" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1590186807031 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 69.841 C14M " " 69.841 C14M" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1590186807031 ""} } { } 0 332111 "%1!s!" 0 0 "Fitter" 0 -1 1590186807031 ""}
|
||||
{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1590186807047 ""}
|
||||
{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1590186807062 ""}
|
||||
{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "User Assigned Global Signals Promotion Operation " "Completed User Assigned Global Signals Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1590186807078 ""}
|
||||
{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_ALL_TO_GLOBAL" "C14M Global clock in PIN 12 " "Automatically promoted signal \"C14M\" to use Global clock in PIN 12" { } { { "RAM2E.v" "" { Text "Z:/Repos/RAM2E/cpld/RAM2E.v" 9 -1 0 } } } 0 186215 "Automatically promoted signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1590186807156 ""}
|
||||
{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "Auto Global Promotion Operation " "Completed Auto Global Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1590186807172 ""}
|
||||
{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_FYGR_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176234 "Starting register packing" 0 0 "Fitter" 0 -1 1590186807187 ""}
|
||||
{ "Info" "IFYGR_FYGR_INFO_AUTO_MODE_REGISTER_PACKING" "Auto Normal " "Fitter is using Normal packing mode for logic elements with Auto setting for Auto Packed Registers logic option" { } { } 0 186391 "Fitter is using %2!s! packing mode for logic elements with %1!s! setting for Auto Packed Registers logic option" 0 0 "Fitter" 0 -1 1590186807344 ""}
|
||||
{ "Extra Info" "IFSAC_FSAC_START_LUT_PACKING" "" "Moving registers into LUTs to improve timing and density" { } { } 1 176244 "Moving registers into LUTs to improve timing and density" 1 0 "Fitter" 0 -1 1590186807344 ""}
|
||||
{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_HEADER" "" "Started processing fast register assignments" { } { } 0 186468 "Started processing fast register assignments" 0 0 "Fitter" 0 -1 1590186807515 ""}
|
||||
{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_FOOTER" "" "Finished processing fast register assignments" { } { } 0 186469 "Finished processing fast register assignments" 0 0 "Fitter" 0 -1 1590186807578 ""}
|
||||
{ "Extra Info" "IFSAC_FSAC_FINISH_LUT_PACKING" "00:00:00 " "Finished moving registers into LUTs: elapsed time is 00:00:00" { } { } 1 176245 "Finished moving registers into LUTs: elapsed time is %1!s!" 1 0 "Fitter" 0 -1 1590186807594 ""}
|
||||
{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1590186807609 ""}
|
||||
{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:01 " "Fitter preparation operations ending: elapsed time is 00:00:01" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1590186807672 ""}
|
||||
{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1590186808625 ""}
|
||||
{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1590186809125 ""}
|
||||
{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1590186809156 ""}
|
||||
{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1590186810219 ""}
|
||||
{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:01 " "Fitter placement operations ending: elapsed time is 00:00:01" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1590186810234 ""}
|
||||
{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1590186810406 ""}
|
||||
{ "Info" "IFITAPI_FITAPI_VPR_STATUS_DELAY_ADDED_FOR_HOLD" "4e+01 ns 2.7% " "4e+01 ns of routing delay (approximately 2.7% of available device routing delay) has been added to meet hold timing. For more information, refer to the Estimated Delay Added for Hold Timing section in the Fitter report." { } { } 0 170089 "%1!s! of routing delay (approximately %2!s! of available device routing delay) has been added to meet hold timing. For more information, refer to the Estimated Delay Added for Hold Timing section in the Fitter report." 0 0 "Fitter" 0 -1 1590186810875 ""}
|
||||
{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "20 " "Router estimated average interconnect usage is 20% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "20 X0_Y0 X8_Y5 " "Router estimated peak interconnect usage is 20% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5" { } { { "loc" "" { Generic "Z:/Repos/RAM2E/cpld/" { { 1 { 0 "Router estimated peak interconnect usage is 20% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} { { 11 { 0 "Router estimated peak interconnect usage is 20% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} 0 0 9 6 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Quartus II" 0 -1 1590186810984 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1590186810984 ""}
|
||||
{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:01 " "Fitter routing operations ending: elapsed time is 00:00:01" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1590186811359 ""}
|
||||
{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "0.41 " "Total time spent on timing analysis during the Fitter is 0.41 seconds." { } { } 0 11888 "Total time spent on timing analysis during the Fitter is %1!s! seconds." 0 0 "Fitter" 0 -1 1590186811500 ""}
|
||||
{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:00 " "Fitter post-fit operations ending: elapsed time is 00:00:00" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1590186811531 ""}
|
||||
{ "Warning" "WFIOMGR_RESERVE_ASSIGNMENT_FOR_UNUSED_PINS_IS_DEFAULT" "As output driving ground " "The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'." { } { } 0 169174 "The Reserve All Unused Pins setting has not been specified, and will default to '%1!s!'." 0 0 "Fitter" 0 -1 1590186811625 ""}
|
||||
{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "Z:/Repos/RAM2E/cpld/output_files/RAM2E.fit.smsg " "Generated suppressed messages file Z:/Repos/RAM2E/cpld/output_files/RAM2E.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1590186812422 ""}
|
||||
{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 4 s Quartus II 32-bit " "Quartus II 32-bit Fitter was successful. 0 errors, 4 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "363 " "Peak virtual memory: 363 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1590186813875 ""} { "Info" "IQEXE_END_BANNER_TIME" "Fri May 22 18:33:32 2020 " "Processing ended: Fri May 22 18:33:32 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1590186813875 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:18 " "Elapsed time: 00:00:18" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1590186813875 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:10 " "Total CPU time (on all processors): 00:00:10" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1590186813875 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1590186813875 ""}
|
39
cpld/db/RAM2E.fit 3.qmsg
Normal file
39
cpld/db/RAM2E.fit 3.qmsg
Normal file
@ -0,0 +1,39 @@
|
||||
{ "Info" "IQCU_PARALLEL_AUTODETECT_ONE_PROCESSOR" "" "Only one processor detected - disabling parallel compilation" { } { } 0 20029 "Only one processor detected - disabling parallel compilation" 0 0 "Fitter" 0 -1 1590186869219 ""}
|
||||
{ "Info" "IMPP_MPP_USER_DEVICE" "RAM2E EPM240T100C5 " "Selected device EPM240T100C5 for design \"RAM2E\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1590186869251 ""}
|
||||
{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1590186870126 ""}
|
||||
{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1590186870126 ""}
|
||||
{ "Info" "IFITCC_FITCC_INFO_STANDARD_FIT_COMPILATION_ON" "" "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" { } { } 0 171004 "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" 0 0 "Fitter" 0 -1 1590186870391 ""}
|
||||
{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1590186870438 ""}
|
||||
{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100I5 " "Device EPM240T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1590186870673 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100A5 " "Device EPM240T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1590186870673 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100C5 " "Device EPM570T100C5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1590186870673 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100I5 " "Device EPM570T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1590186870673 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100A5 " "Device EPM570T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1590186870673 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1590186870673 ""}
|
||||
{ "Info" "ISTA_SDC_FOUND" "constraints.sdc " "Reading SDC File: 'constraints.sdc'" { } { } 0 332104 "Reading SDC File: '%1!s!'" 0 0 "Fitter" 0 -1 1590186870954 ""}
|
||||
{ "Warning" "WSTA_NODE_FOUND_WITHOUT_CLOCK_ASSIGNMENT" "DRCLK " "Node: DRCLK was determined to be a clock but was found without an associated clock assignment." { } { } 0 332060 "Node: %1!s! was determined to be a clock but was found without an associated clock assignment." 0 0 "Fitter" 0 -1 1590186871032 "|RAM2E|DRCLK"}
|
||||
{ "Warning" "WSTA_NODE_FOUND_WITHOUT_CLOCK_ASSIGNMENT" "ARCLK " "Node: ARCLK was determined to be a clock but was found without an associated clock assignment." { } { } 0 332060 "Node: %1!s! was determined to be a clock but was found without an associated clock assignment." 0 0 "Fitter" 0 -1 1590186871032 "|RAM2E|ARCLK"}
|
||||
{ "Info" "ISTA_USER_TDC_OPTIMIZATION_GOALS" "" "Detected timing requirements -- optimizing circuit to achieve only the specified requirements" { } { } 0 332129 "Detected timing requirements -- optimizing circuit to achieve only the specified requirements" 0 0 "Fitter" 0 -1 1590186871048 ""}
|
||||
{ "Info" "ISTA_REPORT_CLOCKS_INFO" "Found 1 clocks " "Found 1 clocks" { { "Info" "ISTA_REPORT_CLOCKS_INFO" " Period Clock Name " " Period Clock Name" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1590186871063 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" "======== ============ " "======== ============" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1590186871063 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 69.841 C14M " " 69.841 C14M" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1590186871063 ""} } { } 0 332111 "%1!s!" 0 0 "Fitter" 0 -1 1590186871063 ""}
|
||||
{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1590186871079 ""}
|
||||
{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1590186871079 ""}
|
||||
{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "User Assigned Global Signals Promotion Operation " "Completed User Assigned Global Signals Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1590186871110 ""}
|
||||
{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_ALL_TO_GLOBAL" "C14M Global clock in PIN 12 " "Automatically promoted signal \"C14M\" to use Global clock in PIN 12" { } { { "RAM2E.v" "" { Text "Z:/Repos/RAM2E/cpld/RAM2E.v" 9 -1 0 } } } 0 186215 "Automatically promoted signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1590186871126 ""}
|
||||
{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "Auto Global Promotion Operation " "Completed Auto Global Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1590186871141 ""}
|
||||
{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_FYGR_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176234 "Starting register packing" 0 0 "Fitter" 0 -1 1590186871141 ""}
|
||||
{ "Info" "IFYGR_FYGR_INFO_AUTO_MODE_REGISTER_PACKING" "Auto Normal " "Fitter is using Normal packing mode for logic elements with Auto setting for Auto Packed Registers logic option" { } { } 0 186391 "Fitter is using %2!s! packing mode for logic elements with %1!s! setting for Auto Packed Registers logic option" 0 0 "Fitter" 0 -1 1590186871235 ""}
|
||||
{ "Extra Info" "IFSAC_FSAC_START_LUT_PACKING" "" "Moving registers into LUTs to improve timing and density" { } { } 1 176244 "Moving registers into LUTs to improve timing and density" 1 0 "Fitter" 0 -1 1590186871235 ""}
|
||||
{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_HEADER" "" "Started processing fast register assignments" { } { } 0 186468 "Started processing fast register assignments" 0 0 "Fitter" 0 -1 1590186871329 ""}
|
||||
{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_FOOTER" "" "Finished processing fast register assignments" { } { } 0 186469 "Finished processing fast register assignments" 0 0 "Fitter" 0 -1 1590186871344 ""}
|
||||
{ "Extra Info" "IFSAC_FSAC_FINISH_LUT_PACKING" "00:00:00 " "Finished moving registers into LUTs: elapsed time is 00:00:00" { } { } 1 176245 "Finished moving registers into LUTs: elapsed time is %1!s!" 1 0 "Fitter" 0 -1 1590186871344 ""}
|
||||
{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1590186871344 ""}
|
||||
{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:01 " "Fitter preparation operations ending: elapsed time is 00:00:01" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1590186871376 ""}
|
||||
{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1590186872032 ""}
|
||||
{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:01 " "Fitter placement preparation operations ending: elapsed time is 00:00:01" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1590186872610 ""}
|
||||
{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1590186872673 ""}
|
||||
{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1590186874345 ""}
|
||||
{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:02 " "Fitter placement operations ending: elapsed time is 00:00:02" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1590186874391 ""}
|
||||
{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1590186874657 ""}
|
||||
{ "Info" "IFITAPI_FITAPI_VPR_STATUS_DELAY_ADDED_FOR_HOLD" "4e+01 ns 2.7% " "4e+01 ns of routing delay (approximately 2.7% of available device routing delay) has been added to meet hold timing. For more information, refer to the Estimated Delay Added for Hold Timing section in the Fitter report." { } { } 0 170089 "%1!s! of routing delay (approximately %2!s! of available device routing delay) has been added to meet hold timing. For more information, refer to the Estimated Delay Added for Hold Timing section in the Fitter report." 0 0 "Fitter" 0 -1 1590186875282 ""}
|
||||
{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "20 " "Router estimated average interconnect usage is 20% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "20 X0_Y0 X8_Y5 " "Router estimated peak interconnect usage is 20% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5" { } { { "loc" "" { Generic "Z:/Repos/RAM2E/cpld/" { { 1 { 0 "Router estimated peak interconnect usage is 20% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} { { 11 { 0 "Router estimated peak interconnect usage is 20% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} 0 0 9 6 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Quartus II" 0 -1 1590186875423 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1590186875423 ""}
|
||||
{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:01 " "Fitter routing operations ending: elapsed time is 00:00:01" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1590186875642 ""}
|
||||
{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "0.55 " "Total time spent on timing analysis during the Fitter is 0.55 seconds." { } { } 0 11888 "Total time spent on timing analysis during the Fitter is %1!s! seconds." 0 0 "Fitter" 0 -1 1590186875688 ""}
|
||||
{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:00 " "Fitter post-fit operations ending: elapsed time is 00:00:00" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1590186875688 ""}
|
||||
{ "Warning" "WFIOMGR_RESERVE_ASSIGNMENT_FOR_UNUSED_PINS_IS_DEFAULT" "As output driving ground " "The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'." { } { } 0 169174 "The Reserve All Unused Pins setting has not been specified, and will default to '%1!s!'." 0 0 "Fitter" 0 -1 1590186875829 ""}
|
||||
{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "Z:/Repos/RAM2E/cpld/output_files/RAM2E.fit.smsg " "Generated suppressed messages file Z:/Repos/RAM2E/cpld/output_files/RAM2E.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1590186876126 ""}
|
||||
{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 4 s Quartus II 32-bit " "Quartus II 32-bit Fitter was successful. 0 errors, 4 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "363 " "Peak virtual memory: 363 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1590186877782 ""} { "Info" "IQEXE_END_BANNER_TIME" "Fri May 22 18:34:36 2020 " "Processing ended: Fri May 22 18:34:36 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1590186877782 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:10 " "Elapsed time: 00:00:10" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1590186877782 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:09 " "Total CPU time (on all processors): 00:00:09" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1590186877782 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1590186877782 ""}
|
40
cpld/db/RAM2E.fit 4.qmsg
Normal file
40
cpld/db/RAM2E.fit 4.qmsg
Normal file
@ -0,0 +1,40 @@
|
||||
{ "Info" "IQCU_PARALLEL_AUTODETECT_ONE_PROCESSOR" "" "Only one processor detected - disabling parallel compilation" { } { } 0 20029 "Only one processor detected - disabling parallel compilation" 0 0 "Fitter" 0 -1 1590959452243 ""}
|
||||
{ "Info" "IMPP_MPP_USER_DEVICE" "RAM2E EPM240T100C5 " "Selected device EPM240T100C5 for design \"RAM2E\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1590959452274 ""}
|
||||
{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1590959452540 ""}
|
||||
{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1590959452540 ""}
|
||||
{ "Info" "IFITCC_FITCC_INFO_STANDARD_FIT_COMPILATION_ON" "" "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" { } { } 0 171004 "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" 0 0 "Fitter" 0 -1 1590959452837 ""}
|
||||
{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1590959452868 ""}
|
||||
{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100I5 " "Device EPM240T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1590959453087 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100A5 " "Device EPM240T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1590959453087 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100C5 " "Device EPM570T100C5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1590959453087 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100I5 " "Device EPM570T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1590959453087 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100A5 " "Device EPM570T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1590959453087 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1590959453087 ""}
|
||||
{ "Info" "ISTA_SDC_FOUND" "constraints.sdc " "Reading SDC File: 'constraints.sdc'" { } { } 0 332104 "Reading SDC File: '%1!s!'" 0 0 "Fitter" 0 -1 1590959453353 ""}
|
||||
{ "Warning" "WSTA_NODE_FOUND_WITHOUT_CLOCK_ASSIGNMENT" "DRCLK " "Node: DRCLK was determined to be a clock but was found without an associated clock assignment." { } { } 0 332060 "Node: %1!s! was determined to be a clock but was found without an associated clock assignment." 0 0 "Fitter" 0 -1 1590959453399 "|RAM2E|DRCLK"}
|
||||
{ "Warning" "WSTA_NODE_FOUND_WITHOUT_CLOCK_ASSIGNMENT" "ARCLK " "Node: ARCLK was determined to be a clock but was found without an associated clock assignment." { } { } 0 332060 "Node: %1!s! was determined to be a clock but was found without an associated clock assignment." 0 0 "Fitter" 0 -1 1590959453399 "|RAM2E|ARCLK"}
|
||||
{ "Info" "ISTA_USER_TDC_OPTIMIZATION_GOALS" "" "Detected timing requirements -- optimizing circuit to achieve only the specified requirements" { } { } 0 332129 "Detected timing requirements -- optimizing circuit to achieve only the specified requirements" 0 0 "Fitter" 0 -1 1590959453415 ""}
|
||||
{ "Info" "ISTA_REPORT_CLOCKS_INFO" "Found 1 clocks " "Found 1 clocks" { { "Info" "ISTA_REPORT_CLOCKS_INFO" " Period Clock Name " " Period Clock Name" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1590959453415 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" "======== ============ " "======== ============" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1590959453415 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 69.841 C14M " " 69.841 C14M" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1590959453415 ""} } { } 0 332111 "%1!s!" 0 0 "Fitter" 0 -1 1590959453415 ""}
|
||||
{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1590959453415 ""}
|
||||
{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1590959453431 ""}
|
||||
{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "User Assigned Global Signals Promotion Operation " "Completed User Assigned Global Signals Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1590959453446 ""}
|
||||
{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_ALL_TO_GLOBAL" "C14M Global clock in PIN 12 " "Automatically promoted signal \"C14M\" to use Global clock in PIN 12" { } { { "RAM2E.v" "" { Text "//vmware-host/Shared Folders/Repos/RAM2E/cpld/RAM2E.v" 9 -1 0 } } } 0 186215 "Automatically promoted signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1590959453462 ""}
|
||||
{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "Auto Global Promotion Operation " "Completed Auto Global Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1590959453462 ""}
|
||||
{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_FYGR_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176234 "Starting register packing" 0 0 "Fitter" 0 -1 1590959453462 ""}
|
||||
{ "Info" "IFYGR_FYGR_INFO_AUTO_MODE_REGISTER_PACKING" "Auto Normal " "Fitter is using Normal packing mode for logic elements with Auto setting for Auto Packed Registers logic option" { } { } 0 186391 "Fitter is using %2!s! packing mode for logic elements with %1!s! setting for Auto Packed Registers logic option" 0 0 "Fitter" 0 -1 1590959453540 ""}
|
||||
{ "Extra Info" "IFSAC_FSAC_START_LUT_PACKING" "" "Moving registers into LUTs to improve timing and density" { } { } 1 176244 "Moving registers into LUTs to improve timing and density" 1 0 "Fitter" 0 -1 1590959453556 ""}
|
||||
{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_HEADER" "" "Started processing fast register assignments" { } { } 0 186468 "Started processing fast register assignments" 0 0 "Fitter" 0 -1 1590959453634 ""}
|
||||
{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_FOOTER" "" "Finished processing fast register assignments" { } { } 0 186469 "Finished processing fast register assignments" 0 0 "Fitter" 0 -1 1590959453649 ""}
|
||||
{ "Extra Info" "IFSAC_FSAC_FINISH_LUT_PACKING" "00:00:00 " "Finished moving registers into LUTs: elapsed time is 00:00:00" { } { } 1 176245 "Finished moving registers into LUTs: elapsed time is %1!s!" 1 0 "Fitter" 0 -1 1590959453649 ""}
|
||||
{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1590959453649 ""}
|
||||
{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:00 " "Fitter preparation operations ending: elapsed time is 00:00:00" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1590959453728 ""}
|
||||
{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1590959454024 ""}
|
||||
{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1590959454290 ""}
|
||||
{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1590959454306 ""}
|
||||
{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1590959454837 ""}
|
||||
{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:01 " "Fitter placement operations ending: elapsed time is 00:00:01" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1590959454837 ""}
|
||||
{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1590959454946 ""}
|
||||
{ "Info" "IFITAPI_FITAPI_VPR_STATUS_DELAY_ADDED_FOR_HOLD" "4e+01 ns 2.8% " "4e+01 ns of routing delay (approximately 2.8% of available device routing delay) has been added to meet hold timing. For more information, refer to the Estimated Delay Added for Hold Timing section in the Fitter report." { } { } 0 170089 "%1!s! of routing delay (approximately %2!s! of available device routing delay) has been added to meet hold timing. For more information, refer to the Estimated Delay Added for Hold Timing section in the Fitter report." 0 0 "Fitter" 0 -1 1590959455321 ""}
|
||||
{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "18 " "Router estimated average interconnect usage is 18% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "18 X0_Y0 X8_Y5 " "Router estimated peak interconnect usage is 18% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5" { } { { "loc" "" { Generic "//vmware-host/Shared Folders/Repos/RAM2E/cpld/" { { 1 { 0 "Router estimated peak interconnect usage is 18% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} { { 11 { 0 "Router estimated peak interconnect usage is 18% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} 0 0 9 6 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Quartus II" 0 -1 1590959455415 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1590959455415 ""}
|
||||
{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:01 " "Fitter routing operations ending: elapsed time is 00:00:01" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1590959455665 ""}
|
||||
{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "0.28 " "Total time spent on timing analysis during the Fitter is 0.28 seconds." { } { } 0 11888 "Total time spent on timing analysis during the Fitter is %1!s! seconds." 0 0 "Fitter" 0 -1 1590959455696 ""}
|
||||
{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:00 " "Fitter post-fit operations ending: elapsed time is 00:00:00" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1590959455712 ""}
|
||||
{ "Warning" "WFITCC_FITCC_IGNORED_ASSIGNMENT" "" "Found invalid Fitter assignments. See the Ignored Assignments panel in the Fitter Compilation Report for more information." { } { } 0 171167 "Found invalid Fitter assignments. See the Ignored Assignments panel in the Fitter Compilation Report for more information." 0 0 "Fitter" 0 -1 1590959455728 ""}
|
||||
{ "Warning" "WFIOMGR_RESERVE_ASSIGNMENT_FOR_UNUSED_PINS_IS_DEFAULT" "As output driving ground " "The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'." { } { } 0 169174 "The Reserve All Unused Pins setting has not been specified, and will default to '%1!s!'." 0 0 "Fitter" 0 -1 1590959455743 ""}
|
||||
{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "/Repos/RAM2E/cpld/output_files/RAM2E.fit.smsg " "Generated suppressed messages file /Repos/RAM2E/cpld/output_files/RAM2E.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1590959455946 ""}
|
||||
{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 5 s Quartus II 32-bit " "Quartus II 32-bit Fitter was successful. 0 errors, 5 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "366 " "Peak virtual memory: 366 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1590959457103 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun May 31 17:10:56 2020 " "Processing ended: Sun May 31 17:10:56 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1590959457103 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:07 " "Elapsed time: 00:00:07" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1590959457103 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:06 " "Total CPU time (on all processors): 00:00:06" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1590959457103 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1590959457103 ""}
|
39
cpld/db/RAM2E.fit.qmsg
Executable file
39
cpld/db/RAM2E.fit.qmsg
Executable file
@ -0,0 +1,39 @@
|
||||
{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Fitter" 0 -1 1591131663188 ""}
|
||||
{ "Info" "IMPP_MPP_USER_DEVICE" "RAM2E EPM240T100C5 " "Selected device EPM240T100C5 for design \"RAM2E\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1591131663191 ""}
|
||||
{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1591131663220 ""}
|
||||
{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1591131663220 ""}
|
||||
{ "Info" "IFITCC_FITCC_INFO_STANDARD_FIT_COMPILATION_ON" "" "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" { } { } 0 171004 "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" 0 0 "Fitter" 0 -1 1591131663252 ""}
|
||||
{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1591131663258 ""}
|
||||
{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100I5 " "Device EPM240T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1591131663331 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100A5 " "Device EPM240T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1591131663331 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100C5 " "Device EPM570T100C5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1591131663331 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100I5 " "Device EPM570T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1591131663331 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100A5 " "Device EPM570T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1591131663331 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1591131663331 ""}
|
||||
{ "Info" "ISTA_SDC_FOUND" "constraints.sdc " "Reading SDC File: 'constraints.sdc'" { } { } 0 332104 "Reading SDC File: '%1!s!'" 0 0 "Fitter" 0 -1 1591131663392 ""}
|
||||
{ "Warning" "WSTA_NODE_FOUND_WITHOUT_CLOCK_ASSIGNMENT" "DRCLK " "Node: DRCLK was determined to be a clock but was found without an associated clock assignment." { } { } 0 332060 "Node: %1!s! was determined to be a clock but was found without an associated clock assignment." 0 0 "Fitter" 0 -1 1591131663402 "|RAM2E|DRCLK"}
|
||||
{ "Warning" "WSTA_NODE_FOUND_WITHOUT_CLOCK_ASSIGNMENT" "ARCLK " "Node: ARCLK was determined to be a clock but was found without an associated clock assignment." { } { } 0 332060 "Node: %1!s! was determined to be a clock but was found without an associated clock assignment." 0 0 "Fitter" 0 -1 1591131663402 "|RAM2E|ARCLK"}
|
||||
{ "Info" "ISTA_USER_TDC_OPTIMIZATION_GOALS" "" "Detected timing requirements -- optimizing circuit to achieve only the specified requirements" { } { } 0 332129 "Detected timing requirements -- optimizing circuit to achieve only the specified requirements" 0 0 "Fitter" 0 -1 1591131663404 ""}
|
||||
{ "Info" "ISTA_REPORT_CLOCKS_INFO" "Found 1 clocks " "Found 1 clocks" { { "Info" "ISTA_REPORT_CLOCKS_INFO" " Period Clock Name " " Period Clock Name" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1591131663404 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" "======== ============ " "======== ============" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1591131663404 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 69.841 C14M " " 69.841 C14M" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1591131663404 ""} } { } 0 332111 "%1!s!" 0 0 "Fitter" 0 -1 1591131663404 ""}
|
||||
{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1591131663406 ""}
|
||||
{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1591131663406 ""}
|
||||
{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "User Assigned Global Signals Promotion Operation " "Completed User Assigned Global Signals Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1591131663409 ""}
|
||||
{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_ALL_TO_GLOBAL" "C14M Global clock in PIN 12 " "Automatically promoted signal \"C14M\" to use Global clock in PIN 12" { } { { "RAM2E.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 8 -1 0 } } } 0 186215 "Automatically promoted signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1591131663413 ""}
|
||||
{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "Auto Global Promotion Operation " "Completed Auto Global Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1591131663413 ""}
|
||||
{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_FYGR_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176234 "Starting register packing" 0 0 "Fitter" 0 -1 1591131663415 ""}
|
||||
{ "Info" "IFYGR_FYGR_INFO_AUTO_MODE_REGISTER_PACKING" "Auto Normal " "Fitter is using Normal packing mode for logic elements with Auto setting for Auto Packed Registers logic option" { } { } 0 186391 "Fitter is using %2!s! packing mode for logic elements with %1!s! setting for Auto Packed Registers logic option" 0 0 "Fitter" 0 -1 1591131663434 ""}
|
||||
{ "Extra Info" "IFSAC_FSAC_START_LUT_PACKING" "" "Moving registers into LUTs to improve timing and density" { } { } 1 176244 "Moving registers into LUTs to improve timing and density" 1 0 "Fitter" 0 -1 1591131663434 ""}
|
||||
{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_HEADER" "" "Started processing fast register assignments" { } { } 0 186468 "Started processing fast register assignments" 0 0 "Fitter" 0 -1 1591131663465 ""}
|
||||
{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_FOOTER" "" "Finished processing fast register assignments" { } { } 0 186469 "Finished processing fast register assignments" 0 0 "Fitter" 0 -1 1591131663466 ""}
|
||||
{ "Extra Info" "IFSAC_FSAC_FINISH_LUT_PACKING" "00:00:00 " "Finished moving registers into LUTs: elapsed time is 00:00:00" { } { } 1 176245 "Finished moving registers into LUTs: elapsed time is %1!s!" 1 0 "Fitter" 0 -1 1591131663466 ""}
|
||||
{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1591131663466 ""}
|
||||
{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:00 " "Fitter preparation operations ending: elapsed time is 00:00:00" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1591131663490 ""}
|
||||
{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1591131663565 ""}
|
||||
{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1591131663722 ""}
|
||||
{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1591131663728 ""}
|
||||
{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1591131663956 ""}
|
||||
{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:00 " "Fitter placement operations ending: elapsed time is 00:00:00" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1591131663956 ""}
|
||||
{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1591131663986 ""}
|
||||
{ "Info" "IFITAPI_FITAPI_VPR_STATUS_DELAY_ADDED_FOR_HOLD" "4e+01 ns 2.7% " "4e+01 ns of routing delay (approximately 2.7% of available device routing delay) has been added to meet hold timing. For more information, refer to the Estimated Delay Added for Hold Timing section in the Fitter report." { } { } 0 170089 "%1!s! of routing delay (approximately %2!s! of available device routing delay) has been added to meet hold timing. For more information, refer to the Estimated Delay Added for Hold Timing section in the Fitter report." 0 0 "Fitter" 0 -1 1591131664101 ""}
|
||||
{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "19 " "Router estimated average interconnect usage is 19% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "19 X0_Y0 X8_Y5 " "Router estimated peak interconnect usage is 19% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5" { } { { "loc" "" { Generic "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/" { { 1 { 0 "Router estimated peak interconnect usage is 19% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} { { 11 { 0 "Router estimated peak interconnect usage is 19% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} 0 0 9 6 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Quartus II" 0 -1 1591131664119 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1591131664119 ""}
|
||||
{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:00 " "Fitter routing operations ending: elapsed time is 00:00:00" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1591131664186 ""}
|
||||
{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "0.14 " "Total time spent on timing analysis during the Fitter is 0.14 seconds." { } { } 0 11888 "Total time spent on timing analysis during the Fitter is %1!s! seconds." 0 0 "Fitter" 0 -1 1591131664192 ""}
|
||||
{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:00 " "Fitter post-fit operations ending: elapsed time is 00:00:00" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1591131664195 ""}
|
||||
{ "Warning" "WFIOMGR_RESERVE_ASSIGNMENT_FOR_UNUSED_PINS_IS_DEFAULT" "As output driving ground " "The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'." { } { } 0 169174 "The Reserve All Unused Pins setting has not been specified, and will default to '%1!s!'." 0 0 "Fitter" 0 -1 1591131664216 ""}
|
||||
{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/output_files/RAM2E.fit.smsg " "Generated suppressed messages file C:/Users/Zane/Documents/GitHub/RAM2E/cpld/output_files/RAM2E.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1591131664260 ""}
|
||||
{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 5 s Quartus II 64-Bit " "Quartus II 64-Bit Fitter was successful. 0 errors, 5 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4772 " "Peak virtual memory: 4772 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1591131664332 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Jun 02 17:01:04 2020 " "Processing ended: Tue Jun 02 17:01:04 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1591131664332 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:02 " "Elapsed time: 00:00:02" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1591131664332 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1591131664332 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1591131664332 ""}
|
344
cpld/db/RAM2E.hier_info
Executable file
344
cpld/db/RAM2E.hier_info
Executable file
@ -0,0 +1,344 @@
|
||||
|RAM2E
|
||||
C14M => CmdTout[0].CLK
|
||||
C14M => CmdTout[1].CLK
|
||||
C14M => CmdTout[2].CLK
|
||||
C14M => RWMaskSet.CLK
|
||||
C14M => UFMBitbang.CLK
|
||||
C14M => UFMEraseEN.CLK
|
||||
C14M => UFMPrgmEN.CLK
|
||||
C14M => SetRWBankFF.CLK
|
||||
C14M => CS[0].CLK
|
||||
C14M => CS[1].CLK
|
||||
C14M => CS[2].CLK
|
||||
C14M => RWBank[0].CLK
|
||||
C14M => RWBank[1].CLK
|
||||
C14M => RWBank[2].CLK
|
||||
C14M => RWBank[3].CLK
|
||||
C14M => RWBank[4].CLK
|
||||
C14M => RWBank[5].CLK
|
||||
C14M => RWBank[6].CLK
|
||||
C14M => RWBank[7].CLK
|
||||
C14M => RWSel.CLK
|
||||
C14M => Ready.CLK
|
||||
C14M => DQMH~reg0.CLK
|
||||
C14M => DQML~reg0.CLK
|
||||
C14M => BA[0]~reg0.CLK
|
||||
C14M => BA[1]~reg0.CLK
|
||||
C14M => CKE~reg0.CLK
|
||||
C14M => RA[0]~reg0.CLK
|
||||
C14M => RA[1]~reg0.CLK
|
||||
C14M => RA[2]~reg0.CLK
|
||||
C14M => RA[3]~reg0.CLK
|
||||
C14M => RA[4]~reg0.CLK
|
||||
C14M => RA[5]~reg0.CLK
|
||||
C14M => RA[6]~reg0.CLK
|
||||
C14M => RA[7]~reg0.CLK
|
||||
C14M => RA[8]~reg0.CLK
|
||||
C14M => RA[9]~reg0.CLK
|
||||
C14M => RA[10]~reg0.CLK
|
||||
C14M => RA[11]~reg0.CLK
|
||||
C14M => nRWE~reg0.CLK
|
||||
C14M => nCAS~reg0.CLK
|
||||
C14M => nRAS~reg0.CLK
|
||||
C14M => nCS~reg0.CLK
|
||||
C14M => DRCLKPulse.CLK
|
||||
C14M => UFMProgram.CLK
|
||||
C14M => UFMErase.CLK
|
||||
C14M => UFMReqErase.CLK
|
||||
C14M => RWMask[0].CLK
|
||||
C14M => RWMask[1].CLK
|
||||
C14M => RWMask[2].CLK
|
||||
C14M => RWMask[3].CLK
|
||||
C14M => RWMask[4].CLK
|
||||
C14M => RWMask[5].CLK
|
||||
C14M => RWMask[6].CLK
|
||||
C14M => RWMask[7].CLK
|
||||
C14M => UFMInitDone.CLK
|
||||
C14M => UFMD[8].CLK
|
||||
C14M => UFMD[9].CLK
|
||||
C14M => UFMD[10].CLK
|
||||
C14M => UFMD[11].CLK
|
||||
C14M => UFMD[12].CLK
|
||||
C14M => UFMD[13].CLK
|
||||
C14M => UFMD[14].CLK
|
||||
C14M => DRShift.CLK
|
||||
C14M => DRDIn.CLK
|
||||
C14M => ARShift.CLK
|
||||
C14M => DRCLK.CLK
|
||||
C14M => ARCLK.CLK
|
||||
C14M => RTPBusyReg.CLK
|
||||
C14M => UFMBusyReg.CLK
|
||||
C14M => S[0].CLK
|
||||
C14M => S[1].CLK
|
||||
C14M => S[2].CLK
|
||||
C14M => S[3].CLK
|
||||
C14M => PHI1reg.CLK
|
||||
C14M => FS[0].CLK
|
||||
C14M => FS[1].CLK
|
||||
C14M => FS[2].CLK
|
||||
C14M => FS[3].CLK
|
||||
C14M => FS[4].CLK
|
||||
C14M => FS[5].CLK
|
||||
C14M => FS[6].CLK
|
||||
C14M => FS[7].CLK
|
||||
C14M => FS[8].CLK
|
||||
C14M => FS[9].CLK
|
||||
C14M => FS[10].CLK
|
||||
C14M => FS[11].CLK
|
||||
C14M => FS[12].CLK
|
||||
C14M => FS[13].CLK
|
||||
C14M => FS[14].CLK
|
||||
C14M => FS[15].CLK
|
||||
C14M => Dout[0]~reg0.CLK
|
||||
C14M => Dout[1]~reg0.CLK
|
||||
C14M => Dout[2]~reg0.CLK
|
||||
C14M => Dout[3]~reg0.CLK
|
||||
C14M => Dout[4]~reg0.CLK
|
||||
C14M => Dout[5]~reg0.CLK
|
||||
C14M => Dout[6]~reg0.CLK
|
||||
C14M => Dout[7]~reg0.CLK
|
||||
C14M => Vout[0]~reg0.CLK
|
||||
C14M => Vout[1]~reg0.CLK
|
||||
C14M => Vout[2]~reg0.CLK
|
||||
C14M => Vout[3]~reg0.CLK
|
||||
C14M => Vout[4]~reg0.CLK
|
||||
C14M => Vout[5]~reg0.CLK
|
||||
C14M => Vout[6]~reg0.CLK
|
||||
C14M => Vout[7]~reg0.CLK
|
||||
PHI1 => S.IN1
|
||||
PHI1 => PHI1reg.DATAIN
|
||||
PHI1 => nVOE.DATAIN
|
||||
nWE => comb.IN0
|
||||
nWE => RWSel.IN1
|
||||
nWE80 => nRWE.DATAB
|
||||
nWE80 => RDOE.IN0
|
||||
nEN80 => comb.IN1
|
||||
nEN80 => RDOE.IN1
|
||||
nC07X => RWSel.IN1
|
||||
Ain[0] => RA.DATAB
|
||||
Ain[0] => RA.DATAB
|
||||
Ain[0] => RA.DATAB
|
||||
Ain[0] => RA.DATAB
|
||||
Ain[0] => RA.DATAB
|
||||
Ain[1] => RA.DATAB
|
||||
Ain[1] => RA.DATAB
|
||||
Ain[1] => RA.DATAB
|
||||
Ain[1] => RA.DATAB
|
||||
Ain[1] => RA.DATAB
|
||||
Ain[2] => RA.DATAB
|
||||
Ain[2] => RA.DATAB
|
||||
Ain[2] => RA.DATAB
|
||||
Ain[2] => RA.DATAB
|
||||
Ain[2] => RA.DATAB
|
||||
Ain[3] => RA.DATAB
|
||||
Ain[3] => RA.DATAB
|
||||
Ain[3] => RA.DATAB
|
||||
Ain[3] => RA.DATAB
|
||||
Ain[3] => RA.DATAB
|
||||
Ain[4] => RA.DATAB
|
||||
Ain[4] => RA.DATAB
|
||||
Ain[4] => RA.DATAB
|
||||
Ain[4] => RA.DATAB
|
||||
Ain[4] => RA.DATAB
|
||||
Ain[5] => RA.DATAB
|
||||
Ain[5] => RA.DATAB
|
||||
Ain[5] => RA.DATAB
|
||||
Ain[5] => RA.DATAB
|
||||
Ain[5] => RA.DATAB
|
||||
Ain[6] => RA.DATAB
|
||||
Ain[6] => RA.DATAB
|
||||
Ain[6] => RA.DATAB
|
||||
Ain[6] => RA.DATAB
|
||||
Ain[6] => RA.DATAB
|
||||
Ain[7] => RA.DATAB
|
||||
Ain[7] => RA.DATAB
|
||||
Ain[7] => RA.DATAB
|
||||
Ain[7] => RA.DATAB
|
||||
Ain[7] => RA.DATAB
|
||||
Din[0] => RWBank.IN1
|
||||
Din[0] => RD[0].DATAIN
|
||||
Din[0] => RWMask.DATAB
|
||||
Din[0] => Equal29.IN7
|
||||
Din[0] => Equal31.IN3
|
||||
Din[0] => Equal33.IN7
|
||||
Din[0] => Equal35.IN2
|
||||
Din[0] => Equal37.IN4
|
||||
Din[0] => Equal39.IN7
|
||||
Din[0] => Equal40.IN6
|
||||
Din[0] => Equal41.IN7
|
||||
Din[0] => Equal42.IN7
|
||||
Din[0] => Equal43.IN7
|
||||
Din[1] => RWBank.IN1
|
||||
Din[1] => RD[1].DATAIN
|
||||
Din[1] => RWMask.DATAB
|
||||
Din[1] => Equal29.IN6
|
||||
Din[1] => Equal31.IN7
|
||||
Din[1] => Equal33.IN3
|
||||
Din[1] => Equal35.IN7
|
||||
Din[1] => Equal37.IN7
|
||||
Din[1] => Equal39.IN6
|
||||
Din[1] => Equal40.IN5
|
||||
Din[1] => Equal41.IN5
|
||||
Din[1] => Equal42.IN4
|
||||
Din[1] => Equal43.IN6
|
||||
Din[2] => RWBank.IN1
|
||||
Din[2] => RD[2].DATAIN
|
||||
Din[2] => RWMask.DATAB
|
||||
Din[2] => Equal29.IN5
|
||||
Din[2] => Equal31.IN2
|
||||
Din[2] => Equal33.IN6
|
||||
Din[2] => Equal35.IN6
|
||||
Din[2] => Equal37.IN3
|
||||
Din[2] => Equal39.IN5
|
||||
Din[2] => Equal40.IN4
|
||||
Din[2] => Equal41.IN4
|
||||
Din[2] => Equal42.IN6
|
||||
Din[2] => Equal43.IN5
|
||||
Din[3] => RWBank.IN1
|
||||
Din[3] => RD[3].DATAIN
|
||||
Din[3] => RWMask.DATAB
|
||||
Din[3] => Equal29.IN4
|
||||
Din[3] => Equal31.IN6
|
||||
Din[3] => Equal33.IN2
|
||||
Din[3] => Equal35.IN5
|
||||
Din[3] => Equal37.IN2
|
||||
Din[3] => Equal39.IN4
|
||||
Din[3] => Equal40.IN3
|
||||
Din[3] => Equal41.IN3
|
||||
Din[3] => Equal42.IN3
|
||||
Din[3] => Equal43.IN4
|
||||
Din[4] => RWBank.IN1
|
||||
Din[4] => RD[4].DATAIN
|
||||
Din[4] => RWMask.DATAB
|
||||
Din[4] => Equal29.IN3
|
||||
Din[4] => Equal31.IN1
|
||||
Din[4] => Equal33.IN5
|
||||
Din[4] => Equal35.IN4
|
||||
Din[4] => Equal37.IN6
|
||||
Din[4] => Equal39.IN3
|
||||
Din[4] => Equal40.IN7
|
||||
Din[4] => Equal41.IN6
|
||||
Din[4] => Equal42.IN5
|
||||
Din[4] => Equal43.IN3
|
||||
Din[5] => RWBank.IN1
|
||||
Din[5] => RD[5].DATAIN
|
||||
Din[5] => RWMask.DATAB
|
||||
Din[5] => Equal29.IN2
|
||||
Din[5] => Equal31.IN5
|
||||
Din[5] => Equal33.IN1
|
||||
Din[5] => Equal35.IN3
|
||||
Din[5] => Equal37.IN1
|
||||
Din[5] => Equal39.IN2
|
||||
Din[5] => Equal40.IN2
|
||||
Din[5] => Equal41.IN2
|
||||
Din[5] => Equal42.IN2
|
||||
Din[5] => Equal43.IN2
|
||||
Din[6] => DRDIn.DATAB
|
||||
Din[6] => RWBank.IN1
|
||||
Din[6] => RD[6].DATAIN
|
||||
Din[6] => RWMask.DATAB
|
||||
Din[6] => Equal29.IN1
|
||||
Din[6] => Equal31.IN0
|
||||
Din[6] => Equal33.IN4
|
||||
Din[6] => Equal35.IN1
|
||||
Din[6] => Equal37.IN5
|
||||
Din[6] => Equal39.IN1
|
||||
Din[6] => Equal40.IN1
|
||||
Din[6] => Equal41.IN1
|
||||
Din[6] => Equal42.IN1
|
||||
Din[6] => Equal43.IN1
|
||||
Din[7] => DRCLKPulse.DATAB
|
||||
Din[7] => RWMask.DATAB
|
||||
Din[7] => RWBank.IN1
|
||||
Din[7] => RD[7].DATAIN
|
||||
Din[7] => Equal29.IN0
|
||||
Din[7] => Equal31.IN4
|
||||
Din[7] => Equal33.IN0
|
||||
Din[7] => Equal35.IN0
|
||||
Din[7] => Equal37.IN0
|
||||
Din[7] => Equal39.IN0
|
||||
Din[7] => Equal40.IN0
|
||||
Din[7] => Equal41.IN0
|
||||
Din[7] => Equal42.IN0
|
||||
Din[7] => Equal43.IN0
|
||||
Dout[0] <= Dout[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE
|
||||
Dout[1] <= Dout[1]~reg0.DB_MAX_OUTPUT_PORT_TYPE
|
||||
Dout[2] <= Dout[2]~reg0.DB_MAX_OUTPUT_PORT_TYPE
|
||||
Dout[3] <= Dout[3]~reg0.DB_MAX_OUTPUT_PORT_TYPE
|
||||
Dout[4] <= Dout[4]~reg0.DB_MAX_OUTPUT_PORT_TYPE
|
||||
Dout[5] <= Dout[5]~reg0.DB_MAX_OUTPUT_PORT_TYPE
|
||||
Dout[6] <= Dout[6]~reg0.DB_MAX_OUTPUT_PORT_TYPE
|
||||
Dout[7] <= Dout[7]~reg0.DB_MAX_OUTPUT_PORT_TYPE
|
||||
nDOE <= comb.DB_MAX_OUTPUT_PORT_TYPE
|
||||
Vout[0] <= Vout[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE
|
||||
Vout[1] <= Vout[1]~reg0.DB_MAX_OUTPUT_PORT_TYPE
|
||||
Vout[2] <= Vout[2]~reg0.DB_MAX_OUTPUT_PORT_TYPE
|
||||
Vout[3] <= Vout[3]~reg0.DB_MAX_OUTPUT_PORT_TYPE
|
||||
Vout[4] <= Vout[4]~reg0.DB_MAX_OUTPUT_PORT_TYPE
|
||||
Vout[5] <= Vout[5]~reg0.DB_MAX_OUTPUT_PORT_TYPE
|
||||
Vout[6] <= Vout[6]~reg0.DB_MAX_OUTPUT_PORT_TYPE
|
||||
Vout[7] <= Vout[7]~reg0.DB_MAX_OUTPUT_PORT_TYPE
|
||||
nVOE <= PHI1.DB_MAX_OUTPUT_PORT_TYPE
|
||||
CKE <= CKE~reg0.DB_MAX_OUTPUT_PORT_TYPE
|
||||
nCS <= nCS~reg0.DB_MAX_OUTPUT_PORT_TYPE
|
||||
nRAS <= nRAS~reg0.DB_MAX_OUTPUT_PORT_TYPE
|
||||
nCAS <= nCAS~reg0.DB_MAX_OUTPUT_PORT_TYPE
|
||||
nRWE <= nRWE~reg0.DB_MAX_OUTPUT_PORT_TYPE
|
||||
BA[0] <= BA[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE
|
||||
BA[1] <= BA[1]~reg0.DB_MAX_OUTPUT_PORT_TYPE
|
||||
RA[0] <= RA[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE
|
||||
RA[1] <= RA[1]~reg0.DB_MAX_OUTPUT_PORT_TYPE
|
||||
RA[2] <= RA[2]~reg0.DB_MAX_OUTPUT_PORT_TYPE
|
||||
RA[3] <= RA[3]~reg0.DB_MAX_OUTPUT_PORT_TYPE
|
||||
RA[4] <= RA[4]~reg0.DB_MAX_OUTPUT_PORT_TYPE
|
||||
RA[5] <= RA[5]~reg0.DB_MAX_OUTPUT_PORT_TYPE
|
||||
RA[6] <= RA[6]~reg0.DB_MAX_OUTPUT_PORT_TYPE
|
||||
RA[7] <= RA[7]~reg0.DB_MAX_OUTPUT_PORT_TYPE
|
||||
RA[8] <= RA[8]~reg0.DB_MAX_OUTPUT_PORT_TYPE
|
||||
RA[9] <= RA[9]~reg0.DB_MAX_OUTPUT_PORT_TYPE
|
||||
RA[10] <= RA[10]~reg0.DB_MAX_OUTPUT_PORT_TYPE
|
||||
RA[11] <= RA[11]~reg0.DB_MAX_OUTPUT_PORT_TYPE
|
||||
RD[0] <> RD[0]
|
||||
RD[1] <> RD[1]
|
||||
RD[2] <> RD[2]
|
||||
RD[3] <> RD[3]
|
||||
RD[4] <> RD[4]
|
||||
RD[5] <> RD[5]
|
||||
RD[6] <> RD[6]
|
||||
RD[7] <> RD[7]
|
||||
DQML <= DQML~reg0.DB_MAX_OUTPUT_PORT_TYPE
|
||||
DQMH <= DQMH~reg0.DB_MAX_OUTPUT_PORT_TYPE
|
||||
|
||||
|
||||
|RAM2E|UFM:UFM_inst
|
||||
arclk => arclk.IN1
|
||||
ardin => ardin.IN1
|
||||
arshft => arshft.IN1
|
||||
drclk => drclk.IN1
|
||||
drdin => drdin.IN1
|
||||
drshft => drshft.IN1
|
||||
erase => erase.IN1
|
||||
oscena => oscena.IN1
|
||||
program => program.IN1
|
||||
busy <= UFM_altufm_none_a7r:UFM_altufm_none_a7r_component.busy
|
||||
drdout <= UFM_altufm_none_a7r:UFM_altufm_none_a7r_component.drdout
|
||||
osc <= UFM_altufm_none_a7r:UFM_altufm_none_a7r_component.osc
|
||||
rtpbusy <= UFM_altufm_none_a7r:UFM_altufm_none_a7r_component.rtpbusy
|
||||
|
||||
|
||||
|RAM2E|UFM:UFM_inst|UFM_altufm_none_a7r:UFM_altufm_none_a7r_component
|
||||
arclk => maxii_ufm_block1.ARCLK
|
||||
ardin => maxii_ufm_block1.ARDIN
|
||||
arshft => maxii_ufm_block1.ARSHFT
|
||||
busy <= maxii_ufm_block1.BUSY
|
||||
drclk => maxii_ufm_block1.DRCLK
|
||||
drdin => maxii_ufm_block1.DRDIN
|
||||
drdout <= maxii_ufm_block1.DRDOUT
|
||||
drshft => maxii_ufm_block1.DRSHFT
|
||||
erase => maxii_ufm_block1.ERASE
|
||||
osc <= maxii_ufm_block1.OSC
|
||||
oscena => maxii_ufm_block1.OSCENA
|
||||
program => maxii_ufm_block1.PROGRAM
|
||||
rtpbusy <= maxii_ufm_block1.BGPBUSY
|
||||
|
||||
|
BIN
cpld/db/RAM2E.hif
Executable file
BIN
cpld/db/RAM2E.hif
Executable file
Binary file not shown.
BIN
cpld/db/RAM2E.ipinfo
Executable file
BIN
cpld/db/RAM2E.ipinfo
Executable file
Binary file not shown.
50
cpld/db/RAM2E.lpc.html
Executable file
50
cpld/db/RAM2E.lpc.html
Executable file
@ -0,0 +1,50 @@
|
||||
<TABLE>
|
||||
<TR bgcolor="#C0C0C0">
|
||||
<TH>Hierarchy</TH>
|
||||
<TH>Input</TH>
|
||||
<TH>Constant Input</TH>
|
||||
<TH>Unused Input</TH>
|
||||
<TH>Floating Input</TH>
|
||||
<TH>Output</TH>
|
||||
<TH>Constant Output</TH>
|
||||
<TH>Unused Output</TH>
|
||||
<TH>Floating Output</TH>
|
||||
<TH>Bidir</TH>
|
||||
<TH>Constant Bidir</TH>
|
||||
<TH>Unused Bidir</TH>
|
||||
<TH>Input only Bidir</TH>
|
||||
<TH>Output only Bidir</TH>
|
||||
</TR>
|
||||
<TR >
|
||||
<TD >UFM_inst|UFM_altufm_none_a7r_component</TD>
|
||||
<TD >9</TD>
|
||||
<TD >0</TD>
|
||||
<TD >0</TD>
|
||||
<TD >0</TD>
|
||||
<TD >4</TD>
|
||||
<TD >0</TD>
|
||||
<TD >0</TD>
|
||||
<TD >0</TD>
|
||||
<TD >0</TD>
|
||||
<TD >0</TD>
|
||||
<TD >0</TD>
|
||||
<TD >0</TD>
|
||||
<TD >0</TD>
|
||||
</TR>
|
||||
<TR >
|
||||
<TD >UFM_inst</TD>
|
||||
<TD >9</TD>
|
||||
<TD >2</TD>
|
||||
<TD >0</TD>
|
||||
<TD >2</TD>
|
||||
<TD >4</TD>
|
||||
<TD >2</TD>
|
||||
<TD >2</TD>
|
||||
<TD >2</TD>
|
||||
<TD >0</TD>
|
||||
<TD >0</TD>
|
||||
<TD >0</TD>
|
||||
<TD >0</TD>
|
||||
<TD >0</TD>
|
||||
</TR>
|
||||
</TABLE>
|
BIN
cpld/db/RAM2E.lpc.rdb
Executable file
BIN
cpld/db/RAM2E.lpc.rdb
Executable file
Binary file not shown.
8
cpld/db/RAM2E.lpc.txt
Executable file
8
cpld/db/RAM2E.lpc.txt
Executable file
@ -0,0 +1,8 @@
|
||||
+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
|
||||
; Legal Partition Candidates ;
|
||||
+----------------------------------------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+
|
||||
; Hierarchy ; Input ; Constant Input ; Unused Input ; Floating Input ; Output ; Constant Output ; Unused Output ; Floating Output ; Bidir ; Constant Bidir ; Unused Bidir ; Input only Bidir ; Output only Bidir ;
|
||||
+----------------------------------------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+
|
||||
; UFM_inst|UFM_altufm_none_a7r_component ; 9 ; 0 ; 0 ; 0 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ;
|
||||
; UFM_inst ; 9 ; 2 ; 0 ; 2 ; 4 ; 2 ; 2 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ;
|
||||
+----------------------------------------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+
|
BIN
cpld/db/RAM2E.map 2.cdb
Executable file
BIN
cpld/db/RAM2E.map 2.cdb
Executable file
Binary file not shown.
BIN
cpld/db/RAM2E.map 2.hdb
Executable file
BIN
cpld/db/RAM2E.map 2.hdb
Executable file
Binary file not shown.
BIN
cpld/db/RAM2E.map 2.rdb
Executable file
BIN
cpld/db/RAM2E.map 2.rdb
Executable file
Binary file not shown.
BIN
cpld/db/RAM2E.map 3.cdb
Normal file
BIN
cpld/db/RAM2E.map 3.cdb
Normal file
Binary file not shown.
BIN
cpld/db/RAM2E.map 3.hdb
Normal file
BIN
cpld/db/RAM2E.map 3.hdb
Normal file
Binary file not shown.
BIN
cpld/db/RAM2E.map 3.rdb
Normal file
BIN
cpld/db/RAM2E.map 3.rdb
Normal file
Binary file not shown.
BIN
cpld/db/RAM2E.map 4.cdb
Normal file
BIN
cpld/db/RAM2E.map 4.cdb
Normal file
Binary file not shown.
BIN
cpld/db/RAM2E.map 4.hdb
Normal file
BIN
cpld/db/RAM2E.map 4.hdb
Normal file
Binary file not shown.
BIN
cpld/db/RAM2E.map 4.rdb
Normal file
BIN
cpld/db/RAM2E.map 4.rdb
Normal file
Binary file not shown.
BIN
cpld/db/RAM2E.map 5.cdb
Normal file
BIN
cpld/db/RAM2E.map 5.cdb
Normal file
Binary file not shown.
BIN
cpld/db/RAM2E.map.cdb
Executable file
BIN
cpld/db/RAM2E.map.cdb
Executable file
Binary file not shown.
BIN
cpld/db/RAM2E.map.hdb
Executable file
BIN
cpld/db/RAM2E.map.hdb
Executable file
Binary file not shown.
1
cpld/db/RAM2E.map.logdb
Executable file
1
cpld/db/RAM2E.map.logdb
Executable file
@ -0,0 +1 @@
|
||||
v1
|
19
cpld/db/RAM2E.map.qmsg
Executable file
19
cpld/db/RAM2E.map.qmsg
Executable file
@ -0,0 +1,19 @@
|
||||
{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1591131661124 ""}
|
||||
{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II 64-Bit " "Running Quartus II 64-Bit Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1591131661125 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue Jun 02 17:01:01 2020 " "Processing started: Tue Jun 02 17:01:01 2020" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1591131661125 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1591131661125 ""}
|
||||
{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off RAM2E -c RAM2E " "Command: quartus_map --read_settings_files=on --write_settings_files=off RAM2E -c RAM2E" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1591131661125 ""}
|
||||
{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1591131661364 ""}
|
||||
{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "RAM2E.v(37) " "Verilog HDL warning at RAM2E.v(37): extended using \"x\" or \"z\"" { } { { "RAM2E.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 37 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Quartus II" 0 -1 1591131661398 ""}
|
||||
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "ram2e.v 1 1 " "Found 1 design units, including 1 entities, in source file ram2e.v" { { "Info" "ISGN_ENTITY_NAME" "1 RAM2E " "Found entity 1: RAM2E" { } { { "RAM2E.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 1 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1591131661400 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1591131661400 ""}
|
||||
{ "Warning" "WVRFX_L2_VERI_ID_IS_SV_KEYWORD" "program UFM.v(72) " "Verilog HDL Declaration warning at UFM.v(72): \"program\" is SystemVerilog-2005 keyword" { } { { "UFM.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/UFM.v" 72 0 0 } } } 0 10463 "Verilog HDL Declaration warning at %2!s!: \"%1!s!\" is SystemVerilog-2005 keyword" 1 0 "Quartus II" 0 -1 1591131661445 ""}
|
||||
{ "Warning" "WVRFX_L2_VERI_ID_IS_SV_KEYWORD" "program UFM.v(188) " "Verilog HDL Declaration warning at UFM.v(188): \"program\" is SystemVerilog-2005 keyword" { } { { "UFM.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/UFM.v" 188 0 0 } } } 0 10463 "Verilog HDL Declaration warning at %2!s!: \"%1!s!\" is SystemVerilog-2005 keyword" 1 0 "Quartus II" 0 -1 1591131661445 ""}
|
||||
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "ufm.v 2 2 " "Found 2 design units, including 2 entities, in source file ufm.v" { { "Info" "ISGN_ENTITY_NAME" "1 UFM_altufm_none_a7r " "Found entity 1: UFM_altufm_none_a7r" { } { { "UFM.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/UFM.v" 46 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1591131661445 ""} { "Info" "ISGN_ENTITY_NAME" "2 UFM " "Found entity 2: UFM" { } { { "UFM.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/UFM.v" 165 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1591131661445 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1591131661445 ""}
|
||||
{ "Info" "ISGN_START_ELABORATION_TOP" "RAM2E " "Elaborating entity \"RAM2E\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Quartus II" 0 -1 1591131661478 ""}
|
||||
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 16 RAM2E.v(99) " "Verilog HDL assignment warning at RAM2E.v(99): truncated value with size 32 to match size of target (16)" { } { { "RAM2E.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 99 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1591131661481 "|RAM2E"}
|
||||
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 4 RAM2E.v(102) " "Verilog HDL assignment warning at RAM2E.v(102): truncated value with size 32 to match size of target (4)" { } { { "RAM2E.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 102 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1591131661481 "|RAM2E"}
|
||||
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 3 RAM2E.v(504) " "Verilog HDL assignment warning at RAM2E.v(504): truncated value with size 32 to match size of target (3)" { } { { "RAM2E.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 504 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1591131661481 "|RAM2E"}
|
||||
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 3 RAM2E.v(521) " "Verilog HDL assignment warning at RAM2E.v(521): truncated value with size 32 to match size of target (3)" { } { { "RAM2E.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 521 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1591131661481 "|RAM2E"}
|
||||
{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "UFM UFM:UFM_inst " "Elaborating entity \"UFM\" for hierarchy \"UFM:UFM_inst\"" { } { { "RAM2E.v" "UFM_inst" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 78 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1591131661483 ""}
|
||||
{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "UFM_altufm_none_a7r UFM:UFM_inst\|UFM_altufm_none_a7r:UFM_altufm_none_a7r_component " "Elaborating entity \"UFM_altufm_none_a7r\" for hierarchy \"UFM:UFM_inst\|UFM_altufm_none_a7r:UFM_altufm_none_a7r_component\"" { } { { "UFM.v" "UFM_altufm_none_a7r_component" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/UFM.v" 216 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1591131661485 ""}
|
||||
{ "Info" "ICUT_CUT_TM_SUMMARY" "263 " "Implemented 263 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "22 " "Implemented 22 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Quartus II" 0 -1 1591131662068 ""} { "Info" "ICUT_CUT_TM_OPINS" "39 " "Implemented 39 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Quartus II" 0 -1 1591131662068 ""} { "Info" "ICUT_CUT_TM_BIDIRS" "8 " "Implemented 8 bidirectional pins" { } { } 0 21060 "Implemented %1!d! bidirectional pins" 0 0 "Quartus II" 0 -1 1591131662068 ""} { "Info" "ICUT_CUT_TM_LCELLS" "193 " "Implemented 193 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Quartus II" 0 -1 1591131662068 ""} { "Info" "ICUT_CUT_TM_UFMS" "1 " "Implemented 1 User Flash Memory blocks" { } { } 0 21070 "Implemented %1!d! User Flash Memory blocks" 0 0 "Quartus II" 0 -1 1591131662068 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Quartus II" 0 -1 1591131662068 ""}
|
||||
{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/output_files/RAM2E.map.smsg " "Generated suppressed messages file C:/Users/Zane/Documents/GitHub/RAM2E/cpld/output_files/RAM2E.map.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Quartus II" 0 -1 1591131662109 ""}
|
||||
{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 5 s Quartus II 64-Bit " "Quartus II 64-Bit Analysis & Synthesis was successful. 0 errors, 5 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4574 " "Peak virtual memory: 4574 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1591131662156 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Jun 02 17:01:02 2020 " "Processing ended: Tue Jun 02 17:01:02 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1591131662156 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1591131662156 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1591131662156 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1591131662156 ""}
|
BIN
cpld/db/RAM2E.map.rdb
Executable file
BIN
cpld/db/RAM2E.map.rdb
Executable file
Binary file not shown.
5
cpld/db/RAM2E.pow.qmsg
Executable file
5
cpld/db/RAM2E.pow.qmsg
Executable file
@ -0,0 +1,5 @@
|
||||
{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1590186927656 ""}
|
||||
{ "Info" "IQEXE_START_BANNER_PRODUCT" "PowerPlay Power Analyzer Quartus II 32-bit " "Running Quartus II 32-bit PowerPlay Power Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1590186927656 ""} { "Info" "IQEXE_START_BANNER_TIME" "Fri May 22 18:35:27 2020 " "Processing started: Fri May 22 18:35:27 2020" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1590186927656 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1590186927656 ""}
|
||||
{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_pow --read_settings_files=off --write_settings_files=off RAM2E -c RAM2E " "Command: quartus_pow --read_settings_files=off --write_settings_files=off RAM2E -c RAM2E" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1590186927656 ""}
|
||||
{ "Error" "EPAN_QSTA_NOT_AVAILABLE" "" "PowerPlay Power Analyzer (quartus_pow) cannot be run. The required timing data is not available. Run TimeQuest Timing Analyzer (quartus_sta)." { } { } 0 215048 "PowerPlay Power Analyzer (quartus_pow) cannot be run. The required timing data is not available. Run TimeQuest Timing Analyzer (quartus_sta)." 0 0 "Quartus II" 0 -1 1590186929219 ""}
|
||||
{ "Error" "EQEXE_ERROR_COUNT" "PowerPlay Power Analyzer 1 0 s Quartus II 32-bit " "Quartus II 32-bit PowerPlay Power Analyzer was unsuccessful. 1 error, 0 warnings" { { "Error" "EQEXE_END_PEAK_VSIZE_MEMORY" "267 " "Peak virtual memory: 267 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1590186929578 ""} { "Error" "EQEXE_END_BANNER_TIME" "Fri May 22 18:35:29 2020 " "Processing ended: Fri May 22 18:35:29 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1590186929578 ""} { "Error" "EQEXE_ELAPSED_TIME" "00:00:02 " "Elapsed time: 00:00:02" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1590186929578 ""} { "Error" "EQEXE_ELAPSED_CPU_TIME" "00:00:02 " "Total CPU time (on all processors): 00:00:02" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1590186929578 ""} } { } 0 0 "%6!s! %1!s! was unsuccessful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1590186929578 ""}
|
BIN
cpld/db/RAM2E.pplq.rdb
Executable file
BIN
cpld/db/RAM2E.pplq.rdb
Executable file
Binary file not shown.
BIN
cpld/db/RAM2E.pre_map.hdb
Executable file
BIN
cpld/db/RAM2E.pre_map.hdb
Executable file
Binary file not shown.
BIN
cpld/db/RAM2E.pti_db_list.ddb
Executable file
BIN
cpld/db/RAM2E.pti_db_list.ddb
Executable file
Binary file not shown.
BIN
cpld/db/RAM2E.root_partition.map.reg_db.cdb
Executable file
BIN
cpld/db/RAM2E.root_partition.map.reg_db.cdb
Executable file
Binary file not shown.
BIN
cpld/db/RAM2E.routing.rdb
Executable file
BIN
cpld/db/RAM2E.routing.rdb
Executable file
Binary file not shown.
BIN
cpld/db/RAM2E.rtlv.hdb
Executable file
BIN
cpld/db/RAM2E.rtlv.hdb
Executable file
Binary file not shown.
BIN
cpld/db/RAM2E.rtlv_sg.cdb
Executable file
BIN
cpld/db/RAM2E.rtlv_sg.cdb
Executable file
Binary file not shown.
BIN
cpld/db/RAM2E.rtlv_sg_swap.cdb
Executable file
BIN
cpld/db/RAM2E.rtlv_sg_swap.cdb
Executable file
Binary file not shown.
BIN
cpld/db/RAM2E.sgdiff.cdb
Executable file
BIN
cpld/db/RAM2E.sgdiff.cdb
Executable file
Binary file not shown.
BIN
cpld/db/RAM2E.sgdiff.hdb
Executable file
BIN
cpld/db/RAM2E.sgdiff.hdb
Executable file
Binary file not shown.
BIN
cpld/db/RAM2E.sld_design_entry.sci
Executable file
BIN
cpld/db/RAM2E.sld_design_entry.sci
Executable file
Binary file not shown.
BIN
cpld/db/RAM2E.sld_design_entry_dsc.sci
Executable file
BIN
cpld/db/RAM2E.sld_design_entry_dsc.sci
Executable file
Binary file not shown.
1
cpld/db/RAM2E.smart_action.txt
Executable file
1
cpld/db/RAM2E.smart_action.txt
Executable file
@ -0,0 +1 @@
|
||||
DONE
|
BIN
cpld/db/RAM2E.sta 2.rdb
Normal file
BIN
cpld/db/RAM2E.sta 2.rdb
Normal file
Binary file not shown.
BIN
cpld/db/RAM2E.sta 3.rdb
Normal file
BIN
cpld/db/RAM2E.sta 3.rdb
Normal file
Binary file not shown.
BIN
cpld/db/RAM2E.sta 4.rdb
Normal file
BIN
cpld/db/RAM2E.sta 4.rdb
Normal file
Binary file not shown.
BIN
cpld/db/RAM2E.sta 5.rdb
Executable file
BIN
cpld/db/RAM2E.sta 5.rdb
Executable file
Binary file not shown.
Some files were not shown because too many files have changed in this diff Show More
Loading…
x
Reference in New Issue
Block a user